-- dump date 20170509_025331 -- class Genbank::CDS -- table cds_translation -- id translation VE01_00001 MAVADPPSSESERGNSNALVGHLNGSGNITSNGSSSLVFETQEN PLSPVARTKQLDVDMQKLFGESRAGKIWRTAAHLSPAGGFPEHVLPSGETAGKYISRE ATFWTCGFFPGSVYTLLERSVRFPQNFPISDPEIDRTNLHEQLLDLCQIWSEPLHSMA LRRNTHDIGFIIMPALRLDWELTGNARSFKSILTAAESLASRYDERVGAIRSWDKQFS NRYSITDKEENFLVIIDSMCNLDLLYYAGHHTGNQRYINIATAHAHAVARAIIRPDGS SFHVCNFHPQTGAIQRQFTHQGYKDKSTWSRGQAWGILGFAQTYAWTKDPAILQAATA LSDHFLKQLASATHHHPYVPLWDFDDNEPSLLRDTSAGMIAANGLLILHQQLATERSP YLDAVRHIVKDTVDLSLATDLAPLKVNAASGKIEVAEPDWASILKNATANNNEFAIFR YSDHGLVG VE01_00002 MAIDSSPMPQSCFNPLAGNPHKTRDDIIAAVHTLFNPLLPAFSA GRARVQLDSSGSTWDRAACDLEGFARPLFGLVPLAAGGSHFEHWDIYREGLKNGTNPA HPEYWGPVTDMDQRHVEATALGYALLVVPQHVWDPFDETTKKNVADWLIESRNTKHAN NNHKFFRVVVDLGLEKVGVAVDQSGTEQYLQDLEEMYVGEGWFRDNGNIEDSRRLDWY NAFAWHYYGLLYAVHRPEDQARSARFRERARLYAQHLVHWYADSGANVPYGRSLIYRQ ATAAFWGALAVANEEVLPWGVLKGLYLRNLRWWASQPICRRDDGLLTLGYAYPNQLPT ERYCSTGSPWWSMKAFAPLALSADHPFWTADELPMPSRSPVYADPTAGMVFTHQQSHT VMLVSGPGTTQMMRGVPEKYFKFAYSSRYGFSVESDALAFNQAVFDSMIGFSDDGKHY RVREHVNTAALAGDVLFSTWTPWSDVTVETWIIPSGAWHLRVHRITSLRSLQTIEGGF AAPRTDFDADQRFEVGSSAYVVSKLGDFSGILDDSPHERLARVNAPHGNTSLMFPRTL IPQLLGNVEANVPAVFASAILAGPDVQALLQTWTSPPKIPTVEELEKLVEERGVTIKI TEDYNPTRQWYP VE01_00003 MRPTILPDSDEAITDQDPEQWRLSRVTEETTACQSCRHRKLKCS RETPSCSQCSRLTCPCVYDKKRQKPGLKNGAIEGLNRRIGVLERLIVNGSGEETGPAP LGAGSHGCIYHAALLITNSMGGDIGGRNGCLDEAMLPDCNLARNPLTELGKSNHTTLE ANSAKRQRIDSPGPTFDDQLTSLPSEHILDLVFQVYFMKIHPWLPCIHQPRFEARLKD PQNATKLTVVVHAMICITMKHLKLDDIRLEESERDRQVRVSRDAVTRIAMTSMSVESL QALVIVASDYMGEGSLVSAWPIIGSLTRTVEYLQLTIEVDEKPPPALHGALRLLGDST SWAETEERRRVFWNVFILDRLCSVITGWSTSLTSEDVCRRLPSDGNIWARGERAITPY FGIWNKSAAKIGNPITFHQALSPLSPNGFATKLTDTSPASESLNVGAFAYRIEATESL SQVTSYFLQQPVNFHNKQEVRSWLTRFKELDLRLVHWKTFLPPKFKDSNISHDQAVVT MDPNLTLAHITHNASMILLHQHIAYPPAELRNMVRLPSSCSAETCQLAAVETSSITYK FLTYGGSEVAVAQFPFCAFVAARVLLVHWKATGNSLTNEFFILLESLRDMSRRWQGNL STSPHRQASTGEIDQGHLDLAAEYAALLEAMHAKILNKPDLSTDNLFQFNITSDLIAV MAQPVTSHHSTAYSSDTADGCGLSTAVDENGIVDKSSSATTWRAPQAGGSHCPALDQS FNNINPYAKNDGKQSYNLLSPETISSVHTFLGNENTSVSAMTALTNLHHTRGQMVQEP IVDPSSNGNISVGDGVGEIAEDDLAVMSNGLFDQTFSELDRVITLDGTDFNFNLVYWN RNEFI VE01_00004 MSRLALSTLAFSLAFGAVVLGYALETRALNPSCAPGGNFDFSTW ELQLPIGSPGSPTTISESALEGCSGYQDPGHDYFFTESGDGAMVMKVPGSPSSSGCVT TPNTKYCRTELREENPSSWDPKAAKNRLSATLSVPKADDSKHGTIVGQIHMDSSVSNY PVAKLYYNSKGDLTMGVHKSRSGGPEYYTSFGNIPLKTTFSYDIRYENSILTLGINGG AAKTISLGNLNPPLSYFKAGNYNQGNASSEVHFFAVSVQH VE01_00005 MLHIDPAASPGIRGMMLQDVLPKRNKMWWSYKNLRTLNLLLLCA IVTDITNGYDGSMLNGIQSVPQWQKFFGHPTGARLGTISNGVRYGQIGGLFLCAPIIQ RFGRKWPIAFGSSLLLIGVVLQASAQSYAMFVIGRILIGFGNTIQQTACPILISELAY PDQRAQIVGFMISTGSLGSLMAAWITYGTATIAGSWSWRSPSALQAISSLFQISLCFF VPESPRWLVHNNRRDEALEILTKYHAEGDQNSELLKFEIAEIDYALEIERAQSTSSWK EWIRTAANRRRLWIVITAGFIPQWTGNALISYYLHLVLNSIGITNSKTQLIINGCINI NGVIWGNIFSVLVNKIGRRPLFLYGTVGMFCAFLIITILTAINTGQDFANPGMGHTTI AMILIFGAFYKMPGVAFPSYTAEVAPYELRAKAFVITGFGDALANLFSGYTNPIALAA IGWKYYIVWCCVLISNFFIMYFFYPETKNLSLEEVAQMFDDSAAHNKLVDEEMPDVNA KDTVEMGETPKHIEHSPVQTGKLII VE01_00006 MSFPKTLSLLSCTAIVTGGTRGIGKGISMELARRGASVALVYGN PARASDAASTVAEIVALNKDIKAIAICADLRDRESPKRIVEETLRALGTEKIDILVHN AANPETTPTPNVTHEIFDTVMDVGLRAPFFLTQAAQPYMHPGSRIIFISSTSARSPSP GITLPLYAASKAAGESLVRSWAFEFGHSHGITVNAVSVAYVETEAVSVVPAAQLEAYR MASAKITAAAPRPGTPDDIAQIVAFLASDSARWVTGSTVSANGGRLLF VE01_00007 MAQPNKDVVPNTIVLDGSRLLKAKLLIKLGDAQLKTRLSYLTAQ ADKWLKQGPWSVTTKAIYPPSGDKHDYASQAPYWWPSGSSIGVPYMQRDGERNPEVDN YTDHAGRRNLFQASLILSLAWYYTEREAYAKHASNIIRTWFLSEETRMRPNLNHAQII PGVNTGRHIGIIDFAQGYTSVLDAAAILGVGASDWTRSDMEGFHQWNLEFLDWLSNST FGIIESAAENNHGTFAIMQKAGIALFVGKAEIAKQELLLIQSRIKDDINADGSQPKEL VRTRSWHYSVFNLVAYTRAAAMGTKVGIDLWGYKGPEGQSIHAGIDFIVPAATCTSQW KFPEMSFAAYAASDVIHASADAGNPTTEEAVSKLQAPPGTDLWALRPAVEQLDDVSIS KVERFNGKKLVNAVCFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYFYF ITC VE01_00008 MALNRSFKLNSGHSIPAVGLGTWQSKPNEVKHAVVAALKAGYRH IDAAAAYGNEIEVGEGIKASGVNRKDIFITSKLWNTDHKHEDVERALDTTLKELQTDY LDLYLIHWPVAFAHSSQRFPVNPSSGRIQVIDVPIADTWKAMEALVKKGKVRSIGVSN FTRARIENLLSSAVIPPAVNQVEAHPYFQQPELLEWLNKMNILVEAYSPLGNNIYSLP RAVDDPDIVSLAKDLNRQPAQLLISWAVQRGTVVLPKSITESRIKDNFQDFKLPQDAF DKITAMNRNCRYNFPARWGVDVFSEMSVIIVKTKLADDLACFEVDEGADDESDEEFGA DIRVITKQWNHTVPGCSPVLVVISLMDRMVQIEHLSWTRAVIASLLLAPLAGASATPP VPSNHALNQRGLWPDTAVLDGFRLFETKLLLKLGLPNLKTALNHLTAQADDWLTKGPY TVTSKTIVPPGGDKHDYASQAPYWWPNPDTADGCPYLQRDGIRNPEVDNYTDHGDRGD MFQSSYILSLAWYYTGKKEYATHAAGILRTWFLTPETRMNPNLNHAQIIPCKNTGRAI GIIDFSQQYTAVLDAAAILASGAPGWTKTDQSSFQQWNVEFLDWLANSPFGIEESAAA NNHGTFASMQKAGIAVFVGNKTVAKQEAVGMKARIDAYISPNGSQPLELIRTRSWHYS TFDLVAYTRIADIGKKVGVDLWKYKGPQGQSIQGAVDFIVAGATGGAAAWVYPELEFY GYAASDIVHAAADAGNLKALKAVSKLQTPPGGDLWALRPAVEQLDAISN VE01_00009 MVNSVNKFWEETSPGKYERNVDELEQLYTTLAKMHEGTGHTFFA ITACVTLTVPTTIGESLSYLEAQIEKAFRHSWKQMRYDHPTLAAPVVYDPDTESCKKV YQTPQNASEVNDWLEETFKVIDKAQTGQEFANSDPPIGRFATLYLVTPRHDSHQSEMT KELVLHSPHDIIDGVGTLMLLDNLIRVASEAFSSLSSQSEVVFGDESKNLSPHLRVAG NIPPTRNAAQEKKLQEIQSANADARKDAEILTLPFNSVPEIAGNSTRIAINLSPTDTQ AVLARCKALGVTPTHAFHAGIALALRDLQEKGQEERKARYIGYSLNNLRQSCKEPYNS PQHAAAVYHSASAYSLVIDVVIPAVGQSKIATKSLNEFELALNQVKDFYQSWTPNADF LSMVPFIATGKLPPYSPETGPVPPPDHSPSVSLSSMGVLDRIIKPQRGVFKVENPWVI GAEYRTGMGLFLSTWEGVLCLGAGYNDAYHSEDEVLRFLESVKDIVLAGLAV VE01_00010 MDSLQADRNRVLEELIGRIGPDSYIEPPFYVDYGCNIRLGDRFY ANFNMVILDCGMVTIGKRVMFGPFVSIFAATHETEVESRRDNVEYAREVSIGDDCWIG GHVTILPGVTIGEGCTIGAGSIVTKDIPPFCVAIGSPARVVKSVKPVAQLN VE01_00011 MGSITNAGDNSKVDVLVVGAGPAGMMAVTWFARCGIKAKIVDKR GTKIYNGQADGLQCRTLEILDSFEFADRAWKEGNHMLEICLWNPGRDGVIRRSDRIPD TIPGISRFQQLVLHQGRIERFFLDSLKDHSDIRVERGVLPQSLTFDESKAEDDDAYPI KVTLKHLTEDEINPPQSNATKCGAAPSDGLYRSNMAPDDTEELLNKTANDKVGTQETI QAKYMIGCDGAHSWTRKQLGYTLDGEQTDYIWGVLDIIPITDFPDIRQRCAIHSANSG SVMVIPRENKLVRLYIQMTTTEKGGEPVDRTNITPAMILECANRTLAPYKLSYEYCDW WTAYQIGQRVGSNFSKNERIFLAGDAVHTHSPKAGQGMNVSMQDTYNLCWKISAVLNG TADRRILKTYQSERRRIAQDLIAFDHKFSRLFSGRPAKDMMDEAGISMEEFKNAFEKG NLFASGVAVDYGASLIVAKDGSSIDQGDGTDVGVINSKRAVSKQQLATNVKLGMRMPS FKVLNQSDARPWHFQEILRSTGQWRLVVFAGDVSDKGQMSRVQKLGETLAANDSFISR FTPASKPINSVIEILTIHSAPRASTELHDFHDIFHPYSKRDGWDYWKIYADDISYHEG HGKAYENYGVDKKKGCAVILRPDQYVSWVGELEDVQDMDRFFSGFMKM VE01_00012 MSDALRRVTPESRRRTKKACITCQTKKLKCNGLQPCASCSSRSN QCIYSPDGARSQAKHQARQSTASTQPNYHSNPIPQLPLPSPRPGNGNLTDSQLENNIR LNHPPLASSRREGNSEPSSQQSAQTHRQRQISQYPSPGINVASPELPHLTQARSGGRP AAEASWSTSQGKDERESLSEQSRMLLDGKGRLLYLGDSASLSYLDTIRRLVESTLGPS EFTRDKHKQRILEGLISTARPTHVLPDREAGEFLVDSFFSNTVGIIYIFDREAFSLEV AKIYENPLQTEQSRLSILNLVFAVGLQGTKSSSAHSFRESQILKRLDGGNTERAEMFY LNATHLNDPVSGFEDGDITSIQALLLITVFMLTIAKRNSAWAYLGMAVRSAYALGLHR KQTAFAFSDAEQRVRKNVWRSLYVMDCFLSAMLGRPNGINSRDAADFFDDTEEDLSSD LSTPSSDALELAALTASVRASCLVADILSNVYAERKISVKLAHQISAKFQAWKNSLPP ILHWQNISLPNEDPRITLAQLHVNLGYFHSIILLTRPFLLQKIINEIRAPSGSQTPRS ETGSEKAEPFPGACVRSALYSIDAVQLALLKRALPLRDPFVIYWLFAASLIIFSNGFC TVYGDKAHAMQTALNLHRYLAELDPVARRNLQILNAFKDAIACDTVVRVAPTMGKAAG EDIFSAFFGGSQSGPSTGLGADGSMGNTIQATGMPPGAEWHGYQAQGMCSSRPEIMMR PAADLTGTGISPPDYSLDFDAFLTSVSSDQAYAQDMWMPLYGTMGV VE01_00013 MGADKFDPNFTQNVIDATGPNASARTREIIGPLIRHIHDFAREV NLTVDEWMEGVNLINWAGQMSDDRRNEGQLVCDVIGLESLVDEITFAAAANAPDAHTA TAILGPFFRHDAPMIPNGGTIIKNPVADGIVTYMHGKVVDSLTAEPIPGVIIDTWEAS TNGLYEQQDPEQDDCNLRGRITTDENGEYGFYCLKPTPYPVPDDGPAGKILKMLDRHP MRPAHIHLITTHDEYMPITTQIFSSDDKYLKNDSVFAVKDSLIVDFTPLEGNDKATRE LVYNMKLKKQPVKTA VE01_00014 MSRRLAQATEDALLDGGRAGQQAIEAAGFSDELKAELLDKIAGQ QFKSDNLAAFTEAELSPPVGRGTRDIAVAAPWTGSEGQGDAILRMLHDAHKPLKPVVD MRLQRAPKKTPAQRISNAKERTTSYTTANDSSMSLKEREELRASLKERFGSGVQTGSM PTSFRGLESLANRRIEDAIARGQFKDIPRGTSTVRDRRVDSPFVDTTEYIMNNMIKRQ ALVPPWIEKQQALHTAAANFRARLRKDWTRHAVGVVVATSGSVQEQVRRAEAYARAEM GLRVGGIQPPGSDLLEEKSREQRGGDIQAPDSSDPPLEKASTGDISPQGNATQQTIAD DPPQALDLPPLRDPAWERQHLSYHTLAIAELNATARSYNLQAPDLAKKPYYALEREMA SCFADVAMVLAREVVGGGDKPEEGKVWNKERDRGVMWRIVGEKAVVRDERGPKYGFKE LWRDFFR VE01_00015 METTLPLPLVPSLDLASQPYFETGLPRKQLSHLGSIFFVAKNET FDLVFGFLQGHTAVRTFVNAAALEESDILSLLDAGARCVFVKEEQAASLEKYGDRIGL VATSAASKVPAGGALIDFEGDAAASKALLKSFVDQKTSPVFLVTPEESKAQETVTIAQ ELGVVPIVPATALTMENKDTDGKLSVPAIIGSQWASDRADKLIPTVVTDEKGVALGLV YSSQESISESLRTSTGVYQSRKRGLWYKGASSGDTQELVRLSLDCDQDCLKFVVRQKG RGFCHLPQPTCFGELGGISKLEKTLQSRKISAPEGSYTARLFSDEKLLRAKIMEEAEE LCDAKTKEEIAFEAADLIYFALTKAVAAGVTLADIETSLDAKSVKVKRRQGDAKGQWA AKEGIAVAQPKEAAKAPAEVKKEDGPILMKRYDASQISEKELLDVLQRPSQKSNDMIM GIVNPIITAVRTRGDAAVLEYTHKFEKATSLTSPILRAPFPQSMMNLPQETIDAIDIS FENIRKFHAAQKEDKPLEVETMPGIICSRFVRPIERVGLYVPGGTAVLPSTALMLGVP AMVAGCKRIVMATPPRADGSITPEVIYAAHKVGAECIVLAGGAQAVAAMAYGTESVPK VDKILGPGNQFVTAAKMHVSNDTNAGVSIDMPAGPSEVLVVADKSANPAFVASDLLSQ AEHGVDSQVILIAIDLSETELKAIEDALHEQAIALPRVDIVRGAIAHSVTLVVKSLEE AMRLSNDYAPEHLILQIKDAAAAVDLVENAGSVFVGEWTPESVGDYSAGVNHSLPTYG YAKQYSGVNLGSYVKHITSSNLTAQGLRNVGGAVMQLAKVEELEAHRRAVGIRLKWMD ERGL VE01_00016 MEAQTNGVATPSVPTQAARSLPTPPPLPLPSHPTVSTQIPGYDK RPRDARLIHLLLTSLGISAYQERVPLQLLDFAYRHTSAILADALHLSSDAYISQQNRA RDPPAGSNLRDADGQVSMGAVQLAIQSRLQYQLSSGGTSKEFLMEVAEARNKIRLPAV GQTEWGVRLPNEKFVLTGVPWGVKEVWEKDDSEDEEANETEDVKMEDGGEDEEVFGED VEGGTFDDVFGSTEDQEMGEGA VE01_00017 MFKKDISPGSKSKVKSSIQRALRTQLCTTYPLLTPYIDEVVPKK EQLDAMKIPERVTLYLINGEPVFFQHMTDPLLPHLKLVHRFPQAFPRIRIDRGAIRFV LSGATLMAPGITSDGGRLPGDDGEEWGAAGEHLEKGAPVVVAAEGKEEACAVGLLTVG TKEVEEVGKGPVVEEAHFLGDGLWRLNTE VE01_00018 MPLVAPQISGDVVPQTQDWTNRLMGKTIGDENSVTTFAMSDLPE NTRIIPEGQPAALDFQENRLNVHLGKDGTVRQVTQG VE01_00019 MPHAVYDADPEDARPVNVPPVDHEVEEWNDKLKGKKLGEKTDAN CQTCALAFAVLPTNHLLQTFAKFDLPSVQRIISYNCAYTLEYCRDRFNIYLAQDGTVL GVSRG VE01_00020 MRGASIIAAVATALLLPLASAIPQITAIPTVAPRRAQEGAVTIA TVPVVTALAQEATSLTCLKTEIIDTTECATYTNEAGSPTSTQCQPTTTESIGCNDGLI CSKDSKGLTLCMKSQPPDLAGIIVSGCLSAAFCAMFGSVLFMCCRTRSRNRLAEKQRE AMLIASGSKLGASASEAHLPLMQPQVAQAGHGRDVSADYGAPRGYGRPNSRRASPSGS PFRDVSASRGSNVLTKSPPPGSGDVGLNTEARNPLNMDDNDIGYNRHS VE01_00021 MDSTRPHQATLAVEVLHYGYPALSLLYFIFTSTLGFCTTPAGAK KKTEQHDKRRALLSLMVLVVGTYAANSVNILIRTLVDKPWAAEQHETVYLVSSFLTWL ILTTALLEFELPVWYPHYGASAIAAVSEIALFILFNIFIRKYGLFDLISLSIQGVRIC TLVILLSFYITLGNRKSFDEDDEERQSLLPGNSKTNGDANGNGSSYGATTNSSETAND NSKDGSSSDSDEDDVLAKRRNKAQERVQKRLETDGNWWAYVKGFSIFIPHVWPVHDRS MQLRAVAVGACLLTGNFLNMMIPRQLGVVTDALVKGNSPWVAATVYASLTFLNSGSCI GWVQFWLWRPLEQYAEQSLSTASHQHVMSLSSDFHEGKDSADIHRAVNQGQSVTKLVE MMIFEVMPMMIDLSAVCIYLNYLFGPYMGLILAVTSVVFVYCTTKLVSLATNKRRQYM KSYRKEWISVYTSIDNWRTASYFNNIPYEENRYSSNVKNRLRREKDYRLGIFLVGAVQ IFALTVGLVGACFLAIYQVMRHERSPGDFVILLTYWAQLRGPLTFFSNAYKKISEALM DAEHLLELFRAKPTVVDREDAQPLVFKKGEVVFEDVHFHYEARKETLRDINFSVPGGS TIALVGETGSGKSTILKLLDRFYDVTDGSIKIDGQDIRDVTVRSLRDKIGVVPQDPDL FNESILNNIRYARLGSSIEDVHKACKAAAIHDKILSFPDGYQSVVGSHGVRLSGGEKQ RIAIARAILKRPEIIVLDEATSAVDSATEQLIQDAFRELCRGRTTFIVAHRLSTIMRA DRILVIKDGEIIENGSHDELIHAKGKYTDLWSKQLLVKPGESLSSPGSPKNSDATIVN DVQKQKGTTTLAAALKKTDHSEKDCSVASTDGSKVQKTKLKADSPEFVPIRLRTATQE QNQSPESKGSFGSGSLHGTQIQGESKVFSNGFHETAETNDDSKGVRSSSKRPLSRYGR RNHTKSDPANYVNGSSNETEASGSGINSSGEIQLSFTPYVQANHRRVTAPSDPPPDYS DSRSPSRGRPSRRGRHWRLRSKVSRGSALSEQSKSQDGSTDTGPPPMGLSTMPADRFL VE01_00022 MATQTTKQRLALSIIDFLNTSLKDGTLTADDAESIEIATNCISE AFNVTPSGPSTESQSLLQVYSVYENLRSKTAASSAASAAAPAPTAAAPTDEEKKQAES LKSRGNSAMATKDYASAIDLYTQALALVPGNPIFLSNRAAAYSASKDHESARADAEAS VAADPKYTKAWSRLGLARFALGDAKGSMEAYEKGIEYEGNGGSEAMRRGFETAKKRTE QEALTGGGDDDELPSARGFGGAGGAGGMPDLSSLAGMFGGGAGGAGGGGMPDLSSIMS NPMFASMAQNLMSNPDMMNNLMSNPQLRDMASRFGGGGGGGEGAGTPDLASLMQDPSI AEMARNMMGGAGRGAGGAGRGAGN VE01_00023 MPPKKAAVEEKVLLGRPGNSLKSGIVGLANVGKSTLFQAITKCS LGNPANFPYATIDPEEARVIVPDERYDWLCEKYNPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPCRDLDIISEELRIKDIEFTE KALEAMKKLTRRGGQSLEVKKLKEEEATIEKVLAMLKDGKDVRKGTWTPKEVEHINPL FLLSAKPVVYLVNLSEKDYIRKKNKHLGKIAEWLKEHAAGDPILPLSVCLEERLTRFE TEEEVQAELKSLGVESALPKIVVTMRKVLNLGSFFTTGTDEVRQWTIRNGTKAPQAAG VIHGDFEKTFIQAIVYNFEKLKELGSEAEIKAKGQIQTKGKDYVVNDGDILLIKAGAA KS VE01_00024 MPPNKPSKGRHSGSRHRHTLSLQKTEVMINVYDLLPPGKFSTVL WAIGSSLLHSGVVINGKEYAYGGHDKRGMTGVYWTRPQSEPPGGTFKCEVLQGFTLAP AEEIEAIIKAASEEFQGTSYNILTRNCNHFTSYLCEKLTGRPGPGWLNRAASIGIALP CVVPKEWIAPPDYDTADGELVDGEEDDANESSRMLPTRQYRRSFSDETDEDEYEHDRE RRNGAKGKGKAPIRDTSGRVVPPAERAPLPRGI VE01_00025 MSRTAVRRVVALTALSGRAEAPAIARSGFQCLQRQQFSQAPRRR AEGDQEPPKSAPFKADSTFSLSSDKSSSSAPSPAKRPALSDLSAIFEEGQASKSSSRF PSPAGLSAYNNMSAQQRYEEPHHLHVFATKHNTHITVTRPNRDPIISMSAGNIGFKHS QRKHYDTAFQLASYVFGRMRDTGLLPQIKKLEVILRGFGQGREAVTKAILGVEGMPFR NKIVRVTDATRLKFGGTRSPRPKRL VE01_00026 MNGLRLSARAPLSAPLRAATTARPVNTRIAAYTQTISQAQIAGL HSTSTSSLRVGLLPLRSQFLPESFLADQTSPGSRRTLHQSASLRQEKSKDAAKEAPKE ESATQETAEEAAKKASENPEQAGEEKPKDEEKSEGDKEEKKEAPPPPPPHGEKSPWQV FTETLQSEFKSSKEWNEGTKALSDSAHQFTESESVKRARQAYEASTSRVSSTGAKVLK TTAGAVGKGAAWTWDTHVVKGVRSTVNATGDVLEKSTRPLRETEAYKNVKNVIDDGSS SRYGGWVEKEERRKAREARELAENGGVPGPREVAKEDPNAGTNVTLHKDAAWKESWRE FRDSNKVMQGLFSMKSAYNESENPLVSTARSISDRIAGFFAENETAMVIKKFREMDPG FQLEPFLREMREYILPEVLDAYVKGDTETLRLWLSAAQFSVYDALSKQYTTAGLKSDG RILDIRHVDIMSARMLEPGDVPVFIVTCRTQEVHVYRNAKSNELAAGMEDKVQLVTYA IGVTRIPEEVNNPETRGWRLIELQKSGRDYI VE01_00027 MAGNYVGDSDVKVGLPPLDHVNPLKLFDIAREQGRPLYTSAPMV RYSKLAFRQTVHKYGVDLCWTPMILAKEFNRSVFARDSDFTLPPSPTSVPTILQFGAN SPLEFARATSTMAPFVSGVDLNCGCPQSWACAECLGAALMNKRELVADIVKEAKATLK SDGYEGRRTVSVKIRIHKDLRQTIDFIKTVQDAGVDFLTIHGRTKAQRSSEPVNTEAL TLLRPHVTVPLIANGDVSTLVIASSIVAETGVDGVMSARDILANPALFAGHDTCPWEA VEHFMNRVVRAPIPFKLVVHHLSQMTGSAGGGKPALLGKEERGELMECRDMIEVIDFL DRVKGITRL VE01_00029 MKEPPPTQAPVTDRLRSWLHPKPDENEGTELPTTLPPTTSLTTS TTHSHHDFNGGEKNITFADSNGNGATHENGNGHAINIASLSGTTPQPDTEAEGTGSVV SGTEEKKKKNIAVRFALTTKKIVCSSWLNVILVFVPVGIAVEAAHASPIIIFVMNAIA IVPLAGLLSYATESVAKRMGDTVGALMNVTFGNSVELIIFIIALAKNEIRIVQASLLG SILANLLLILGLAFFIGGLRFREQIYNSTVTQMSACLLSLSVMSLLLPTAFHAAFNDQ NQAKADKAVVKVSRGTSVILLLVYGLYLVFQLNSHAYLYQSTPQHIIDEESAPGPVAL WMESSTDDSSSSSSSDDSDDSNGTAAKIKRAIKRGGRRRRKSSVSTANTFDRANLSRN TFYEETGGPSTLPTGAADADIEDNSTPLRANSRSRHNSINEKQERSWRKERKERKRQK HAEKKERKRAKKALREQAEMEETKEPMGDYEPRRVDFAVADTEIQEPIPKRPFLKSIR PALPKTLSQSVFTQPPPSASPHPVATPGPVPRIRYGIRRTNSLPLRLDTPAGVEAAAV TPNQLIHASSSIPIHPHTEKVEEDEDDISRTTAVILLLVSTGLVAVCAEFMVASINDV TTHTALGETFVGLIILPIVGNAAEHVTAVTVATKNKVDLAIGVAVGSSIQIALFVTPF IVLLGWMMDKPMSLYFTLFETVCLFVSAFIVNFLVLDGRSNYLEGALLCAAYVIIAVA AFFYPALGDQSLLGGNTAAGS VE01_00030 MSSAVSLQAPHQSTTITSLPQAPSPSTRQYSSQAPQPRDQAYNQ QTGNASPASTTRRPSKRPSGNGASPVTQQQPQQYYSPTTSAFPMTGQQHQSPVVSESA NSRSNVTAAEYQRGMPPVAPPRTSSNQRSSGPALAAAAAAATTSTDRPKRNAQQADDR AIHAARVAAAGDGAHPDQRESRQTNGHRSKAADDSALATDSPSRSRRRTQQPGEDSPH RSNTTRESRSSQQPQPQSRQQDMGNSANDANGPIRETNDVLSRLVISQPEVDLDRERE RMAEAVPRAYTGEASSPVAAPNAVDNDGNDDGRAGERRRQDHSTKREKTTKFGEYFLG NTLGEGEFGKVKMGWKQEGGVQVAIKLIRRDSVGTNPTRLAKIYREIAILREISHPNI VRLHEMVETEKHIGIILEYASGGELFDYILNHRYLKDNAARRLFAQLVSGVGYLHKKG IVHRDLKLENLLLDRNRNIIITDFGFANTFNPVDELGEEVEYGLSSRDYVRRMELDRI LPGGFRRGDLMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDD DPANPEGDNINLLYKYIVSTPLTFPEYVTPHARDLLRRILVPDPRKRADLFEVARHSW LSEYAHVVGFITSNTTSPADIANTTVKEERSDGGPLLARSASVREPTKSQKPAPAVGD LARKHGNVDQDAEDHTTPKANKDAKRRTLQVEYVAPRQQTVRGEDISSAPQPVSRTRA GGDGQGHVEGGQEKRRVASSEKPLPLAPGSARDSKKPPSSHRSQPMAAPSRPGREPAR STSENAFMAPLLGQGIARPNTGGSMTSTQSGINTTHYSQTAAPTVATTNAHGRMSQPT TSNGQRYDVSPEGESDYGRPSISQGIPPKFARLSGMEDSAADAPPTRSHKRSSTIGSI FGRHGSIFGGKTNAEKPKKSYPPVSYPGPAAAGGEVSRQSVDSSRRSISFGFGKKRSG SVTGSTGGDSDQKKSTRRFSLLPANFSLKSIGIGKDSDSATPSEDGRYYDDGVDRSAA YGEPTPPVEKPQSRPQTNPVAPTYTRSRDGSQQAAKAPPIATPIHQRYASATQQPPPS TAYDGQSDFGPRPTYRPKTIDTPPPTEPAHSSYPNLAKSQTRQAAQNARYNQNQGYND YDSDRQAGKGGRGVLQKNNRKFTEAYEADGGQDQGGYGGNNHTSGSSGAARKVMDFFR RRGRAREGEM VE01_00031 MAKDYKTQKEDFVSNLTGGSIGDIIAVTAVVPISIIAWSALQAR HQFFAKYTAIPFAVDFFLNVGAVLLATTLYSPNPLLLDILLLSPAIILSYFYTIPTKK KAKPPQAKKVTASETSLDPLPRKPFLTIYRGCMMIITCLAILAVDFPIFPRRFAKVEN WGTSLMDLGVGSFVFSAGVVAARPVLKEKISGKYTTLGSRLYSSLRHALPLFVLGLIR LWSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVAIFQWAFQLIPSFEVLAFLLAAVYE IVLDNTDLKAFVLTAPRTDLFSKNREGIFSFIGYLSIFLAGQGIGMAVIPRNISPKEP TGSVAQRKKLLITLVTTSVVWSLLYVATTHYYGLGLTVSRRLANLPYLLFVAAFNCIQ TTAFCAIETFYFPEVYKATDKKTEERNYSHATSRIMTAYNRNGLALFLVANLLTGLVN LTVPTLFVEDAVAVGILLAYGGVITGLAVLLDVYDISIKL VE01_00032 MASNETKYAQAAKQVGTTRQVEFMEPKTLKNPRRQRPYNLRPLS TTHIKPVQRPRGSPYPFRSNSPYREPPETPTSATSLATPSLAGVRKYYRENGLHTAFQ SPVSPSPPPFTPGRSRRYSDLSSAGLVTRFDGLHASSPLSTSSSPIKSRRSFAEESRD MLLAGTNSSELSRASSVSADSREHRPNPDLPPRRLGKPPPGRLREYGHVYLGNAASAD VFVRAMHSRVPNQNKGRRESLMSNTGEDENYITIPQSDSDHVVIRARVVPYSKERKPF LIQRRFSKADIEASRPTTTKETKGEEKEKETADAKEDERAENDGEKNATAQVAPEEPA STPSPQPAPASKSLTPEKETPEPRAAGPRRRVMPIHMDYALKYLPILGAIMLSGYIRR GDTIDMPLPHPEAWSDTVAYVYTGAGAVSDAIKANVAHLAGRVEKGS VE01_00033 MPPWYNIELPSLHHYDNPPDLDVADERNPSPQPHISDSRTHNAP WASRGTLNDSADNLWRTDSPPSTAAGHQDARTPILSQHETFRCSVGTKSPLQSKRERF LMTWLTCAAAVVSTIFSVYYSYTVLVSERAVPQALSLSPGKTVMAINVLSHVVAYLLW SLITDANEELRWSLACRPQGVTLTSFLVLSRATPLHGVAYLCTVWGGHILWALQKIIA MGLVTLLGLVLITDVTFKNMYTAVHSAAQVPVIAGLAPLSIKFLPYVNPALINVYAGS YTYGFLTDPRFVANMEPIKCEGDTCLSVFLPGGLHTVRVASGDKNSTLFKDELGAGQY ESIIINQAPGYQVEFYDLPANYKFKSEDCWTVCPTDAGVGNCGQNTTWTEKYPMDQAT TTSMYKRYATVAYDAKNMSILSIERLTSPQATAIDADIIRTYFGIVMKDQPAFANSTA PVFSSANSTTPIFKSNSEASNACTSYTVQYGIFWILSLYRSDFNSYADGGLAVLRGFL SIPLQFSTEIWQQAAMDTLPDDLRVDAQLSRVSYRALIQAWTVYIFGGSAFLVLAWCI GCLWWCYLGPHTPNSSYFPEIDITSKGTSPAAAVRRPDGNYASSMRSGRASSRTLHYP QFGPFEKGVEQEEELEDLEYLMRKLGLGNGMSRAVVKGVKGKRIYCGAYIGEMGEADH IVIVTEKDRVRPLVKNKLYC VE01_00034 MAAKTLFSLALAFAAVVNGQSITISNFNDVASAKASYTSITISS MAVPAGKTLDLTGLKTGTTVTFTGTTTFAYSAWVGPLVSVSGTDITVTGTGTLDGKGA SYWDGNGGSGGVKKPKFFQAHNLNGKSKITGITILNSPVQAWSIGSSTGLTISGVTLN NKAGTALGKNTDAFDIGSVDQLTITGATVYNQDDCVAINSGTNIVISNMNCNGGHGLS IGSVGGRDDNTVQNVQIKDSTITDSAIGVRIKTNVGTTGLVDKVTYSNIKLSGITKYG VILEQDYNGGDAKGTPDNGVPITNLVLSGVTGTVTSAGMDFYIECGSGSCSNWTFTNV AVTGGGKTSKCNYTPSGFTCK VE01_00035 MTVCEIGFSNEFVLRGILAIAALHLASLRPSRKDFYISRGIMHH ELGLRRATSLLPYMDKENCTPLCIFAALAGIFAMARPRTQEDFLLVNDKGLANWMVLM RGMSSIIGSATPTLFSGPLGPMFQNGHRRFLLRSPEPPMDSSVHGEEVKLLQQRILSS CVDPRLIEAYIGALTELHMSLNVLYTYAETYEASDAFIWVFRTPEAYFVLLKNQDPGA LCIFAFFCVLLHQLGAHWWAKGWSTHLMDQIYRLLDDEHRYWVQWPIKQIEGMRIPEA L VE01_00036 MSAKEASGEWTMTPYIMQSLLLLLAPALFAASIYMVLGRIIRLT DGESHSIIRARWLTKNFVAGDVLSFLTQSAGGGMLSKAKDENAVKLGNWVITGGLIIQ IMFFGVFIIVAGIFNYRLHKVPTNRSLSANIPWQRYLLVLYAASGLIMIRSTFRVVEY IGGQDGVLLSKEIYLYIFDAALMFLTLAIFNIWHPSSIISKATMRLWSSSSQSQDVEQ NASSSSNFQLRKVGEI VE01_00037 MSHIHTSLSSHYGSTTETASPPQYQVHDIEAHEIAPSASTLNRE DKPIVIPQSTNLFFIKSFSPFTRCYPPSLSTLSNPITESEFLGFIDRLNHVFISLPIF QLAHITGGALMSVQGVLPVQAVGGVLQVTSVLASAGVSFVRVRKFMKSTNEEIFTPRG LVCRIMTTKKMMAAINFSEADVKGNLKLPPLETVHDLGSHHRHSLPASIQSGEGQAAK DPLKIGLGLEDPRLLRLKALEGYIAPLTFDVPAPPPESWMSKMSQKPLRWANERQMKA LEKTQAKCHKKRDSKASAVSAATLPSDNAIFEIDRQIEILHNGQESFIQGSRHKSEEL EKLEAAKREEEANKEKKVREIYKGSDKKMEKVYKKEEKVANRILWIVVTKADSTPVEE ESLFRVDSRTTTLGGRE VE01_00038 MLDVPIFVAVTSCQPRLPSESKPYFSRLELACLEESESESESES ESEYEAYFGKGTS VE01_00039 MDKASEASSETASSPSVGHASAYYHSAFQNQSNIEYSLVDDNAS VIPHYDGIEVVPDDSSTNFDHEAPPAYHQLTIADGGISAEVMRTENGRVSMLINSSMR IPEQVSPFESQHNFSTLHSPTYSQSEYPLLHIVMHVVGSRGDVQPFIALGKELQRSGH KVRLATHNIFESFVRESGLDFFPIGGDPEQLMAYMVNNPGIIPKFASLRDGEIARKRK MILEMLEGCWRSCIEPDPKTNRPFVAEAIIANPPSFAHLHCAQALGIPVHLMFTMPWT PTREFPHPLANIVKTSMADVETSNFLSYGLVELMTWQGLVDIINMWRKDSLSLEPIRA MMGAGLADYLQLPFTYCWSPSLVRTPADWPSNIDVCGFFFRDPPDFTPPVELEEFLKS GPTPIYIGFGSIVMNNPQMMTEIILCAVNEAGVRAIVSKGWKWLFQQVSAVVHHGGAG TTACGLRNSRPTAIVPFFGDQFFWGEQVAAAGAGPRPIDYKSLDSANLIAAIHKLLEP QTLLAAQRIALSMEKESGVQEAVKSFHRHLPMKKMQCDILPRQPAVWQYQLDNKQELK LSDEAAFILMEQKQLKMKNIKLYSSNKIEIEYKRWDPLSAGSSTLIDTIMDLTSDGKN LFTAPYKEIKKAQALRREDSANSHTDMNEVALRGGSTDARKETTRRHTGAAAAAGAAG KGLGKMGGGFAKGMLLDLPVAMADGFHAMPMLYGDKKIERGAITDWKSGMAVGGKSLA VGFFDGFTGIVTAPAKGAVKDGAAGFAKGFVTGTLGVLFKPGAAMLGFVGYPLLGVYK SISSLHATPTETKILLARQILGSETGRQISEQRDGEKIQNEILRAIKWLYGGSYNSSR AVNNCGGNHFRSPSLSQKLFRNPDFTTPFIITFTKKSQAMSYSVYTVELLGSGPINHV RLFVETQEDGGGQIFHVIGTILQGMTFETRSGVKQETDVLFVPGSQIYVGRIAQSAVG GLDELCRSISPPAAQMNLNGSRKDPTKPLRRCGEWVEEVKEEALRRGLISQ VE01_00040 MPASHSLLRTLQRSTPAPQRCLRRTATQLQRRHASDSNTPESNI GSSKPLPGTTPPPAAPRTSPPSQAEIHGAFRSQLYGSTAARTAKERMDRERHADERQE WAGGRNMATTFVVLASSLACYYMGTKNPAPASPSSTLPLAATKPPKHNIAPTNLEAAW ADFVAIVGKDNVNTTQDDVVAHAGSEWSSHLRKDSEKPFLVVYPSTTEEVSEIMKVCH LRRIPVTAYSGGTSLEGHYTATRGGICIDFGRMDKILQLHEKDMDVVVQPAVGWEHLN EVLAESNLFFPPDPGPGAMIGGMVGTGCSGTNAYRYGTMREWVLSLTVVMADGTIIKT RQRPRKSSAGYDLTKLFIGSEGTLGLVTEATLKVTTKPIATSVAVCAFPSIRDAAECV SRVVGDGIPIAAIEILDDVQMKCINASGTTSRTWAEEPTLFFKFAGTESACKEQVQMV QKIANKHRAKTFEFARDEQEKTDLWSARKEALWSVMALKREESDHVWTGDVAVPISRL PDIIEETKDDLKKIGLLAAIVGHVGDGNFHTILIYNDAERKKAEAFVHRMVKRAVEME GTVTGEHGVGLVKRDYLDHELGATTVDAMRKIKLAFDPLCLLNSDKVVRVEKPKSGEM LVW VE01_00041 MVYDWENKEELCYRLYIEEKKSLEEIMEFMKEHHKFAPSKRAFQ TQFKRWEFPSKQNPAHKNVELVARVKELWERNVSQREMLRVLNEEDGFDIKERELMRV RAKNRWLLRVPNGMKAKKRESEQDVMDQLQQALFDDGSGSQQQQIEGEVEEGEAGAAP KKEGDRAVSPELSPEVLAKRMERLQKLQAESAVRWLSRKRRRRTRGWAGLPADPPGPP RFPSETTIDESKAFLSLDSALYRDIRARFQRICEEADVIKKTLAGPERWEAVKARLVQ ESPHLQQVFWQETDNQEQKKLALDVVCTDVTKRMRTLERRMTIAEAKNALGINPEESR QIRNAFYQTLKADHFTSKLEAGDDHWRELKQQWIDESELLTNILAPGEADPQRQEKLK AMEVLCRDVMKRLRDDQTKRDPTRKKKFDSNFTAEVPQNMESFTNDREEDIPQYPMPG PHDALVQAAAHAQAQAQVQAQAQAQAQAQAHMQAQAQAQALARHNQSHLLMTDHDDMR IDPSLLLATANDPSLMDSHHDHHGYAEQQYADQQYTAQAAQAAFPNPANSIAVYFRLH PASDIQPPSRLWVSTLSSVSVDELRQLATSKYPGTIPVRLEGIVKESNGQEMNLQIDH DEELDAYLAAINGVKPIFTVQLMQGWKNE VE01_00042 MNLSLIDPFVLSLDYPDTTTASLRSGHSTCARFNRAGDLLASGR VDGVVTIIDVETQGIARKLRGHTRQIQSLSWSKDGRYLLSAGQDWKVILWDLETGGVE GEWRCGGMVYLADLSSADDHLAVLSLFDNQPLLVDLRNDTSYKFSSVPKRTREESEKL SEKQVAQDAKQTTTVAIFTASGSHILAGTSKGWLNIIETATRTTIYSTKISAGVVIYL RLTSSGRDMVVNAQDRVIRTIHLPPLDNATLDADQIHLEVEHKFQDVVNRLSWNHVAF SGSGEYVMASTYNNHDIYIWERNHGSLVKILEGPKEEHGVVEWHPSRPLIAACGLESG RIHIWSIISPQRWSALAPDFAEVEENVEYEEAEDEFDIHPEEERRQQMLDGENEAVDV RTVDRKVLGEEWWRMPVLLDLGDAESEDEFVMVGTGTMRRKSPGTGGKREEAPLTPRE EELLERENLALAKKVKNGRAKKGVKG VE01_00043 MYNPTYTKEDVTVVIPTIESNLEELRGPISSILACDPHELILVT TFGKYHGLLQFAASFADPRIKVYDTKIANKRVQLREAIPRVTTRITVLVDDDVTWPTT ILPWLLAPFEREDMGSVGVCQRVQRVRTGDLATRAWNWLGACYIHRRNFEISASHFYD GGTSCMSGRTNAVRTEILKHPLFLDGFCKERWGKYQLNADDDNFLTRWLVNHDWKTWI QYERECEIETTLENDWSFVRQCLRWARSNWRSNYTTLFIDRKVYWGQWWTIYALYFAT FTSLGLITDPLYFYSFYCASRNWEQASAWTGWSLVIAWYIFTKLVKLTGLLRRHPSDI IYVPVSVVFGFLHGFIKLKALWTWNETSWGNRPDGDIDNSERMQPTAVPAEVMINPMP GTQSLVRYKDEVLISRVPSGLRLSKTSTFSEKEPLLLGFDDL VE01_00044 MSFSLPADGTRSSATAALPGSKSPALTIDAIAKAGRPNKVIRKL GRPHEKSLIKNSLDLDRPSVDLGVYYTTSRSSYDAGSASHSLADLTWNSSSARGGRRP YHHRSVSGTSQFSSRTSGSYNRNGGFQHPWQQTPRPYTPPGAASYQDSIGSEMERNRM SFADEEQLGVRSGSTLSNYNVGGTLNPLVPEVTVLDAKPGESLSLTINTDSLSTLLRD SNLPLLNTDSSKSPESNLESTEETSPSSSRESMEHIRNMRAEGGIFEKKKPLNELEVL REARRRFEEKEALKIERADRAHVRALEKKNLKEALKAEAENRKAAAEAADKEKYGPDA LQPPMTMEDFLNSNYEEVVSEEGRRKKYAKRAARQNSTTYVAKHKAQNAWMSFMIWFR TRLLKISRKF VE01_00045 MANLHFAHSDAPLRTIQEIQFGLLSPEEIKNMSVAHILYPETMD EGKMKPRDQGLNDPRLGSIDRGFKCATCDAGMAECPGHFGHIELAKPVYHPGFLKKIK KLLEIACHNCGKILLDRFKAAASMRDPKRRFEAIWRLCKPKMICDADISVDDDEFAQD PKAAAKRPSHGGCGNTQPEVRQTALQLWGTWKVPKDEDNENGQPEKKLITPEMALQVF RNISTDDIYDLGLNSDYARPEWMIITVLPVPPPPVRPSISMDGTGQGMRGEDDLTYKL AEIIRANGNVRQAQSEGSPAHVALEFESLLQYHVATYMDNDIAGQPQSLQKSGRPVKS IRARLKGKEGRLRSNLMGKRVDFSARTVITGDPNLELDEVGVPRSIARILTYPETVTP YNIGKLHQLVQNGPNDHPGAKYIIRNDGSRIDLRHHKRAGAISLEYGNKVERHIVDGD FIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQTEETR AEVNQLCMVPLNIVSPQRNGPLMGIVQDTLAGVYKLTRRDVFLTKETMMNVLLWVPSW DGVIPQPAIIKPRPRWTGKQLISMIIPKEVNLHTANDNREDAPLKDDGLLISEGELLF GLVTKKVVGATSGGIVHIIFNELGWLEARNFFTGCQTVVNYWLYHNGFSIGIGDTIPD KATIDKIEGAVKEQKDIVDGLVLEATTNKLESLPGMNVRETFESLVSKALNTARDKAG TRTEQSLKDINNAVIMARSGSKGSTINISQMTALVGQQSVEGKRIPFGFKYRTLPHFT KDDYSPESRGFVENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRLVKALE DVMVKYDGTVRNSLGDIVQFIYGEDGLDAVYIEKQRVDSINMSDSAFEERYRLDVMDQ ANPLPADMLEHASELMSDVKVQHLLDAEWEQLKVDRKMLREVNFKKKDDESMQLPLNV VRILDSAKRLFKLDDSQRSDLHPKHVIESVRNLLDKMMIVRGEDSLSIEAQKNATLLI KAQLRQRLAFKRVAIHQKLSKLAFDKAVGELESRFTHALVNPGEMVGILAAQSIGEPA TQMTLNTFHFAGVSSKNVTLGVPRLKEILNIATNIKTPSMVVYQEGDNPSQETAKLLR SAVEHTNLRSVTAATEIYYDPDPENTTIEQDQDMVDSHYMVPDENSESHDSLSRWLLR IILDRQKMLDKGLNVDDVAVRIKEEYPKDLNVIFSDNNAEELVIRCRMITRMEGKYED GDNSEQDVSLKKLENHVLDSLTLRGVPGIDRAFLNKETKLVETEDGALIASKDDPKCQ EWYLDTSGTALGAVLSVPGVDTTRTYSNHFVQILEVFGIEAVRSALMRELTQVLAFDG SYVNHRHLALLVDVMTSRGHLMAITRHGINRADTGALMRCSFEETVEILLEAAAVGEL DDCRGVSENVILGQLAPLGTGEMELMLDPKMLETVVSDNGRMGLMSGLPVKGGYEGAA TPYGSDSPMADSGYIGTPDYAAGFSPISSGGSESPSGFGGLTEYGGITPGYGAASPYS GARSPGGYSPTSPFGVSSPGFSPTSPRGYSPTSPAMGMTSPGFAPTSPSFSPQSPAFT PTSPMYSPTSPSGMQHYSPTSPSFSPTSPSYSPSSPQFSPASPLYSPTSPVFGGGKSK TSPMSPNQTSPTSPVYSPTSPSWSPTSPRYSPTSPAAFSPTSPQYSPTSPTSPKQD VE01_00046 MSKSLTHAKILARRSAARGHANHGWLDSHHTFSFASYHDPKFER FGSLRVLNEDRVAAHNGFPTHPHRDAEIFSYILSGELTHRDSTIQKGKEGKEGDDFYR MKRGDVQFTTGGTGIAHSENNESDKPVHFLQIWALPWSRGLTPRYHTKTFDEAKKREA FVPILSPLAAGKGASAEEEAAAVPALPGTIPIHADFVMAAGIIGVGKKFEWTVGGESD AKTVVKSRTDRKVYIHVPMTNEGKSKIRLDGREDSVLAEGDGAFVTGVQAGDVLAFES IGEVEAEVIVLDSD VE01_00047 MATIPPTMRALAIPSFGKPSTYGLANVPTPQITQPDEVLIKIHA AGVNPIDTKVAEGALKMAHKYTFPLVLGHDAAGTIVAVGSAVNSLKVGDQVFTRVPIH DAGTMAEYCLSTASATALKPEFMSFADAASIPLVGLTVLQVIRRAETQLGGLKGKTAY VPGGLSGVGSVAVQLLKNVFGVKKVITTLSTGKMERAKDLFKGGEGEVVYIDYTKENV SSAIGAGNVDFMFDTMNGAIESLPLIRKGGVIVSISRTPSGEELKKKFGSPPWFMVVV LNLMDQVTKWRAGRNGVNYSYLWMEADAKGLDDLGRWVGEGKLKPLVGRTAKLEDEAA VKSGYEEVYSAKGGVGKFVVEVI VE01_00048 MSDTGAANISAEPMKATQEESNSKNVAVEKNEAAAAEAPQEEPK LPPLSAADFAAYNGMAEHMEYFHNNFRRTWNLLYGACESNQRPKNLSIKQFITTGLQF CGHLTAHHSIEEMHVFPVLAKKMPEFKNGPKYKGAAELLRQHKEIHDGLDVFKEYLQQ CQTGEADFDLRVLKTKMDSWGTVLWTHLDQEVKTLGAENMRKYWTVAEMRRMPM VE01_00049 MPGLFQKILLSAALLGLAVEGAPSTATKQQGNGPKAIYFLTNNA QNAVVALPVGCDGTLSRGSVTGTGGKGSNSIDMGTNLPAGPDALSSQSALKVSGQYLF AVNAGSNSLTMFSISAKDPTKLTALGQSASVPGDFPVTVAASAKNNLVCVGTTGAKSG VSCTSFSSQGLGKMDALRPFGLGQTTPPVGPFNTVSQVFFSGDESVLFTAVKGNPPAN NTGFISAFPVNAKSPCGGAITTLSTTETRSSPAGTAVLFGSAAIPGSTSLFVTDASFG AAVLAVDSSDKTTLTGKGVIADQSATCWVAISDVTGNAYVTDIAVNHIVEMSTKDASI ISSTDLSANGGTGLTDIASGGNFLYALSAGDGKAKATVTVLDVSGGKVKQVQYFDVSS TGAGKNAQGMTLLM VE01_00050 MKKFSFRTFRPSKDSGGEQGSSSSDKQAVSSKRREQVRKAQRTH RERKEIYMKSLETEVLLLRTNEANLLQETRSLYAEIDQLKSVLTELGVQLPIVGASGL AEYGSTAFPTNSVVSIRQGSIGPQIHVGHSAADTRHRNDGSHLPAAPPTGGAQRVFHR EDSQASNVTSSFQSPASGGVLSSPNAYESGQSVTSPESTADIDMESIGVEFVLTLESP CLGHMQGNPDDPEAPSGHVLTVSAPLLFRAPSIATGPHICTTTPWEAPASGLERLLNL SQGLVLDGEVTPVQAWNYIRQRVGPQGIEVERLRALTERLLKEVSCHGFGAVVEQGAF EGLVFDTLVVGQAS VE01_00051 MSLNPEERRRRISKSPGRAPSPPDDYSPPRSSRYAEPKSSRYYD DDDDDDDHRDRKRGDPYDYDDRSSARAPREPRDRDDGPRYAEAPYRPPASHKKTASFA MPGGFSDDEEDPKISKYGKKEKDYYGDKGSSLSRRDDSPPPRDKPRYADPEPESRYKR DVSPAYKPRYAEPEPERKPRYAEPEPERKPKSRYKDESPPSKSKYDEPEAYKPRKYYD DDESPPRRPKYAAPDSPPQPKYAAPVAPAAPAAPQQPKYSAPERDAKPKYVTADPSQR GGNPKYWDDKDKDSDSDSDDSDEQDGPIGDRRIDIDFEIKHDHYDTTVRKREKHKHHH ESPKYNEVALVTTTTDSSRYAEPKRWEYAHPEEKITYTSKTESYTANPEPNAYGRPAY DRPYAPPSSSRQPDPRAPVNGPGQTQVVTVEPGRRNRASSNFGPGGLGAGMLGVAAAA GVGASLANAPGSPMLEAYKGTYQSMGPLTITSKPYADNADVDILEIAPPASPTSKRRH ARFHDLENEASILKKALRETKSKAYPDPRPFIEILPALTHEQILDLRAEYKKQVKTPD MKGVNIAKHIKVRLKEDKFFLKACYATALGRWESEAYWANSYYQGSQTSRELLIESLM GRTNAEIRQIKEAFRDKKYSDSLTRCMKQELKEDKFKKAVMMVLEEKRMDERPGRSLD RSLVEQDVRDLNRAIKSERGGESVMIGIVVQRSDSHIREILRVYEASFRSNFAREMLK KSGNLVGEMLAHILNGIINRPVRDTLLLHHALTLTKSDSLRVDLLISRLVRYHWDRPH MEAIKREYRNRYGKELQEAVREGTKGAWGEFCEELCVKRVGDEVKRFDKVERIERVER IEVHGGPNGGYR VE01_00052 MMPHEPSPISPTTPTKFPPPTRSATLPIPPRTWSAPTDRTLLAP EDAIYLGSPPHRRGAADKANLRMSNGDTTGDDSSRGHRRGRNKERMSSSRRRKVIWKK LLWVKQSYPDNYTDQETFLEHLQRNPRLQPYDFWPLVADSTVIVQQVCSVIIFIVCFV GIFQERVSPVTVVGTGTLSTVLGWVAWDRWVGQEQQSVSRPNHPPRPIDGPSIASSVS GAVKSDTKPQGAGPGLAALPLSPRHSHSASASSLHSQTSTTSPIVGQPNGSSTFVTYS PVAPYGSRSSFSPRNQQRLATAKSAVLIYCALLGLSPILKSLTRSTSSDSIWALSTWL MIINVFFFDYGGNVGVKFQASLSTNAALMASTVLASRLPSTQHVFSLTLFSIEVFGLF PVFRRHLRHVSWRWHVALTALLVMGAGGGVGMVVTRGGWKAAVVGMTLGNLIAGLAMG GCSWWLIGLQRYKNEIHGPWDPARPIIRRHWEDY VE01_00053 MDKKLLKAFIAQQELASVAVLTPDYDKSDHSASRKSHANAAVVI IGAGISGMCMAIDMIRKNKSRDFIILERGSQVGGTWADNKYPGACCDVWSHLYSYSFE PNPNWSRQYPGQEEIYAYLVGVAEKWGLFKHIRFNTSVDEAVWSDKESKWTVNVSVSG EKSAQYGAAYSIKSDFLASGVGQLNTPQYPDIEGLDTFEGKMLHSARWDWSIDLEGKK IGIIGNGATAAQIIPEVSKVCKNLTIFQRTPNWVVPRADEVLGPIIRSAFKYVPGLRA RYRAILMDVRENFFDSNMRKNTEENDHVREWAISLLKKQIPGRPDLWEKLTPNYSPGC KRILMTDNLLPSLAQPQVFIETTPIQRITPAGVEVEGKLHEFDILILATGFRATEFMY PIKIRGADGRSLEDIWRGGARAFRGVTVESLPNFGMTYGPNTNLGHTSIILMIEAQSR YISSMIGKVLKAKSLGKQIAIKPRPEVVQAYNDMVQERMKDLTFSDSSCKSWYKTGDG LVTNNWCGTVVEYQKRLSQIDWVGEYDISGSGSDVAVKRGKENIGRVVEETVVPVIAI TTYVTLAAALTLGYKAASKSPAVQKFVANLF VE01_00054 MRSSTSFAAAIGILAAAPALADICQTLKASGRNVDKPFSLAYET EQNNYWSTACASLRPRCMLSPNSTQEVADIVAALHETNDQFAVKSGGHMPNNGFASIA NGILISTRNLDQVVYDPDTTNVVVGPGLKWEEIIEGIEQTGRTVVGGRMGAVGVGGYL LGGGLSFLSAQYGWGANNVVNYEIVLANATIVNANATANQDLFASLKGGGNNFGIVTA FTIKTHPQAHKVWGGNYIIDGSKTDQVLAAIRDFTEYNLDDKAAIIATSERSTLLNTW ILFLFYDGLEPPAGVFDNFTHIGPILKTTKTRSYLELVKFNDQFILEGQRYVIATETM PLPNKTEGAVVMRSIYDHWTDVTKSIILEPGLLSSIAFQPMPRSITSKAKALGGDLMD FETTHDYIVIELDFSYLFASSDTAVDAATQNLYSGMRNIVDQHVDNGLLPDIYRPLFM NDAYFREDYWGRLRTAEKARVVRHAVDPEGFFQTRTSGGFRLS VE01_00055 MLAFRPISSLSSSKSSVFRISKTALKSMSTTAGTPKFPFSRPRG AEPAEEYAHLRATDPVSKVELWDGSQAWLVVKHKDICSVLTDERLSKQRNRPGFPELD QGGKEAAKRKPTFVDMDKPDHMKSRGMIEDIFSREAIERMRPSIQNTVDTLLDEMIKD GCKNPVDVVEKLALPVASYTIYGILGVPFEDLKFLTHQAAIRSNGSATATAASQANQD LLDYIGGLVDKRVSEPNGDLISKLVTEQLVPGHIQRDDAIQMAFLMLVAGNATMVNMI NLGIVTLFENPAQLADLKKAPSLAPIFVEELCRFHTASALATRRVAKVDITLGGKTIK AGEGIIAATQSGNRDADVFQNPDTFDMYRKRGTESAFGYGYGEHRCVAEGLARTELEI VFSTLFQRLPNLKLAVPLDQVKYSPPERDVGITELPITW VE01_00057 MAWSKCAMGGTCTSQQGSVVIDSNWRWLHTTDGYVNCYTGNEWD AALCPSNAEWGTYGATTNGDALTLKFVAEGEFSTNIGSRLYLLESGSKYQGLTLLGNE FTFDVDVSNLDCGINGALYISSMDLDGGVRKFSSNTAGPKCGTGYCDSQCPRDVNFID GEANVESWAASSNDANADIGTLGACCAEMDIWEANKDDKALANSESLITGVSGNSVTE DYCVAQRAAFGDNTSFMDKGGLATLSAALAKPMVLVMSLWDDHAANMLWLDSTYPMDS TKLGATRGSCATSSGVPADVDAEQPRGTVTVQAGNNLFSNMKGHAFDIYDSTSALLEG NMFGSVDSPMASYTGLIYNVPDSSSASACSSTLGRACEVNTVTGSGGWPSLTNTAALT TINRYTSKMYVVTPLPDSSVKSKFTGNAGVGDI VE01_00058 MSYFRAPVSASWRAASSQRQNFMLSNRALISSPLLLRGAGSISS RGVATTTTAANTSRFGKRARIILIAVSASVSIGLSMYTGGKDTTRSSLVSSDKNPNTA VSAVSGKQATRQNDGKQKPVMTLEPVSSQPSISFVRPGEVTDEHLKIYDAIEDGDLDI SKLPRENAVLTAAPFVPPPITRDYPVLLQVPMVTTTKETQLTSQFKYEQWTFNDMVPG PFIRARVGDVVELSLTNKDESGNPHNIDCHAFTGPGGGAALTTAEENQTKTANFKLLT PGIYLYHCAAAPVPVHIANGMYGLIYVQPAEGDLPPVDREYYVMQSEFYYEPPEVLEN GRKSDTVEFSYPNAMREEPDIVVFNGRESAMTRDAPLKAEVGESVRIFFGNAGPNLTS SFHVIGGNFRKVYRDGDVLSPPANFVQTISVPPGSASIVDMKMAVPGTYALVDHAIFR LDKGAVGYLNVSGQQKTEITTSKEHAEPCVGCKLHP VE01_00059 MSSIAMMNSKTKGGPANPPPQAPSIPPDQLEAANAKAALDRRVK AVADVFRENLAYEYELEKEMAKATDEFAQKRRAEDYRATNGNDVRIGMRQE VE01_00060 MRDRAIIAGVSAVVIGLFYEFFLKDILFIAIGIGRTIQPIEDFP YSCHKIYGPENILESCEDLWLDDEGRTLYGACVDLKSRHQWSPGGDKFNVSGRTTNGR FVALSIDSPGSDGNYGAHRLQITGNYLGPAGSKAIDPNGFDVEILPNNRLRFWMTNLR PPVDAVSGEFLDAMNIGANATVESFELVRGENELKWTGTFGANDGVLHSTNKVAADLN GGFVVSNDHSVPSGLRRSLDLILGGGSIAHCTSSNDCKIAVDGLSFPNGLVRGLDGLF YVPSSITGRIGVFSLSSTGLTKIDEIEVGMPMDNLSVDANGDIFAAAFPDSLKLVEVV KYATDTILPSTVWKITKVVGEYDESGKGKKSGSGNYRVSKLLEDREGKFMPSGTTVAV HDAKTGKIFLGAVLGGHVTS VE01_00061 MEQMTLDPLYWAPITRFTRLRYLLSIYFTKLILTTGFLLRKLVI RFGGKSLSSGKPTLSKTYPCRPKLTHRVFFPKSYEAGKSLPLYIDVHGGGFAFGVPEH DDEFCHFFSNHFNLIVISVNYSLAPRVVFPVPSQDVAAVVEAILDDDSLPIDQSRVAI GGFSAGGNLALSASQFPALQGKIKAAIAWYAPVDWSVGYDYKCASRPYKNAKDVDGLA ALAPIFNNVYLPTGTDQRDPLLSVLYADRKVLPPWIYSIGAEYDMLCDESRRMMVKLA GIEKLTESEKYAFEREGGKLRWTMVKDAKHSFTHWWLRAPKVAALRKPVAEEMFMEAG KWLTEQAFAE VE01_00062 MGSSNGSFKLRIFSALLLAANCVTGVAAAPPQHGTHDYSQKFSS VAITGGGYITGIVAHPTEKHLMYTRTDIGSTYRWSEPKQQWLPLTDFIAAENVNWMGT ESIALDPHHPNRLYLAQGRYVTDTDPAAFFVSDDQGASFDIYKAPFPMGANGLGRNNG ERLAVNPFNSDELWFGTRTEGLWKSTDRAKTWKNVTNYPDAAGDGIGILFVVFDPKNS GTIYVGANVPNGLYYTKDNGATWHSIPGQPSAWDPALLHAGHEPASTAPLPMRAVLAP NGILYVSFADFPGPYAINYGIFMKYNTKTQVWTDITPGASNTYPAPFKPQSWPPGGFC GISVDSKDPDTFVVISLDRDPGPALDSMYYSHDGGKTYKDVSQLSTPEGSGGYWGHPI SQAKLKDSTPVPWLSFNWNSQWGGYGAPSPVVGLAKFGWWMSSVLIYPWDSNHVMYGT GATIWATDELNKVDKNKAPEWYIQAQGIEETAVLSLMSPTKGAHLFSGVGDIMGMRHV DLTVPQPMYEKPVFSNCDNLDYAGQNPDVVVRVGSSGISYPDGCGSGLYSKDNGIKWS MFGSCPPGVGNQTHLAGTIALDASGKSFVWGTLPTEAPYNETGPPSTQDFGKTWVVPK GLTVSTMNVAADNVQAKTFYAVHGGVFYISRDGGVSYKSSPASKTGLPADAAAVPVTS FDKAGELWLPLGKSGLWHSTNFGTKWTRIGPKGLVAIYFTLGKSAPGRSNPALFLWGK VSPSGSEALYRSDDAGATWVRVNDDTHQYGGASIIQGDPRIYGRVYIGMFGRGIVYTD IAGNSGKNVPGTFGI VE01_00063 MALRHVTNAWGLILVWLFLSHQVVQANLILPKPPSTDGGRVKLN INAGWKFWRSEFNPDGLIYDQRPDLGEANFTAKILKPWILPSGNDFISDPAKHHVRPA GNPGGDVKYVQKTFDDSTWDAVDLPHDWAINGPFYTEENPIIGGGMGRLPVHGVGWYR RKLSVAPTDAGKSIYLDVDGALSYAIVWLNGNLVGGWPYGYNSFRLDLTPYLKPGNDN QLAIRVDNPPNSARWYPGGGIYRNVWLTKVSPTHIGQSGTYIISKDVSTKSATLDLVV QVETKAKDSQKIDVQTDVHIFDSVTGRTGAKVVSFPKASASVQASEKQTVKASVKINN PRLWGPVPAQKPNLYVAVTRLLSGRDVIDTYETRFGIRTVVLDGTNGLSVNGKKVVIQ GINNHHDLGAIGAAFNTRAAERRLEQLLELGCNTIRMSHNPPATELLDLADQMGILVL DEIFDSWEIGKTASDFFLIFKDWHEQDLRSFVRRDRNHPSIFGWSYGNEVGEQYTDEA GAAISQMLKDIVHEEDPTRMSTASENYATPDMPFPRIVDFLSINYQGAGIRDTEAYSN LAGIARQPLYPAYHANFPNKMIVGSETASTVSTRGTYVFPVTGYSSAPVNDTSGGDPV GQKVSAYELYTAGFGSSPDKVFAADDKNPYVAGEMVWTGVDYLGEPTPYYSARSSYSG ILDLAGFKKDRFYLYQSRWRPDLRTAHILPHWNWPDRVGKVTPVHVFTAGDEAELFVN GKSQGRQKRAAYTYRFRWDDVVYQPGEVYVVTYKNGKEWARDAVRTTGPAAQLKMTAD RTSIKNDGLDLSFITVEVVDRKGDFVAQADTSITFSVSGPGEIVATDNGDPADMVSFA SKERKAYSGLALAIVRFKPGQKGAVTVTATADGLVRGKVVLTPHP VE01_00064 MNAITYYSELTRWLLSHATDTDMSLVQSIVTCGALFWLLHRAWL TLWKPVPDLITILGVEVPEPPEVSLAGIKADAVTLHWAGPGHTKVVLKKYLIQVNGVN VGESSRLETAITVTGLKPGHFYNVRVIAVGNNNFQAGSRVIRLRTYNRDGRPDLGGRI LNNLASEDAAGAVVDSSDESAGVRSHGVGIEAASMPEGLSALAREPNSRAGSRRNTGA RKHSPSTASEHPPLPSNLPEGSMLQLTERFESIRGETEEVISQVAKDADEFKAQMAEL IRERDEKRQMLKEKEDASERLKKEVHSSERVNRQAQTRKTQKEKAVREREAEKMKMND EMKKWEKEIQEMQAERELWEKEKDKIASDATKKVDELKKILRKRQNSLNSMEEEIRVK GLQIKELEEERQKLPGAQDEEAKALEAADRLRDLQWDHTERELMTRYNAQSITVRNLE TDLHKAQAHFQILNARQIANPLIPMGDSSGVSFDISGQPRPKRRNRQRKSRTNTISSP VDAYPITDSAFPSASVYNNMALNAAPSFAPGPYFDMSANSGAGTSPDHHHGMSEADIR SLTAGAPLSPTATSLLPSNIFMDDDPMERPMRSSFGPAQFPTLGPSIYEAEEQQSPGS SSHSDSLVSSPRNSQQNLRFSLQSQDSYPDSDRRPVGSRSSTGGAFGVIGSPTSEPPQ PPVTKRFGDLFAFTGKQRAKTLTSDIGHPLGSLKPGQSQSFPRQEDVDAAAAIKNRRT SFTSGWGGIPFLNRASTVSEITEGNAPALNRNLPRRRRGFNMFGSSIDDPTLTDRDPT SPRPASIASSDLPRPSTDSAPFGWAPSDSNILTRNSPLATNWSLSVAQPWSRTPSRRP SIQYASTTQLTTGIATEDDDFLPPDTLSTASPPPVGVIGTRPASQMSATAKLNPAAPT FKAMFSRAKDSTHRPRHGSDEHDEHIDDYPSSISRASVHTADSVAESYDSLEGAVSNT ALSEVASGSFGGSSVGRGENSFQKLLRKGSSSKFSISSFRGKEVGLFSKRPERNASAD RSSSFGEGEEELALGSGGVGLVSVTSSPMPPTPAGGAEKGDKEKGVEKGEKSGDGKGV GREGRMSVNWGRFGIKKKERGRVSEDMERASEAEGTEDEGRGR VE01_00065 MQYVRSISGSVSTTWNSINPATLSGAIDVIVVEGEDGSLACSPF HVRFGKFSLLRPSEKKVEFRVNNAKQDYAMKLGDGGEAFFVFETSNDIPEGLQTSPLV SPTSSPPLEPEGSVVVGLQEPDFLDLTTDEKGKRPPPSVLQSPALGKGANNLDIYGQL AGPPEVTLERPRSGDWSGLSLERRAASDRFVEKRRTYSNQETNPVDRQGRSVVPPPGQ DGPSDTFTERSQSPPPLPTREAIERAISLSKQLAVSNIPSHVTDTGDLMLDMTGYKSS DDDALRAEVIARKLLSEELEGNYDIGALIGADEQGNLWIYSSEEAKEAAVHRAQLAGI RPAPGILTSDVASDPGYHSDGGDSDSAEIKAMRLRSDSDQFPGLETPLLPLSEASTAG DPNRNYAKTLRLTSDQLKALNLQPGPNMMSFTVNRATCTAYMYLWRHDVPIVISDIDG TITKSDALGHVLNMIGRDWTHIGVAKLYTEIEANGYNIMYLTSRSVGLADTTRAYVAG VVQDGYRLPRGPTIMSPDRTIAALRRELYIRKPEVFKMACLRDIKNLYGDGRTPFYAG FGNRLTDALSYRSVSIPSNRIFTINSYAEVSLDLLSLNKLKLSYVNMREVVDHYFPPV SMLIKGGGEEFTDFNYWRDRPMEMEDFSASEDEDEDEDEDGNEGGSDDGDDDGDDGDE DVGDMGDSYISRDSIDEYDEEDGEEYDEEDDTADISNINEDADESDAPILEDMPTPVP HGDRDATPSAAASRAPSRGGYTEDPDADVISGMKGLMRQEESPHVEREDKSKGYGKDY DVHGVR VE01_00066 MDAAELANYLADSPPTVVPLEIKKHFEALTARQKRYAHYISKAS FAGTRIILRQVSPESESIYDFILSLYRASAGDWSAYATKAGVSAQDLQYFLEYAAQFL GNSGNYKGFGDAKFIPRAPEEAFAKLAAQDETAKKHYEATRGGIFATERQDLMHLGFT EEGHMTTYYPDSPSITKVEIEAVSAWMVGVGLLPENTRLRKNEAGEFEILIASAVTEV PAEGGDIGKETQFVVKEGLLEGKTIKLVYGDHAKEMAAIAGWHAKAAEEAENENQKLM QLAYVKSFETGSLLAFKDSQRFWIRDKGPMVESDVGFVETYRDPHGVRGEWEGFAATV NLERTAAFTGLVASAETEIPKLPWGPTFEKDTFLSPDFTSLEVLAFASSGIPAGINIP NYDDIRQTEGFKNVSLGNVLSAVAPHEKIPFIRPEDLALYEKYRGQAFEVQVGVHELL GHGTGKLLQETAPGQFNFDRANPPVSPITGKAIESYYKPGATFGGVFGAIASSYEECR AECVAMALGCEFSVLKVFGFGSGEVDMDGEAGDVLYVSYLSMARAGIASLEMWDPKSR KWGQAHSQARFSILKCFLEAGDGFCELAYKEGGDMSDLAVKIDRSKILTVGRKAVEEY LLKLHIFKSTADVVAGTELYERMTRVEEGFWAEKVRGQVIKQKQPRKVFVQANTELGE DGEVAIKVQETSLEGMVASFAERGN VE01_00067 MPAAPTEPTELLHYLPQHRVLICKECQYAIQPSAISRHLKELHR IYRSNRQKFMKYAEGLDLADPAYVILPGPNEGPVPFLPTIGGLACVVIGCHYLCATDK RMKMHWSAEHSGVVAGDTQCYLVKLQTFFRGNQLRYFIVRHSRTAQSQPERHSVVGSK TTTSGISTLDTSLSCESPVAIPEDSRLLEHFQSSTCFELGDSAINISCWQSDVPELAA KYAFLQHGIIACAALHFAFLNPSEGQRYQLIAAHHQNIALPEFRSEIKDANINNYLAL LAFTQLLIIHCFAADKHDEDLLLVQGRDDQSLPDWLHVIRGSCDIFKPVSQYVASLPN VAAMMELDLRDRSPERSDYDRRLRGLFDLINSNMAQNIENVEGSFSHLLSTLRLLSRA FTKAQVAQSRNTYSLWVALHVWPVRVSQEYLNLLKQRHPIALILLAHYCILLLPLKVN WYMSSYSRRLITRIYGQLDEEWRPWLQWPLEEIELQCG VE01_00068 MHNIVILGGNWAGVSTAHYLLRHVLPLLRNEKSQYMVTLVSPST QTFFNVGAPRALVSEKVANAKPFASILDAFSEYKSSEFTFIQGEAVGVDGPAKTVSLK SVVTSDRQLIRYDSLVIATGSTSASPLWTLHGDHKVTLAAFQDIKTSLPSAKTILIAG GGPSGVETAGEIAYLYRNKDITLLSGGMRLLPRFQNDKPGKKAEQQLASLNVRILHNV RITSSNTAHGDEVSVVLSDGTARTVDMFIDATGGAPNTSFLPTAWLDGSKRVATDMGT LRSTKAPAGVYAIGDAASYSKGTVPDATWAVPALGYSIWSDLHRAATKDGSLKEDTVG MATLKEKKYKQFEKDMGIVPVGPEGGVGVLFGWSVPSFLVWLLKARTFTLDKAAGLAN GSDFLKP VE01_00069 MSNANPGAGFEYPAVEVSWLKRDVLLFATSIGCTVDELQFLYEL HPKFAVFPTYPILLPFKNTTQEVIDFYASQAQTPIPGIPKMDARRVLDGQRSMTFLKP LPPTSAGKKFEIRAKVLGVYDKGKAGTVVETQQDLVDAGTGEVYTTAVGSGFYVGQGN WGGPKGPATVNFPPPDRAPDAILEHQTTAESAHLYRLNGDYNPLHATPEPGKAMGFGG AIMHGLSSWNFTARDILRRFGGSQPENLREFQARFASPVLPGNKLVTKAWRTGEVKGG FEEIRFVTQVEGGKVCLSNGRALVRIVDGKAGSKL VE01_00070 MSTSINPKVTAPASSGMRQRTCTRVSCASPQPGIKCCDDTCIVK IARQDCNSDPNHRQQQNNARTPSAVHGGSSIPSRSDEEPCESHYLKAKAAYSGILENI GCICKVLLSLNLDACCVALPTPPLRPKRSTVSTSEGFLAEVSRASSARSLCKPQEGTG CSKKACCSDASSTQSKQSALLGGKCTDAGENGCCGDASSTCSKQSEMLGAKCADSGEN GCCGDASSTHSKQSAMLGAKCADAGGNGCCGGEPLRTSIDQYARTSGVEINGGYKTGC GTGTAYTVARDDDLKDGCCSREKRIDSSSSSNLDFATLPPKLEDCSKKECCSDKPSAP TARQTITEVSCKPVVSGCANGSGNVDAILDSCCTSDTPGRVAGGKGISYTRPGTNDSD LEKGELPVEHVLLSVQGMTCTGCEKLLYRSLDTIPEISNVKTSLLLAQAEFDLRLSSA AMDTPSTIKAIEKMTGFTCTKMTQSGHVLDLIVDGLASDFATKDLPSGVSDIVVLDTH HIRVTYQPNIVGARDLMSDPFFQSAKLAPVADRPLIASGRAHVRLMLFKTIVSAVLTI PVLVMAWAPIPQHEVIYGAVSLVLATIVQVYIAGPWYIGAFNALVFSRLIEMDLLVVL STTTAYIYSIIAYAFLVSKKPLSTGSFFETSTLLVTLIMVGRLVSGFARQRAVESISI ESLQSSTAILIDPKTHEEQEIDARLLQYQDIFKALLDTSIVTDGTVIAGTSEVDESMI TGEATLVVKKPGSPVVAGSINHSGTLTIRLTRLPGENTIKAIGLMVDEAKSSKTKIQE IADHVAAYFIPAILIVTVLVFVVWVAVGKVVRGYDATTACINAMTYAISALIVSCPCA IGLAVPMVLVVAGGVAAKHGLIFKTAETIEIARNLSHVIFDKTGTLTQGLLTVEAEIY PTRQGDILGPMLLALTNNSKHPVSTAIATHLKTSGVQSGKVEGVVSIAGSGIEATWNG SIIRAGNPYWLGIEDLPAIKETLLLGVSMFCVLVNGELVAIFGLKDLLRPDALLVVNE LKKRSVKVSVVSGDNEESVRSIAMALDVPESQIRFRCSPADKQVYVKEMLASPKSVVM FCGDGTNDAVALAQASIGMHINGGTDIAQGAADAILVRPTLVGIITLMDLSGAFFRRV VFNFAWSFIYNTFAVLLAAGAFPNARIPPEFAGLGEIVSVLPVIAIGMQLKWAKFHEL I VE01_00071 MPPPHPPSLPSPPSTDNDVTHKKAAKRTKSSKPGPRGAKRVTAA AAPSGSRSPEMGDGRHKRVWKACERCRMKKTKCDGESPCKRCRDDGLVCTAGHRKKAE FKQLPRGYAEVLENTQYALIATVHKLYAMVLAGEQWTLDPPVVNARGQPVIHDIAAKL GCIRADPSSSTSGGAIEFPADAAEFAALQRRLESEERASSGEMGVLSAVTGPESQFGD DSFCEDFDPGFEMEDDFPDVDGTGYGDMSPFSDGPGGFGMDGLQSLQQGGYEEFIPAS SSSPALPTAAVAGGQMQLPTQLQLTPQQQQQQQAALRAQQARQMQILQQQQQQQQQQQ QQQQAGLDFREQQQLPQWTGPAAMEEQMRALMRSGMYAGPGSGMVGMGAMGGDGTIRP NLLECGFGAGGY VE01_00072 MTTITPPGAGSGTAAAAATAGSSSFLPLDTLFSNPLFAGGLGLA SLGYAATLARRVAIRSGALLKRRLLVSLEVSKNDDAYPMLLAWLSLHRPPTNRVAAAL TRVHDLSMRTARRPLGNGEVATTFLLQPGYGRHVVRFGGAYLAVHRERKASANLNTGE PFETLTLTTLYAHRHVFEDLFGEAYALSAKAGEDRTPVLSASGTGWAPFGEARRKRPL GSVILDKGVAERVLDDVREFWAAREWYEQRGIPYRRGYLLHGPPGSGKSSFILALAGE VGCGVAIVNLSERGLTDERLSVLLSKVPPKTILLLEDADAAFVERKGGDGGWGGVTFS GLLNALDGVAGGEERVVFLTTNWVGRLDEALVRPGRVDVIECIGSATEWQAGELWDRF YGREAEVEEGVVVEKRDTGRERFVRKLKEEGVLERGISMAALQGLFLVNKGDAEGAIR GVETLLPKEMERKGEMGR VE01_00073 MATLEDLDDIERELKDDKNDGDKDGDKDKKKPEQGGDAEMKDAE PEEEDPIFEEIEALSTQDIDTRRKLIENDTRIMRSEFQRLSHEKSNMNEKIKDNLEKI ENNRHVFLGGLRWQLPYLVGNVVELLDLDPTEESKEEGANIDLDATRVGKSAVIKTST RQTIFLPLIGLVDPETLKPGDLIGVNKDSYLVLDTLPAEYDSRVKAMEVDEKPTEKYT DVGGLNKQIEELVEAIVWPMKQSERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQT DATFLKLAGPQLVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAIGTKRFDSEKSG DREVQRTMLELLNQLDGFASDDRIKVLAATNRIDVLDPALLRSGRLDRKIEFPLPNEE ARAQIMRIHSRKMTVDDAVNWAELARSTDEFGGAQLKAVCVEAGMIALRNGRSRISHE HYVDAIAEVQSKKKETIGFYA VE01_00074 MSSSNLLASMKALDLSPSQGDKDSKVIHVKNDKPIPKPRANRRF NAEGSSPKLSSTEQWDTSLPSAARNAESGTLATSHRAPKSRRRQKPKARGLDKSWSMY PALHDSVSHLLEEDDLSFTFFAIDEDKGSIEEYDTNIMGRFKCLNEVCPKAGWASNII AITIRMYSEQQYNARVYHQRCKSCGSLSQPFPDDSYAKRIAYRLKKWSGIEMDRPSYT VRGT VE01_00075 MAEAPSAREKSRRAFDSLFNNEKFSDVKLLIGESKTAFPAHRVV LGIRSSYFDDALQSEFKEAHTTEFIFEKDSPHALWRL VE01_00076 MPPIFFWRDTNPSFAFLSQDSHAPFHDNETETVWPTTEHYMLSE MAMLFAAPDIAARIAHEPHVEDIRALARGVDNFDEKIWEEDRYGIVEEATYHKFAHSL VRERDLKAALLATGEDELVLASPLDGIWGIGYEAGEAVGQRETWGTNLLGKALMMVRA WIRMEETGSASWEKGGAFDVTAEQRRVDMPLEVQTYEASKGYISPSDHMYWDTERGEG LAAEYYDKTREFGPPGGYPDHGVPEEQTEGSRAIEGAPDASGSDNALAVLGALGAGGL VLHEARGGSYGVEEEEEENSDDELRKEVIENLFRKKPEEEEEELHDSEDEKTPEPESE EEPEEEEEEEPEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEPESESESEEEEEVA EEEAEEEDAGSDSDGDSD VE01_00077 MHIFIPLLFLLPSLASAAFPKLQPGYSSNDGLLAARAGCTTHST CDECYGDGYVICAEHYCFAPGKGQQCCVDGSYCIAASNDCCNSIGGPGKTGHAGVPAP LPTDFEMPTATGHGPGAIVTCSASNAIHNDGLECCKLYNTTWSFCPESVGCYLPGVQT CCTDGSICEGKECCGLVDAKATTPFPSGAKQTSSSSDAGTSGSMTTAGLGGVTTAKVT DGGPAGTGVSTSTPTGAAVTNALSGAMVVAMGVLGVAML VE01_00078 MSTPAPPPPTTTNPGALPTPQTHLRLARPSLSLPAAETFYVHGL GLSVLYRSPPQGTHPDQHPEDLLMLGYPGGAWHLELVHAPDGKGGTEISFPVPRPTEE DLLVLYVEGPVDEGLVSRMVEKGGKRVVARNEYWERWGVTVEDPDGYRVVLCTRGWEN VAVE VE01_00079 MSQCVDVDLQALKGLGSPREGVGSKFARITAIHQFKADRQLSTR LVIDAFCFFSSQKWGIFNITKDITIVVVGDSLEVQIFFPLAISAILIAFVSEENNLVT WSVLGRYLHSTFWPTILSTDAAADTAVRRRVFRTGWVQTIALILVSVASIVTPLGLYD VVEPPKLQTNTPFTYIEDHSAFGYGTPPRSDAPFTRVCEGTKIACPGTTRTDNCTTKG FAEVCTVDYDVRIPDDLKELFRDGPSSFGATVSSIFDIQWRSYKNALGSMIGPYLEPA SRQLALLVLDDTVKPYEGLIVDMKEGGIGFRNHTIPRPTYQYGSTWDEDILFVEPETQ CVNTNLTLDFTLPDDDTSHDFVEKLFLTDRGGFSGLARTSPNYAVPPNGQDINLRERA YKAAWLNNFYTMVYFNITDFDRTNITRIDTVEGSKYPIRTDETTTKFRVSQDVIRASM IFGQYLNLDSPLPGGNASQSHINPFNISSDFFQFVTTTCAGTSPSSPPNINGSVVGCA LLYGAAKRTDGGSSLVFDPRSTWSTPIYSCATAVKATIRTTSFQYNGTTLSSLTINET HPKSYPEPSNLPLWGVEDLKAPLTMEGTSPLWGILGQANTTLPPTPYNISTIAASSLY LPGYLDWGGSKGLNTIPIVPGQNLPGTNFYAQALKNALTITKPGGTISESKADNGWNG GADFSGYTSLALFAKWQQLSRTPEDAAKIINLIWTDVSANTVVGTKGWGLTSAAAVAR AAKVGARADPAPLVTEVEVPIIIYKMYIRYRIPFAVPAFLVLGLTVTVLIALIVLLIS GRTGPKLMRRFLEDISVGRAMGVLLWPEKKRGLGTEEWIESVGTRRVRIGREGIAKEE ESLVGGEERKSGEWRGSGEGGETRKRVVVEDVGEVGDGDNGV VE01_00080 MRFSITLSALVSSVVAITTPPSGALTVGSGGTYSTIQKAINALS TSSSSTQKIFIYSGTYNEQVKIPALSGPLEVYGYSASGSSYSGNKVTVQQSCSQLSCG TNNDGTATISNHAANTKFYNLNIKNTYGKGSQAVALSCYGTKQSYYGVALYGYQDTLL SNEGKHFFGKSFIQGATDFIFGQHGIAWIDGTDIRVNGNGYVTASGRASSTDVSYYVI NKSNVAADSGGGPTTGNVYLGRPWGEYARVCFQNTALSSIINGAGWKIWNTGDERTGH VTFQEYGNTGAGASGTRASFSSKISSALTISNILGSDYASWVDTSYLS VE01_00081 MEYFDPFARPWKQFTVPSGLLGTLQNASRGAPLLQLPMEILIAI FEEVDDVDQLALAVSCKHLLQLSTLVSLKTSIWAGRLALPTLPNACTVAPLLQLPTEI LITIFDEVNLIDQLCLALSCKRLLQVSTMVSLDVSDPDALSLYYRLGPTSKDWRFCFG CTQYRPTRESYWKAKANLGNWAEAKDKQDDMMAIIQDWEHLQTMFYCPECLAKMRCLS VE01_00082 MAGNPPDYEENGRLYHGYRKGIYMFPCDEEEKDRMDIFHQFFLV ARGVHSSAPYRKNDDHRILDLGTGTGIWAIDMADAYPHAEVMGFDISLMQPQTIPPNL QFRRRDFEATWGGLPMDSWDLIHLRMLAGSISSYQILYANIFRHLKPDFGYIEHIEID FTPRCDNGSLPRNAALYEWANNLMSATEQAYKPMAYNTETRSMLQNTGFVEIKEQIIK IPFNPWPHDAHERDIGRWFNLGLCQGLEAFTLAPMTRILNWDRGRVARLVADVKREIC TKRHEVYCEMHIWTARRPA VE01_00083 MATAVCTPIKSHAGMFSSRTAGGRMPLTPSPRMRSLAQPVNNSS PFTPERPASKDESLRASKSTYGGGNLASHFTKSSSRTHRDSPKSNIARVVATPRRALE LGVSDFTLVGTGAKTPSSSKSRKAPLRTKSNKTTISYGGDRFIPNRTASSGIVTAGSG KLDAADKQRPKTSNGDGSSVLASGASAFDVGGRGADDDVAAAFDGLNLADEEPATTYS RPSPNSVAYQDSLASACGISQNTRILAFKPAPPESSKPIDLRSQYNRPLKPASSTTAQ FRRRVATAPERVLDAPGLVDDYYLNLLDWSSNNQVAIGLERNVYVWSAESGTVSSLLE TSPDTYVSSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLRSMHGHDTRVGVMGWNKHT LSTGARSGLVFNHDVRIAQHKTAELVSHTSEVCGLEWRADGAQLATGGNDNLVSIWDA RSLSVPKFTKTNHKAAVKAISWCPWQPNLLATGGGSYDRHIHFWNSTSGARVNSIDTG SQVTSLRWSPHYREIVSTSGFPDNSLSIWSYPTLVRNVEIPAHETRVLHSCLSPDGQM LATAAADESLKFWKVFEKKAGAASSALSGTASGKAEMVKQMTIR VE01_00084 MSNTTVGAVYQQIIRDVVDSSRVDFEEGGVDESVLDELSRVWQQ KLTALNVAQFPWDPKPEAPPPQQQQTMANIPYPQEPTPAPMQQQQQQHAPPQQGQYMN RPGPPIPAQAPQFPQMPQMPQMNAGPRIKAEPGLETGGMPPVYTGMGNATTAQQRAAQ NLQASYGARAAASINAIQGMPQQGQPQQQQGQPQQQQQQQPSPQQGQQQAQQQQMHQA QQRPQGPSIQRPQMTPSQYQQALAQQAAHQKQQLEQQAKARQQAAAQQQQQQGQGAPN GAQHAQTDGGADDEDEDRHRHFGVIKQIGGDGSEINMGRVELDGLIRRQIEARGKAME GGGLMLPLKQHKGSNGGRRERKKAADVGQTDGGDDDDDDDDKVLKAEDDDEDAINSDL DDPDDPKSDDSGDDETPQVMLCMYDKVQRVKNKWKCVMKDGVLTINGKDYVFHKASGE YEW VE01_00085 MALESPLISSPANMMSAFSPYTEFSLSPNSPAMPNTPRFQSLSA RSSTDATSLHPNVIGGGRFSSIDAPSPSDMVGPSPVTSNGTETTDIEDDAVEEEQEAQ PAPININGQKPLNSLTTALPSHFRSKLEDEPASVIHAPQGYMNFANTKNDNPPQQPPN IDRSTPKPFHHEGGALTIDSSHGGDKTITPSPVSPPPISTDIAPTSFTPDNTTPRAQT RQELDLISERSRLRSSSASSLEGIPEDARESDENADDDQGFPALNDPDHDVNALKTAL HECWTLCNTLASLSSIHRERIFSFSGTGDTHEKAWKCCWRLCQKLYDNRDDHHDFQCR PTLDLCREFCQALFDVRERNNDLADSVLRVSFELNNHLFNTHDRNLPEAFRERTLDFY ITLCHRLMKQRSDQTQETDSLLRACWSLAEMLFSLRQNRLEGKDADEELLGSAVQACW ELCDLFREGWTQVRPERGTPRPSQTTFTQSFDQLNRSSHSAHSTHSHQNSNSSMSSMS SLPSILKRVEPRRIEPETPTTEFEDTPISPEDHSPSIPNILVLGTENGRSSARWSSNS SNLSGYSQGSNKTSSTAKGTTSEDVNLTRLKILILKAAMNVGFERNQPSNGSVSGPAN ALQAYVKALPTGSFGSLPWHANLLASYKDLVLSDPTFRSAVTLPPQGKRANALDVSKS VGWMMKSGQYLWLKDLYRLVFGFQVDDAEKRKSTGIVV VE01_00086 MIIPVRCFSCGKVVGDLWESYIKLLEDGEEHGDAMDHLGLKRYC CRRMVMTHVDLIEKLLKYNPAERDTRKAAFDN VE01_00087 MASVLKRKRGSAQGVETLKRAKASTDVLEDAPASVISGGGWDAA FAPINQNNELATVNGSAAHDDKLDSSDAEDFEQYAQKEDENEKKARKASKSKTSKLKD SDWKVSAPIGGRMIDVDPLFTDGEKFLIVAHRQSIHVYSTVTSLLHRSIDLSVNGSRP ANVRIVAYALSETDPSMIWVACSDGAVYHINWVSGSGTDQFWTTSSMGMTHMTASSMT SAGRTRDIVFTTEERRDGGWRVTAHELTPPGSDIPTVARTIYTSTQPIHILKVVKNGS LIVAASESRVLVANLRTTDFDSVDKIRYEFRVFESTDYISSIDVRVTSANKGQKSKSK ESKSKAETFGVVDVVVGDVKGSIFVHNDLLRKMIQSQNAVASGKPAVDMLPRKLHWHR KAVQSVKWSLDGNYLISGGSETVLVLWQLDTGKKQFLPHLSAAIQNIVVSPTGSSYAV RLADNSAMILSTAELKPTTSISGLQAQVIRDSVSLDARVSRVKDDERDGILVQHAPAV INPLQPSHLLLAVGENQEINPLSKEILSTPYLQTFDVASSHNISRQALSRTNITNINV GPNAHRISEPQVTHMQISHDGLWLATVDEWLPPRRDLEYLGHSTINLADEQRKRREVY LKFWQWSKTAETWELVSRIDAPHTMAPENCGAGRIFDLVVDPSSHAFSTIGEDSTVRI WRPKTRTRDGIAVRGKDGEALVSWSCRAQVTLPKPVSEVEVTIPGAPQRPDHGCLAFS EDGSVLAAALTGGPDAVIHLVDPSVGTVKFSRPTSYTGDIVRMAIVSQYLVTVADEVR VYDIVSNELQYGVKLGSRQLALTLEQKTEMIHLSVDRQSKTFAAALPCKGREKEGVHI AGTLAGVYSQIAIFEAARAVPLMAQSLPHLLTALVPSANSPGYVTIDAAAEITTITPK ASQASAVMAQSIADLGLEAVVEEPAVGLMQLVEEAEAEEEDEEMADVEAMEEDADDDG APVVSQQQLANIFDIGPSFALPPIEEMFYQVAGLFSAKPIGVQ VE01_00088 MSSFSSIPILDLSLARDPETKPKFLEELRHALLEVGFLYLKNVG IPEELTERVIKEGVGFFDIPLEEKLKIEMKTAASFLGYSRLDAETTAHSTDHREQIDI STPHPLPLPTAPLYHNLLAPNLWPSPSLLPAFRATFEDYMSRMGAISMFFTTLIAEAI GLPPNAFAHYFDASQQHKLKIVKYPDLAELGIKGEGQGVGPHKDSMLSSYLLQASEHR GLQVQNTAGEWVDCPPIAGTLVVAIGQGMEALTGGVCTSTTHRVLSPPPGTGARYSIP FFQGVSYDATFESMAIPAELKELRRQVLERNGGRLDDVEFTFKTGKYKHLGEATLMNR VKSHPDVGERWYPGLLRQIREDQASEREEKEGGVARGEAVEAS VE01_00089 MGGGNGAKAASKRERAAKDAKPAAKSQKKVNEQAMDVQCNICKA TFLKTSRAPALTEHATNKHSKTLPECFPNFVVA VE01_00090 MLLPETITAAQFDSTLLCYPALLKALSASKTGTCAPMLPTLGIK GDAESLEELDWFRFMSAPNRYMKREGDKRDVPLDREDVVRLVKWKLKHGKFRPNLLKL VSGNSPQGVASTSLAAFAMKDNISAIRTMSLLSGVGPATASLLLSVHDPDNVIFFSDE AYRWLVCGGKEQSIKYSLKEYEQLEVRAKELMERLGVGAREVERTAFVIMRGGAEELK PESAASIQNSRITPSQRRKSNEDSAPPERTSSHPTPHKSKSTPTLKPNKTTSSESLSA RKPRPPRPKLPESEIPDPKTLARRASQRLRDANPPPPCPERPRTTEEKLETVLEDLKC KGAALARVVSPPPLRNRRFSRVGGEEGCKGMMEKLDEEEQKVEGEVGNAPLLGKRDGS PKEKGKGGEEKGEAGKRVRRGRSRRSEEGDPEKVGCKAGCLGMLGRQG VE01_00091 MAPTSGWANSNLLSSHHSQQPTFTHIAEPAHPGPRLRVEIIDMC QKITTTYQCGCTAVTWVKTSGCSGDGEKCDRKKSVSFKNDDTCDKHGENGERSKTKLR VE01_00092 MSIDLNWETVTSGPDGIALAETIRTFIHDRFQTVTLPRFIKSVK VHTFEFGSVAPEIELKDICDPLADFYEENDEESASDEEAGGDDVGWKPPTTTPEARTL RERRRTDREARSSRPPHDRNSALYADTRFTGSRGLQPSEQGNSFFGPHTPGISGGTSN LNYFHSHLASGLSGTQTPLAAVAGAHLPHGWPDRSAQLGNRRISHRHSASGSSMAPSI GDAAQQALERGSVSTFSPPGTRPPTQDGLLNEAIDDEPTSPPRRFKEPRVEDLQTVFR VRYSGDIRLSLTAEILLDYPMPSFVGIPLKLNITGLSFDGVAVLAYIRKKAHFCFLSH EDALNAIGPEDLDDPAGTPNGLKMGGLLQEIKVESEIGQRENGKQVLKNVGKVEKFVL EQVRRIFEDEFVYPSFWTFLV VE01_00093 MYPNKQAYAPTPHSYIPNTALSATVNLDEEIKLATTRAERDLQD SLAEVFSIIITLDELEKAYLKDAIPEADYTEICDRLLKQYKAILTDETVAKEFVDLET FKYEWDMEVPRATERIRIGLPSTVTAPTHAATSGNTGANGSLILEATQDFITFLDALK LGLLAKDQLHPLLSDVIQSVNKVTDRDFEGRGKIVQWLISLNQMKATEELSEDHAREL ELDMNAAYQGFKSTLN VE01_00094 MAKTSKKATRKFEKNHLKDSLERRKVGAKIKQKVQIKEKRALRN AKDAEFLGKGKAEDGEEGAPEPKADPFGQMSVDDFFAGGFEVPEKLAKKNAKKALGKR KRSEPEAEKEDEGDSSDASYEEAPVASDDEGSEDEDEDMGMSKEAMEALAEKDPEFYK YLKENDPETLDFDEDADLAEVDGLSGSEEEEERPKKKSKKSKKAKAEEMEVDEESEEE MLDETEVTKEMVAKWKKAMSEQHSLRAMRQVVLAFRAAAHVNEDEGKDYKYTITNPEV YHQLLVVTLQLVPEVLQHHLPVKESAAGKIRVSTDSKKFKTLTPLLKSHTTSVQHLLT TLSDEATLKLTLSTINPLLPYLLPFKKVLKGIVKTVVDIWSDASSSEATRITAFLVVR RLAVIGDAGLREAVLKTVYQGLVKGARNTTIHTIQGINLMKNSAAELWGLDPTIGYTT GFTFIRQLAIHLRSSITNNAKESYKTIYNWQYIHSLDFWSCVLAEHCSPLKEAARGKE SQLRALIYPAVQVTLGAMRLIPTATYFPLRFHLVRSLLRLSRATGTYIPLAPLLLEVL SAAEMKKHAKPSTQRPLDFSVAYKAPKVYLRTRVYQDGVGEQVVELLGEFFVLWSTSV AAPELGLPVIVMLKRWLKEAGAKTGNRNGKVNAALGILVQKIEANGRWVEERRVKVEF APGNRAGVEGFLKGFEWEKTPLGAYVVGQRKQREEKARVLEAGRREEDKKGKGKRGRG EDVQMDDVVESSDEGEEDDE VE01_00095 MSTKHHYPLAPRTLRIPPPFTFLSLAPTTSVTTPPALPAPHTFL SLSPTTTPASSPFIPASARGVSIIPLLPVEVAVGMPAPVEHRRSSSVVSLGVGGRFLR LGHVGGGGEEGDWSAVE VE01_00096 MSDRTTTIPPTPPSSNAADIQDGFGHLIQHNSSFHHQSNSFEGG GSQMSPAGSPEQGLDDTLYSDGGYHQYSNDQEIYQHGLSPNNIAVGPGYEDLQLQYDM KQENSRYAPVPDDAQLYGYGSHEASPAPSAMSPPPNVRRTRSGQAINRAIQQLKPSPK PIARATSPKPKAKKVKKEKKVKAENKIARLEQPLSVLTREWTHVPVVDIDAYVNRSAE IRRQEVEEGKNPGKVKRPMNSFMLYRKAYQNRTKNWCLQNNHQVVSQVCGDSWPLEPD DVRAQFNEWARIERQNHQNAHPGYKFSPAKAGTAKAPKRKASVSDEESGLEEFDWKDG RSNAKGPKRQRLTPKPSHTPPAKFQNQPYYGFDIDSRGSSMEPGMGSNFPSSAYHASN PGRHVPEPYRSNIPDGQYWQQEVVPNMQNPNIHDVMLRRTRGPGMNAAPHPGYQQEYY PPNGAMNGGIPQHSFNEHNGAMNGGTPQHSFNGYQDEPENKIDPSLMGHDQPYDDLYS ADDNEVFLDNNAFMFNQQYYQQPLGIADGGQQQQQLAIVDGNQQPLQQSLEIVTDGVK QEYPEYPDYPGSQTGIPDTPILNQQLDDPNSQDVWHIVDSLDTGDEFNKWMGPELGQE N VE01_00097 MYGDLGNKLAQHAKRTQGLANLPPYQTETVRAVTREARELHKDI ETITQSLNGSFTPSEDPATACTLLVNHLFMRRNKRCLLAYHRVRTDKLEELVWSGEDI LDAPVQQQKAQESYNSRIQSGNAAGGEVGNGESNKHNLSPEEEEYVRKYSELLSSYTG QWTDVDLAGSLEPPRDLFIDVRVLKDAGEIQTEYGSITLTKNSQFYVRQGDVERLIAQ GYLQKLS VE01_00098 MSLPASERLAPSRRQRALSAATTAQNLLWPVQLSSSHGDSSARP SPGLSARTGEPSDPIGMPASPSNVYSQREPTRSFFHSSFRGQPAPHDSQQYARDVRED TAELASYALSEQSRSMMGSSPRRSSSTHSNIGSNLDAWGDVDDDSATPHVSHGPTKPS VIEELSEPGTPMHEGQPYRSPGTSVLADMLRRASTAASPPDGYIDSGEGDTAEDTGDE EALISGQGKNSAPGGADATEHTPLLRQVSQGQSQRPNYLSGGHDLEAQPVRRNKSWPK VNKILSKQLRRGHHAARLVSSPEKWDKKVIWQKSVVEPAGYIPAVVLGALLNVLDALS YGMILFPLGEPLFEKLGPAGISMFYVSCIVSQLVFSFGGSIFKGGVGSEMIEVVPFFH KMAFTILATVGEDNPKAVISTTITSYAISSILTGLVFFLMGVCRFGYIVGFIPRHILI GCIGGVGWFLIATGLEVTARLEGNLEYNWKTLHKLIQFDTVFQWLDIPQLREDGWIFD APDADEPWWYFYTLYDFKLVHWGAVAENIPAMFALTFFGVLHVPINIPALAFNIGEDH LDLDRELVAHGISNALSGFAGSIQNYLVYTNSVLFIKSGGNSRVAGFILAVATFGVLL AGPGLIGYIPVMMVGVLIFVLGFELVFEAVWDPRKKLKPLEYLTVIAIVLVMGTYDFV VGIFVGIALAFVSLVVQTSRVPAVRASYSGEIAGSTVRRNPIQHDYLRQVGRQIHVTK LAGYLFFGTIVDVEARIRSLIKEEAFNHRPIRYLIFDLWHVTGIDYSAAEAFNRLNRI FSQKGVTIVMSGVSSESPIGLSLRNVGLGEGGNEVTLLPDLNSALESCENGLLKALYH NKDERNAQHARSASALSRDVNARRLSTTSSHQPFPTLLGSPRGNHLVVAATKSLETEH ENSTHKWQNFKEPLRLILQTFQGLTDKNEDFWFRAVPYFTRKEYAAGTTLFRREQPAD GFYLLEDGILRADYDLPQGRYFESIVAGTTCGELPFFSETERTATVIAERDCVVWLMD RGQWEELQEKDKDVAQELLRITLKMTTERMSAITSYVLTTAG VE01_00099 MKFQASTLAAFVAIPAVSATLGWPWISPPNGIGHGGKNSDNDLL HTLAVKAGKKYFGTATDNGELNNKDYTKILNNKKQFGQLTPSNGQKWMYIEPEQNVFN FTNGEVVGNLAKKNHQILRCHTLVWHSQLPEWVEFGNWTKETLSAVVVNHITKVLTQW KGKCYAWDVINEAFNDDGTFRETVFYNVIGEDYFALAFKTAAKIDPSTKLYINDYNLE ARSDKTRAVQKLVRSLKKQKVKIDGVGAQAHLIVGSTPSREAQIQNLQDFVATGVEVA QTELDIRLALPANATNLAQQSIDYENTVAACVAVKGCVGTTIWDFYDPYSWVPSVFAG EGAATLYFEDFTKHPAYWGVVKALASGGKHPHWPWPFNN VE01_00100 MKIQGRTFLISGGASGLGRSCVVDLVKAGGNVAVLDMNEELAAT LIAEYPKSTKFFHANVLETESIAAAVKGSLAWIKETGYELGGVVAAAGVSSPAKIIDR NGNPFSLDDFDFVMNVNVRGTIDLIRQTLPHLTTVPPVGPEGERGVLIMVASSAAFEG QPGQVAYAASKGAIASLTLPLTRDLARYGVRAVTIAPSLFRSNMTAVMSDKVRGSLER VMEFPKREGQPEEFSALVKHAVENVMLNGTVLRLDGGMRMPSKM VE01_00101 MVRQRRSSAASEDSGHTARDQELGSMYDYLAKIVLLGPSGSGKS CLLHRFIKNEWRSLSSQTIGVEFASKIIRIGTGSRMKRIKLQLWDTAGTERFRSVSRS YYRGAAGAILVYDITSAATFAALPPFLADARALASPRLTTLLVGNKEDLAGGGGGESL LDSAVPVAPSSASARSERSGWSERSDGLPGGSVRGLGARLQASEAPEGREVGGEEASR WASKSEIPVAVEVSALSGEGVDEVFHRLARMILTKIELGEIDPDDPLSGIQYGDMGGG GGWEDGASIKSGAGGERRRGGLREWEDVFTLSGRARRRGRCC VE01_00102 MTAVFCCPSGPKPYRPQILSHEPKFEAFLRWMPAAQEASTDGTT EGKRILSDDSSTYAIQVVRQVNYGPLESKRYFVSGDGGRGTNFCEITEGDLIEANFEK LNAYKNFKCDSHNKFFEFNIYQKNPVNKHHWRANIARPAGDIDI VE01_00103 MTSLTEGKSNLSFILNSTLNVSYEERPIPTLTDPRSVLVAIAFT GICGSDVHYWQHGSIGPFVLKSPMCLGHESSGTVAAIGSGVTTLKLGDRVAIEPGTPC RHCEPCLSGHYNLCPDMRFAATPPYDGTLTGFYAAPEDFCYKLPDQVSLQEGALIEPL AVAVHITKQAQISPGASVVVMGAGPVGLLCCAVAKAFGATKVVSVDIQQDRLDFAKGY AATHTFMPQRVAAEVNADNLIKAADLGEGADCVIDASGAEPSIQSSIHVVRRGGVYVQ GGMGKPDITFPIMALCTKEITMRGSFRYGSGDYKLAVQLVASGSLDVKSLVSREVPFK DAEQAFEDVLKGRGIKVLIRGPNHEGDEKALAKGEEKKEEVEAPAAAAVAEEAVAEKS EEAAAAPEAEKAESAAPVEAAAPAEETTKSVATETEPVVEAAKVDEATETSEPVVDVA RAGATTEAAAAPAAEGSAPAVVEEKAEAVPEVAESAPVAEESAPVSTEAPADAEAAKP EETAEPAAPVEIPEHVSVLDTIRKIEETAKKTDTLG VE01_00104 MTSHTTIPINEDWEFKQVDSKKSKWLPVAQFPTNVHLDLIANNI IADPFMGKNELDVQWIGEAVWAYKTTFETPDINTEEGSAAKAVLAFDGLDTYATVVLN GTEILKTESMFIRERVDVTAYLNKDGLNELEITFDSAFLKGKQIVDKYPEHKWGCWNG DVSRLAVRKAQYHYGWDWGPTMLTCGPWRPINLEIYESRIADLYFRTDVEESLKAAKV IANADVEGSASLVKFDITLDGEAVASEQAKVVDGRATATFDITDPALWYPIKYGKQPL YTITATLVATRSEAYDIHVESKRFGLRRAELIQRPLKDEPGESFFFQVNNVPIFCGGS DWIPADNFVPRISAEKYYDWVKLVADGNQVMIRVWGGGIFEEQPFYDACDELGILVWQ DFLFGCGNYPAFPEFLDLVKREADDNVKILRHHPSIVIWAGNNEDYQYQESENLTYDF ENKDEESWLKTDFPARYIYEKILSDTCRELIPDVPYHPGSPWGKGRDTHDNTVGDIHQ WNVWHGTQEKYQNFDKLGGRFVSEFGMEAFPNIKTIESFLPLGKDDPDRYPQSSTVDF HNKADGHERRIALYLVENMQYSPNPIEQYIYSTQLMQGECLASAYRLWKRQWKGPGRE YCAGALVWQINDCWPVTSWAIVDYYLRPKHAYYTVKREMAPLSCGITRTLTTTPRDKH TRVYIDTKHTIEIWGSNLTLRDMVVDVVVCAFDVETGKETYHKTVKTAFSLPQNQSTE IIALDVPVKKRDAGEESRIVVAAYLIEDGVQVARYVNWPEPLKYAHFTKPQCLTATLT ADARTVEISAEVPIKGLALECEDDEVKFADNLVDIVPGEVVRIGVVGARKNTVIGTRY LGMI VE01_00105 MAPIKVAVLDDYHNISSEYLAKLPSSAFEISYFPSTLPSYAHPS TSTSSKAELVARLAPFEIISTIRERTPFPGALLEQLPNLKLLQTTGTRNLAIDLDAAR RLGIAVVGTSFRGKPADAPPGPDSTTQHTLALILALARNIPADDLSVKTGAWQSTFAL PLTGRTVGIVGLGRLGIAVASILSSAFGMRVVTWSSSLTQEVADEKAAAAGLPVESAW GKTFEVVSKEELFRRADLVSVHYVLSERSRGVVGRAELALLKPSALLVNTSRGPLVDQ DALLEVLKEGKIGGAALDVFELEPLPKDSEWRSEKWGKEGRSRVVLTPHMGYVEEGTL RPWAEEAVRNIEKWGKGEGGELTPLSG VE01_00106 MSSTGNAPQGTEIKQTLPNIGVYTNPEHKLWVGEATPSLESVQS GSDLKEGEVTIGIKSTGICGSDVHFWHAGCIGPMIVKDTHILGHESAGVILAVHPSVD NLKVGDRVAVEPNVICGECEPCLTGRYNGCEKVEFLSTPPVAGLLRRYVNHPAKWCHK IGDMSYEDGALLEPLSVALACMARAGVQLGDPVLICGAGPIGLITLLCARAAGAEPIV ITDIDESRLKFAKELVPSVTTFKVERVSAEDSAKAIVTAFGGIEPAYALECTGVESSI SAAIWAVKFGGKVFVIGVGKNEMNIPFMRLSTREVDLQFQYRYCNTWPRAIRLVQAGL IDMKKLVTHRYALEDAVNAFETAADPKTGAIKVVIQSE VE01_00107 MSLSASRAVLRQSAKFTVRNGRRCESTTAKATEAAKDTASKATE QAQNFQSKASEGLSRVSASAGPAISGAVKGLGNTLGKIGGRTGRLIAFVERQIPPTIY YARVGLELSKLVFQAQKMAPPPLATFQSAFQQVVKNSRNPSAFFPNASVNSVRNISCA QIASGAVIFAEVLGFFTVGEMIGRMKLIGYRGDTGASH VE01_00108 MDIFKILSRSTKQTRKPIGRTQSSTLPSAGTASNPQLYNDPVPQ NKKRKLSDRKEEAVLSDVDDEEINFFAQAPKTESKQTIKREKRKKAAQILAEAALSDK TETIEPTLLDEDECKATLRSHRIKITLMSKPEPVVKRKKSKKRKEEEPPKKAKDEHKQ IYPQPLTAFEDLKTNFGISKRLGENLKREGYKIPTEVQMGSLPLLLKPEFALTNSEEG KETVAEGSEVDLLTVAPTGSGKTLAFLIPVVNSMVQRRRKEAEVSHRLEAIIVAPTKE LASQIVNEGRKLAIGTGIKVVGMVKGMRVVGDSDQVVSAPKEDASESESENESEEDDE ADAAKANAKAAKRAAQPVAKADILVTTPLILLHALTKPGSKDKLPLETVRHLILDEAD VLLDPLFRDQTLGIWESCVSPLLHVSLWSATMGSSIETLAVSTISSRRERLSLPAQPL IRLVIGLKDSAIPNITHHLIYAATEPGKLIGLRQLLHPTSPCLASPSDPKLRPPFLVF TQTIPRAIALHSELLYDIPAEAGGSSRLAVLHSDLSDSARSAIMSRFRNGEIWILITT DILSRGVDFRGINGVVNYDVPSSGASYIHRVGRTGRAGRDGGVAVTFYTKEDIPFVKP IANIIAASEKAAGKKEGEGVQKWLLDALPSVSKKDKKDLKMRGVEVRRAGLEKDGGKG GAKAKISTKSGYERKVENRKKGAVMGSRRRAEMEKGNEAGAESDGGSDFGGFDD VE01_00109 MSLDPPTYLSSLRNNIRARPIPWDGAVRAGTITEEQLTRIRAVD KVRKEQRKRTVEDGLDAYRTLFLGGDEGGARSILEAAARRADVVQYVLVLLGDLLEGS PALVDGLLEHENTYAPFLPLLAQASSPEEAIPLLTSTALTTLLARESTTNPKGRSASD QALPILYKYLSTLAKSSDSGLQDIAVMGYSALLRSRRSRELFWEHRDVTIVPLISILR TAAGVSASGESAASLWSTSATSRTGAEGFINGGIDLQLLYHVLLVMWQLSFEGAAIGD GLEDEYDVIPLFTQLLRLSPKEKTTRLLVSTLYNLISGNPKSLLPAAALVRLPALLQN VNGRHLTDPDLIEDLTALSELLEEHTKTQTTFDQYAAEVDSGHLRWSPPHRNTVFWAE NARRILEHDNGHLPKKLAEIIAKPWDNDKQVLAIVCNDVGCLVKEVPEKRQQLERLGL KTRIMELMAEPDESVRWESLRAVGEWLRYSFETK VE01_00110 MKSLRSTRYLKDVIAARNALPRSSGLITAATRRNISTRTSQRHI LKPTVSVPANPLQTTRHASSSAAPEEELSKTPLYDFHVRNGGKMVPFGGYAMPVQYSS LSVLDSHNFTRTGASLFDVSHMVQHHFTGPGAAAFLESITPSDVAGLPVHGSTLSTLL LPTGGIVDDLIITKLWDDRFYVVTNAGCREKDLKYLKEHLKTFRLENSSEVEWTVLEG KGLIALQGPKAKEILSKLVADPARDGRLSNLYFGQSRYMKLRTAKVGEELPFQSSLLL VSRGGYTGEDGFEISIPAQETERVTEMILEAGGPEMVQLAGLGARDSLRLEAGMCLYG HDLNETITPVEAGMSWIIGKRRRAEGGFLGAETILPQLKPKAKGGKGIERRRVGLIVQ GPPAREGAVIRVDGKDVGVVTSGCPSPCLQKNIAMGYIQEGLHKSGTPVEVVVRGKAR KAEVAKMPFLATGYYKQEE VE01_00111 MTNPGPPPGYLDDEKRGRITVTTTEVEDFPPPKTESVVSMGAFA TATSPSEGGTLVPDEPDKPSNELSPWRTSIIIITLSGITLTTSMSVGAFTIALPAMAK DMNLTAQFLLWPQSMYSLTNGCFLFLAGSIADHIGNRNMNIFGCFFAGSFVLAAGLSQ TGLQLTAFRGLQGIGAAMCLPTAFSIISKTIPMGRKRNIAFACLGLGQPLGWFLGLLV GGICEGSRPGWRLAIYITAALTILLGLVNIWSLPNDRRKESSSWSSFYRSVDWVGLTI SSTCFGTLSYVFAAISGDLEAIEHPANIVLLCLAALLIPMFAFWMNLQEKHGRPALVP NSMWRNKAFTCMCLMVVLIWATLQCMRLFLSLFLQNIQHFSALKAAVLLLPNVIAGIA LNLLTGFLACRVSSYYLVFAANVFGILSPLLLCVINPAWNYWLCSFWAVLLSPVSAGV VFTIANLVITDAFPKETQALAGAMSNTAQQLGGAFGLALAGVVAARGSQGGQKGSQDA MWLGYRAVFYMCFGAMVVACLLGAVALRGAGVVGTSNEEKRPTTAKQDGDV VE01_00112 MASRRLALNLTQGLSKRAAFNAPVRRGFATPVTTKNGVATECTT LSNGMTIATEHSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTSNRTQQQL ELEIENMGGHLNAYTSRENTVYYAKAFNADVPATVNILSDILQNSKLEKSAIERERDV ILRESEEVDKQLEEVVFDHLHATAFQGQPLGRTILGPAENIQSIQREDLVDYIKTNYT ADRMVLVGAGGVPHAQLVELAEKHFAGLPSGPISQASAAVAQLQKRKPEFVGSEVRIR DDTIPTANIAIAVEGVSWKDEDYFTALVTQAIVGNWDKAMGNAPHMGSKLSGFVHKND LANSFMSFSTSYSDTGLWGIYLVSDNLTRLDDLVHFTLREWSRLSYNVTEAEVERAKA QLKASILLSLDGTTAVAEDIGRQIITSGRRMGPEEVERVVSKITEKDIMDFAQRKLWD QDIAISAVGSIEGLLDYNRIRGDMSRNA VE01_00113 MASIIRPTVLRQSCLAAASKRAYTTKVSSSFPAILSQPTRPAPA RQAIKSAFAPGNVRVAAFHAGGRQQILPAGPQVIDGTANDAAVVPPTNASEGSYHWTF ERLIAAGLIPLTIAPFVAGSLNPATDAIICGAVLVHSHIGFDAMIVDYFPARRVPKTK KALGWVLRAATVAVGVGLYEFETNDIGVTEAIKKIWTA VE01_00114 MLRFHSECSLQFSRYISNPSTTTATSETTAPSDIDPPAFAPPPP PPLNIAPSRARRQLAARLALHQKAQETSNGDDATDKDPDAIEAAANPNPFADTDSDDE LTIETVSGSAEVSAWDMEGDEGGKVVTEEGFEDNFGDGVDAVRALQVPADASSKAVGA EEVGNGEPAAEGQETDSASRSSNFSGLWPFNHASRGHRRRSVSDRYGRKEEGNDFFGG SDSDSDEGFDGIGEREASGDFDGKGKRPSGTTEARRRTSLDDEEVVEVGRGEGRDVGA VGGGREGEDKLA VE01_00115 MFWRFGGYANISAIDTLLEKPDVTLEELLEESDLIQELKSHNTK LIEYLREDAILQRLLEYVVAPKLETIASDLYDIDEDNNDDKGKEAEERAHSLTRMSSE DEEDDREKKRNRYAFVAAEILASDSWSLSAALLENEALLRKFWEFLACPPPLDPLQAG YFTKVNEALLDKKTEEMLELFKSIPDVVKNMLQHVDSPMVMDLLLKIISLEKAEGGQG IVDWLHSQDLMPTLLSFLSSEHSWATQTSAGDFLKAIITISANASQNEQSCIGPNELT RQLVSQPCVEKLITDMLKGGNPLTVGVGIVIEVIRKNNSDYDPEVGTESQMAPSSRDP IYLGTLLRMFAKHVPDFMALILSPDHTIGSNDGPVTIKRKELNAAFGGKVEPLGFDRF KTCELMAELLHCSNMGLLNERGSEELVRARDAERERLRAEGKLAPPGDRFNLESDDLT MRSSDTSRLGSHSPDEGRRLGVQNASDDDGFEEVTHSQDLGDDAKDDFDEKLDAEDEL LANIKTESFPSFLDKDDDEFVDEPLSSPRLNTEVEALQPAGVDSSLTVAPLSPTKDLS DKVKDLDVSEEPKDADAKPPSYDDHAKDATVSPDEQAKEALDAAISNQVEQEAAAKAE EAVKTDDAVEATFEVPVRLETTEDVLTPHPDDRPAPLFSKKRTETPEAEAPVAETPVV EATVVEGEPQSSVQEIASQVPIPEDVPVAADFSGTEIEDSTMVDVDGTIRLDNADDTN PIEIEDDFSSPVVGDYLKMQFVEHRVVPTILDFFFRFPWNNFLHNVVYDVVQQVFNGP MDRGYNRNLAVDLFETGDITNRIVGGQNMSDEAQRLERMRLGYMGHLTLIAEEVVKFT ERHPPELLSELVLDKVLNLDWNHYVEVTLAETRERDNAILGGVRPDQNLGPRQAVMNA VNAAIGGGSSALADAGLNGNSTLDGMDLSNGSGTSGSGFGLGGGSLLSGFGSSSDEED EEMDEDMNDDDGMRGANVGGAEVGVFNSEILSLRRPHDDDDLDFELMNHEPPLAYGPL FPED VE01_00116 MNRLFGAKSTGPKPTLDGAISNVDNRVSSIDVKLASINAELSTY QAKLSKMRDGPGKNAIKQKALKVLQRRKMYEGQREQLEQQSWNMQQAGMMTDNLKNVM TTVDVMKQTNKSLKQQYGKIDIDKIERMQDEMADLMDIGNDIQESISRSYDVPEDVDE AELDAELEALGDEMEFEGLGQSEATPSFMVDEVPQFIDEAPNTGDKVQEAAR VE01_00117 MDTREIDRHPTPERTRPPGSSASLQSRGTAGSSNTSSSNLPHHR IQNIRSDRGKMHDAAEQGGREGQGQGGDLLQEKLREMKAARLHERRKSRDAAAYQDMQ RGSQSSPAGPRRRDQELEAPGSADRRADRANGKQKLGVKATEDRVSALLNENFDLKLK LHHRKEQQSKLEERLEDLEEQVKQQHELQDMNEQLVSELAKRDVAIEQAVNVIIELEE KVDKLMEERNAVRSLQAATSLYLSDRDDSTINSGTNSGTNSQIVGSSPPDDPRYRANS DITPLGHRRQESHSRSLARMPSFLSERTEETEALRSLYIPRDQSLPRLSEDNGGKDDM ASELDGMNSPRLSVLSESSFISVYGAKSNGQDLDSLDLEYENLDSPEPNRFMNATSVD QWVGDGLKNANPMGRKSSGRVPGVVAVGQFLSMNDVVKSPLQRLEKLQRIVERRNGPA IAARLMQNTSDHKPNDPPRSVPAKPDSIRRTDLESFEQRALPPTPDTFSTNSLQQYKN SNSTDTLNADATSFVSRKTQEHDDNRPYLSRAQTSPEYDLARLRRPRSAAETITSRRD GHGWDTATQSEVTETNSDIASEATSTMDPWVSAARENLRPVTFRAPEMFSFGEDYKKD WGRDVMFNRRDDDIPIRSRKPLDASNPRAEDITPTIYRYERSASGYIKDPQASLAAQI ENSYHPAPPERRSSLANPGSPAGNEKRLRRLQAARAEADAPEPPPHGPKASPQKTIEE PQKRGLFKMLGLGRSVSAAVSQMPPPAFMRGSSEQPPITTKDISAPKRITVVEDIRDA SATPPPISRYPRGRATADAPRPSTSHSMESRGPSSRVHWERRLSTGASPTDGGEGTGI LETGMGGRDIRDTASTRTRRWYALGRVGSLRR VE01_00118 MGAFSNAAGRLTGRSKNEKEDEATELPPTTNIFGPDSTAIAPET LRAQDAAEREERVPLARQATAVEKEMSTWTCVRAFWPAVLQAIILSCACIMEGFDLVL ITGFLTNPVFRRRYQCPDASLDGKVCEIPASWQSAIVIGPTVGQMAGVLLSGWLVERF GYKRTFMASLVALSAFIFIVFFAGSLTMFLVGLLLCGLPWGVFQTLTTNYASDVCPTP IRAYVTAWSNVCWIIGQCLGAVTQRALVNNMTMLSIQIPLGLQWVFPLPIFIFSLWAQ ESPWWLVRKGRTDEARKVLTKLVSQSRVPEGYSIDGQLAMIQLTNADELRETNGTGTG YLDCFRGVNRRRTEISTFTWVIQNASGSALMQWSPYFFQRAGMAPEQTWNFVIVQYAL GLVGTAISWCLLGRFGRRTIELWGLFLLTFVLTICGIIATIGLSAELTGWLSGSLLLL YTLVYGCTIGPVTYALVSELSSTRTKSKTINIARMGYNAFGVFNCVVTPYQLNPTALN WGMKTAWFWVVFCALCFVYAFFRIPEPRGRTYAEMDWLFAEGISARKFASTVVPRFGD EMEGEEGASSSVESYEKKGAGVVEADGGVMPRSGSLGSSNAPAVATVESVAEGGAGEL NGEGEGGKKKGRFWLWGRK VE01_00119 MATTTATAPGETDPYIENLNMQMICKECKEFPPNLVEEFSSGDM VCGSCGLVLGDRIVDTRSEWRTFANDDQGNDDPSRVGDGANPLLNGSQLSTTIAFGDG SGRSRDLQRAQNKSAHDKSTKNLLAAYKEIGAHCDAIGIPKTGADVAKHLFKMVDDAK AFKGKSQEALIAGCIFIACRQSGVPRTFREIYALTKVSKKDIGRTFKALEKFFASDEN KAALTNGSLAQTDAYQATTSTNAVDLVLRFCSQLGLHGQQFVKVSQGLADKVSTMGDL AGRSPLSVAAACIYMASHLLGKPKSAREIGNMAGVSDGTIRTAYKFLYQDRERLIEPE WLAGGKGKMENLPAN VE01_00120 MLAQSIRASRSSFARLARSQFISKRTIITPTAVRQADLVQEMYL KELKAYKPAAVKASDAEGHVLKFNPPQTPTSPEEADIAKELKAYEDSTVDIEGQAEAG SEAAVEQDWFEEPEEESAKH VE01_00121 MYPSPPSENGELPRIYLDDQADKTMFFSKSGEDDANDHIDSDTP RSGVATPKPDPSDKRLPGIMHSYFQVGLNSGSSENPISVPLETPAVGTEAENPPVLHV RETTTELEENLSATGSSSRENSSGDSEDSPCLLPHEKTERRASSVADGASQNPHPYPT PPVSKPPSVRKLKSNDSTAEDGEAASPEIASPTSQISLPHHKTISESILPKFGASSML KTLSNILTPSNVLASHISTPKEPTPTSTAPDTPVHSRIASGSVSDSLSYDMLKRLTIG DEKSHPATPTRALSNNTSTSDNSDHGHDLVPKRPSGLSETKSSSSDISGAPVRAPRGK LTVKIAEARGLRRSKDPYVVAVFQRNELVSKGPRSEDEDDDDEDATISPPPGSIPISR QPSDSGRPMAIPMKSRQSSNTSDPEPRDFKVKSRRSMTNPKWDTEAVFDVVGDDSRVS ISVYDRGSLSEDFLGHVDFNPELSEYDTAPVKGWYPLRDRKGEEDFSIGEVYVEISFE RTDKRHYGPEDFQILKLIGKGTFGQVYQVRKKDTKRIYAMKVLQKKVIVQKKEVAHTV GERNILVRTAMTDSPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEGRFDEKRAK FYIAELILALQHLHLHDIVYRDLKPENILLDANGHIALCDFGLSKANLTKNDTTNTFC GTTEYLAPEVLLDEAGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGKVR FPRDTLSTEGRNFVKGLLNRNPKHRLGAIDDAEELKRHPFFADIDWEALTKKLITPPF KPKLKSETDTSNFDPEFTNALNGASSLNARAAALAAGIVTSTPLSPGMQANFKGFTFV DENSIDDHFQDRTKYDIDEMDEAWDDHNTKTNRLSGMSGIVRSNNHEDSSMINGEHFD M VE01_00122 MGRRPGRAAAKQAAVALKNTPQTIEDPEDETMADVPTSDPVSPR DNDDDDDPDAEDDGTPAPQDSEPPSEAATPQPEPEPVPRKRRLGRPPKIKPPGWDTPD DDGAPASTPAKRGRGRGGFGRGGGRWARRGGPSHVTQQPIDKEGNMMNVENDEVSLPE DAEGETKVDKMGHLQGDREYRCRTFTVLGRGQRLYMLSTEPARCVGFRDSYLFFTKHK RLYKIIIDDDEKRDLIDRELIPHSYKGRAIGIVTARSVFREFGAQIIVGGKRVYDDYE VTKARAENVVAGELADPNDVFKIGEPYNKNQYVAWHGASSVYHSGAPTVPLQAGKAAE SKKRRVMVNDTNWMLEHALEASRFNSALAATRRRNLSGVYDPHTNTMQYPQIMQPTHA RWEPVGPLDAATAGMQAITVSTSTPPSPSAADTIFPPVPPQLSRNFLIVDTVYENASH SHLGIPGPDGGDMDLGFKGLEGVGEDVKELLPAECREAFERALGRERVWKGGWGTEVE DGRRGRLVIDKGVIL VE01_00123 MDRMDDGTLSIAYDCSKWATEPGICAKAVQTGFEQPAQKRLYSL GSAPKQFRQGSDSNALRMHSSIQA VE01_00124 MPNFYNHPRAGLITPPDSGHAMYGYRNTGPVSYNQNSRKNGYDG VSYAAPPGPLMYQPPPMHYNNMPSVAAPGMPNQSYGPIAAPILPAPISDRPVDASGRN TAQRFEQRPQQPPAKQEKITGGVAQELDYEMDQMTDYVSDMAQSMYALLCSPHIRLAD IDLARSVMPGSKVSPAFRKFVSGLLSSTRLPSSTILLGMNYLARRMSMLNSPTPRKTT DGQVWRMLTIALLLGSKFLDDNTFQNRSWSEVSGIAVSELNSLEKEWLQAIEWNLHVD PVTDTDFHSWLASWASWKETKNKQRNATLERLAPLAAIDTNVQRNHGQRKPYSPTSAS YGFNQGGNENHPPSAYHVPTQPRYEQTSWAQGRAPHELSPPSAPESGPNTPEWMMLPN SGLPPTDWYGYDAFYNRRNQQPSTHVSYALPQTTPYQTPYHGHYSQNLWGHHAGCGCG YCGRPTDSYFMPTYGQQTVAG VE01_00125 MRYIHSNETLDVPEGVKVVIKSRLVTVEGPRGKLVKDLAHLAVN FSYPKKGLINIELHHGARKNVATLRTVRTLINNMIIGVTKGFKYKMRYVYAHFPINVN VSENAETGLFEVEIRNFIGEKIVRKIVMHPGVSVEASKNQKDELQLSGNSVENVSQSA ADIQQMCKVRNKDIRKFLDGLYVSEKGNVVEED VE01_00126 MARLTNLAGIATLLLSKIVTANYSLLKTYDASNFFSEFQIVNIP DPSSGFVEYVDAATAESKSLVGIRNGQVYLGADSITDNTTNGRPSVRVESTDYFTTGL FIADIAHMPGNACGVWPAYWTSGPDWPNSGEIDIIEAINLQKTPIITLHSGTGCTVAN TGSAAGSVLTNANCNTGCSQSTTDTQAYGDEFNAVKGGAYATQWTTKGIAIWFFPRAS IPADITAGTPDPTTWGKPLTYFVDSGGCDFATHFKSNRFIFNINFCGWAGNVWSSFPE CSAKAATCKEYVAQNPSAFTEAYWLINSLKVYREGTTKRLFVA VE01_00127 MCFGRDLKGDGVQEDALNARPVSAPKAAARATSMSYDAPSGPPP NYSHLGSNNPYAPSNGLSGPQRTLDEYEAPSGPPPGRGDGGYAPPSGPPPGHGDGGYA PPSGPPPGRGYDAPSGPPPSQARYEAPSGPPPSHVGGYEPPSGPPPSHIGGYEPPSGP PPSHGGYDAPSGPPPSHRGYDAPPGAPPSHSYDAPPGPPPSKKLEEAAPYHDWQAAVP DTSLLPPPPSFGEDRSATNNATESEADRGETWCRARPLIAPANLTQATISAVEDGFVD LMRPSQYVGTVQKTRKGTWVCASKKKSPDSCLVSTVPLYSVLAHSPVSLRRSKTIYFE VAISPRNRSEVSVALGFVAQPFPPFRLPGWERGSLGVHGDDGNKYINDCWGGKGFTDP FKPGETIGLGMVLKPKKSEAPPSYGEPQPREVVDVEIFLTREGEKVGQWDLHEEADAS QDRPVTGLEGGHDLFAAVGTFEEAMFEVRFDSKDWMYVPSF VE01_00128 MHLPTPLLLLTLTTLLPSALAGPFPRNAGHDYAGSGFLMHRQCD SYCGYGDAYCCSAGQVCTTDAANIAACVAPTAGSGGGGDGSWNYYTTTIIDAVETTRV VTISSFIGAPASPQPYVAQSTAICYAPLTSCGTICCATNQECYTSGQCRAKQDGSGGG GVVTSGPQPTAPVKGTSVIATTVPITTTQGFVAPVETTGSSETITSGHKSGLSGGAIA GIVIGVLAGIIFLLFLCACCCLSAGIKGVWHLISGKKKGDSRRGSRTEVTTETRRHST RYGGSAASRRETHGGWFGGAVPSGRDNEKHDKHKKEAVGLAGLGLGLAALWAGMKFNK KKKEERRQSGSYYTSYTESYTGTTDSSSSSGGRTRNSRRSRSRR VE01_00129 MAVSSPLIKLNSGASMPALGFGTFASVGSPGETHRAVVAALSAG YRHLDCAWFYQNEDEVGTGIKEFLDAHKDVKREDLFICTKVWQHLHEPADVEWSINDS LKKLGLEYVDLFLIHWPFAAERNEDRSVKIGADGKYIINKALTEDPTPTWRAMESLVA SGKAKSIGVSNYTIAGLKQLLSLAKIPPAVNQIEIHPFLPNQELIDFCRSVGVVPVAY SPLGSQDQVPGTGEKVATNKDLIAVAEKNGVSLAQVLIAWGIRRGYAVLPKSSNEARI KSNAQLIKLSDEDFEAVNKVAESRKTRFVNMKDTFGYNVWPEEK VE01_00130 MPGATTSPGKQSADKTPERNVDRPMFRRMLSVPEGDNGNVERNT IEVPMSRSPFADTNDLMHPLSNAPSSRDRRGSRNSFGTSLPIPRSKRQSRLSSSINIE EALAAANLRPGMPPIQPTREIIASQLQDMSSVKTTAAKDMAFAFDIDGVLVHGDRLIP EGRRALEILNGDNELGIKIPHIFLTNGSGKIESQRCDQLSQILGVPISTEQFIQAHTP MSALAEYYDTVLVVGGEKYKCREVAKLYGFKDIIVPNDIYAANPDISPLKEFFTEEQR ATSAPRDFSKVKFDAILVFSDSRDYATDLQIMIDLLLSEDGVLGTKAKDPLANRIPVY FSQGDVLCPTEYPIPRMSQGTFRIALEAIYKSITGHELERVVYGKPELATYKYADEVM SSWMETIHNEERLPKNIYMIGDNPQSDIVGGNMYGWNTCLVRTGVFQGEGNDKENPAN FGVFNNVLEAVQAALKKELGADFKLNWSDSMNPVTGNQSVSAVE VE01_00131 MANTNGQWLFSASEIANSPSVAAGLTPAEERARRAKGVNFIVQA GMLLKVPQVTLGSAAVFFQRFYMRVGMVGERGVHHYNIAATSLFLATKAEENCRKTKE IVIAVAKVAQKNANLVIDEQSKEFWRWKDSILLYEETMLELLTFDVVLESPYSHLQSI LGQLGLEHDKALRNIAWAFLNDSQMTTMCLRMGPRDVAVAAVYFAARYNGEKIPDEGG RPWWVRAGGDEERIAEAVAVVQEFYAENPLGRTDLPLEGSPGGSPGELEATRERGGES PESVKERGGEAEEGRSRSPIERDVAAGDDDAVLKRVANDPATHERGDEAIASIEGVPP KRKESIVDPDTEERDSKRIKSGDKEEGEESEEGEVEE VE01_00132 MEVLSGAASSALPRSHSSSNLPTRQSSEFKHVRSTRDSEELPRS ASFTFLPGLDLDTFKLNDYFDAEVPVEPFKLSRTSSEATITEKGHSKHGESKSQQQGL QAADKRKSTVSGWVSRVRGSPERTISLPWKAPTDRTTQSRPIPIRTSSTRSTASQSRA QSDDSSSSRSSSRSPSPSKKLVSDLMGHSPDDHSTPPSSISSSSPKQSIAALSIRSGL SKAKNRSESPGAGLVRRGTQLLKRSKKIQTSTFDDGSSRFSNTSNNSLGGISTLNING LSTPATSRPNSRGLKGKLAPLAMHSAQKKDELWPAFCSLDSDFRKFQTKTSALKAQVV RSTLLPFLRNDFYLDHPSTRNLEPEHLDRRVNVLDKWWIGLLDMIDTQNGMSGVDRPV ILEAMAEIMARPEWRLPPSLFAPLGDKPAATDMARSQSTGSFSSSSSGFVTDSVYHNV RTTFIRNLNAQMSTIVEKMSLRHAPASLVTFCGKATAYAFFFCPGVADALVRVWRTPL ETVKRVADEFGLPRRYTSPNGGSDDIVDAFPPNLQMLGWTSAKMLSNQLQRPAKLPLE VAKIAWGGAWAGRWCGRDSDLFYVFCKHYHILMEEFVPADTPLIEKARAPAFVLVHAQ IVTVLDGTFNRKPAAAAGAGPISLLGGSLAGADASAAALPLLPSSNLVRLMCENRLIM LVRDFLSEQPQDVSEARRTFAESFALSLKAATKRTSLYNHNACSILCDFLEEVLPIYL RYNTTNSFHIDCIDWWFWLEVCQKMLESDNQMTEIRLLSFVYTTWNILTVVDHRKEVV CLDWLLSEPTFEKLFMHWSPMVRAYFMRLLCWRICRFENDATQLETQILSTVSSRLKR IWSYYQHQKHTALHTSSPIPSSTPCLPAPGRRLLIIRNETHPPPPNHFLSFDGIISGA PRPPSPTRRHSTAPSPPPPADSTAPRRRWSILGKINPFIADPNAPPQPTTLEAARLAT AASRSPSSFSPSPPPAPAPDPPTPTYRAFSFRFSLEWHGGHMQSASDRRIFGRDRVLG VPRLPGAAQTFLLETVEGAADEVPGVQGGSRYAGRALAEWEMVARELEGFVERRFGEG VPSLGAVEVPELRAEGRRW VE01_00133 MDKLPPEILRGILGSLIALNPSSKNDILKFRLVCKVFNELLRED LLKTVQLDFSRLERNGKPLDLKYLAGAADYCDAIYVDLTIIRDDDEILRLENAFGTIV DKFPEMNDVFDSLRRYCMGPASFDESDFKNAVATMLETATKASCFKLNLPFQFVGQAS RSGTMLFATAAECLANRSEEAAAIETLVLSHLSDTTLLDVYQNPIDLHNAIKVFNPLK HLVLSLKRQESSGNNQNRFSAALWRLITEATNLESLCIIGWNSKRTTAVRQHELGFQG DLQSWRMQSLPYHDINEGSNLGNLHYLELNRVDVEADSLLNMVRKCAFSLKELYLNEV YLKVRNGDAIPLWIGLGPEISKPHGAKWIAEELQGMRGLELSILRATALGYDEYSAGP DFVNPVQFDLDDPSGRKRSFDDRFVEAALGQNPSSSTISRPKAEYDVDSFQHGHNTTS HWRSSIDGVFYNHNDTALDELKKILSVVERGMSLLTAEIDRINTAIRFEPIATPTAT VE01_00134 MSMESPRRRAPSAPSTTYDIKGTNEDDRIAEVRRASAATNGNPS PLPASTSTRSLSHGTLATSRSSDTSPSYKLTQDEIDFIARTETSSWYARAEPTNAYEG DAEPGMEPHLPRRSDSSDSYGMRPSTASSTATTGWRAVNWASGRNSSKTSMDTQNAAS SSPKRSTFARSDLPRKSSLGYGYNESSNGDGNVQKMGTGGLLRPQKLRPQRLNIFDAF DNTTDYYSESSLRRLPEEIISLIVAELRTVHLDAVEKKRTCPTCYMRDVCSLTLANRQ FMGPAKKVMYETIILTGSDSMGHIKKRFRIKFGTRLKLLRRTLRANPGLAELVKTIRV PSHMEAGAGSSKKDQLLYDDIVASIVMACPNLERLVGFYPHYDYDSSRISHALSTRTR LAEQLWNIDGQPSFQTSYARSVGGYGVPLSDQAQAFISHHSGWDFLRTLAIHCRPTSA FDASVLEPLWSHLPSLRHLALSSLSPPPDFIMHLPPLASLHLSIISTATAQTLIDITR APLTATLSSLTLSLLPPDVMSIVAIGRILSSLPSLAQVSFFSPTPLVLPAGATVFLHP YIASPRLKFLHWDIPSSPQTSEVNRNDANYILAKAIDAGGFPQLRRLRAPRDYDGILQ RTCRPSRGFDTPLVAPSFNGNGRNGQIPTGRRKGSETSMSSSTSGASGHAANGDSSSG PTLGLREARQAAQARIDLAKLKPRWKIACEDWSTPGQVRVTARFDAGGFVGTIGSRVT YWLEEDGIEGLDGLIHGRSSYEECNGSWNARQDEKKGWGSAGPGAEWHTPREKGWKVE SLDRLF VE01_00135 MCEQITLTFNCGCRKPSTIRTCGYAGQKGHRVFDTGKRKTQARL CDECYIASRYGFSGCEAWGEDEEEDEKEDEKEDEEEEEEEEEGDEEEEEEEDEEEEDK EEEYKKEEYKKEGWRHKICTKRGSEMDDQREVSESGQAGQGSSSDGPALTGSGRAIQA CDRCRMKKVRCDGIVPTCGRCQAAGLECLTMVKLTRKSYPRSYTESIEERLRIVEGEA QKMRAESAAKDTKIQELELAMRSRSVSHVQSHAQSPKNQDHTPRALGLTSSGRRDNRA KPRAITVDDSICREVGRMNSDRGGVGRYIGSSSGIFFVGMAEQRFSTLKSNIQWKIGH DLLKVETDDHSVEYKVYPTQLRKDFLQELPPYETAKRCCEAWFEFWRYIFPILHRPTF MGNLELMYFKRQTSPDVDIPAEIFGILYMILALGSRQIQLTSGSTDDGPHVRSSGDDT IYFEKAMSYYDAVIKLGTLRTVQFLELQALWYVFTGKRSLALQTTGSVVKLSLELGLH RYSRRFDFNPVTTEIRKRMFWVCYILDSFLSAIAGSPKSLRDQDIDADGPSEVDDDLV SAEGYVAALPGEPTGMMAFVALVKVARILSQTLETLYTTTNRAGTPAKIRSLDRLLDQ WANILPDHLQVSFANMALMSPEEALADLGQGAPEVVFIQLVYLYARLVIHRPALSFKP QTDQSQASLLKCMEVNIQTLLLLSHFKQYILIFDINPGAHVYTVWQCGLMLMYGLLEL RDAKEKHGTTGTTKFELAARQSTHTCLELLEHMVSCGRDGERVRAQNIRDILTATAPL LQPASTPEPSSSTGGVEGGSNVSSAYATAPQLSSGNQQHPSTPFSKLLSFKDNLLGSG SPLTSQTLNHPSGFTSSNMLQSDPETPSQPTLNQNVCLDFSNDLIHPYYRFGIADNPM FLPNSPRHRGTPASATAPRDIWDDPVFAYSGSPPVEPYGQAAGGAGRSDLTDDGPDPK RTRFENTS VE01_00136 MAILSILPKISVTVHNAQGQLPEYADDEPDAVKKQNSPFSVVVS NYVEVPSDGGPFWLKFRVEAPYTHRPNRIIFAFEDPAGYLVQMSCGPHDLVNAEPWER LMDGYYDYADEEALFRSFQFTKLNILPGDGESDDISEMDRKRMAKLGMLEVRVLLGTW QHSEGSEMGTADISESIDHAAFTANIATEKVSERKSLSLGMTYNNEVVDANEIPEPAD FIYLNGWWDPVAIFRFKYRSRADLQKLLLVEKSPELEELPREKLLPTLPTTPLPSCAP SLTPASKAFEDLTFSEVRDLARKQYMGFDKVGGDEMETLAKQKHAEQMEYANDEVTRL FFDELTFAQVRNLAKKQHKSFEELNTDEIEKLARQKHSESIVSPQALISGAADERNGQ GKRKAPIKAEDADGAAISVLAKKRK VE01_00137 MTLYYSLVFMLLVAEMGLFVLLIVPLPFNWRLKLYTFISESPVI AKIQYGLKITFIFILILFLDSVNRVYRVQVELALASEQSQTGAVLGHERMEVQARKFY SQRNMYLCGFTLFLSLILNRTYLMIMETLRLETKLKQYQGDAKASGKDAQKLEEAGGI NEIGNLKRKLAQKDQDLENLKKQCAGLTREYNELSDKYAATQSTETLPKKDR VE01_00138 MAQPSTPASSNVGVFGSAIYSGAGSHAPLGLTPGSAASSTNTFL MPNSPVKPAAKDNYRPKVVRTLGQRPACLVNATVTYCGGNNIYAFGGFDAYTDEVYNH VLKLDMVSHQWSLVDNYGDIPGVRMGHTATLYQGDKLLIFGGENEHRTYLSDLIIFDL KTAHWTQPAVTGPIPKGRARHAAVLHEDKLFIVGGITGHNNYVLDDICYLDLKTFTWS RAWRFVGRFDHQAFIWGDRMWVFGGLSEDAEKVGDIYWLDLKGTPAFDSPPAYDTWDR RVSRQGSTRQSAASSPAPVGSTGYAANSSSAQVNPASFQLNTSPPAAPGAVSSLKFVS GPNIPAQGSGMHFHVFTSGNLLDFVTPAVTIAPADCSLSSLDLDTLRWQKLAEGREIF RSGYRWHYCTMNEDGTKAWLLGCPTDPAATDLGPNGFEEYLSDIMEIDLRKYGLLGND LAKENRADVRTPFTSLSGHSGRSTPQPSRGLGADLAILFNVPPESGSGTDFIVTASRE DDDWDDDAAMSSAGSPSGSILVQNWLAPDASTSQPIHVHKLILQARWPHFARLYNAQM AEFHTKKMHIPEPYPVVKAFLYYLYTDSIHMDDVPELNDVAGLLVLSNIYNIPHLRLL CVNRLSKEMDVEHACITWHSAGVANEEWLRKRAANYCLLHWGRVVRTQGFLKLPRMAL VDLSQEIDMEGRVVGGEELEVVGGLGGGRFGVGGASARKFSAGSSATQMQSGEEGEED DEEMGVS VE01_00139 MTTTSPIDIATPSRNASSSPSSQGTKSGTARFNTGVDDSRTSAI MSASAYDQNGGRPRQESFVSAKPISMNNPRNLDPRPRRESLAGSLVGGMSWGGVSVGS WIRDDIIMAGTSPFPYQSPSYHSSSYLPKLEANFMRDFSCCGHTLPTLHDLLQHYEEC HAQQTPQSLRTAAQQQQVRDQQQPNSKAAIASQAANSVQQQAQQQRQQQQQRSQNGGF NTNKPAGGISTLTGGIQQMRRQQSNPATPTQKSAQPSGGDDMDGVEDMEMDDPVGPMD PVDGSVNRPVAPQSMFGQQQRQNNMTNTGGMLHQGLRTSQPSTPSAAGFGFQNNPTVS SVNTPTLNAQLSQQNNPKFSPDISIPGTPAELDDDFNTMNMDMNNIGNMNMNTNNMNF PYGFGNDLGLELCIDEPAKRLFSPNGGFGNQKALQQQFAQFGLGNGQFANNDDLVRRL RQQQMMQMQGFGNASAAMMMGGEEHKPFRCPVIGCEKAYKNQNGLKYHKTHGHQTQQL HENGDGTFSIVNPETSAPYPGTLGMEKEKPYKCDVCGKRYKNLNGLKYHKQHSPPCNP EMKLNGHLQAAGLSNMNGGINVNVPGLPGIGEEGML VE01_00140 MKVLTKEQEEAHYRVTLKGGMKGLALGTAVGLTGLGLASRRYAI IRGLTLPMKSFLVTSSSTFCAIIAADRASRGYEFESMPKNLYKDQASRDMAIARENDS TFDKFKQWGRDNRYPIVTASWIASMGVALQLVRNNPYLSKAQKLVQARVYAQGLTLAV LVVTALFEVGDANKGRGRWETVMVLDPNDPEHKHMIEKKIHHEMYPGEDLWKDMVEAE EQKIAARKLQDGAAAAAATKQASTKN VE01_00141 MADQRKSLDKTRSPLKTKFIRSQKIMQPSPTDTRSDDIPEDSEP VQAKGPSRSHTPGHLSTKSTDKRKGSSSKDPRSRSQSQSQASTRSPVAGASVPKLPAS IHESNGPVISPIVREGSPAVAAGLVVSERRPLVLRSTSAIAGKPTSSSTTPVTTPKPP SQSKKTGKHAYFPPPPDTKEEHDVLPAPASGMYWSRAPTSGAPHTALRAHTTTAIGSN IYIFGGCDSRSCFDEVYVFDADAFYWSSPLVTGDIPVPLRAMTCTAVGKKLVVFGGGD GPIYYNDVYVLDTVNFRWSKPVISGTPPSKRRAHTACLYRNGIYVFGGGDGVRALNDV WRLDVSDVTKMSWKLISAPSSSTSSSPTGDRHDLKFRADRDIKPKARGYHTANMVGAK LIIFGGSDGGECFRDVWVFDVDTLYWKPVNIPVSYPRLSHTATIVGSYLFVIGGHDGV EYSNEVMLLNLVNMQWDKRIIYGEPPSGRGYHGTVLHDSRLFVIGGFDGVTVFEDVYI LELAVHAYYSQISHYTIDV VE01_00142 MGDTGIPLASVPSKRPLDEVAVAEQVPADATGGTAETVPSNGLG GAHNGEEALESAAKRPKLEGDVSSTPVKSDSRDGGRGVAMIKAEYLIHRPAKEATALE PAAAQDDEAEGKKYAVDDRDNRDGGDKKKKKERGQNTSRNFGSSNDFIKLCNSVANSS EFSPKTCSFGERCNLSHDLRKYLKEGKREDLTTFDGKCPVWEVNGRCYAGWKCRFVSS HMEEIEREDGRKELVLTIDPVRATAAELEDEENRAGVANIVSTADKLDLARRRFKTVR SDQYNAWLEKETKASEIFHNQKKGETEDHRAQFVDPPFRASEKRRLYYGPETPVLAPL TTQGNLPFRRLCVELGAEITYSEMAMSESIIKGGKGEWALMKAHESELTPPKYTPTST VQNYDNVKDLKFGVQISSSKPWMAFKATEALVTLVPNLRSIDLNCGCPIELVYKTGAG SGLLDAPSKLEKMIRGMNAVSLDIPITAKIRMGTRDNKPTATKTIERLAFGGLESRDR LGPPGCAAITLHGRSRQQRYTKSADWSYIAECAAQIKSYHKKKDELTDTINEPDARDL PNGEKLYFIGNGDCYSHVDYFDHVQNGGVDSVMLARGALIKPWLFEEIQAGQYIDKSS TERLAYIEKFARYGLDAWGSDEMGVGQTRRFLLEWLSFAHRYVPVGILEHLPPSLQDR PPAYRSRDDMEGLLASANYKDWIKISEMFLGPAHKDFQFQPKHKSNSYDGIEAEG VE01_00143 MATHSEVENEENIVRLPAPEKPQMSVSPPRLVIIGAGSRGNAYA QALGEATNGICAAVVEPIAYKRQALGRAYIWGDDGKPADGQEFEDWQDFVVWEEARRA KQAAGEEVPEGVDGAFICVQDELHKSVILGLEKLNIHIMCEKPLATTLNDCIDIYKSL LPSDPSKPPEMLFSIGHVLRYSPHNMLLRKLLLEDKIIGDVLSVNHTEPVGWWHFAHS YVRGNWRKESTSAPSLLTKSCHDIDVLLWLLSSPPPNSPLPRHLPSSITSSGARQFFN KHRKPAAAGSATNCLSCPHEPDCMFSAKKIYTGNDLRGLGSGNTGWPVKIVVPDIEDY LTTGGQAAGEAAILKELSADYNADTPADEISKKNWFGRCVWESDNDVCDDQVVTMTWD NDDAASPSDGRGPKIAQFHMVAFTAKICERYTHIYGTTGEIYADSDCITVTDFTKPTR PLDARKEAAFESKKFYPHLAGGGHGGGDAGLARQFVLAIDRVKRGDDLVTAQREELGC SIEEVVTSHAMVFAAEEARRKKVVLDFPEWWKREVVLK VE01_00144 MANPAVSQPLVWIDCEMTGLDPDNDVIIEVFCIITNGDLGIVDE DGWGCTVHQSKERMDEMDEWCTKTHGETGLTSAVIASTTTAEAAAAELLAYVKKYVPE PRIALLAGNSVHADKAFLRCAPWAKVHDHLSYRILDVSTIKEAVKRWSNQQILKGVPA KKTLHQAKEDILESIEEARYYRAAIFQQPTARQERKK VE01_00145 MSINTVELKPFTDQKPGTSGLRKKVVTFQQPHYSESFVTSILLA IPEGAKDSFLVIGGDGRYWNPEVVQIIAKIGAAYGVKKLLVGQNGILSTPAASHVIRK RKATGGILLTASHNAGGPTNDFGIKYNLSNGGPAPESVTNKIFEVSKTLTSYKIADIP EIDIATIGTKTYGSLEVEIIDPVADYMEMLKDIFDFDLIKKFFSKNTEFKVLFDALSG VTGPYGKAIFEEELGLKNSTQNCIPSPDFNGGHPDPNLTYAHSLVEKVDKDGIHFGAA SDGDGDRNMIYGANAFVSPGDSLAIIAHHAKLIPYFKKQGVYGLARSMPTSGAVDLVA KAQGLNSYEVPTGWKFFCALFDADKLSICGEESFGTGSNHIREKDGLWAVVAWLNIIA GVGEANPGVTPSISQIQHDFWNIYGRTFFTRYDYENVDSNGADKVVKDLAAKVADKSF IGSKIEDRTVKNAGDFEYTDLDGSVSKNQGLFVQFDDGSRIVVRLSGTGSGGATIRLY VEKHTSDTKAYGQDAQDFLKPDIKLATELLKFTEYIGRDTPDILLVPTTEVLLTSRDR ESGALFSDLAQTEDFLGSHVLRIPNGNGATPGGKESMREHRGKAKQYTTINGRTVIIK DAFVYANKGFKTLSQAQLLYDSLWYPDSLEPRSWLVYYISKPLIGTVEDLKDESTISK PDSNGNPKTVPSTMDPQSGPVNTAVPRKRLIKSFNDLLGNFPMIARQMQPGLERLFKE FNHVFDKPLPPPPSANHIPDPDPEGPISTAVKNVRSGSIALGSQQENGHLERVLTGSS FLDDEEQIMRGALETAVTAAIDIFQMVDKQQLSLLGATTDLTGPIVERLIERYVAEQL HGEILYPRICAIKRPEDLELESKIKQMEFIDISQVGIPIQGGNAGKHELTLRLGRAVE EFRKLGVAGSPQQMMDILLLTLRTVTQFSDVPNGASPDNPNSEKVSPILTINADTLVS LLLVVVIRSQVRNLQARLLYMRHFIYIDDVENGEMGYALSTLEAVLLYLLRDSGSLRK ASRRNKKLWQSAANGDLHELQKIMEPERCESPGEYEADQQDTLEPWNFTNGIRNGVPQ SPTFQSRRLSQISPLAHVFPFQIQQNNENNENTPPKNEELPPAPPPRRPKMVTMDMRS MSSSSEISFRSRATTIDSMGSGIEGDTSIERLSQTEDLQGESVLMMAVNNTRPESLRY LLSLEEYYSVLAVLADANNEGTTLFSAAVQLGNKEIIDILLETMSRVDEPTRISYLAK QDIRGRSVGHYLFNTHWLIPHLGRLIPWRQKDNNGQTPLFALCRSYDHNEYSEMVESG LIAATAAQGDGLALHVDDHVDSKGNTLLHIVNGPHIALRILLRSDANVNATNEKKFTP LMVASKYGRLDMVRVLFGDPRVDIYARELRGLTAVELAKDDEVRNRIDDLVLFSGQPG EDGRITTVVRSFFVEDATIRLVLKSGAPSHDTSFTVTTCRRSLADFEHLAKLLAIENP ASWLPIISGMRSPFQIPSKPSRAVLRDIQIRLDGFLKILLSHSTFSTHEMLWEFFLVP DIQADMMEKRSKLKAEARVDRLKEEYEPIQDVKDVEQFVDHARDMVRSVNYATKSVVR RTNALRTAMLDLADAGQIASKNLQPLVFIPATHRQALEAYLDTLTPPTIHPIGALHST LASLHSTVIAILLSLSRPTSLISSITASSRSLQRNYASLSRSTRWPLGLLDDTRQRLD REKQDVIRREEGERDGLGRELRYTQQVVAGELAGWQEWRAKTLRRAVRELVEGMVVVE RERLKGMMRAVRKVGGEGGEVVSEEAYERVVEREREAR VE01_00146 MDLELLHHYTISTCFTISDLRCWQTAVPSIAVDYPFLMRGILAT AARHLAYLRPAQRDRYVAASDKHHHLGLTGYREALTEITPANCHACAAYTLMLNVYAW TSFHGSGSFFLPDLDSESSPNKVELITILRGGNAVMSVARHWVVVGPLSAIYLPWVNW DKDPNISRLPSVQSLLSRTDDSPILPHEDDARLERLAALWSAPSSDFPSPPASHGGAP SGPAPLTPEARQVLFEVLFLLRRIFVISVADNGIEAQAATLSWSIIVSDAYIAAVQQR SPMALVLLAHYCILLKRSGERWWIEGKAEELLGKIKRILEASGEGWMQWIEWPMREVG EGRVNGSVSSQASTVAGTPI VE01_00147 MSDKMEMGAMSMSTIFSTGTHVTLFFKEWATTTVSSYIATIICV FLLAVLNRFLGAVKFQTERAWLEQARQTNMLPPLRTVRNSRLLFKAKLSPLPTSMARD DDLECDPLTSPTDGELGDEWCTPKEHNSQRQCAPFRRILGDWQPSARWSVKKDGLRAV MEFIRAFIGYILMLAVMALNLGILFAVLGGILVGELIFGRYIQGYGGWQEGACHDG VE01_00148 MCGDSHLPPAPSVGDAVAIIHMRPENGDMALISGQSWRCQLSNY FATAEEANTQLMYETKPEWWRGDMKVRIYAYGTPSDSGEGEQNNPILQQMLIQYNEAN SSSVGRKLELHAGGEGIIGRTMSVEVQGRVIGEGIIGRL VE01_00149 MSSTKFAVPKLPYAYDALEPYISEQIMTLHHSKHHQAYVTNLNT ALVSQAAASATNSIVQQVHLQAAIRFNAGGHINHTLFWENLTPAAGTAASPSVAGAPR LIAALTSQWGSVDAFKGKFEETLLGLQGSGWGWLVQDVETNLLEIITSKDQDIVPKGK KPLLGVDMWEHAYYLQYLNNKKEYVSGIWNVINWTVVERRLGSDIDTVFSVVGTLAAN L VE01_00150 MRSQFHVIVALLYSVVAVATTSPRVVDIKRDVTYAGLERNGIEV FLDIPYGQDTGGANRFKPPKPYVAAAGSTIEAKSYGPSCPQQLGNWTPPISLGNITNI SEDCLNLNIARPKGACAGDKLPVMVYIHGGSFWAGDNHDPTILPDGMILESEKNGLPI IHVALNYRLGFFGFAQSDALESEGSENAGLRDQRLAIEWVRDNIGHFGGDGNKITIFG QSSGGLSIGMQIMAYGGSKPVPFQQGICQSQALEPGITGNFTIDAMRLLVDYVGCNTT DLHSAETVACLRDFDTQTLLSASLNTYVADIAHNIGDIWLPVVDGDFLPAAPSQLIRE HRFAKVTTMIGWCDDDVTFFTDTAITTPADTSAFISSYVPGVTPANIDRLLSLYPVSD FTADPAITDLSSEFFRAARIFRDILMTCQPMWYGEHIAAAGNDVYLYNWNQTILDPIL ESITNETGFGPIHTSEFAYIFGNLSHYDINGYPFNPAPEDYELRDRGSRSWSTFASVG KPGVKGRDTFQGVGKAFPSGGDEVYVFVAGGPHEGLSAIDGPDSTEVLREQKLRERCE FINSPELIEQLGY VE01_00151 MKLSDVLFGAILCISAPVRGEWTVGQGVYTPSGLVLGSAASKLP SVSVYRGIPYAQPPIGELRFAAPLPFSGNTHLPFSDSPSIDGTKFGASCPVSSYFAGK PVTKGKGLSAAGLATLAQLTKGNNDTSEDCLTLNVWTKPQTGEEKKAVVIWVHGKGYT TGSSSDPVYDGQFIVNEQDIILVTFNYRMNIFGFPGSYLNTRNIGLLDQRLAVEWVRD NIAGFGGDPARITLIGDSAGAGLVDLYSFAYVDDPIISSMGLLSGTTSLSGWDPDSLP KAERDWNSVTCDICRMGTETDFAKVIACMRNKTTAEITAAIPITTNPYGSKSFFPNND GKTVFSDYYELARTGKFIKVPLLIGNTDDETAYHRIMADVFAPSYGYGQTNYDNERRN YYTCPASERARHTADLGVPTWRYGYFGDFKDARLTTAAHGRAYHGSDVLLIFGTELVP GVKQDADELKLAKYARGAVAAFARNPKTGLKTYGWPQYNPKAKSLVQLGKDNKVGTFA VTPAAFDTGCLY VE01_00152 MVYTLSVHLYCNEDPQSIPRLKAKLVEAARIYRKDRETIDWLVM QDVHDPRAFTIVERFENEASQKYHLENPYWLTFDPYVIPLLSKPMDLRRHEELDTSKD VKVPV VE01_00153 MKFLQLLATSALLGLSMANPTPDANADVEIPADLDKRACTSLSS AKCHGSSGVSGGIYCGYCAAIQGTDWAIGNHRYYAYQINGATKSCCSYGYRDSCEKNA GSALQCPF VE01_00154 MLPRSILTIFALAVGTAASAITRANVTCTNPVIRKEWRELTNAE KAEYIRAAVCLRGLPKAKYADIDAVTTRMDDLVYSHFALNTQIHFVANFLPWHRWYVK LHEDLLRTECGFTGSQPYWDWSIDADAKDMPNSPLFDPVTGFGGDGKRTDSYEPGFQR CVVDGPFANTNLTLAMGWPDMNESGNRLHCFTREFNGGEGNDENGDIIIGEMQVGAYN SAVMNTIYAFDKFPDMVNMLEGLPHAQIHSVIFGDMGPATSPNEPLFFLHHANVDRAW AKWQGRNATRLADYAGFQDSDRTIPASITDAMPILELGDTEPIVKDYMDIQAGPLCYT YSSM VE01_00155 MLLSQEIVLPCGLRFANRLSKAAMAENLAPNHIPNDEIIKAYEE WSDGDWGMVLTGNVMVSDTHMGNVKDVAISSNASDQASKDLQETWKHWADTCQRHGTP TVVQLCHPGRQSPPGAGSRGFFEKTVAPSAVKLDFGPRIVDKLAVSLAFGTPREMTVD EISGDGGLVDQFVAAAKQCLDAGFKGVQLHAAHGYLLAQFLSPQTNRRTDEFGGSPAK RAEIVLRIIRAVRKATSKEFCIGIKMNSVDAASSASLSDTMEQIKLVADCGIDFIEIS GGTYENPKMMVDPEADSTPKAPASAAAEKTFQRESFFLEFAKTVRETFPELVLMVTGG FRTRLGMEHALKSGGCDIVGIGRPAAVIPRLPKEIILNTTDVSDEEANVTLAALQMPL LVRTFGLKQVGAGVQTAYYAEQIGRMGRGLAPVDNRIQASA VE01_00156 MRSPLPLTPLLALSSVYSLSLALPLISRPDNSQPEPVLVARANY SVVPVDGGAQGGTVTVTQTVAASASAAEVYITVTASAAVITETVMGAVATPSAVKEFQ TVYIPTTVYQSVAAPTAVAIVSTVTLSSSISASSSAKVYDNGMWHTTYPVWSNSTTTP TQAARR VE01_00157 MATPPAVEDQARLLEDALTVVRQQTHLMRKCLETPGKLMDALKC SSTLVSELRTSSLGPKQYYELYMSVFDALRHLSVYLRESHPVNHLADLYELVQYAGNI VPRLYLMITVGTVYMAIDDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDHLP TGSGDGPEGNLQDSISFILTNFVEMNKLWVRLQHQGHSREREQRTKERQELQLLVGSN LVRLSQLVDLEAYKNVILQPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLHTLDQFL AAVSRLNPHVNVKAIVIGLMDRLSAFAARETEPEPLEERKKTEEDALVKLLEDVRLSS EKKKKEEEAASAAAEGGDDKKGEPEESTDELPKYEEGAEASEDKKEDKKEEPAAPDPE DEPANGEDEAAPAKKGRGILDNIKLYEIFFDQVMNLVTAQHLPIQDTTALLVSLANLA LNIYPERLDYVDKILDYANHKCQQHANSPELHSQATQTNILSLLQAPLQSYASIFTAL SLPQYIPLINSQTYPTRRAIAGEVAKTLLKTYTKTSTVAGLESVLEILKVLIKEGSQP PAGYPGVQQRRVVETDETIEEQGWLARIVHLIHSDDNDTQFKLLQATKKAYSEGNERI KFTTPALITSAIKLANRYKAREHYDDNWSTQSSSLYKYMHSSLSTLYTRVNGAADLCL RQFVACGQIADQNGFEEVSYEFFAQAFTIYEEAVSDSRAQFQAVCIIAGALHETRNFS KENYDTLITKCALHGSKLLKKPDQCRAVYLASHLWWAVPIAAKGEEDEKGLYRDGKRV LECLQRALRVADACMDTAVSVELFVEILNRYVYYFDQQNEAVTIKYINGLIELIHSNL QTNQDAATIEGPRRHFERTLEYIASREYEGVVMTAAK VE01_00158 MASQKNEKVQPSNAKEHASKPKDKKAGKSSATLDRYLYDTTSSV QERMAYQPVDKKYRKDSNASKKAVAEHLEKIINKV VE01_00159 MSIQPIITFKAGQCEVDTSSKPYKVTPLATKGYIYLYSEDELIH FCWRPRTAQLDEPELDLMMIPTDGHFVPYEYKTSDQPTSKTNGRIFVLKFSSSSQRHL FWLQSQPQRADDPSSFSTRDQKIGQIVDSLLQGEDVNVSSELENVQNPRGGDDEDETM EDVEGHGDPSEHHRGSGGGAGPDATGGDVREEGEPSREGGAEGGRANASLSSAAAPTD AQTAVNNFLASLRGNNNLAQGEEGGEAQGQGPYTTLSDLLTTSTTIPVINNATEAQLD NFLSYLPPTVLLLAQESSAQIDGMVEPTSGTAQAALEALSVSQKRAILKRVLHSPQFS QGLGSLTIALQQGGLPSVAEALKIKVDNKAMYAQGGEAVRQFVEGIKKSVVEEGQ VE01_00160 MSATQESQKKTFGKSTREIPHHSQKASKWYPAEDEAQHKKVRKT IRASTPRSSLVPGTVLILLAGRFRGKRVILLNTLDQGVLLVTGPFKVNGVPVRRVNAR YVIATSHKVDISGVDSKKIEEISDEKYFAREASDKKTGEAEFFKQGEKPEKKKPSSNR AADQKSVDKSILSAIKKEPFLVSYLASSFSLRKGDRPHEMAW VE01_00161 MTAKAPPQAFISSYAPRLRTYANSLLTPIIQPAAAVATPSSRTT KRGTTAINYAEDGFEDYDEDDDEGRRRPTGLRSLRREETLQAKQDVADKFGKEATEPV EVQGVWREWMGKARSGKPDLQAHSQASLPLTLVPIRIDVDIPAFIPQAPLPLPSGAAY NNIDPSLPVYRTPDVTVPYRLKDIFLWNLHETLTTTDQFAQCMVQDLDLPNRQALAME ISKQIRTQLEEYAGVALHPLFHSQQTPAANGTAIKTIQASTASRDHSATPAVSGRGTP LHAPHLSTNGFSTPVKVVTQEVTASAVPISQEADDHNPDDTYRCIITLNINLSNNLYT DKFEWSLLHPPGTAEVFAKQTCADMGLSGEWVPAMTHAIYEAVLRLKKEACESGGLVG GYGGEIPNEAVHGSEAGWRYDNEHLADEWEPKVEVLSKEEIEKREGDRERQIRRMRRE TARFSSNTGMLGGMPQSGGLGFFDPGEPTEERMGRGERSKKKRRFRSLSPLGRSGTPG GRGTPDTGSGVAGYGGGGGLNDYERTTWRCAHCRVWGTAVWGVRDGPAGTRTLCNNCG YLYERDRNLPAWTKDLHKSDLRATDYR VE01_00162 MAPSRKDKKSGDTINSRLALVMKSGKVVLGYKSSLKQLRMGKAK LVLISGNTPPLRKSELEYYAMLSKSPVHHFNGNNLELGTACGKMFRVGTMVVLDGGDS DILSQVA VE01_00163 MTSPSPDALLQLPVEIILGIVKQLEWQPSDIGSLLCSSKTTRFI LKSHEEHLSQQFTSHLYTPSSLDPILASTIPPQPILDPPSTAASQPKRRRPQKFTPPL RFPYTYPWTAEIHKRNAIFCILSTSPLLSITPPRLLSLFETSTRLCANCHHGGITAFK SHGLNTLLKLSDARVTAHTPISSDEKSVNGDDLGRRGQEAWLAQQDALALASALALVW VASTVFEYGERSTWGAGGCEHNGHAASATSGAPESSDSSLVERQCIYRELALAHGPYF LWCSVRGSEAERKWVKETLDEGVEGLREYENGGSGAGMRSLQSVVLRRFADLKGCQVW EGWDEVFSCVGEEIVRCRARKGSC VE01_00164 MSSPPYNNTPLWQLPVDDSIPRNIYSYSHGQGPLGLVDNSHFST GRTSRHPNAIQPDPPISLPGITLLSTPKSTNMFSSLKASLRRKKSNLSTSSRNSWNSA DEGEASRAPPSPVSPISPVELPATQSTLQPPERSELLNPSPPTRRPVRAGFNAFTVPS NEPPPAYTPSPTAESGPVLGPSPAYTPPASITTTSAGPEDPYSFLTTFDTVLLIDDSG SMAGRSWRETSQALAALLPTIVAHDTNGIDLYFLNHKSSDPGVPTEGIAGGGYRNITT AERITSIFAAVRPGGGTPTGTRLSAILKPYLGHLIAQHGVSALNTDAMDAVKPLNILT ITDGVPSDDVESVLLVAAKKLDQLEAAPHQIGVQFFQVGNEEGAKEALSELDDGIQGL VRGGVRDMVDTCTWMGGPAEEGEAPRLTGEGMLKVCLGSVVKRLDRRRCSQEQRR VE01_00165 MDTKSSRTRKIAQKPAQKATNKGDAKPAHKAANKDDAKSAHKAA NTEEPKPAPSPEPPQTLLVDKEEVNLIDIRATGDILLDITFTNSHSTRTILALNSALP PRLSPFSKPGLPSDRTLFRVRLDTLTKTSAYFSRLLTDARFQEATKIASSFAALKARG VVPTEAQPADLPRVAITDDDDATHVGGRAPILADLLHILHSGDATSKLSIPYLAILAV MADRFDCAATVGRYVRGAKRVPWPQTYGTVSFASEELLRQKMLVAWLLEDRVKFAAAT KECVFRGSARWGGGGGMQSGQVGVWWDLPDGIEAELHYRRTCILHTIASLQSHFIRLY SSRDRQCKMFYDSSAACDSFQLGEMVKFFVNKGIFAFTSPLLVNEEDYPEPYDGDIEN LITALRQCPSYQYDKNHAHCGLRTRLIPALDFIQAMLASGVGIDRGNWKAERPSTSWE SVEGVEPFRLTKSVATDSRLKLEGFLTSSALSKRFFGAGSWDWTPEE VE01_00166 MEYLLRFIQTHETFRLPEIQALADLEGIPMEVISYSLDSPFCTL KLPDDDSARRLLSRSITAKAIYALWGSGPTYPTLHESVLATSTPWWPQFQGQSFKFTI DSFQGSRTHADICELINSFAYMSFTGPVALKNPDMRFCVLEDWAFDAQAHGSSTPQHL YLGRLVGTSQREIVGKYDLKKRRYISTTSMDAELALITANIALARPGAIFYDPFVGTG SFPVACAHFGALAFGSDIDGRAIRGKGGRNLRANFAQYDLAPGFGDSFVADLTNSPLR PGRYLDGIVCDPPYGVREGLKVLGHREKRVGPVYDGPDAHHLQPGYVPPKRPYSFSAM VGDLLAFAAGSLVEDGRLAFWMPTANEEEVRLEVPGHPDLELLSVCTQSFNKWSRRLL TYRRRGEGEVRGALVGIDGTKVGGGEVEGGVSADELNPFRKRYFEGFAAKGGEKGGFA GAGVGE VE01_00167 MDPTLSNHLDVELQNDPDDQISQIAQIAHANQNATAIDSSAPSP SMSQPQQSGAQSSRQPPASYPSPTSYPSPGLSAAQYSYPAPTQQLVPEPYRASPTGSN SSISLPSMRTLDPHQQAQQQQQQQQQQMQAQQQQQVQQQQQQQQQHAQHMGSQLPPPV AQMGGPYYHNNQTLPHPSHQYSNVTSDPTGQNMRYALPGPDNRVMSGGRHKKEIKRRT KTGCLTCRKRRIKCDEAHPACRNCQKSKRECLGYDPIFKQQPGPSPIQPAPSLAPLQA ATLATANPYGNQPSMLQGYGAQVPAMAFDASLSAGVSSPGSAQQFDYSSAIDPALEAV AAPQGAPQFTQGTEYFYGDELARSSPFFSSSPPSSSPPTPCPPKTSGYTNPLQVGGRS RFASPPTSDSQVAHSQTAALQAGDTGVSKLRPLNQPQLSKSNSQSTSCVNDAPQVKDS NQKASISKEQSKEQSSGRNKRQAPRTPPKKPGKLRVDNFSPNRLLALLSNDGSADLIT TAKPCKVDDLLLKLPSKAEDFKPEQATIDEIKHLWLSIYVNGLESFLESRFYYTDGLE YLLKDKIVMEQFAILLNQFAKATPDNPAEMLYTASIEGRVVWSLACLVRSAAEVASAT PAPPRLDGIPPAEDPIEAAARLAVFENLVTSQIAEGNSLTKPPATGDYHKLREMEFWF HLGHFVTLRLHDNDPGSAKEVDETLAALRSLLDGRENRDVLYSIAIVRAIGQRVSEYV ESEAPLHLDEQDTRSKLMVAKRFVRDEGNGAGTTNVIRRFCELASRPWNP VE01_00168 MDDGLDEFEKTLAAEKKAREDEESKSKSRSDRHRHHHRSHHHKS RDDDDSERRHHRRSRDDNDDGHRHKRRHRDSSRDDERKRRHRDDSSHDEERKRRHRYE KTTDPKEDLPLPDEEIPQTDAPLGVAPPAVKRDAWMTAPGALEVDYTQRGAKKEEKGT VEEKMEIKIHRNELNHQLKDVRLEESEAVDPEDEEREVEYTFGDSGAQWRMTKLKAVY REAEEGKRTLEDVAVERLGGLREFDDAREEEVELERRKLYGKGYVGKEKPSGELFEER RAAMAVKKQREEKEAEEAARDLPQGRVIEEQQPQAPVVRVDQTQLNRMKAQLMKAKLK GSPDVAKLEAEYNEAAAGLLARGPEVIVLGEMENRLLAGTRGEVVSIDNKRGRERGLV KSNEDMSIEDMVREERRTKYQAGGEGRKFAERIAKDTKFENNLEYIDDNTAKLAKTAP KSEINLKNMAIGEFQKMNRILDACPLCHHEDRNQAPAAPIVSLGTRTFLTLPTTPEIA DGGAVIVPIAHRTNLLECDDDEWDELRNYMKSLTRMYHDQGRAVIFYENAAAPHRRPH AALQAIPLPYELGDTAPAFFREAILASDEEWTQHRKLIDTGKAAKGGMGKLAFRRTLA KEMPYFHVWFDLDGGLGHVVEDANRWPRGDLFAREVIGGMLDVEPDVVKRQGRWVRGG DVERMEGFKKRFRKFDWARVLTDGA VE01_00169 MASQTPVSIVCVGMAGSGKTTFMQRINAHLHEKKTPPYVINLDP AVRTVPFESNIDIRDSVNYQEVMKSYNLGPNGGILTSLNLFATKIDQIVTLLEKRTLP DPANPDKKPIEHILVDTPGQIEVFVWSASGSILLDSLASSFPTVVAYIIDTPRTASTS TFMSNMLYACSILYKTKLPMILVFNKTDVKDAEFAKEWMTDFEAFQEALRAEEEEGSF GGVEGGVGVGGGSGYMGSLLNSMSLMLEEFYSHLSVVGVSSMTGDGIDEFFEAVQEKA DEFNRDYKPELERRRKQRADEKTAGREKELGKLMKDMAVSGERSNAPEEKVDMDVISD LEDSSDSDAAEGDEGEREEGLKSRYKEAMQKSGAVTDEDNSFSRYVRSSQMGM VE01_00170 MSSREAYVPPTAGGGVPIAARDQGYTAASEGPAINYLCGDCNSR VPLKRGDPIRCKECGHRVLYKERTKRMVQFEAR VE01_00171 MSLPQISITPLLKRLWPAGVEPHVSADEIAAAISHIFTNQLSPV QIGALLTCLHFTGRDRTADVIAKCAKAMRDAAAPLDRSILAEVVKRKDMGEGNYKGGL VDIVGTGGDSHHTFNISTTSSILASSLLLVSKHGNRASTSKSGSADLLASTLPVPPVL LNVRPDTIAQVYEKTNYAFLYAPVFHLAMKYVAPIRKELGWRTIFNLLGPLSNPLEGV IEARLIGVARRDLGPVFAEALKMTGATKAIIACGAEDLDEISCAGDTYCWMLNETVVN GEKAIDVDHFIVSAADFGLPAHPLSEVSPGKEPVENAAILMNLLNNKLSRDDPILHFV LMNTAALFVASGICEADTSNMGPGDDGQVITERGPGGQRWKEGVRRARWAVESGAALK QWGHFVEVTNSVGEKTPEPWV VE01_00172 MPPRLHRPSRAALTSLLRTPNTTATTTLLPRQYATATITANTSS HPSLPPLKSRLPTTQPISLKPAQFRKTQLLRQYASLLRSTPLLILFQHNNLNTAEWTS LRRELAAALAKVDAGLALAGEPVPSGSAVKLQIVQTGIFAAALRVVEYFDPSAVGSGE ASHTLSKAAHEAVVGKRTSHGFAPLLAGPVAVLSFPTVSPAHLKAALTVLAPLAPEYP APTRKAHPGWHDNAVQTGLQKLQLLGARVEGKVFDMEGARWVGMIPGGLDGLRAQVVH LLQSAGAGVTNALESAGKSLFFTVEGRRMMLEDEAKGPEVKDEVKAE VE01_00173 MASPLIIRNLTSTPIELKLIERFQAPGQAPAKTEEKSNNNESSL GSLAKNFTTLMNNVTNTIQSPTNLELAANAQSFSHKDVNIRIEPFEVCKTDIPLKERD DHEIMRLTFENAGQRYRLDVPSPTSKSTSLTPLQQNSQFEYTGVYLPQSSYLSLYSSA RLNSWMGKLKPQTPLSALSIPGTHNSATHYLALPSVRCQAVSVTDQLNNGVRFLDVRV QPENLEDPNADGLILVHAVFPISLTGNKYFRDFLNEVTAFLDQNPSETVLMSLKREGV GKSTDQQFSKILHDHYISKDKGRWFTDNRIPRLEEARKKIVLVRRFGVDDAVKGYNNN AGFGLDASSWPDNCEDGLCVGGTIRVQDFYEVEESVNIDKKIKFCHTHLEKAGSLVCP LPGAANAAQAPNPFFINFLTASNFFRPGCWPDRVAAKVNPATVDFLCRRHNEKEYYST LAERRAIGDGSTGIVVCDWVGEKGDWDLVRCIVGHNAKLELRENK VE01_00175 MAAVNHTSKTFTLNTGAKIPAIGLGTWQSQPGEVEKAVESALRS GYRHIDTAFAYQNETEVGVGIKASGVPREQIWLTTKLDNPWHKRAAEAIDASLKNLGT DYVDLYLIHWPCSTNPNMKEVYSDWDYVDTWREMQKLVETGKVKNIGVSNFGQKHLER LLADSSCKIVPAVNQIELHPNNPSPKLVAYNTSKGIHSTAYSCLGSTASPLHKDATLL QLAEKKGRSPQQVMLVWNITKGRSVIPKSVSADRIQANYEIDGLELTEEDIKVIDSMQ GRFKVCDGSFLPQNYMEKVFYHDDE VE01_00176 MSSGFVSGGTTDAPIERSDEWLAAQVELEANRRRKADEAAAQNS GRSLFETLEANKAAKQDAFEEASRLKNQFRALDNDEIDFLDSVLESTRAEEARVRKET NEGLEVFRRRQEELDKAAKGETGAETTTAVADDEWATAAKKRKRGKEGALKGLKLRKA SSSDSATGKQAGATEDPGTEGASVKVETQKGKNDLASPHKGAEAKPTIATATEKLPVK PTPEKAVEPKPALGLVDYGSDEDDDW VE01_00177 MGALDALNPLKVAKRRSSNNYSALPFHESSRARDSIDSIDSEKQ NIIDHDYEVSSDDYDSSLPISPVTSSSRDTSGSYDSAQPMIKRRTILRTRQRCFAYRV PQRIIRYLCFLIMLGVLAFIGFLIAMSIIDSKKLEDMGNHRPEGAKQWESFPFSVRYY GGIKALVSLAKNKPEYPRDPEDETELLNITEVMSSKLGIPSSKPYNPYPDYNSSAYLQ EYVPVKECFLDAKTNARIPPLRYYEGRPAGFADHIMGSYDMMGLPDDICFDRYGRLGP YGHGYSLRKGGLASGMHGDMDGADQVWSEVPQYDFTNVDWAEAQLRCSISNAKRFRSG AGGVTRNNKRAEPAANVIAPVTTTASADSTSATATQPHGIGAKLVHRTAVVIRTWDEF VYREEDILWLRGLITELSLGSGGEYDIHLLVQVKDESIPVFADEETYTKHLKERVPAE FQGIATLWSETQMVMLYNGMEETNFRNLPIHGVYRGLIQALQWFAQKHPEYDFFWQWE MDVRYTGHWYNLFQKMDTWTKAQPRKFLWERNSRFYIPAVHGSWEDFSHMVRVQTESG TDSPGNIWSGLNEDGQSVNNGPKGDKPIWGPERPQHAEDWFETENDPVPPRLFKDDKY EWGVGEDADLITLNPLFDPDYTTWLLAEDVTGYNRTNGLPPRRASIVTASRLSRRLLN TMHRETAIKKHHAFPEMWPAQAALNHGYKAVYAPHPMFVDRVWPLPYLGATMNSGRNG ATGASKMGIFGEREHNLLGMTWYYNSGFAGNLWRRWMGLKVDELGGEKMEVGEGSEGR MCVPPVLLHPIKGVELPVEEVRVDKGGGDAMGPGA VE01_00178 MDNSAPSGNNKHIKEFPAIDNKLHALTKKSLFEKQRAEAEAKRV REEAETKAVYESFVKSFDDDEGGGNPNAYPVDGGGYGRGGGGGGGGYGRGGGGGGYTR GQQSGGYNDRGGRRFGGPPPPPMRGSMSGSMSRPGSLGPIPGPPPRKRGFEASFNTSS STGSRRSVGGEKGDRGALLGFDDSPPRATPRGFGASDDEEEDDTAAGRTAGGRASGGR AEPALAKPTLRLAQLPPGTSTAAIKALIPGLTVDAVKILPPPPGGVAGGERRSMTAIV TLSQETAANDIDAAVHALQNKYLGFGFCLELHRHLSSAALESSTVGAGSGRGAGSAAG AAAHPFGAKPVVVEGAPNTQQFAPNQYRGIAPPTSYASTPRGPLGPILHVPVRQPSDI KELRVIHKTIESLLTHGPAFEALLMSRPEVQREPRWAWLWDARSGGGVYYRYRLWEMM TSSSPSSGRYVPLFEDSAAWKAPESGLPFEFVTRLDEFVEDAAYNSDDDEEDSGDEAA AGRGKEDEGGPGYLNPLARAKLTHLLARLPTTTGKLRKGDVARVTAFAITHAGRGADE VVEALVANVAHPYSFTSANPSYRPPPPANGVEGKEAGEKEDTTPATLNALHLISSLLS SCSTSRIRHAWRYRSLIESALRRSKTFEYLASLERRMGWGRMRGEKWRRSVGQVLSLW EGWCVFPAKAQEGFVEAFKIPVREEKEVEEKKGGGRWKAVERAVGADAIAGSGGGEEE DVDGEPMVEDEDEDLDGVPMEPSDEEEGDVDGEAMVVDADEETYEPPPAEPVVVEQPQ QQESEVEKPVRKRPKAEDMFADSGSEGE VE01_00179 MKFISPSVAGLVSLLASHAIAAPGGNGDNGHGSHGSSPSSRLDK FVAAEKKIALQGVLNNIGPDGSRVPGAGAGFVVASPSKVDPDYFFSWSRDAALTMLMI VDEFAFSGDKKLQAKIEQYITSQAVLQTVSNPSGTFLPQGLGLGEPKYMVDGKRFNGA WGRPQRDGPALRAITLMTYSKWLMKHGQAKKAKNVIWPIISNDLSYVGQYWNQTGFDL WEEVNGSSFFTVQTSHRALAEGQQLARDLGVKCTGCDQAPQVLCFLEDFWNGNHLVAN INTNTARSGLDGNTLVGPITVFDINASCSSPTLQPCHSKTLANFKALIDSFRSAYSIN KNIPANSGVAVGRYTEDVYYGGHPWYLLTTASAELLYDAIAQWKTQRFISVDSTSLSF FKDLYPSIKTKIYKSNTKEFTAILAAITTYADSFVAIAQKYTPADGALSEQFNRTSGA PLSATHLTWSYAAFVTMSRRRAGSFPPTWGSSRAASPPKTCSPATSSTQGVYIPALAA GAPNVTVGCQIQVGINLNASTYYGENIYAVGSSDDFGAWDIDNSYPLNPGGYTAERPL WTLSAYLPAGQEISYKFARQQDCGQPWVYESGNRTLTVPPCGGQAVTIEDAWVGPVGT SGGC VE01_00180 MNSIQTHPENAMQAKTFIAPGSLSFPGGAGDLTPPSSEAEKMNG QQKQGANGGQAVQANGHGVTPATPAATPGATQGVSGIVPTLQNIVATVNLDCRLDLKT IALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARI IQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASKHHNFSSYEPELFPGLIYRMIKP KIVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFKKV VE01_00181 MSILQAAAFSVLLLGANCMTLSAERASTVETRATWKFLGCYTDN VSGRALPNAVSVPGGSAAMQNEACQTACMAAGFSIAGTEYSGECWCGNSVINGGGPAP DGNALCNMKCNGNSAETCGGPNRLDVYSSTSSPSTTSSNTPTSTPGTTGKRGLAYNNN NPSANAGYANLFKGYSKVSWGYDWGYPSWNLDASFEFVPMLWGLPSGPDPKWTAAVQT ANTKNILGFNEPDLTYSASSNILPANAAAGYKTYIEPFAGSRGIGMPNVLWNNVGSSS GGNYNSAQWTQYFLGNCTGCHFDFAAIHYYQDCFPANGQSGAEWFMGNVTDAYKTLHL PVWVTEFECYGSEDQQIAFLQQVLPWMDAQSYVARYAYFGVFPGYLLNAAGNGLSKLG MAYATV VE01_00182 MHLAGVAVFSALVLGAFSEHLKIPSVDAIVEKTLERADNYVHFE GANSTDSTVSKRQGASYWYENIAHQGKSAFGPGGYTVYRNVKSYGAKGDGVTDDTAAI NAAISDGARCGRGCGSSTTTPAVVYFPAGTYLISSSIIDLYYTQLIGNPNNVPVLKAT SGFSGFGLIDGDPYFTQDLNWGSTTVFFREVRNFILDMRNIPASAAATGIHWPTAQAT SLRNIVFQMSAASGTQHVGLFCESGSAGIITDLTFNGGKIGAQVGNQQFTMRNLVFNN CVTAIQQIWNWGWLYQGLSINNCQIGIDMSTGGQASAAVGSVTVIDSTITNTPIGILT AYDSTTVPATANSLIIENVVLNNVPTAVKVSGGPTVLAGGSTTIAAWGEGHRYTPQGP ERFQGSFTPNNRPASLVSGGKYYVRSKPQYETLPASSFASVRSAGATGNGNTDDTNAL QNIINSATSAGHVVYFDSGTYKVTKTLVIPAGAKLVGEGLPIILSSGSFFNDMNNPQP VVRVGTPGATGQVEWSDMIVSTQGAQAGAILIEWNLATSGTPSGMWDVHTRIGGFAGS DLLLANCPSTPGSTNINTNCIAAYMSMHITASASGLYMENNWFWVADHDLEQGGTQTT IYAGRGVLIDSAAGTFWLVGTSSEHHTLYQYQLANTKNIFLGFAQTETPYYQPNPPAP APFKVVASLNDPDFAASCAGKPANCADAWAFRVVNSQDILLYGGGFYSFFNNYVGTCS APGALDCQTSIVSLEGNLRNINMYTLNTVGSTNMLTSNGVSLASFSDNVNVFQDTIAL FQLAAQNGGLPPTSTVVPSTMSTVTTSSPTSTAGPGGWKFLGCYMDNVSGRALPLGIP VPGGSGAMTVEACTAGCHAQGYVLAGLEYAGECFCGNALQNGGAPATDGNAGCNMACN GNKAQMCGGPNRLDLYTYTG VE01_00183 MSDEINEKGAAQVKEGKTAPKDWNLQDVLPKNPEPWYKQRHLLL LNLAMVVPALSSTTNGYDGSMLNGLQSMNQWQDYFGHPTGTRLGSLGNGTIFGQILAL PIVPWLCDHTGRRFPIFLGSALLVIGAILQAAAQNYGMFLASRMIIGFGGLIAVEPSP LLISELAYPTHRAVITAYYNNLWYLGAILAAWVTYGTYFMGPSNSWSWRIPSLLQGFM PLLQVLFVYLLPESPRYLILKGRHDEARKVLVKHHAGGDESSPLVEFEMKEITLQIEE SQNSSGTGYGEFLKTKGNRHRLFLIIVVPAMMQISGNGLISYYLHLILDSIGYTSAPA QLRINGGLMVYSFGISVILASFVEIAGRRRLFLISTVGMMCSFIIWTILSAINEQRAF KDTSLGAGVITMIFIFNLFYNMGLNGPPWLYVCEILPTHLRAKGVNIMQLSATCVLIF NGYANPVAMEAISWKYYIVYCCVLAVEIVLVYFFFPETKGRSLEEVAFIFDGDKAFGE NHSTEAIATKEIEA VE01_00184 MYMLFGPFNFLALTHIYLAAPETKGKMLQEMDEVFDKKRKAWHG PRARARTTPRRA VE01_00185 MSGVIGTAVYKRYFDNPVSSVQGAITASMPFGFVFALISSFIAD RYSRRSAIQFSCIIWIIGSIIQCVCNGIPMLVVGRTISGIFIGIASTIVPVYQFKIAP KEIRGRIYFIQYSESFVGGGPNDHNQPELAFRLPWGIQMFPVVLFFVGLFFLPRSTRW LASKDMWDEAIQVLADLHADGDMDHPKVLADYQEFRGRCV VE01_00186 MRLLYLATLATLLAQSIFAAPAPAPALYGRPIEARTLNILETTT KHRLSIPIIDGTNPKYKYAFYSIVETFHDVSGWDIKGDILDKAGKTAAVNNVEMEGSC GGGNWE VE01_00187 MEMEYIVYLNNAGKSSVNGSLSDSQHVSSPTASATAVVAKKPGK RQHAYPRKRAIQACTICRIKRTKCDNSRPACSKCASLGTECNYQENDRSTFDLASLAI LQRLDDLDELLRAKSTTSIVLDQDASQGQGFLSLSTPIAAQPLSLERPADWRPSYIHI ETVLSWSVFVDLDFTERPNLRSLLRSDKSHATLPILPIPADFGLHTARQLLQKFLDNV HIFNPILEETIVREYMLATSLNGLRRDAQSCLMLLIYALGSIANPYEKSPRMMSYGFR QSTEFRQAESFFVAAQNRMGLLLCGSGVIEAQCFFLAGVYLMATLRPIEAWKIFVQAL ACCQTFSSNLAIPNARTDDNSRLEQTIYWTCFKSELELRRELNMFENRVWDLTYPALF PTPPEGLKSQDEVVWYFYLAEIALRRLGNRILNYVYCYYSSIDSDSNNNAILDFESQA DSWLQSLPTALSIETVNPEHKKYAALCFILHGHLLDCKEMMYWQAIVDTVHRRQCGSS TELFVRKGLLVCVQRVQINEEGFYHRHHGTWLMLRSCTRSALVLLAAARCPELVPTLP EGWEEAILKVVDMLSFWKDESMQVLDMLFILERLIEVVAPEILKNR VE01_00188 MYPARVLDPKSGNVFATWHLFSKREKENMMIYIAGIMVYKFGLE VFVGSFISLATNRYDYAARIGGYAPITFGRIGLLQGVNQAAQAFGAILVAPLVKRWET RIVLSVAMLVFALFTTLILIVDAATGGTFKPAGASLTDFSYYGKYNTDGMIPVYAVTG IVYGMVELIRRVIPRDIVRSDVQKLRRLDAIVHIFYETTGTAGAFITALLLIPNLGNN MAIIVSPFCFAAAAMIWWFVSTAEHPITLPRQRNALSPSYLSLLAQSTQLFFASIYNG GRILFTSRKFIWLLPSYSLALYAHRYLENNIAPIVAQRYMGNSAWAQIMVGGSNFGEL TGALFVFLFTNLVTTPMPWLRFDALGLLIIWYLAFWHPSYNNVSQAWVVAATMMPMSF GWAAGDISLSAYVQALLHGQEHERKDVGALVTVMAFLYSTYIITYSIASPILGSYVDR VSAANNGDVHSAVFHVGGVQFTVIACMVFLSSFIPRGAFSFNPQILEGEDLERYRERD MAERPQEEVTKEGGARTESMQGLKVGDDDWFFDL VE01_00189 MHHHGAFHFSEIINQLISTIAPFSGLILTITACVIAIIRLYLLD LVIIPKAYSPKLLQSLTDGQRRSFVNHHVAAGTKIVLLFITAYPLLAIFTGHATPHTH FAKGSSTTLGDVMVVSSQIFTAMYIFELFYRDKVSPISCAHHVGAIVIAQAAVAMSIN FDHESDAVFEFLICFVWGAFDVFAELWPHIAMIIYRIHNSNHLLLSRILYMTMALELV GTTVETVVVMWLFGSLWEKWTLSLKIATPILHILFSAAQLWGAWIFYKMGKEQRLKYE KDALVSPVEEC VE01_00190 MAGSLMMIMYSASGNQHVTISPRIATGNSEPTFTTDVQVEVLDG SSIQNDFFVMNALCRGCRSWNGGSLNLSTTAQPFIYAVGPNGVPISSDSQTAGLRRHD SFGHFTMNMVQATGPGGVPLASNITNGATLLGSLVKDGDKASKAHGFLMALVALVIIP FDVIIIGLFKWPLLHAFTGSFVLFLVLTAMGLGIYVSTEYNRSNHFDSAHQVIGFLSV GGLLVLASIGIYLRRMQKTADKSDQAGPKNSKFTSIHTWGARCIWLLLIINNGLGMQF AGTDRIIVIVYSLLAVAMALPMLLIYFCIFRRSRKRKEEEYNANGGFQLSDL VE01_00191 MESEDDSINVSTKSTLEKTEKTEKTEKTEKTYLESDLGLSRLAL ASDSVTFTRRKAHYVPAKQSKLKNSLLVGVAFLELGNAGDFAANVWNDIPVPHFVVAL MAVGGTLALFLSYFAFKDAKLGWCNLVHLREERRDLQRQKTHDLEDGQIIQGLDARLN VSFREIGTESISRVGLDVCMGVGGIIIGIGTFLAIGGANRRVWHASNLMSGYIGNVPL ALYALFNGSWSCYVWMKARQHGIAGATALDMDMAAALLKRRIFTVQMYTAMVGVTGIA AGAASLISATMWWGYVILIPVIISSISCNYIWRKKIAYERPLVQQRTLGLSKISLLRE LESIIAVQRILKETPSEPLHKLVSDSQSITSVIEFIIMNDLFEDFCVRLLDDTHLSEL FGIPNEEITINSELLLTADNSYIPHILKIAQTCVSEVGPIHFQYRQRYLLEILGSYLC VSQAGTSETTTLEKC VE01_00192 MLPPTLSNAALLLPLILSASATPFAASRSAKSPPNCRFAHDYTQ ASILKNPEPFAQDLLYWEGKFHADNVGYNGNNGMTFDGTLLDQVTGLPTKKNAFSAAS KESLQFMLYAHAISGSADAARFLSPDKPSAAPKIAADILEVKLKTYLKFNETYPGFGG LLPWYANAEGSDSIEPTWDWVNRIPALDNGELLWAVYGAIQAMEKSSEKSFQQLARKW QKWLDFTKTTLPIMFYNGGGRVCASTAIANQSLPVSHPNQTYICENPTYILDDPYEGE LVTWWLHFFGGFSSAEKLKLWEVKRAKMKSVDWKEGSKGPVTVLQGYWFSAHEPWKVL EMPYFDVDIVKQVYRNGEVVRTCHSAANKIPGMYASVNNATDPVTGEIQGYISATGVQ SVASQKVTESYMITPYSSYPVMLFSKKVGLAWWHNMVGSKKGQNQYGSTEGSRIDGTL VSSFVSWDSKITTVVAMLGGVQDLVRDRMRSEGIYDEFKSVLKREYNMVFKNVKGKNV DFCLPTAQIPDKGL VE01_00193 MERSSESTPRKLSKLACTRCRLRKVKCDYRQLDTDTNSITAAIP TGTCSNCLAAGIECAGSSQPRRRGPKPRSRARENPYYNADAAPNSPTTPGLDDHDYGE SQAIEEDNDNRHTLPATHLSPIAQGPASSPHSWVDGTVSSSRTAVLGAHVQSPLSQVA LPASLPPLYDVYQDLVITLSRVLPSYSFETIAKKCVDLFFEYLFSLIPIVDERNLRND LHIVCATYGAVDVSSPVSSWSTAGAYESNHVSTATFRDFTTLAIKLALVTATCAEAAF MIPSQLFPEGPLISSAFLLVSRKALHFYLESDLDHPSATSLATRYFHSNCLHADGKSR VSWHIFGEAARLAQTMQLHSEASYQTLDPTEAELRRRCFWILYIGDKSAAILNGLPIA LHHLNFVSEVTVAYPTEVDNPVFDPQAEDSEPRSVLTGFNFNLRLWAAASALLLEVRM RVQPRNGAIIGVDDRATISRLYIDFMTILDALPAWLSLEPSASEEAGRCPKEFCIQRV NLRISFHCLRMVVLQKLEVAGLGMEASTALALALRETEIARDMVRVVRQAPFWALQVN GESCVEKIRLIGATLLEAMHMHKESPLATRARAEFLVLLDVLARLDSRASDALRDGSW SQE VE01_00194 MSLPTDFEWGFATAAYQIEGAVAEGGRGPSIWDTFCHLEPSKTK GDNGDVACDHYHRYKEDFGLLSSYGAKAYRFSIAWSRIIPLGGRNDPVNEEGIEFYNN LIDSLLERGITPWVTLHHWDLPQGLHDRYGGWLNLEEIQLDFEHFARICFSRFGDRVR RWITLNEPFIIAIYGYATGGNAPGHVSNTEPWTVGKSLILSHARAVSVYEREFRATQK GQIGISLNGDFYEPWDENDDRDKAAAQRRMEFHVGWFGDPIYLAQDYPKAMRAQLGSR LPEFTDAEFALLRETKDLVDFYGQNYYTAQYARHREEPAHLNDYLGNVTETQTNKQGV SIGAESGIHWLRSSPPQFRKFFSWIYARYGRAIYVTENGCPCPGEDKMTKEESVNDQY RIDYFEAHLDAISQAVKEDGVDIKGYFAWSLFDNMEWSDGYGVRFGVTYTDYETLERT PKRSATFLKETFKTRLAGVNGHETNGHATNGHKVNGTKV VE01_00195 MAPAAAHTADAIRPPIDIDSIPPFYKRKNGILLYFLLTSSLFSS MALGIDGSMTNGMQVLQTWQDRFGHPEGSALGFFGASTAIGGVIPFIFFNWVSDVYGR RWPTFIGGIIIIAGVLVEFFATSLDMYIGGKIVVGVGSSFIQMGAPVLVTELSHVKER VGVTTFYNTGIVLGYAVGAWVTFGAYRIPSQWAWRLPTLIQVVPSAYQVALIWFCPES PRWLMAKGRPDEARKILIKYHGEGQETSPLVAFEMEEIQQAITDDKERNMTWRDFFSS KGNWKRIALCMCTAVFSQTAGNSLVSNYLTQILKDTGLKTEFEITVVNACVTMWQWVV SIGVTVFINRWGRRKFFLTGSGGCVIVFIVWTIASQQYIDKGNLACGRLVLACIFFFQ LFYTIAWTNLIVTYPLEISSLRMRAKTWSLVLLTIQVSSIFSGYVNPIGLKNISWKLY IYYDIWLAIIFLVVYFFFVETAGPTLEELSYLFDGKEAQEKATLEIKEKNELINEEGT VAMDEKAKDV VE01_00196 MAFMDSNLFGSNDHTARTPDVINPHHNAVGDNNSHPAIVPLGAD ATPTPYDNSFIKSSAISVAEFGGSDTDTVTQDKEMATDADAARMDGGNDLKQERKQDE EIQALARTYTTQSRVSGAEPFGRALTAHTNPDSPLNPNSPNFNARAWAKSIVEQVAAE GTGFRSSGVCFQNLNVYGFGAGTDYQKDVANVWLEAAGAVRRAFGYGRKRRIDILRDF DGVVHNGEMLIVLGPPGSGCSTLLKTIAGETNGLYVDDDAYFNYQGLTATEIHTAHRG EAIYTAEVDVHFPMLTVGETLTFASRARAPRSLPQNVSHAMFSDHLRDVVMAMFGISH TRNTRVGNEYVRGVSGGERKRVTISEAALSGAPFQCWDNSTRGLDSANAIEFCKTLKL QADLFQTTCVVSIYQAPQSAYDLFDKALVLYEGRQIFFGRADSARQYFIDLGFECLAR QTTPDFLTSMTSPLERSVRAGFENRVPRTPDEFATAWKNSAAHNAVQAEIEEYKIAHS INGPDAEAFRAHKRAQQAKSQRAKSPFTLSYFGQVRLCLWRAYRRLIGDPSITVGQLI ANIIMGLIITSIFFNLQLTTGSFFQRSALIFFAILMNAFSSALEILTLYAQRPIVEKH ARYAFYHPSAEAFASMLIDMPYKTVNSIVFNLVLYFMSNLRREPGAFFFFLFVSYLTV LTMSGIFRTIAASSRTLSQAMVPAAVLILGLVMFAGFAIPIDYMLGWSRWMNYIDPVA YGFEALMINEFHGVDYLCTSYVPSALVAGYENVTQANRVCSAVGSVAGSDYVSGTSFI GSSFQYQRGHKWRNVGILIGFLIFFNGLYVLMTEIVTAKKSKGEVLVFRRGHKPSQFK DGKGDSESGTPTSSGTSVIATKIGRPANTSDKESTLIQKQTNVFHWSNVCYDVKIKSE TRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDCLADRTSMGVITGEMLVNGNFRDAS FQRNTGYVQQQDLHLETTTVREALNFSALLRQPAHVPRKEKLAYVDEVIKLLDMDEYA DAVVGILGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAILDLLEKLT KSGQAILCTIHQPSAMLFQRFDRLLFLAKGGRTVYFGDIGKNSKTMTDYFEHNGAPLC PPDANPAEWMLESVITATDVDWHKAWRESPEFDAVQAELSQLKSHARDIPTPGDEHEN AGFQEFAAPFSVQLYEVTHRVFQQYWRTPSYVYSKAALCTLIALFIGFAFFNAPNSIQ GLQNQTFSVFNLLTIFGQLVQQTMPYFVVQRSLYEVRERPSKVYSWKAFMLSQIIVEI PWNTLMSLIMFLCFYYPIGLYKNAEVAGQTHERGILMFLLLWAFLMFTCTFTDMIIAG FETAEGGGNVANLLFMLCLVFCGILANPDSFPRFWIFMYRVSPFTYLTSAMISVAVAN TKVVCASNEFLHFVPVAGKTCGEYMKGYIKEMGGYLTDPSATDTCSFCAIEDTNKYLA GSHIYYSQRWRNFGIVLSYIVFNIAGALFIYWLARVPKTKLGGKKKTE VE01_00197 MEGVVKEFSRSKVSTPPSNEVEAEPNLHMALMSEGTSPNRLFEP VFESDEFVGPAAGQVPENSQSALTGFEPPLNHNGAAVVDNELEIEYTGPSFIFSLQGI LWVNELVGDDCFGRAVAASLTPTSLPHNRGNVGISAIHPLPSKSVTIDCANEYLVILN QHICLFDDQEIMDGIERYYSTGISPSRGWFTAINVILGHALRKRSDMENSVESEKYIG NAMSMIPSIMMSKPNQLNTGAMLSMTAYFMLLCENQTAIMILAAAIQSMILCGYDNPR RRPGQTDEDKLLERRLFWQAFVFDHDLALQIGKPPMIGPDFIIDLRDDLPEDGTGTVS FDNGVTLNILREHVSLALIKRKAYSLLYAKDAVTRRDDEVVKIISDLDFELYNWKLHI PEITKSDRPEQDEKDFGLMSLTMMHYNYYQLIIVVHSFIFQCSNLVESDDNFGNILSS VALCVGAARATVSLLDFHEDRHPFSMYV VE01_00198 MSDLIREAPLGQLLRYVTKNRLFPYPEELPGYEIPEAYKALLKP EKKPIERPLSQEQPNEEIVLQSDEPYATPDPELYNQDIEHQSSLSRHTTQQLTQERLE TEIQDAVERTTSKPIYPAKTADGIVLVDWYSTDDPANPQNWSVGKKSWVGFLICLYTF VVYSGSAIYTSSIPQVIEKFHITAVEASLPLALYVLAYGIGPLIFSPLSEIPRIGRSP VYIISMILFTIISLPTALVNNFAGLLVLRFLQGFFGSPCLATGAATMSDMVSMLYLPY ALIAWVSAAYCGPALGPLLSGFAVEAKGWRWSLWIILWAACPVVILMFTVLPETSTPN ILLRRAKRLRKLTSESRLMSQSEIDQAKLSTREVAVDALIKPMEITIKDPAILFVNVY TAIIYGIYYSFFEVFPLVYPVFYGFTIGTIGVLFTCILIACLIGVLVYSAYLHFYMIP DILKNGLRAQEHRLVPALFACFGPTVGLFMFAWTARPSISWVVPTIGIVIYAATVFVV MQCIFTYVPISYPQYAASLFAANDFLRSASACASILYAHPLYKNLGIGRGTSVLGGLS VIGIVGMFLLWIFGAKLRARSKFALH VE01_00199 MALVGFIDSLLLRCYEHPLVLCVGVIVVALLSLPMLLVRHDAQE PPIVSPRIPIIGHLINMICYKQKFYHQLGQQNPDLPIFTLPLGLGKMYIITSPALVQA AMRKKSLSFEPLTVAFAERMVGFGPNIMDLMHHPPANSESWLNAQHKPYDMLAPGAAL NDMNARVLNSVADIINSIGPEFETKQFYLWLRKSFTLATTGALFGAQNPLIDDPQLND SLWDYEGGQADLLMKPFPSLTAPKPFRGRAAIQKALRAYFRAGHDQDSDVSLVIKGRV AINRKWGLPIDDIADHELGMLFVSVTNAIPTLFWMIFYVFHDNQLVADLQNELLGCLE EREDSNGQRECVFSVAKFSAECPLLVSTYQEVMRMTNRQLGTRTVIEDTYLSHSSVGD AAGTPTTYLLKKGTHIQMPSMITAYAPATWGEDVLNFNPRRFITTREKERLQNRAFNP FGGGKHLCPGRHFAYAEILGTVAALVLGFEIVTPEGAQVSLPPIYNSLVEAVGKPLPA VQQNLLANIRRRPGWEGVKWRFVAGNGTTDS VE01_00200 MKTTTSLRPLLAAAAGARAATRAPAAAGRRAASSFTATAGRRIT AGARTSVAPLRAAVGAQTTQRAFSAGARRQEEAFDPTTVERESDEVDVCIVGGGPAGL ASAIRLKQLATAAGNDDFRVVVLEKASEIGAHILSGAVLEPRAIEELIPNWLDEANPD RFDGITPAAGDKMRFLTKKMALPIPAPPQMHNKGNYIISLNQFTKWLGERAEEAGVEV YPGFAGAEVLYHPDGSVKGVATGDQGIARDGSKKGGFERGMEFHARATLFAEGCHGSL TKGVIKKFDLRRESEAQTYGLGVKEVWEIAPEKFNKGSITHSLGYPLPADTYGGGWMY HFGDNLVSIGLVVGLDYPNPWLSPYQEFQKMKDHPLYRSVLEGGKCISYGARALVEGG FQSIPKVAFPGGALVGDTAGFMNVPKIKGTHTAMKSGMLAAEAAYEALTATEEGTVFL YDYEEKLRQSWIWSELKSVRNMRPSFHGPLKLYGGIAYSGLEAFILKGRVPWTLSHGS KTDAQATKEADQCEKIVYEKPDGEVTFDILTSVARTGTDHEEDQPVHLQVKDWKKHTK SMWPRFKGVENRFCPAGVYEYIEDEGEEEGVRFQINAQNCIHCKTCDIKVPDQDINWT VPEGGGGPKYYMT VE01_00201 MRSAAPEKAVKMPYNTRRKSLSLPSLGIHLPVTHASRAAAAAAA ANRSPPSSTAPSDQPPLKKLKQSHASSASSSSSASSKPQSHMSPPSKPEPAAKSILKY EHTPPPSPEADAVDDEGAPRREIDLEGINDDIVEAVIVQLQRTANRPHLVKELAAILS TSVKIVETSANPSAIISSRLSTYLKRSCWSALAPCPLAKELETVHPRRTYFYLTTYRH QPIPSPSAAASTSATSFPQRSIISPSLSSTESRSEDDVDAERRRQLSPSPEVDLSSPE LDDVGSSDSNGSVAPPTPSGSFSGRLTSDARAGLARNHRASSPPLEKDEKEFTQTARG MQRRQLLSEKLREGAAAASHIPSFSFSGEVKIMDLDGDGDLFGDHEHHGRGGNGVLHG FVSSPAMKPVGGVMAGMMPLLSSPASMSVLKRPFEDVEEVWSRDEMEWDSRSPENIDL DELDGMFDCFE VE01_00202 MASRKKGTVFRVTGLPASLPDDELNQALKAAINDNLEKDEPSKV TPNTAIVPSCNDNDEKVALVEFHGGVPAFLSELMVNPLGDCQVEMGDIDINFDQHFFG FTQLYALEPGSPATADIIAITGLNGHAYGSWKGKGNLGRMWLRDFLSKDLPHCRTMIY GYNSKLSSHGINTIMDYGREFLEAIKRIRHTREARCIARCLVKAVQTDERDNATIAAL YKATYGILFFGALHKGLVIDDIQRMVAGKDQHPRIELLEQIKLKSNLLLYQLTDFKNL IRDRKIVSFYEGQQTRRLQLNPKTNKWGRTGEYMTAVESSSALLELPDSTEIKIPVDA DHSQIVKFDNRNAEAYKAAVGYLKEFEQDARKIVLDRFSPASRKKPPRSTVPFDRDTK FVGRQDALAALELRFCQSNSHNRTVLVGLGGVGKSQIAIEFSYRLRVRDPQVWVFWVY ASTAERFEQAYRSIATELELPGADDPKTDVLGLVLRWLSNIDNGRWLIILDNADDIDM FQQTQGEGSQKWNDTAFPLSSYIPLTITGSVLLTTRDRRAASWLSTGYTSVILVNLME LEEADQLLRINIPEGISTSSDRAELVKELDYLPLAITQAASYISAKAIRMSVSKYLML YRQNEQSQTRLLDEESGDLRRAPGVHNSVIRTWQISFDQIKRSKPQAAELLSLMAMLD RQGIPEFLLCAQYHTPLDFEDALAPLDEFSLITIKNGGKAFEMHRLVQLATRQWLERS GDSERWRREAIEVVAKAFPGGDYGNWKTCEALSPHAIKVLKYELESNQSMLERASLLY NMAWYNWLQGRYEIAKAESQESLTTRQHLLQDDNTRIFESIGMLALVLDSQGKYDEAE AMHREGLQLRTKVLGQKHPSTLMTMNNLASVLYSQGKYNKAEAMLREGFQLSTEVLGQ KHPSTLKSMKNLATALHSQGKYNEGEAMHREELQLSTEVLGQKHPSTLMSMNNLASVL NSQGKYDEAKAMHQRTLEERKKVLGVEHKDTLTSMANLASTLYSQMQWKEAEDMEVRV METRQRVLGHEHPDTLIAMSNLAFTLKSQSRNNEAISLMEICFQLEERVLGRHHPNTE TSLETLNEWQMENVEPGV VE01_00203 MDFIQTVKRLQPNYPTCSICSLLICTHTHRFFTYHGYLEYLDST IEPAAVGREGERQIFMTNLAKAEFGFEPEDRVEWCTVCYNDGRLKLHRTLTKEGYARS LREKSGFESVEEAVAKADEVYGDGAGLGSLDDMEAVLGGRTLGYGAALGSLDRMEATL SGHTQGYGIASMSLDGMEASLRALDDMKAALSGGTQGYGAELGGFDNAETTFRRLDDM EATLRDLDDMDAALGSPTKGYGAGLGSLEDIEAALRGRIGDGAESGSLDDTKDTLWVH ARGGGGESYGEDDFTGVADEGREYYTKFDRCLEWDIRNEIIRLTRKWEEGLPGVSNNI RDFETFRQDIKDEWIRKADGYPEYPETRRTMPWDDMTWDQLQDLALSPAPKPPPPTTP PTSLRSNTPNGLTDEQYEKARRDYFIRWQVASHLKSPERKNELKVLMEASWIKRVGAR GMEDNMPWHIDFFSNPHRPLVQPPHDPLHPPPGGWVSEADQLQFRKEWEDMAFGKDGK LSKDPMQRYTLARLIQAAFITAFGSRGERQVMPWTADLVRPEPVPSGDSAGGDDGMGQ SHPLPDTSLETDYATLRSEYAMIFINRIRGEDQEEIERVAGVFREAWVQEVGVRAHDV RMPWDEVLGKQTDREVKQEEVRDVVGGDVKEEGRGRDRGRSRRRRKALLWTRRRGESV CEFHPPRKPLPKQPPPSSSTPPPPPNPLRHRTLPLLLGSLTAFTLAGYSSYIYVTYRR ATSSSSPNAIHSVPLDVSERYNDIATEFDGDVEWMEWSMGLLQLRKEMAAKARGRVCE VSVGTGRNMGFYEFGGVMTGKKGEGKGKKGEEGEGEEGGKVTSFTAVDKSPQMIAVAR EKFSREHPRVRGVQWVVQDASLPLPPPPSSTSSPSTSTSPTTSLTTSPQKYDTILQTM GLCSTPAPASLLLNLSNHLAPDGRILLLEHGRGYWGWLNNILDALAPGHAERFGCWWN REVGGILEEVEKMGGLRVVEVRRRNWGTTWWVELRRAEDEGEEEEGEGEWKGEGGKKE SVARSCL VE01_00204 MPAAFSFGIEVEYLVPYLYTDDIDPEPKDRRTIDRTPPGINDFT DPNGYIIDNVVYNRVRRTLRDVGLPAAVMPELPVGPLPSQWEPVRDESLVEDDDMADL YGSNFIPLEIRSPVLNADRASFRSVRLAIDALVSKHRLLITDTCGYHVHVGRGKEGFS LPALKNIAAFLWVFGGQLGTLHPKNRHNNGYASSMRKKSNISHHAEDLCEGIREIYAA KTARRLVYLIHWTYPDEEFESDDVFIRNMAYNFVNIVHAEGKNTIEFRQFASTTDSLH VKMWAEVCVGIVMACAERNELEFSAFLLDAADKEENRPKEVLDIAQLLSRIGLRDQAN WAQQRCEELGSRKCSIQ VE01_00205 MAILNEPMTYLAFGVVRFFQFVLALTVCGLYGVDITSARKAHHS TDGRWAYAIVVGTFSAITALIYLIPVTMKKMSILFVWDTLLLFFWIVLFGIFGKLFIK EDAEGNKDIQRMKNAVWVDLVNMLLWLVSSVAVGIYWFKHRHNRSQFTGRAVV VE01_00206 MASTPRIPTQPSVEPSQYQHFIPRFILKNFSYKSRKNGGNKRGN RNGKNQRAEDMLHTIELSGPKAKILDTTVARTLGKVDMYRDFAKTENQQGLEKQLADL ESLAGRVVAAIRKRLEARNEDVWITRLERDTLRKFLFIMKYRSSNMHKRFCPETFEDY SSDDREELLEYVRGKDFEKPIDVWFDNIKAMLELEMDPGGEWMEKIRKRAYPPDAEWF VHHTQSMYMALCTPSEPEDEFLLTENGYGIHEGPVSGRVDPSTGQFTATSYTEYHVFA VISPRLLIVLRSLILPNPMEDSLQGIREFRQTMYRICASTHTNPNEANSILADLPISK ARNSYTKLMGDSLVLLNGEDGTYRADHRFCFQFFPIANCHVNKINGIMLEESHSISTI VFGSRTGARKIFESYLSAPVESGFKTVGGKPDDSRLIFLRKLEHVAKQMGSNVVAVYR TAIYRTRNKTPTTDESDEAFARMMELTPPEERGEHMQLYMRLGGSYATLMKDMEQARN MMNMRIKFDVWSTGLNEHIRNNIRENLRHIFSQLPARRVWYYLKHIRNITLRDRSIEG SVICEGPDDVIAKVSHVIRGEAIARLMFAVVLNQISLASHPDFEFYPTIISEASWRNI SRSKQIIFSSAGSICDCGINEIEQKARLLRDKLQNPSYPKTFANLFLPKNAMIRHPLW SDKENIEMQTRFHTRIVFPGLVEKLGQEEEDELDEVLFNIAYPSPSPFLVFNNEKKAI QAYQWINSMVR VE01_00207 MAGRRDDYDDRDYQRGPPRGAPVQLAERPAPLRSRDLEDLWRRP AAEERDRQVAFLQDDYGRVDDQPLVLRERKVETFRRHTPPRARSPSMERVRTRIVDEP EVYRRERFVERERERSPSPLYDRERLPSPIRERERTSVRVVERERERRRSPSSSPEPR ARMPREPPIIRAPPIHQEIITHHRHIDHGYQPVAVPTPPPRLRPQRSRGDIRETEIDI VTGPGDTDVEIHRSQQRRYRDGRPQFADDDGIYERDRERDRLRARTDIRRSVSSARDA RDPRDRRIRPNDREADYYARKTDERAYIGEAYNGATKKWEIIDVPPGTERVRMDGVGG GSQEVTWQKYNGVRRSKFIPERDSMGSYDRERERERDIRMEMGRGGMRREREMDGERD TLEISIDRKRVSRPVAEPRNRFGDLWTEITKDLVIREAIEEMGYDYEETEYFFYVFRY LKYEDVVELVDLSDDIVQDRRNRIREIAWEREQPRPREREREMLTIEASRSRAEPMYD DDRTGGEIVFDTPRRSTRVYY VE01_00208 MGVVVLNNYLTCAFVLTYKDIIPDTYSTIGESQGACARLQPDNR QVPLAPSSASSTKSRPEATTTSLQFPTQEAVMSEYWKSTPKYWCKHCKIYVRDTKLEK ANHDATPKHQGNLKRFLRDLHRTHENEERDKDKAKNEIARLSGLGSGSSGGESSSSAL GRGPTPRVPKAQATSSQLKQQASQLAELGISIPDEFRGEMAMLGEWQVTSQRLIAPEG DGEKKPEAIGFGVRKRVAEDEDEETVQAKKSKWGSAYRKHPGADTENDDLDELLSRVT AAKVEPGVLETKQEPPSDTAATPKVEAVDSSVVKSEPDETTGIKAEPASDVPTSLAET AAATGADVKQEEDEAVGGVVFKKRKPKPMRNKGK VE01_00209 MALEAAARVALSSSAKAIASPAAISARAIRPLAVGISAHRTTGS ILPQRRTLHVSPRRPNNNSGLMGLGGSSIPSSYFQRPSLPANTIIRFVPQQTAWIVER MGKFNRILQPGLAILVPFLDRIAYVKSLKESAIEIPSQSAITADNVTLDLDGVLYTRV FDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERTTLNSNITAAINEAAQD WGVTCLRYEIRDIHAPEEVVKAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSV ILASEALRSEQINMASGEAEAILLKATATAAGIDAVANSIATGKEAAQGAVSLSVAEK YVDAFGKLAKEGTAVVVPGNVGDIGSMIASAMAVYGQVGDAQAKTMAARQLEASRKQA ASEELSGLGESPNEEMKRSMLAGFEKTTNQK VE01_00210 MSLQILSSELSNLIQESKRKHAELRTAAEHSLEELKSLRFTSEA QVAADLSQRGNFVTPFLIACGTKNAKFTGIAVVCLQRLVVSRGLPRFRLKEVLKAFLE ATSSGLDVQLKLLQALPSLLQNYGKDIQGELLASVLNICTILQASKNGIVNNTAAATL QQLVVTVFDKVVTEDGVLLEVPTIAEAPVEKGTVQVRASAFDAYRVFNDLCLLTESQK PQFLKVAGLPQTFVLELIESILTNHADVFLEHPEQANVLRTRLMPFIISSMSEKLTFP TTVRVTRILYTLLRRHLRILVPESEMAVGLLTHMLDHDSTLWRRSLCMEVFRGIFADA TLTRDIFSLYDAQPGKKKILGDLMAAFVRLSSEKPALIGLGSQSTVPSANLSNNDSND QAMLEAAGIPGIIGGSTGPYEHSAGISVQWSSMRVPCIDQLDKSDPPGIPDSYIYGLA LTCINSFAEGLAKFILPLTIPSDSKSRKRGPRGSDAAKDVIEAAENTTDATNNKADRG PSHKKGPFPLNPLLLEDHASYSEVKLCADIVESCWPAILATCSTFMYACLDADYFHGL VRSFQKFTHVAGLLRLSTPRDAFLTTLGKAAVPPNIFTGLTGPPSTPSTPGPESHGIF SNARGLLSVDSLASNASSMADRTRHGSTDFTPASLNTRNLLCLRALLNLGIALGTTLD DAAWLIILETLQQADFVLFFSSKGTGRPPSSPGFKQESQQSADSSALLANFGTEIKAV ETAASRLFESTASFPNDSFVHVVAALCILFGREDEADVKRNSIDKASLSPTANRKASY THRRVTSTSASVSSQSHGDFFALAKLGNIASINIDRLISFDPEESGWTILTSELISAL TTPAVASPIRQRAAEVLVRIVLEAANVPTSVAEDVRGPVQWRLLDTFRRALKPLQVED RKVSTSVVPTDIEAHRIILEGLKSILEHCGENLITGWDITFEIIDSVFLHDKNLDSPR PNNTSFLGTRSPRLVRSAFNSLELICSDFLTSLPSSCFLILVDTLYQFCTQDDDLNIC LTTVTFFWVVSDFISSRGGSFSLDKGVIDSIDEEKLHKKAKSDDQAVSNAALWLLLLH RLTTVTTDERLELRNSAIHTLLRIFDAYGDHLSPQAWSMCFDSVIFKMLLSIASQLSG TNTDKRTSETEKKAWIETTIVVLNGVANLLATYVHVLATHDGFPDCWQSLLSHFDTLL KLGHLDINSAVFRALRQILAQTNTDSKDSAKLSRESTDLVWAQWSRGLPLVSGSEENN QDCLIAYIACLQELYRLMRQYVDTERTQRILDLLRDATEQAHIGNYSADIEYLTPLQT NVLESLKLLRTDIKGIPGAIIKQAADFVSLAFQTRDQSAPGKKPTYIALSKESMRLLE SLITSHAADPEIYANGAVTRSLTALSMPITLKYAFKTKTKSIQPWRRATSTAIAILEA TLPKITYAKVSDENLRLIWASIIEIANGIMAADCSTVTDPATINSDQDFDIQSFISLR NLIIPSLGNHLIPDKTRRSFAESLFHTSFIHAASPSELPQVNQELLATLYAPRRGRTI DPPPSPRTKMSYVCLDQLVSLLSFTDSSPARIKLAQAVAPFFILRAGVTIRAYVADQP LRGCMPQPLSQRKELLWILKALVDLRCEPNAIPDAPGVESDSKKHLHRLYPLLAQAVR AAGRDQEALEWIGKALDQVGVEFGL VE01_00211 MGAKVPRNFRLLEELEKGEKGLGAEACSYGLAEGDDLLMSNWNG TILGPPHSVHENRIYSLKMHCGDDYPDKPPSIQFISEINLPCVNPRNGQVDPSKLPCL AQWKREYTMETVLIDLRRYMAHPAHKKIPQPAEGSTYAL VE01_00212 MPKQFVPHRRGPHRIACIALYRALLSTCRQIKVPASFNRGPVPP IKHIIRRQFRRNAHVTSGPLVVAALRVGYEAEELLHTATTGSGAAHSKILNLLRGVQA QGDAARLENAENPPLPPPPPKRKPEPYPGAIPVLEQRPLPKSQLTGRRQVPKLVSANL IPFMRFKKPQSEFLSRVLNDKIKLRQKRNDHLDRLGGLLDMGNWEQMWDEELGIAEEK HWSAATYREKLGVENALDKASEANAVLAKKMLAIVDEEQRLADIEKREWLREKRKRYR QRKRERDEADQGELPKF VE01_00213 MPRQNYEISNVGEGPSILDQMEILNAETYNDEAAVSIARSLTEL ARQRPGPAPHMFGMTGGFEAVTERRVDVPRGPAQRVEVKETKTRKVRKARGANPGEEE DKGGRRRKKQKLSEDEDGDEDDASKKSRGRPRLDTKDETAADRRRTQIRLAQRAYRHR KETTISSLESQVEKLQSTAEAMNSAFLGLYDFASSQGLLQREPEFARQLQATTQRVLE LSKAAAADQPSHDDDSSHADEKNLDNQVAVVKSESKRSKAQTLSPPEKAMPILGRPAW GNDSPSHAEEYFFQQTFNQMPLPNQLPVEGQINNLPSLDDDNFVLTETDINQLRGEAA GMNPYQFNLGIGNDQVLTSPTSFASFETSFARRLHRTSNERGFRLLTNPHSNPLILKR VFGFSLLFRTKEQLLASLVAKMERGSSKELLNHWSAPFVHVGGSGTYYPLPEEDISSN LMPKVRTGRSMGPLTTNAMSAREKHMIDNFTCSAEGFEGKYFDANDVESYLRGKGIDI APHTEYVTVNLDMVSLEGMPAAPVPSNFSTDTSNSISPRTPLSPSAMGTSNGDQIPQT QTFEEVMEEIQMTIDFTSGSMYQNAASNWANSTPPNLVNNNDGNNNSKQSPALNAFDL RGPIFDAGPDKLGSNDYDNASSSTLNSPRLVTLSVATLIYQKREFALVEHRDSGPGMS IGLYVGLLGKLSSIRNNPYYEETFTSVRIWAGVGM VE01_00214 MLSQGAHVFSHQNFGHNEAAWLNQHNHQQTHHQAQAAAAAHATA AHYNRIQATHNASVPTHLPGHAQESAMMDGVTEENRRVLVWIAELLNEDTRESALLEL SKKREQVPELALILWHSFGVMTSLLQEIISVYTLLNPSQLTAAASNRVCNALALLQCV ASHNETRALFLNAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFL LTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDHGLNYICATYERFYAVGTVLSNM VNQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAATKR CLAQLLINLSDSVVDNHHQGL VE01_00215 MSATASAPPSAVEAQGMSRREGQGSSSRRDSQSYSNPSRTQSTR SRPSAAVPSPVRAHSSNSRPTSRRVDEILPQQDYETTNVAQSSRKRSTDRTNHIRTES SRSGHTRSSSRHHPTSDMPSAAGSSSAAGPAPVVVPVEQKATRQGRSRTVIPAQTGNW ILGKTIGSGSMGKVKLARRVEGEEEVAVKIVPRGSTPDESQQQSRADRERAERSKEVR TAREAAIVTLLDHPYICGMRDVVRTSHHWYMLFEYVNGGQMLDYIISHGKLKEKLARK FTRQISSALDYCHRNSIIHRDLKIENILISKTGDIKIIDFGLSNLFAPKSHLKTFCGS LYFAAPELLQAKAYTGPEVDIWSFGIVLYVLVCGKVPFDDQSMQALHAKIKKGIVEYP SWLSSDCKNLISRMLVTDPKQRATLHEIMNHPWVLKGFGFPPENHLPAREPIQLPLDP EVVRAMTGFDFGAPELIHQQLTEVIESDDYQRGIRLAARDKGSQTPRDVEKKRGFGFD FYKRRSSTNSKDTLTTPSTEGLQLGNDPVNAFHPLISVYYLVREKQDRDRRAANPGAT SLPRSPNEAPLQMAEIAPPQAAHTNTAAYEMPGETATGGRTRARARTHGEDDVTESMK NLKVGGASGPTSPAAIDAPGGQLKKESAATSLLRRFSTRKRRDAPERNIDKHYPPAVT VRSPSEAPTTLRKSFSIRRVRERDRGAQSSTILPSSTSNRQDRDLLTPPQTADGVRAG KMIGRSTSVNSAEYRRRHEDREPKPRVTYKEPPATSGSDHSLASGARSSMNEKSRDED QTAAPRSGTNRAKSLGHARRESIQARRARREEAKEKDIPEKASLEISDNNGDSYGSGN AENARPVFLKGLFSVSTTSTKPVNTIRTDIIRVLKQLNVNYKEVRGGFQCRHMPSIDL KKVVDVPSSSQNLQPPGSSHRRRISFGGFTLGGGNDRERDEYRDVERIAPAPKNSRRA AADASYSNSDVSDDSSGRSKQRSSSRRAAGETTTHVQSDLGGSMILEFEILIVKVPLF SLHGIQFKRLTGGTWQYKNMADQILKELRL VE01_00216 MSVKRTRAAYESDLQAKQSPFVAYGTPLPPLDPDVRDDGSYVPV WKQEVTDERGRKRLHGAFTGGFSAGYFNTVGSKEGWTPSTFVSSRSSRKNGETKIIER RPEDFMDEEDLADAEEHQRVLTAGDFTALGSTEGEVMKRGGYMDLFKTEGETMGVKLL KKMGWREGQGVGPKIRRAARLGMEISSAGQPQEQHLFAPDNTPMITFIRKTDHKGLGF AGSAKLGEENQNNVVSKPEVDRGEVFGTTRPMTTKKAKKAVRGGIGMGVLNDTGSDDE DPYEIGPKISYNKVIGGDKKKKNPVISGAAKPVFISKKAAAARASLGFRKCHDGRLPL DGFVLGSGTDSVDVTSMDKYRPVEIPPDWTSAKTTTAAPGASDYVSTADAARASTLDP KSRAALLGEAPLPGKSVFDFLSKSARDRIASASGKTNLPAALGEVPEGYVPPPERRRE SPGQIPNIDIDVAVAALGRSGSGWMPYSDNEEKRLRYTIYLEIQAGVRDGLPEKEPGV AKEDWIRELQEFAHSAQIFKPMTGMMATRFTSSSSAPTASEGASNKPGTLLSKPQPKQ EDPAEAAAKAGMFGRLTRSEEDFYPTRLLCKRFGVRPPAHVQPDPENERAGGKDSGPA TNTGQPPRASELISKSDIDDLIRESGGRNQHYQTATGGDVIPPVERPREVVVDTERNE ALEGERPGEAVFKSIFGDDPRASTPAISFTHRISNTSDNPAARPNSSSLTPISAPSTT TLSDTPDARAGPVPIGHGHRAQHDKSQEEQSQSQIERSTPSSPPPQYPQESAGASSSP RPCSRSPPPFSPHDNYFSPTAADPGAVDEVAVSDDAASSASVPAYASLAPEFAERTRA DDAVAETKAALPRDNKGESSCKKDDEEPPPAYTEGSSPLLSFSYLMAAAGGVASILTQ VQQGGPPPINTLGDVGADENITMDLRGTRFTLSRDELLTLPEFVLLSLFPNGLLPDGH VNAYQEGDAFQVDYDPASLQYMLEFFRNVAQTIPDVPTLDDSDVGANLDNPAGSARDM LRDRAGIIVLREDLDFYAIPPRADIEQSEMVEVKRAAGKALLRQDGIFSGLRRSEETG TTEQHLIEMLTAGGFNHTDGWGHRAGEPNKAVICSLALARLRTDVRSGAEGGAAVGMA QKLLLFWRKPARRCWWEGVELEGVEGVEGKLKVWIRRVWTLEMSVIGLR VE01_00217 MAWGGIDKADWWCGGTCLPDAFKIHFETLNNLPNPTPQYPVLFE DLNNSIPRNSSSSQQLPTTILPNVFTTASQTLQSPWPTQPQSTSPLAPQQSQQPSPQT DYQTPPDFVLFPRRSLEASPNAQNIPNLPRNHAPSGSNRRHSANPLSAPSLQNQRVAA IIQGTGHQISSPAFTNRYNPFPQQQQFYALSAPSSSAALQSQIRTRPQVPLFSQSTGN IHSNNKQSFPPNNMAQDMNLFDDFDSFDLKSSDNMNFPDLDYKYSPAVPTMYSPAPAM NRTDSASTSMGTVSPQDLMVRDATFSAPNSTVFTNLTSPSQYNESPDYLHDLDVSPMY NSDDLTNGDPWFPLFPEGDASFPAPVAVDTSPLAVDEELEVGDALRERRRRSDSSPHS KAASAAAGVSARKRNQPLPPIVVEDPNDTVAIKRARNTLAARKSRQKKMERFDELEAE IAKIAAERDMWKAKALARGAN VE01_00218 MADSMCGPSNALQNFQKQSQGDRTLQQDRLSARTPQSQGFRSAG PSARTLDPEFEAFQAGQLPLQHPEAGFHPGFQHAHTPQPGQHMNAGPGASWANDFQRL AIASPGMQQQGLPQAQHAGGWHQEFAQMNNTQAGMPQQQQHSYNAPLERLSSLATTPF GMGGQPMYQTPMYQPAQQMHPEQPQQLNSEIGTFDEAAFAAAFDQAAQSELQSEQASL SHALQEGHLTAAPIGADAIHHPDAPSQQHLTPAQESDDLARTAGALLTSVQGDQSDKF ANSQFLQLMRQLRDKEVVVEGDTIMPASEAGMGGPAGGADNSSQGGPPWLGPDGRGMA YRSASRRVHYRGDEPLLGGDSSDPLRRDIEANLIRMGEEIRRGMEETGEVPIQYKRAN GWVLESSAFLDSTADHQTPVVPRAMVGGGRGISGLRTEEDRVRAPREEMQRLGPEEVE RATRIAEEEGVRGVRERLKALEERRRYEEGIMRQLAEEEVREELAREGRSWGPS VE01_00219 MAQVITNSGHDDMIHDAVLDYYGRRLATCSSDRTVKIFEVDGET HKLQETLKGHEGAVWCVSWAHPKYGNILASAGYDGKVFIWRESNSTWSRVFDFALHTA SVNIISWSPHESGCLLACASSDGNVSVLEFKDNSMDHKIFQAHGMGVNSVSWAPSAAP GSLVSAGGAAAGAQRRFVTGGSDNTLRLWAYDQASQTYKQEGAALTGHTDWVRDVAWS PSVLQKSYIASASQDKTVRIWTSDAAHPGQWESKELKFDAVIWRVSWSLSGNVLAVSG QDNKVSLWTENLSGEWECVKTIEE VE01_00220 MVTLRERPSREIEDFFIPTERRSSLRSQTYSSPTSTANHLSSAL YIGSDQSPSRKRDKVVYMDGGGPESESEIHPSPTKRPRANKARANKARLSYPSPPSEV ESIKTPSVKSEAMPGTVLLDLPLEIHQNIIQFLPGDEDVNHYGASCKALRAYVGPIVW QMRFLKTFDGIPGAGTVNLQAQYKKRRGIAIRFASFENGTLSHQKACLDMLKEMIIES NGHIVRDAYDNETVSGLNHRFFLKYLQYTGHDVRPKGTDLLLNIAGTRYDFTPKKKPP RQERLAMVVRLCFIHLLLHPQICNIKTNVFLASQEQVYKCFIEAPLFLGRNKNEINIL WFLHTANFFKFHFKTPDGEGILAHMYEQLDKSELPMAWSGKLKSGTQKLGPYWKGAFT FLHEEDLEVYRLGENYQNIVDETTDGNNGFQDLTLFSDLRSTPQLACPPEMEVWLKAG PKGEYTDKVSGEKVMPKVQDFFGLGRSDVDYHLHGRMHGLPPQEGIPGFQRVSMLKYL PCIHGTYGTGLTSFWAYEGCVLPGNQIMLGRWWCPTGTVERGHTYCGPFIFWRVSGSV EDKMRTTDDALKFLDRVEEKTVG VE01_00221 MHISVSSTALLASLAHILTASAQDACPGALTPSYAQPVFADGWT GSLVAKGLTRPRGMQLDSQGNLLVVQQGVGIVHLQFTDGGGTCLTLAKKTTLITDSVL NHGIGLSEDGKTLYASSVDAAFSWPYDAEAVTLGTKSTIVTGMNNAGHSTRTILLSKK EPGWLILSRGSAGNIDPLAEDKSSGHSHLKAFNIANLTASSPPYDFTADGRILGWGMR NAVGVGEEPTTGGIFTVENSADNVARSGTDIHATNPGEEMNFVGTLASTENQGGNYGY PNCLAVWNTDIPNIGSMTVGSQFAVDVTDQTTTVTDADCEERVKPRLTFEPHTAPLDV KFAADGSKAFVSFHGSWNSPIPVGYRVAMIDFANGQPVAAADSQTAAVDLFTNSDITK CPSACFRPAGLFLDGTGRLFVSSDATGEIWILGPTSSTTPTPPATSGGRRRARLPRL VE01_00222 MKPSLIEDPARASKIRNRDSVMSIDSGTGSPHPGDDSGIHSSDY ETHKRPRQSISLNFGSPATWITKSNSVRLTKSRDLGRGAAKRNISAPLSTVLDRATSS QGPTTHDTFDTSPYQQDIDVSPKNFQGTLPRPRTRNTSSPLPTISRLSSFNLDLNGPD PTPNLTARLRTPTSPASSDGIRTTSRPSSSGKFKGLSSHPVVIHQQYPPRLPELNTSD QASTLVGSDSDAKAFASGEDDETDFQSDTAYDSLRTGATASLRARSTPLDSMFDESPP SSGARSKAAEFNDLGAMSGFRNVDDSIVEEDEGMSTPIRNRRRLNGDIYSTPIRQDTD MDIDPMVRSSPPSFCLATTEFGRMSLGDDDDDEDWTRDDDSDALSNPLSPPSNSLNSL RVSHALRSALMDVTESGSSARNGRQPESRPRSVFDWSEPLMQEKVDNMGNSPRPKTVH GKQGNDRGGRAVGRNRPSALHIRSQSVPVVPDVGGNRDTKLAPKFGTWGLGGKGVSED WDNDFEFDVMDIDEGDDLPTLGSGAPMLVPPAIRASQANVVGHVGQIREVCLLVEDLK RLRGLAREKGLLEGPSADKWREAEGIIALAIPDEEDETLSPPQSPLAAVISRDGGQNH ETTVHIDSTRDSGFQDEEDDKPIRSTAVYGNTRPRRKSVFSPEDDIFGSFEEAQTPRT VPRRQASKDTSEVARSVMQNIHQHRATSDPIFTAAPNGTPSKMPFDTTSLKDLVQRAN ALSRALSEIIRKADGTTQSPYRSPHLNHDSSPAFTRVFTDPLASPPNINRSQSSNAVL NTTIDSSPTRNLGQRMQMMTVV VE01_00223 MEAEIRGSIPNIDPILTEYSVGYLSHASSSYTDAESTGTSPLAE AVASITALLISASGETSEKNETQIRQLVEKWAAKFGAANASNVDLSGASGAAKRLDQA IQVSTQRNMSSTLGITTTGVDLESANTRKLESKVDRKKLEKAERKIAAKQNKKEYKAV EYEASKLLNQTDDTQSYEEFFMAVNPLQLGSNAMGQAKSKDIKLDGIDVTIGGLRILT DTNLTLAYGRRYGLVGQNGIGKSTLLRALARRELAIPVHISILHVEQEISGDDTPAIQ AVLDADVWRKHLLSEQEKLSKQLSAMEAERSAMADTSVDAARIDRERDALDQTLGDVQ GKLAEMESDKAEPRAASILAGLGFSPERQKFATKTFSGGWRMRLALARALFCEPDLLL LDEPSNMLDVPSITFLSNYLQTYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYKGS NFDSFYANREERRKTAIREYENQMVVRAHLQNFIDKFRYNAAKSSEAQSRIKKLEKMP ILEAPEKEYSVHFKFPEVEKLSPPIIQMTDVSFGYTPDKPLLRNVDLDVQLDSRIGIV GPNGAGKTTVLKLLIGQLTPTKGLISQNPRLRVGFFAQHHVDALDLNDSAVGFMSKNY PGKPDEDYRRHLGAFGITGMTGLQKMELLSGGQKSRVAFACLSLQNPHILVLDEPSNH LDIEAMDALAQALKQFQGGILMVSHDVTMLQTVCTSLWVCDEGIVEKFPGDVQAYKKR ITAQADAAGVAAAH VE01_00224 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGSGVYNGTSDLQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSIHQLVENSDETFCIDNEALYDICMRTLKLT APSYGDLNHLVSAVMSGVSTSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVTVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTSIQELFKRIGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEASVSEGEEEYEEELPVDEE VE01_00225 MAGNKPGKPKSKRVSVRLRHKIEKASSAKQRKERKNGKKNPEWK SRMKKDPGIPNLFPYKDKILAEIEEARIRKQEEAVKRRAELKALKKSGEDVDKDIEAQ MEGVEAEDEDEDEFNMDDMDGDDDTNPMAALLASARARAQEYEEESGSDEDEDEDSDM DGMDVDGVSQALPNKKDGSRKAFDKVFKQVVDQADVILYVLDARDPEGTRSKEVERMV MAAASGGKRLILVLNKIDLIPAAVLKAWLIHLRRYFPTLPLRASGPAPNAHQFNHKSL TVQSTATTLFKALKSFAARKQLKRAISVGVIGYPNVGKSSVINALTSRLGGGQSCPTG AEAGVTTSLREVKIDSKLKLLDSPGIVFPSTHDGTKASKVEENARLVLLNAVPPKQIE DPVPAVTLLLKRLSRTPEMTTKLMEVYGLPPLVTASNGDPTNDFLIQVARKRGRLGKG GVPNLPSAATTVITDWRDGRIQGWMDAPLLAVAPTLAPGEVQVGAVVGDQKEIVAEWA EEFKLEGLWGSGGANDDAMEE VE01_00226 MGSTNEGSYTAPAEEVSFAGLLFDLDGTIIDSTDAVVKHWHQIG KEIGVDPNVILQTSHGRRSIDVLKIISPEKANWEYVCEVEGQIPARYGADATEILGAR ALCDSLSATTPPVPWAIVTSGTAPLANGWLRILKLPAPEHFVTAESVANGKPDPQGYL MGAESLKLDPKGLLVLEDSPAGIKAGKAAGCRVLGVATTHTAKEVKEAGADWVVEDLR SVTVARVGEEGGVVLKISEGWVGN VE01_00227 MATRTMRRIPALSSRGALTAKRNVSLASFKIPKVTNEPNHHYAK GSAQRAGLMSALKKFQSLTPLEVPLFIGGEEVKTSHTLSQHNPSDHASTIATYSKASG TDVTKAINSALGAKQAWESMPFSDRAAIFLKAADLIAGKYRYQMMAATMLGQGKNAWQ AEIDAAAELVDFLRFNVQYAEELYAQQPTLHSPGVWNRVEYRPLEGFVYAVSPFNFTA IAGNLPCAPALMGNVVVWKPSDSAIASNWLLYQILLKAGLPPNVIQFVPGPPAEVTKV VLGHRQFAALHYTGSTAVFRKLYGTIGEGVASGRYIGYPRIVGETGGKNFHLIHASAA VDNAALQTVRGAFEFQGQKCSATSRCYVPSTIWPEFKERLVSETKALKIGPPTEPENF VGPVIHAASFDKLAAVIDAAAKDDSLELLVGGTYDNSKGYYIHPTIYATTDPKHPLLS TELFGPILVVHVYADKESTRSNNFNNAIQAISNASEYALTGSIFAQDRAVIRFAEERL RDCAGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSVNLLTRFVSMRSIKEEFVETT KVEYPNYISNSSGRSPALSGNTLFYAVNSVWENNGGHALKGTDKGKGLFDGYVFKSIP NIADEGFAGQLVSSNEADVGERSGSLGRECRSNVR VE01_00228 MQPTNYGQQFYQPAYGLPMSMPPAYHGLPYDDQQFYQQEVYSDM MQPSPMNNTAIHSEDFPDRNDAKPRLAKQEVELLERHFQENHKPPSSLKRQLAENMGV QVCRINNWFQNRRAKAKHEVKQAARLLKTERAQSGEPETEEYDLSKEFSEMFEEEQAA SEDEPKRPRSGSAHKKASYNQKYEAPVVASSQSLEHAELDNQAAYQRRNYGFEFDHQA DGDQFGATIYESLGELDHTQFQNTASSNIIKYEDNGYTTSPTAMENLERKAMMMSMPN AHNYSYKIPAQGSSNPAQATFPSQLLVGKHSQSSLRTIPDDRGDSRRPSETSQEGATM RFMSPPPSADIASRRSKRRPAPIGTEGIRDRASPSMKTPLTAAPQRRATKSPGIGVRR AASIGAGLNVLGSRVSKSVAPLSQSPLRPHFPHELKKFAGMDSQVNLIQASNGLAPPT PRSPNEIAGGAQFYMDEESKQYSHISEQEYDVLGANQDPSNGYFAPYDLGFSPPETPG GGYHWTGYDVGDNALHTPGLSSFPSDEFALQMSQPLQIPQYVSAPADTDSGTSHGHGP VSDLYHPQMPISQQYLSSQGSELSVSPQSSCNNIAYGNNNTQFDGTGVEGKLHVQYQW DQQGSDYLVSLSEHSSPDQSRNNQGLVFHNATPRDFEGKPCSGSP VE01_00229 MERSPFPPAEPTEPPVFTFSPDDEDLELDDGIDAFYGSTNRALS PPLLENHGSISGSVPGSWSRASSDAGAAGGRVPESPVMGGTARPQRPTGPARTPSGTY NPPRRPGKTPQPSGLGGRNRSNSTPRPHRDPRASYRAQEKAYVRRLRQDQPDYFEDYT PGLAYDSNTEESDDISPSSEAHFDTDSFDQETLLFYGNDEVEVTPEELQNPRNRERLE WHGMLASVLTGDVVRQEKKRLIGVSEQQGVDLKEDMWVGIRARICGRTFAAQRRIIED GRANLSTVLDEITEFQVKGESDTGKTAIEQIREVVKSIEKYERLYPSRTALIAGHKAA DSPAHLATCDAVISWHNTTELINIELNVLQNWVGNPELDFLKVKERSPGSDGLSDGAP FIDRLLKEDGLKSLHGDESMLVGVSDVVMKAKMTLIENSAEFAKRHLPPYIEELLTLI SFPSRLIEQIIVVRLEYARKVRETAQQNPMMQEQMISQFQILLKLAVRIKQENAAISQ PEPGWDLPPCIDDSFDQVILDALKYYFKMLGWKLGGNKNAFKEAEVLEQEWAFSNEIG RHLQGGDVEVAEQFSSLTHKALSRLSLTFERELQKKPTETAAEMNKRYKAILDSVRVR QRMLQRFSRVLSDRFENATDFSMSMDRDETIQFYDKLIDSGHFLVYTASFEHDGIFLL ASPSLWNRPKHIATILSNCYHEDEVDGVDHPYLLIMRPEVPLQWMGRQMDADTREPSI DLKLGHLRLIADGSQARLASARLAFISRIELPLDMVVEQRSNLHKVNAKLTEIKKVAY KLSNTIMDSVQVIRKQTAGLDCQELIHNCFVFATEFGQRSLLYMDRNRRQMNNLKLTK LALDWVSFICDDCVASDRKTFKWAVLALEFSMLMTQGQHILELGDDEYARLRAKVAGC MSLLISHFDIMGARSTLAAAQAEKQRIDALAGQFRKFDASRMLDDEASAAYISEQRLE QLNEIDQLRKQKQAERQTLGRVLEESSDADRSLTFLSSSATNVTMRWQQGKFVGGGTF GSVYEATNLDSGFLMAVKEIRLQDPQLIPTIAGQIRDEMGVLEVLDHPNVVSYLGIEV HRDKVYIFMEYCSGGSLAGLLDYGRIEDEQVIMVYALQLLEGLAYLHESGIVHRDIKP ENILLDHNGVIKYVDFGAAKVIARQGKTLINGDSSILPKANKSMTGTPMYMSPEVIKG ENPGRAGAVDVWSLGCVILEMATGRRPWASLDNEWAIMFNIAQGNPPQLPNADQLSAG GIDFLNRCFLRDPKQRASAVELLQHEWIMTIRNQVSIEPTTPSDSGSSRGNSVY VE01_00230 MLVHDGFMAQDASLQCSETRPKSGVLTPASDASSHSHNGSQVIS RKRKRDPVENGGGLEELLSERFTVKPHPSSVHDRPRSFKPIALLSRSDFPLSSLDRSR PAASALPDSRLYESHVKILELEQRMGSTPVVLIAQLDDNKALYAVEYESKGLYVLFKL GSWVDLSKLCSVAVVSRPYTKSHKHDNPQGVAGRGASANNALSPAESDKYSKKKRLAI EAIQSMVKRPSTSQSLNIEQTTSTESPSTALPLEPIQIAQLDPVAAVQPSQDAPLEEP RAEDILDGVRSQYLDTLYLSKVSTAYFAKGPLSRARAAFHLDLDSTLQMKDLTQFLDS LVLPTNILDKKYKDGLQEFVSTLDPGMESDLDADAKTRPKKRKIKKVKLGKNGLYNTE DSFIKSWWGNHDVDAETPGAAREGTARSRISKLRVREILLQIILILETLALQKLCPPP DPTDDLPLPAVAEGTPGDKTPRSKAKKPRDLSALVAVHLDRLSIWSLSAGEGTSTSKQ GPTSSAPNLTTTSGSDATDILRNFCLEVVVPFFSARLPSLCDTICRKLGGPRVSSPAR PPLRKSSSMSATAPSRPSAVSKKSAVTESRRSLQRALTDEKLQARSKSRRPSVAVSLL RSATAPVLPPTLKREGSEAASLSSIPTINSQSQHASRTAAHKARKPSQTENGMGLGAP PDRQSKKANIEAELKYAISVLKKPNRQLAGQTTVDLAEKRALASASQSRKAKKPTRNP GFENMRPTGVQILATPKKPRSQNMGPGSQMFSQYQAEPELEPSFVPPSSISRVSSSGY RQAVPDSSPPLYRSAPVSREQYQSSVVNATPTRSSRQTKLDYGVSVVDTTPVRVTSAY YGNLGATNQTGVVADTPVRTSMAASDNFKNLFAAPKPRRELEIPPSPSPPRAPRSSTI DGFLRVPPEPYRENISETPRKSPPILCTPVKTRTEPQNEAVRPRSPLKPLNFSAASSR FEEGSSSLLESKAVKPAAAAADDGDIYKSLGWDDDDL VE01_00231 MPPRKSDVAKATSEEVAVGAPSTSTPAKEAAHKEKDGINIEDLN LPKSIVTRLAKSVLPPSTQIQGNAMLAMTKSATVFVGYLAAHANEYAQAANRKTVAPA DVLRALEDLEFGEFRPRLERELEVFSENAARKRAGAAKKKKGGEKEEGEGKEEEKEGG PKAKKARLEEGAEVGGVEEEETQLDDHEEGEEEHEHEHEGDEEPDDEVEDDGEEEEQE DEHHDGDGEDRVDNGRDEDEALDNGEDSD VE01_00232 MYSGVQDSAGSGTGTLNPALLNSAIANPSSSLPSDVSPRGTKRS RSPTETFNELHNADENGMTPMLRERDGCVFLRASSLLFTHLEMRGDADNAIVIGDGKP RKRGRPMKPPSRTSGGVSESPTQQTVSQPAQNILPQTPQQQTTALPLPLNSVVPAQAS PPKTTPSKPVVKALPTVRDHTTDQLGPEGDEYIPREYDDAGEKKVGPTGHLNDGREYK CRTFFVPGRGDKLFMLATECARVLGYRDSYLLFNKNRSLYKIIATQEEKENLIHQEIL PFSYRSRQIAIVTAKSMFRQFGSRVVVNGRRVRDDYWETKARKQGFTEADLAGEKRPG GAKARDAAAAEATANATAMLGHQGDVVYSSAPVHFNHPQPQTVQPGMLGPAGGGTPLP MITLTPDQNELRLGEYRNVSRPRQEITGPAYQDRTQSSPPGELLTQSHHAAEYNKQVN QQRNFRGKYIEEIWRQPHEPLVPSLPPTTSLSDNLPSVTQSLQSPHQGTSNVQQPMLL NQPGQSMISGTSYTQPPHQPNPLAQTPMRGVPQGAMRSDQMHGRSPSLSLASTGMGQG AQYGYPSQTQMWPPSQTHQSSHQAYPHYSPQASQQSPHLQQSPHQSPTQLRHAGGSAQ LPPGMQYQNMGQGYPSQSRGIYQPDQIQQQYVQPQTAQAPATPQNWASQQQPGTQGSW GWGTQG VE01_00233 MTSLKRSLVSDPYGSNISSKVFVRSTKSGKVQKIVRELYLRQDI PCSSKLCTGCLATAPRDAGGNAAPFVLSEKPAGTKAFPQGHYLVPDTNALLNATDLFE QTSAFYDVIILQTVLEELKNRSLPLYNRLIGLTNSEDKRFYVFFNDFRLETYITRGVG ETINDRNDRSVRKAVQWYGEHLTKAVNEAGGKPKQVPAVVMLSDDKENLRLAKAEGLH AVSLKEYVGSLEDADRLLDMISASQESREARDAKIAVNIYPEYYTVSKMMTGVKDGTL HQGVFNISPYNYLEGSIKVPSFDKPLLVLGRDSINRGVHGDVVVVEVLPKDQWKEPSS KIIEEEILNKNENADADDGEALVTPQERRALQEEVKKTHSKSTEGRPQPTARVVGVLK RNWRQYVGHVDESSVSDSVKQSRKQQTVFLIPMDKKIPKIRVRTRQAGELLGKRILVT IDSWDRESRYPVGHFVRSLGELETKGAETEALLLEYDIQYRPFPKTVLDCLPKEGSDW IVPPSAEDPGWKGRKDLRGLLICSIDPVGCQDIDDALHARPLPNGNFEVGVHIADVSN FVKPNNAMDKEASIRGTTVYLVDKRIDMLPMLLGTNLCSLMPYVERYAFSAIWEITPD ADIVKSSFTKSVIKSREAFSYEQAQIRIDDASQQDDLTKGMRTLLMLSKKLKQKRMDA GALSLSSPEVKVQMESETSDPIDVQTKQQLDTNSLVEEFMLLANISVATKIHEAYPQT ALLRRHAAPPKSNFEELANQLKVKRGLELKHESSRALADSLDLCVDPNEKFFNTLVRI MATRCMMSAEYYVAGNFAYPEFRHYGLASEIYTHFTSPIRRYADLVAHRMLAAAIDYE QLDASMRSKGKLDGICKNINVRHRNAQQAGRASIEYYVGQALKGRIIEEEGFVMKVFS NGFVVFVPRFGIESLIRLRDLADPEPDAAFDAENYVLKTSGSREVQVELFQKVVVRIS DVMEESTNKRKVKLELVSVGGK VE01_00234 MASESPPPQSQKGASESPPPPPQSLKGELWDTVPNTLLAREAIK RVDLKECNLTDDAIMKILVNKSERTITGANIWRFNENVFLKGGYLKSRHKGRGAPALA PDGTVMTVLAGAHRTTKILLHGGDLSLPVGEWTIENYQARSDHPNYNEWLSKIKWTTA DALRAGIPLASINATVTIPAKHVQQTPSEPEKNSDALREKKEDSPQLNNTDGLRNEVQ PDNTNDSMNQSQENRTASHSVTPPETPLEETPSEYATLPSTYSEITTLNSATPEPSPG ANRSEVYASEPVAFKPAASESVTSELPIAELAPSEPTISGPVTSQLITLKPVTPQTAT SELAVSKPTVSEPVVSDPAASEPAESDLAASEPATLEPVVSETATSRLSVSKLVSSEP AASDPAASEPIASESTTFESATLELVTSEPVVSKPTVSESGALQPVTPEFTIPEPTTV ERSASEPVTTRPTASEPEPAALELVASKRAASEPIPLESFASISIGSKINSPEVPKPS IMQSQAPASVQKQSASPDPALMKTGPKSTVGLGIDTGSIEQTTPKHSVSMFRQQSNPR QRSLEIPETPPPSAHHPVNSETHMPRERTPAISPEASGMKNNHATRSTERSNLHSHAT TQPASVTNQETLSRTPPPTPASNGPLKRKATEPLDRLLTFYVGDGKPEDMETFNFSEH KLGENAPEFYEQFKGTGRRVKNANGLVYDIVEQPKLFEAMLHWIDKRKVMTRPYFAPY DLECYYLDLYVAAVNYELPGLCNAVIDKLYDWHRNSIVQFQMIDKVYLITQPGDGLRR FHFGCMMGLTTEEFENTSIEQTGVVIDLFAVSKANWGGMKAKEAYHDSLR VE01_00235 MDALEDYHAVLTTLYSLLSPILYPTLRFLNWARTLLLPLLRPLL LQLTNAAHDSPAIVSLGVLLVTLYISMRVMGFMQRMVAFGARLIFGLVFYGAIVVGGM MVYQRGVERTASDVLGWVGEVQRIWVREYGRWEEVQNQASGGRQRGGRERW VE01_00236 MVSKFPRNTTLFNEPNENSRNLVIVLSAVFGSLALFMVVSATVF IIKWKRNPKYHRQRRGISPVDDEEIERWRGRKETYTEAPGRSPIKSHKRQSSSVVIVS HPPGWTWSAEPSPFGTRNSGETALSPPPMVARAPNSRSGLTDGAILGADPFVPPVRRQ STRLAKHVRNQSRKSSFTASIPERQSTDFPRHYRDDSKKSFQADRVSPPSSIFNGSPG SNEPLPTLARPAYGRAASRQMENPI VE01_00237 MDDDMEVDIDDLFGDGAGGLLPPSRPPPKELFQRVDELRGSGCC QSIAWSRWGSIASITSNGLGLEFRNLRIHPKDGSWDLSDPTIIPQIAPIADGGQLKHL CWSPNGADLAAIDSAGRIAILNLAQSLNKPTFTRNSNVGPIEDLRAVVGAYWLNLSPS NVRQPGIQSVAVKTSTDYRYEISQAIAFGPCFPNAHKSALITVSTGGSLSLLWPQADG KWHELSSDIESISSSDDLITHASICADKNNTLLLAFATTAKKLSIARIAIKWGSPTAN PPDRPVNPALNTVTPTIIIRLLSDTNWMDGSATDASDPFHLSPSMAALSHLSILSPSP DGPGGRPTSPTVITIRSHLPNSMSQYNQDTHSLINRWELSEASPSVHPAFEQLSARRN SVGSKPGPSPSLKKQEGFTSNKVVIWIEAIYFERIICFGYSDGSVEYRDRTSLAELFT LGGLDKFSHISQIGFTYNGYEPSLVGALSPTHLSVVQKGCDGKIKWNPLVYNMGEIGT SLEDTQYAAVIAGFSMACSTAIMNSSNHDDLMAAASKFKHLSSFAFDLVSEVSKTLKF NVDYSEESHHDSLIRNPSIQISLSIQNALGYNGDAHKRTFESKIAWISLQLRNCVVLI TMAMNIRVPIPDQQKKVLGLEDSEVIRLLAGSVRWCLDLMSWLIDCLLHPEDPEVFES VTKGEDGSIPLLSAHLLATNNIALHVLLSSATRGFLTGICRRLAHLDYTARKAMSAAQ SVSAPPGQSAPLISNSLRSSYTAIATLTASSIVPISRFEAFVARIADVVKEAYTAAKF PSQQQAQGAPGDSKRNNVEQGILFGAPLPEVMAPAISRIFSEELPKLRAEIDPSRLFF HDFGILGLPESNASAGKGAAEGSATTSSAQYRVNHTIDIFSRVPIQLGMEPEEAQGID ARGSRPGRRWRRCVRCAAAMEDIHSLTPPVQFLIMQQRRCYCGGNWDILAGARVVA VE01_00238 MDDMPGMSPPPSPLESLVSSPLSMRSRSPTPPYEYPSPRSSQPS GSRSSSTARDMQDEAEGPPPAKKRKLAQPKERTTEYLDLHTLDESTDPEKLANDDLQL QRLLKVLRTKRKIVVIAGAGISVSAGIPDFRSSTGLFTTLRSKYDLKSSGKDLFDAAV YKNDSSTASFHDMVREMSHMTRQANPTMFHHMIATIAEEGRLMRLYTQNVDGIDTSLE PLRTNIPLNSRGPWPKTIQLHGGLEKMVCTKCRALSDFDGSLFEGSEPPSCKACEELD EIRTTLAGKRSHGIGRLRPRIVLYNEYNPDEEAIGAVTTADLKSRPDAVIVVGTSLKV PGVKRIAREMCQVARGRKDGFTAWINHGPEPVGLEFKDCWDLVIRGDCDEVARHAAIP KWDDKDVGPYTVLPPRETPKSPMKVELGGAAKHKAVEKTQGMVTPTASPRTRSPAPAK DLKKMKQPKLFASGTATKPITKPTSTAAGAKKKAPAPKKPAAAKNAKITKAFTTTKSS KAISGKAIKHEPIAPMFPNLSKVAPSSPMLPLSLVEVRNNTETTPVKREFGGKAEAAD PDSPSAQLRREGGRETVSPGVVPRGMGHLIET VE01_00239 MSKFGVLVMGPAGAGKTTFCSSLITHLHHNRRSSFYINLDPAAE TFDHEPDLDIKDLISLSDVMEEMGLGPNGGLIYCFEFLLENLDFITEAIDPLSEEYLI VIDMPGQIELYTHVPVLPALVKHLTMQGGLSVNLAAAYLLEATFVVDRAKFFAGTLSA MSAMIMLEVPHVNILSKMDLVKGQVGKRELKRFLDPDASLLDDDPSDLGGGEEPGVGD PLENGSMMRGESFRRLNRAVAGLIESFSMVSYLRLDVQDEDSVGAILSYLDDAIQYHE AQEPRETGDLAEIEYEDGDVGE VE01_00240 MTANGSTPGGHHNQGTFLFTSESVGEGHPDKIADQVSDAILDAC LTDDPLSKVACETATKTGMVMVFGEITTKTKLDYQKVIRGAIKDIGYDDSAKGFDYKT CNVLVAIEEQSPDIAQGLHYEQALEQLGAGDQGIMFGYATDETPELFPLTLQLAHKLN AEMSASRRDGSIPWLRPDTKTQVTIEYKHDNGAVVPLRVHTVVVSAQHAETITTEQLR KEILEKVIKKVIPAKYLDDQTIYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGGWGAH GGGAFSGKDFSKVDRSAAYVARWIAKSLVNAKLARRCLVQLSYAIGVAEPLSIFVDSY GTSEKTSDELEKIIRANFDLRPGVIVKELDLAKPIYFQTAKNGHFTNQNFTWEKPKTL KF VE01_00241 MAPEMTGFSVLDSTQTPPSPPSRLPTPPPPSPPPRAMARPLPHP DTPSASSPSDVSSSLPSPQSQSVQQPGSDRQSAETVRPRASSLVSTTSSIKRKPLPLS ALPLAARYSVESSASTFDSPSARFSRRPSIDSPTIYDPSAHRFPIFVEEAGLDQRQRI STLDIPQEPVRPLSPVIEASAHQTDSTATPNQPTPATHTHTHTHSIGGTPPTSSVRHA RLRRDSALSTTSSYYTYEDSSPAHRSRSGTETMSLFAAKPQPPNLSLPDNDARGASFD ATSIKSPSDKQLPKSPGASKLGSFFGWGGNTSPASSTTTFSEDKNFSPIPSPTSPAKH FSKSIASRAIPIGIDVPKANSANESFFDEEFAPSSVESESHVGEMEEELKTISAELAT SIRREMELEDLVERLQSEVDNPRGPNKRTSDYFSDSGTSSVKYGGDTDSRTEEIERMQ RKTDREKAQIRLDCQEKVQEERTRRSGLEKKIRRLEEKVSHVDLASMNSLDSGGRLKD LEATCEDLRRRLNDERKVKENFEDLLTALRGELHTSHNERDNLRDEVVPQLRARVEGL EAQAAEHEKLAYEHTKVQQQMQLTRGDSVRKLQTDIQSHMDSIGEDGPQPNSGLKRST SVRNSTVTTPLMRSRPTSMSLAKGVESRDMLLERVKDVEAQRDALHRALKSLLERQEH QTKENDKRLRQLEIERDNALKSAPKRAGYGKDVSSLRDEINTLRRRADEAIEQKWQCE KGLSGLKMDLDRAEQEIGSLRNLLLDNGVPVPENIPGSRSSANSSSLEQAYSELKKTY AASLDRVKALESQVPRDGTTDEALRSLQTSLTTAIADRDSAVSSLNTTELSHLSTETD LASQLQHAAEHIEGLAGQVRAQLSANSTLRARLAETIKRGEVEQNANVARIMGMQGKL RTLEEALMAAQQASEERTARHEDEVRELKESRNSQLIRVKDSLRSPRLFAPKSPMSPL FAGNARSPRIDVTSGGKAMNVGEESRVGMLKSRVEELERALGEADREMEEVVGRMNVA QIEVMELQNEREEAVRATRMLEKRVEEERLRGFEGRFRSFQT VE01_00242 MSYLNPLWSSTNNSNAQAQKSSKLSQEAAGLTPPPRLNRLDSSA STTNSNSSDSNYLKCNLAQEANPIPHQHSRSQIQHHAACKWISKPPCRLEEDNAIALL RENGWNAKEWKVNCNLEERNGALYWAATNGHVAIVRRLVRNGTSGMPKAAGKDLREEW RNSALGSSAAANHVAIIQILLEQATEENLRS VE01_00243 MVRMLLDLGVVTGLEMAMYDAVEGGDVSILGQVLVAGGLPTLVL GNAVNTGNQSMVQLLLDYGAEAGKGLKSAALSGNALKVGLLLDQGAAADVLDGRKRTR LLLEKGVATSSIREDDKMKKALVEAIINGAGNGALNGAAEVMQLLINHGFHFNFNAG VE01_00244 MASTSGFKFYHYDPSMVAAVIFIAVFFATTLLHCYQLLRTRAWF MIPLIVGGFFEWIGYIGRAMSSQQSPDWTLGPYIMQTLLLLVAPALFAASIYMELSRI ILLVDGESHALIKKKWLTKIFVCGDVASFLIQGGGGGIQASGTPSSLTIGSNLVVGGL FVQLFFFGCFIAVAVHFDVAMHKVPTTRAQSSDVPWRKHLIALYIASVLIMIRSIFRV VEYLQGFSGYLLSHEVYLYIFDALLMFCAIVIFNVVHPSEVVALVEGGKAAKRGWKME RIVGYQQHHRIASSNSGRAFV VE01_00245 MGVHSAKRLMSSVTLYNQYAANGHPTTPPLLVTGPQDNTTIASE NVLWDELELMHHFSTVTYATLATRNDLRQMWQIQVPRLALKQKYLIHGLFSVAALHMA HSHLDNQSSYIDRAIRHHDISIRGFSLELQGNITKENIASLFSCAAMTAMFAFSLAIL RPHEEPSRPIEELFGIFTLLRGMPVVIGEMWNLVKESEIAPMFIGRQVDDSIVLSDDV TNAMKLLEDRNQLTSTLDSDRHTYALAIKGLRDCFKLISSKDRNNGMAFSWPITVSQE YIAFLRSRRQMALVILAHYAVILYGVRDTWWAMGWGSKLIQELDQVVEDEWKSLLVWP MENIVRGR VE01_00246 MSNGYHSPVGDISVADDAASDHSGSDLSEVREAPAAEASPSPSS TPHHQSDFAAEDTEPSDESEDDNDKGSDNDEFDAEEGMRAVAHHHPRIDRSSSHDSRR PTKRKLGIEDDEHIKANPELYGLRRSGRPVQHRTIIDSDDDEDEDQYATASDVAPRKR RKAEKSRPTSKRETPVFRSASNDSESDTYGGSRARNLIKKNRRRQMDALPVPAARWSN RRAAQVSAGAYQESEADEEDSEMMTPIQALEGYEDNSPYIEVVLKHRPKDGVDISLSG STRDDFEYYVKWQGKSYYHATWEDAVYLGTVRGHRRLENYYRKVVLQDVYFATDPDVQ PEEKEKWMLDRERDADALLDYTKVERVIGVRDGDDGAEYYVKWKSLYYESCTWESETL IGEIAQDKIDGYLNRTSQILTSDKSESNPNTRRSHVPIREQPSYIKGGQLRDFQITGL NFLAYNWSKNKNVILADEMGLGKTVQTVSFMSWLRHDRNQNGPFLVIVPLSTMPAWGD TFDFWAPDTNYVVYNGKESSRSIIRDCELLSGGDVRKPKFNVLLTSYEYILADANFLG QIKWQFMAVDEAHRLKNRESQLYQRLLDFKTPSRLLITGTPVQNTLGELSALMDFLMP GEVDIEDDMDLTSEAAGEKIAALTTKIQPYILRRTKQKVENDLPPKTEKIIRVELSDV QLEYYKNILTRNYAALNEGSKGQKQSLLNIMMELKKASNHPYMFPNAEDKILKGADRR EDMLKGLIASSGKMMLLDRLLTKLKKDNHRVLIFSQMVKMLDILGDYLQLRGHAFQRL DGTMASGPRRLAIDHFNADDSQDFCFLLSTRAGGLGINLMTADTVIIFDSDWNPQADL QAMARAHRIGQKRPVSVYRLLSKETVEEEILERARNKLMLEFITIQRGVTDKEMNQLR EKAQKAGKIEEPKSSDDISRILKKRGQKMFEQSGNQKKLEELDIDSILENAEEHRTEV PEGMVADGGEDFLRSFEYTDVKLDLEWDDIIPKDQLEGIKAEEEKKAHEEYLARVVEE NAPRKAALKVTAEQEREQRLAKKRERDLAKQEELELKEADKVDPKRELNEKEARWLFK AFLRYGSIDDRPDELIKDARLVGRDRDMLKATLQSIIDESDKRLKAASAEMEAKERES NKALTKKDKKAVLFDFLGVKRLNAETVIERPGEMRMLKEVIEAFSDFGKFRVPEASKA AHYSCEWGAKEDGMLLVGIHRHGYGAWPDIRDDSELGLQEKLFLEEHRVDKKELRIQG EDTTAKSPGAVHLVRRADYLLSVLKARYSDDQAARRAVENHHRNNKKNGIRRAEHSAS VSASPAPQIRKAHRQSEGRDRMSDHRHERGRSSHEDHRHKPDLKRKHSAADDDRVDRH KHRKSENGSSSSNHEQDQMLRVIFRPMKDAFRRVGGATKEKIKSQKERANLLKNELVT IGNFIDSLEADEDVSALRPSFWTYVASQWPLDPKPQGRALEDMHRKILAAAKARAASS DTSGSKPNPSSTKVEPVSSKPVIT VE01_00247 MADKLTRIAIVNGDKCKPKKCRQECKKSCPVVRSGRLCIEVDSS SKIAFISETLCIGCGICPKKCPFGAINIINLPTNLESQVTHRYSANSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRFDNPPDWEDVIKYFRGSELQNYFTKLL EDDLKAVVKPQYVDQIPKAVRAPDKSVQALIEGRSQMDNLKEVCDVLELNHVMDRDIN LLSGGELQRFAIGTVCVQQADVYMFDEPSSYLDVKQRLSAAKIIRSLLKSSNYVICVE HDLSVLDYLSDFICVLYGRPAVYGVVTMPASVREGINIFLDGHIPTENLRFRDESLTF RIAEAGDEFIVDRSRAFQYPSMEKTLGNFHLTIDEGDFTDSEIIVMMGENGTGKTTFC KMLAGATKPDGNRKVPDMKISMKPQKITPKFDGSVRQLFFKKIKTAFLSPQFQTDVVR PLKLDDFIDQEVKNLSGGELQRVAIVLALGMPADIYLIDEPSAYLDSEQRIIAARVIK RFIMHSKKTAFVVEHDFIMATYLADRVIVFDGEPGIEARAHTPESLLTGCNKFLKNLD VTFRRDPTNFRPRINKLNSQLDQEQKLSGNYFFLDEDKS VE01_00248 MNGINGHIAPPGLWQEARNAEGRVYYYNTITKATQWTKPEELMT VVERALADQPWKEYTAEGGRKYWYNTESKQSSWEMPAVFKDALAKEAVPAQAAAPSAP TFVAGGGFSQYDQPRDREPVGEARQIAYGNDVNGSRAQVFVPANTDPDYSTFEEAEAA FLKLLRRSGVRPDWTWEAAMRAIIRDPQYRALKDPKDRKAAFEKFAVEVRLEEKDRAK ERLAKLRTDFSTMLRSHPEIKHYTRWKTARPIIEGETIFRSTDDDNERRQLFEDYIIE LKKTNMEREASTRRAAMDELVEIMHGLNLEPYTRWAEAQGIIQSNQRFKGDEKFQTLT KSDMLTAFENHIKSLERTFNDARQQQKNQKSRRERQNRDRFIDLLNDLRKAGKIKAGA KWSQVYPLVQSDERYTNMLGQPGSTPLDLFWDIVEEEERALRGTRNDILDVLDDKRFE IQQKTTFEEFLTLMQTDRRTANIDRDALSLIFGRLHEKVSRRNEDDKHMAERKQRRAV DDLRSYMKHLEPPIKVDDTYEKVKPRIERSGEYLALPTDDLRRSAFDKVIRRLKDKED DERDRAKRRDRESIERSSYRERERERIDRDRGERSHRNGGRHGRPSRSPEPDAYEADR RKAIADREKNYRKGNVADTLLSPARRGNDRSERDRDFDRSHRTRRDDPLDRHERDPRD KRERDDDRERLYRRRGDPRGSIDELPYGDEKPSSVRRRRADSDLESGGSKRDTKVRRF SENCAQRDHNFGRLASPY VE01_00249 MSDDEQPQQSRGSLEFVETSIIQTIVPEFTELSLEEGLRTSLAK NGDVEAALQDMALRQTVFFDEILNVYVVLQTPHLDERAVHRYLDRLVVTLDANVVNAL TDPQGQSGHELIYSGSNDQLKEAPVIIKSPGEDDKTSLLVVWKFPVPLTRPGRLRLQS PSVVFSISANLKTAEQLKAMIRQNEYLPSQMPSGINLFESFNGDPALGDAIPRLSALR VSRVKPVSPGEADSLSPLRIAPARPLKILPAFQLRARYARPNNTHSNPCIFASLDIEA TPWAGNSLSLTSVGFKLTDGNVEDLNTAPDMALPMDCLPKDDVTLVYRLSRTPLEQSS TPIKPLHITVTGTVCVSPSCLPKITMIWATSVDFTPPVNPGFGNPSATIQRDHRPAQL SISSNHEALNTSLAASRPDALPAIEFTTKHQRSLSVPDFGVTMTFTAPTTPIYQGDFF SWTVFVVNRSDHPRKLALIPIAKRRIQSSSHHRAPTNRPLSSSYTQQKGASGGANTDI ADAVLDENILYAAQKGAGIECADIICYSTDVRVGPLAPSACHMTELRFMALQSGVLGL EAVRVVDLGTQEHVDIKDLPSIVVSGAK VE01_00250 MDVAEAFRQGLGSVSKAREARTPRARESSHESDGNSNSPRIAHT LAACCRCRQRKTRCDPTLPKCLPCERKGSVCEYYDTTKNKKISRTYVIRLQDRVRALQ LELGRYVDDDDAQIKTEDSIRPGGLVRLEEDDEMPRYLGPSSGIAMTRLVMEEARRYT DSRSIRELVPEVSTRRAPGQSPESIPERTKSYPMISAIPADRLPNRSVTDKLIEVFNQ KAQYLFPTLHEPTFYKECDEVYAGDTDPVKNFIVRIVLAISMQKLDPQYAGLADSYYL AAMQSVDEVVRPKDLRTLQCLVLIAQYSLLTPTKTPIYYIVGLATRLCQQLGLTEEKT IVQGVSLSQVDPLQMDMRRRLSWIVLSMEFGLAHSLGRPNGYATGQDHVDVGFFATVD DEYITPGGITSNHVSEKKVMAVHFFKMRLLQAEIRRVLYQRKRSEPKNDSHPWFPQME EKLQSWLEAAPENPSWSKPWFTGKVNTMIVFLFRPSPQVPKPSVRGAIMCYDASAYNI KMQHRQMDNPSVDVTWIFLQSLFMAVNTLLWSISYPDVRSLHPKEELEELLEVAVGVM VRCTDRWPGSKPASELYQRLGRACFKSYDGGKVSDSSSSLSANSPASVNESMSSPFSD HSNLTAPSMAYSQQSQEPAPTFSYVFNQSPENTAANEYHQNVRFQQQQPTFRSNSIFM QPASRSTDRRFSYFPPEYGQEEPQSYQPQPEQPQSQQPQSQQLQQQQSQPQQSQPQLP QQQQPLPPQWGPTPVQTATLPMQAPGPAAGQQSFNSLDENNYFIQPTYNFGPLVYTDQ GYDTQTDRMGSLSYSQQVELMESLETNGLDGIDDYLGLVPPTYFNPSSAL VE01_00251 MHRYTALDSPLLRVSRPVAACSRCRAAKIKACALQCDGKLPACT ACEKAGRVNECSSSNDQFAKGKERSYVASLESRVEKLEKRIAYAKMRKASVTMHEGDS PPMTPPDRKDSLAAIRAAIQGKAARRREATDVNELVSDFGFMTVNATMRDFDTKTTSL TFARLILAASLNEPLPRLKNPQMPSRQTAMGLLQYYLENVLSLFPAFPETALFNALDA VYQENPQHVEDFDYWILYMVLAIGSMCQSRSSTDTFYKDGVDFVVRALKFADHVLMPG YPQQIQALILLVQYSTLDPAHFDSWQLIGFTCRAAVDLGYHQDPPKEQAVDKRALQQR RKIFYSAYALDRSISMVHARPFSFTDDAITVAFPADLSSTSDPESPISKLPALDASLL IFQLRKAQSTWYQELFQSSRDPLHPSSQYLWQMCYEMRTWSENLPKHLPQATRELFDL ELLYSYVYCLAPSCRFPSVSELGKTLIFEYCISYIQKLLPIAKDPINTAFYTYHDALR VYFIGSQFIAVLSDNLNALLQGIIPFVNTIQGGPPPPPIPNMGRTDNISRSIICIEQI IEALKTYGERWDDSKALKSSFEAQSTGMLGELYRRQEEQQQQQQYHQDIRDSSSPGTV VSGTDYKSSPPSQSLSQLGDGWSIDRFTEDIYKG VE01_00252 MSRLVAKFHGYYAEHPILTMMASNAVLSGIADTVAQSITAIRER ALRKPGGPNNRDDPFAVEIHELDKRNPFSVEDLIPESKILPPPFDFERLSRFVGYGCM IAPVQFKWFQFLSRSFPITKGSALGPAMKRVAFDQLIFAPFGLCLFFTAMTVAEGGRK KQVVHKLQDMFVPTLKANYALWPAVQILNFRVIPIHFQLPFVSTVGIAWTAYLSLTNA AEDAKEAGSPVTPQRAQLRREYSD VE01_00253 MLNLRHVLALLPMLALALGQGFQLYPLIPTDTMAEILSISPGCV AALNTTLSYDKDLFQWTIDVDSIYWEQGNVSTLCTESCIADARLWKDSVSGLCYDEYL RVDCELNSEFWLREILDIIEEKDLTPVYDNKAGVKLGSYDDAETLAQKRDFGNSRCLG GLMVWALDQVDQESKSVIYPKEWTAEEIKEAESEVQDQEAQGVCYTTACNAKCRIGDH EAAQMNGQPGQLSTADRCPSSQYRRLCCSKGTLMGKCKWRGYRGLGLSCMGSCHVDEF TLTQNTNHKSGNEEQSCMSRTQSFCCLNFSPPISKEQIVEKAKGEATDLALEVAASVA LEIAAKAFCRIAISAALMPLSFIPFVGWIIRLAVQAAVPALANLCAKGIAKAGKGIFK FRGKDDEIPYDKPTKPKVERKPSASPTRISTKSNTCSGKNLQKRLRDETKTVSVAVAP SSEVVVVKTCSGDLYPQACLNYRSIIREHPEHASVTCINKYGRAPRDEVAKYRADHHK GWWDGFMREANVNCERDEYPSAEMWHGRDTNVWIRFLPRTENKGAGQMFKDVCPDKVV SNNLGVPSKSHIIPGNGGCGGRDTTVYTQEYKITNTVFSMAYTNMPAYGDGGMAENPC YPEILIADPGFALKTEDPWYKKPANVHRQSNWALYKKPPHPDLLLLAAIGGWPKNGHT KRDTDGGLDPEEIFIDEENSTRRPTEEELLRNFGLLRCKDGCEEEMEDLGIVLLPYAQ GSSTSPSTVDATTTALNTLPTTLSKVLITATEILDEVASLITPPPMN VE01_00254 MRAKIVESIAKSASNETNPISLAQLSTWNPNILGACDFLVKDQY ICIEAPGGSLVGPPQDDIPDDTGGPVRRGPGSTITLPIIENPDVPPELVQEGIAADCI RYVVANSTAASCWKISNDGQVTQARLFELNPVLGEHGENCGTMIWLEYAYCVATSKDL PTTTSVASSTTSNPPTSTSNPKPTATREGIAANCNKWAIAETGIGCYDMAANAGIELS LFYTWNPILGAAGENCGTEIWLDYYYCTGVSGSTTSKAPTPTSVTPPKPTNTQAGIPA SCTKFYEAKTGDSCWQIADDNGIELSLLYSLNPILGAAGEGCGTQLWPEYSYCIATS VE01_00255 MEEIPAYDRDVLVRCLTRHYETLVRMGYMEDSNIQRPPPGGWGD QIDAKSLRIMGRNETVIDLLRHLPYLQKDYPIMPDTEPIQYLGMMWDDTLADKIAVNK SLSKFYPPLMPFDDEPEPGMICLTYGRGSTDWLIDTKKGYVYPCGTHWEVQRTQEDPP WLWYNPVAIEKYFNEMHHKFLSLDLIPLPGVIHQRKRGDQDMLEHEIFEDGEEQIRPV KRIFQDHGWPNFETFSKEECIKAANIARLQVVEKWTFRWAEDDIIKAEACGDMIEKEE AQLRLKKARIEYAREWE VE01_00256 MHREAINPPFALKPEDLQAPIPRTGLPRPDVFAAWLARQTGGTT PVLATTVVELWRSHLMYPIYSDSAAFVHEFGGILKFRPDVRELATRTSRGLLAKYDLM YNGASSITKNAFFGAHFARRRQRTGWTRPTQRSRNTT VE01_00257 MKIVLVTGASKSVGYEVVKALLQSDESYHVFLGSRSLERGQQAV LTLRNECADSLGPRDKGCALKECPNCFNTVEAIQVDIASDLSIEKAFETVKASVGRID VLINNASVIKDLDYVRGKASLRESFTSSYDVNVAGTHVMTWTFMPLLLQSYDPRLIFV TGLDTFEESAREDFPLRPLERGWPKKVELETVGYRCTKTALNMLMLDYHHKLQVDGVK VWCVGPGFLATDVA VE01_00258 MSLSNKLSITDVSVKDKKVLIRVDFNVPLDSKKNVTNTQRIAGA IPTIKYAIDNGAKTVVLMSHLGRPDGKVNDEFSLKPVVPELEKLLGCSVTFAPDCVGP KVEEIVNNAKNGEVVLLENLRFHAEEEGSSKDADGKKVKASKESVDKFREDLTKLADV FINDAFGTAHRAHSSMVGVNTPQKASGFLMKKELEYFAKAIESPERPFLAILGGAKVS DKIQLIDNLLGKVDSLIICGGMSFTFKKTLEGVKIGNSLFDEAGSKIVGDLVEKAKKN NVKLVLPVDYITGDKFAPDATTGTATDESGIPDGWMGLDCGPKSIELINQTINEAKTV LWNGPPGVFEFEAFANGTKKILDCAVAAAQNGKTVIIGGGDTATVAAKYGVEDKLSHV STGGGASLELLEGKELPGVSALSSK VE01_00259 MSTAPASGKAPANNKAEAATPAKDSKPTVTLEEDDEFEDFPVDD WTQEETEVPGDNTHLWEESWDDDDTSEDFSAQLKEELKKVEASKKR VE01_00260 MVGLGWLRGGAKSEPVTSPKTPADELKQLEHALAAVIWIMSDDV DAADNALHEETSSFHYLGRGVTQFLRSVLGFEQDVMKEASNRLAAAEASSWADLKRAQ KDHKSYQSRLYSPGAEYALCYAESQMMSAVVGVLNESLTESIKGFYKLRKAYFTLDSI MQEEAAFMRKQRGEQSTSNGDAPRRPSMPGSFDEGEFTDLTDSRDVSQTNLAAKGAEL VEKQSPDDSDLEFLDADESKAGIETTANYLGHTISNEEFELRAANASAGTKNGEKEGE MLRTPTVTSAKSKASSHQAPEAEFFANPMDLFIHSGTNLCYGLVLLIISMVPPAFNKL LYIIGFQGDRGRGIRLLWQATKFDNINGAVAGLVLLSYYNAVVGFCDIVLTDKDARED DSTAFSMAKCNDLIETMKSRYPTSRLWRLEEARKEATNHNLKGAIQILNNNQDSKTKQ ILALNMFEKSLCSMSLHEFELCTESFVECTTLNSWSHPLYIFAAGSAQVELYRKHRIS NPELAKKHKDRATGLLRKAPTLTGKRRFMAKQLPFDIYVARKVQKWEEREKEWKVDLV DAIGVSPLEEIIYLWNGYKRMRPEELQVSLQTLNWDNATHPEKFKADMDEVGLQALMH GAVLRYLGKFTEARQLLTENIVSRDKNVFKGHLYDDWNCPSAYYEMAVISWMERDLPG MDSKAKLVECEQWLNKVAKWETSYVLDARIGMKVTTGLDTIKRCRPRV VE01_00261 MSQIPARNMSSPPPGSPIQDSSNLTESGVIVDVPQGSRPESSQE NETKTDAPGPFESERAEPRHCWICLQDEGDDSPEHSQWRSPCPCNLQAHEECLLEWIT DIQAQPSGGTSMSKKVLCPQCKSEIKVERPIELVVAVTDLISAIGQQLLFPTGAGMLL GCLYSGSMVYGFNAIELVFGGEEGRRMLFAARETPSLIRQILPGAVIDWFFTVLRMTD PFVPTSGGTWVFGVAPLIAPALLLSRTHIADRTFSMVPLMYLLFPVNHHIPHWPPSPG LALATLPYIRSAYNELYSSLFADLENGWNLAVQRRPREGETAEDVAHGQHARADEGNI LDFEVEIINVEEGHGALNPPAGPPPHVINLGVPEAHGALNPPAGPPPHINLHLDAPEG QPQNVEMPNQPQAEEPQGEPQQAGAQQEGQPPPPLAGQPAPPNGVPAAGNEPWEFRQN ISTAQVARSMIGALFFPAVSSLMGDLIFRTAPSTWVMKPVKKFSLQQLQATGLLQEKW GRSIVGGCLFVVLKDALTLYCKWRKAKHQGKRKVLDYDRGKNGKNGNNGKRQAAR VE01_00262 MRTNPKQQPAQSPGIDAVTTTSKYTNKDGSKFITIPKFSNSTDS SDTSPNMAQATAKANADAINPSPAEQASAPSVNKKKQKRRQKLAEQAAKIAAEAEALS RAGDAQSGPSPGYQGDQAFENTTSDRVYYSDDEGDGYSGSYEHSVSPPHSLSPPPTNM TAKPGKRKGKGKSAQSDQTALASSSTAAAGAPSQAVGMSKEKIWNTSSQEERERIKVF WLSLGEEERRSLVKVEKDAVLKKMKEQQKHSCSCTVCGRKRIAIEEELEVLYDAYYEE LEQYANNQHGDRPPPMMPGSQRFGALSGLQPPNRLPPAFNGRQPSRGRIVEQFGDDEE EDEGDEEYSEDEAEEEDYISDEDPPEEIARSHATDFFNFGQSLTVQGGILTVADDLLK NDGKKFIEMMEQLAERRMAREEDAKEHYTPNGYPHPNGMQTHTHHSHHNHPPAPEEDE DYDDEEEEDEYDSQDGEYDEEEMVPSHTDDALNSANPAQDAMTEEQRMEEGRRMFQIF AARMFEQRVLTAYKEKVAAERQEKLLQELDEEDMAIMQKKAKKAKEAQKKKEKAAQKK LVLAEEKARRDAEKAAEEAARLAEEAAKAEEARLRAEEKRRKREAQKKAEDEERLRKE AEKQRRVQEQRERQAEQERKARETKEREKKEKEELRQKEKEAKEVKEKELREKKEKQE KEKKEAELKAKADKEAGDNRKREEAAQQQNKRQQQPISIPTPGGHQSWQTPSNPHIPV VTPAIPKAPTPNRPVNPPQREPAVPIPHTPRFGSSGSQSTSPNSSTPLQNSPGPQALP SRTPSQPFLHHPQANAPMHSTLKGPQSGFGPPPFPGMQPPMGMNGFQPGLPQMAPGFG GRMHQEPMFPHQSFGNQFRPMSGHNAGPMYPGMNNMPMPQGRGLPGPHAPPPGFMSQM SNGMGPLSQPFGGHKEPGPSQLHSRQQSGSFDKPLSDPNAPPAAGQPIARPAPIGRPG SVVQGQRSDADIDDLAGHLGSSALLDDSDEPIAINTRRSSAAPGSLSRQGGFGQPYSM DPSAFSSPGSYGTWGGPPNPFGSGSLPGSGFMSGWGNSAPSGFGNVSGLPARPSQPRS VAVRLMLCRACKLLEGSTPDGFFPINAVREQINRINTTRDDTVSDKELLDLCETEGNP NNGGGFFDVRNERDGRVLIRHEPDSGGGSLRPVGAPGDIGSPIATSANATPSNRFSSG MSAPGSGF VE01_00263 MEEHPQAQNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPT VFENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFAIDTPDSLDNV KHKWVEEANERCPGVPIILVGLKKDLREDPVAIEEMRKKSQNFVGTRLATDVAHEIGA RKYLECSSLTGEGVDDVFEAATRAALLTFEGKKTNGCCVIL VE01_00264 MASNNVSPLFRLLTPLLQTVRRTAAPSTTALPVRSISTTPANLA SGGSMNPKNRGGGGKKDPRVQLIRYHLQHSTTPRPLRLSRMRSLRHWTIHRAWMLFRR QKMSEEELELQRMYKSMHNACEELRALQGPGDKNAGRLYRIALEKKGIFGPNGVPIEY ARAQTDTPGKEPWDHSWTR VE01_00265 MMSNGAVPGTLHHYAGKLVAFELTAAEKGESGQNILFFIGGLGD GLLTVPYAAQLARQLPPAWSLVQVHLSSSHIGWGTSSLGKDVDELSQCVDYFRNIAGR SGKIVFMGHSTGCQDVIHYLVGPGKESRAPIDGGILQASVSDRQAMEGQLDEAQRSNT NKIAAEWVAAGRGEDVLSSAATHGFFDAPICARRWLSLASPNHDGEDDYFSSDLTDEQ YQRSFGSLSKGSKLCILYSGKDEYVPEYVDKKALVEKWIGFVKRGSGEVDEKNSGIVE GATHDLKNNPEEVINGVFSRVIGFLSDL VE01_00266 MATPPRSSVGERQERPISTGRVQLPRRSTRGPVDLSQAPVSGPL GSSSPTLSNSQTLSPTPSQRSPNPSSRPTSSPAFHAKDFSRLLRPELYHPLPTTDIPA PLRPSSPPPQDLPTLLSTSRFRAAAVLAANLLTTATAPTDHPTIFALLYVRLASLTLC NATALAAQEVKALEDLNSALYLDPLTSAHLVPWELRVLAVRLQGIGFNDPRRGVVGYF ELARDARRALAALRKAVSEDPESEDSTLVERQMWEERLVDLGVRVAGALVEMEDLEGA AMHLRTLGEGGDRMVGARRALLWLRLGDVEAARGCMGGREEADGVVLALGEMADGKYE DAATIWEQLAERDGGNEMYAQNLAVCMLYSGQIDEAKDMLENLLDKGKSFHALTFNLS TIYELCTDRSRQLKLQLVEKVAAMPEADRAGWEKTNADFKL VE01_00267 MAYPSLPASPTLTNPDMILPYGDYDSTPSPPRSAAWKGRPQDMD FAQSLAYGDNTMVAVPMTPITPIIYGNGTMLSDIGEVTEAESTPGVGVRRMRDRLARG DDSPVKSSPTMGYQSTVKRSKVPAHQRSASMESTSTVTTEAPVGEPFGDFDDTVSVDE SAFQGDDEESVVGEAYGQAMLAEVKTMYRKDARAVEARREKEDEELSSAALSKRAEMI LSNAKKRLDNMEGNLSRARSSLYISPSSSMSSIHSSSPLSRSTPSPPEPRIISQMGLP PARHRQLNHSNLGPNSPSHARVGSENSLPLSPPNNNNIQHTTVILRPALVSRQSLPLI ASPQSEGPQRRDSYRSQIAAQESRRSSLNEHSDPASGIVIMETGSDGDHPFPSPLEAD RFVRSSTAEPHSWHEIDPRALTRSASSMQMRDLSVKMKDLKGKISTLRDRAKEDNMKR RSVQSLRTPSPFTAAEQWYTTSTDYKDGHLSADAVVMQAPWDGEPKEQEIQQKQVNNM LDHVTEDAAEDVEDCQSETTSIYQDFEEAEEHQDQEEYGNVVGSFGGEEQVNGDEVYE EPAELEDDLAENNHQQNGLQEDGQDDLQEHVEDRELDEEQPINDEYEDESVDGEEYDD ASSDVASLYHDTSQVPLSHEDREDAFDYEHFFLHSAMGTMSQQKYNRRGSTDSYGSDD SVATARGLDTPATGTYKDQLKPAVVGHKRHKSIDTVSTMATFATAKSRHTEADLQNEY FNFAVQDVRWSQQIHGGDTSKALPTTPDDEAGEAGQESRRSSVIRAGVIQPVAQHRPS ISSFSSSSSATRSFPLINRPKNTSGTSTPSSYPSPLASNPGELLGMALTTGMMNGTDE RAAQPSPVSMLHRDDQILVERLVASVGKCVVGLQETRRTSAENRVWRKRLEIAYRILE GDESMLK VE01_00268 MSSTTPTTAFHIEECTPADVSSLVHVYLSAFTPEPNHIACFPNS TCSWASQSAWLTNRFGKRLNHPGPGERHFKVIETASGRMASFARWEFPHTPAPEEATE AVVEEGGNMDADSLPEGANVAACLEMFGGLDRMQKKWVVEEEMYLMGLLATDPEFQGK GCASMLLRYGLEMADREGRKAYIEATPAGLPLYKKLGWVVVDEAEIVNASKETPEDER RWINWIMIREPVSKA VE01_00269 MARENMDKAAYFKALSSLDEDSDDESPIESSRDTPITSLPPHHK SSPHKHREPVDPKPNATPQLERSLSAPTLKSPSPIHLIKETPLVSIKNRLRQGRTGTP LSNEVSFVAETPTSPKPIQSGGAPPLRKTSAPTPTTLNRVAQFSSFSSSALGKRKRKE PSIKLVPEQHRIFKDLVFFYVPPDDIAPVRRARIVKAREYGATWATEWTETVTHIIAD KHLSYADITSFLKISSIPDHIILVNELYPLDCIQFKAILNPNQKQYLLTGYEPTTKDS TVGTSEQPPSSPVPHQSLELKTARPKPGRWNYVPPKATPDRSQNGGDRQSVPPTAGET DDVARSPVHGEAAVYGTIGDEDTTEPLSAGPVVESPQSVEESTRADDDLDEIIKGTLK VQHLPLDDDEEDASPTGSPHHGGDSSDDNGSLKPKRKDITRKFSFNQESFSCMKGGTL DATSSNPNGRTISILQEMAAYYTRMDDTWRPIGYRKAITTLKKQNKLISTAAEAVKLP AIGPRIADKIEEIVHTDKLRRLDNTKSDRNDMILQLFLGIYGVGISQATTWLQQGYTT LEDLLAHANLTDNQRLGIDHYQDFQTRIPRAEVSALAKIVADTARGIDRGIEAIVAGS YRRGAETCGDIDVLLTKPGTSACSELTPLLYKLVSQLRASGMLVAPLSVGRTESSSKW HGCCVLPGQESPIWRRIDFHLAPASELGAALLYFTGNDIFNRSIRLLASKRGMRLNQR GLYKGVMRGLGRVKLNEGELVEGADEKKIFAELGVPWRSPEERVC VE01_00270 MAIVHSTRVYNWYISMVAASCMVLYGYDASVYNSVQGSSNWVNY FNKPAANVIGAVNTAYTVGAIVAGFFLGGPIADRFGRRWGMGIGCFLTIIATFIQTFA PRHSIGTFIAGRAIIGIGQGIALTAGPIYIGELAPPEIRGKVMSFWQLFYSVGSFLAY WINYACQLHVAKLGEWDWKIVVIFQIMVPIYILVLLPFIPETPRWYLQHGDRVDDARA TLRRVRETEQEVEDEILMIREALEFEKEAISSSYSALWKDRSVRKRLILAFILNAGQQ VTGQGTLNTYSTIIYKGVFKSQSQISLINALNATFGIIFTLNATWTVDRFGRKFLFIV GAIGMAVCMLAVALVGTKTETIYYLSKGVSKPTKAEPVGIAITFLLFLFIFFYKPSWG ATTWIWTAEVFSMNVRAQAVGMASQTQNVANSIFQQFFPIFLANCGFSTFYFFFAINV VLAVFVHFMIPETRRVSLEEMDVLFGGADHVEKGADLLHVEDAHHAVPVQENKGSSIE ANEIEVSSAVPTKTV VE01_00271 MCGIFTSISKTEAPSPNPELLQHLANRGPDLSNTLRAVAQTAID GDTVSLLFTSTVLSLRGGHVTAQPLSTTETGSVLCWNGEAWKIGHDVVEGNDGEAIIG LLDASIVSRSADESINAVLDVLRTISGPFAFAYYDKIHRLVYFGRDCLGRRSLLYNSE DISDAIQFSSIADSTSSSWKEVEADGIYVAALDRASIPPGLALLEESSVGNKHFPCYR CPWVPSDNQGATPSLSLGVLNQVVPTTPWSLNLSSDSVRQLEQHMIQSLRLRLLNIPD PPRSEGPAKVKLAILFSGGLDCAVLARMAHDILPLEEQIDLLNVAFENPRVVLASKQP PKVKQQRKARQGRDQLDILGEAVAKENPLGDTPPVVCPPVGVDNPFEACPDRMTGRSA LKELRQVCPGRKWNFVEANIPYEEFLTHRSKVISLIYPHNTEMDLSIAGALYFASRGS GKASIDDSVPATDYATEARVLLSGLGADELFGGYTRHATAFSRRGFEGLIEELDLDVG RLGKRNLGRDDRAISNWGREARFPYLDEDLVRWSLACPVWDKCGFGGSEALDAAEPAL EPGKKVLRLLAWKLGMHSVSQEKKRAIQFGARTAKMETGRSKGTTLLS VE01_00272 MSPPKQQEGEAEPDRDLENKPKLDEIDTVVGDRSGTNDDPVGIA GEELQDAGSTAGPSDTGKVIEDTMGEAGTASVSTGETALEENAVESHEDQQTTLDAPT TEASTTEEPTTEDKYVGSDPMESSTATLRPHPPPNHESNTTQVPTKDNMFLEPTPQPP HSAVPPASNSASTSTPRSGAGEGPSDRSPTRSDAGFDEKPSPSEDGREGESRSEIQSI MDQFSAEGGGPGEEEVMSPRFELAGPLLGTQIQHPPRKSSLDPLNHGVINISQGLEST DLGKGVSSRRESKDSDIGPEVPPKPTSMYAMPPMRSSEGQIESPVSPHATSLHRPPPP EPEPEPDLPFDFHRFLEQLRHRTADPVAKFLRSFLQEFGKKQWMVHEQVKIIGDFLAF ITNKMAQCEIWKEVSDAEFDNAREGMEKLVMNRLYTQTFSPAIPPPQPIPGARPRRRN GERPLGPGRRGQHQEDVERDDILAQKVSIYGWVKEDHLDIPPVGESGKRFLILAQQEL LKIKTYRAPRDKIICVLNCCKVIFGLLKHSKSDSSADSFMPLLIYTVLQANPEHLVSN VQYILRFRNQEKLGGEAGYYLSSLLGAVQFIENLDRTTLTISDEDFEKNVEAAVFAIA EKHRTDEADAPPTPTQQLSEMSGQPSSEPNFGKSSEAESSQPRKSTSSYESNNADGGD EKAAMGGLLRSIQRPLSSIGRIFADDSSQGSPSRGPASGNTSRLSPAPRNAERPISPQ RLVEPTRDTVDRNHLRAENAAVRQASVETAEAQHLERAEHENVVETLSGMFPDLDKEL ISDVVAQKNGRVGLAVDACLALSS VE01_00273 MADKPDDNTTGLPPTGPPQTPESSSSRNQTGLPPTGPSPAAGSS SWRNQTGRPPTAPSPPTGPSNWRNQTGPPPTGPPRTGPPRTGTPPAPGPSRSRDQTDG IEAPLSQPPYEMTLNKYWPNINSYHGPWFHIPIEELEVLAKSNWALPRPRIIDPAVFF DLLKIRQLTDEACDLAVRATAGIATSALSKPFTIARSMRGSGVEALGLGSFGPGVEAQ LSPERKFRMREKATQKLSEAYRLDDTASCIVTMRGPSVLGMVAKQVIETPREDLDRLD GKYVHYFHEKTDDKAMMKPEAFSLLDEVINERQLPAEPLRTRAVAKMLQENNLEAVED LTKALQFCRSQKSLHKPKLPKSQNNSSSAADTSGSSKCKEKKLDENDYPSSLEAQVLF RRAGAYLDLASQTVEKALPSTDQSQRSSMGASNESNTGGPSVGAEPEAMEREKQGKLV KEYAKRALRDYTSYLSLLDYTPDLDPKHMDAFDRRVFQTAVGFKLPKGNTGSSSNPLS GIPSPVAYQMSTLFSATPPSLQPNPQTDILVDHDSAPKNASDTSALHAAAQQLLDSAN KNESLTYHPLLVDVLHSLLLCHVLVQTSDREIHRHAHMAARLIRSCDGYPIFEPPLEV QSRKDWLEVLSRVGERFDLGESWDFLCRPRLYRRAGVPPVYSEEGGGMKETRDEKRER LHRKAVLETVTDKSITDDETFKKELAAKKTRQVEEERKSTGKSDEDKAGPSKGKAKDE DHNVDSNRARSIVRWVLEAPQITNPESRKKKKRKTKGRGGTRGRGYSTGRGSLNSSMG NMSITDDQTVETPNEGAD VE01_00274 MLRSQPARSAVRSLVKASTRTSKPLSTSSAARVISAPKKTPGTT RSQATTAAASSQAREPPASTFNTTPQQNEPQPLQNARQPEMDESFIGMSGGEIFHEMM LRQGVKHVFGYPGGAILPVFDAIYNSKHFEFILPKHEQGAGHMAEGYARACGKPGVVL VTSGPGATNVITPMQDALSDGTPMVVFCGQVATTSIGSDAFQEADVIGISRSCTKWNV MVRNVADLPRRIKEAFEIATSGRPGPVLVDLPKDVTASILRKAIPMISTLPSSPSAAS KAASEITQRQIQATIKRVGDLINIAKKPVIYAGQGILALPEGPKLLKELADKASIPVT TTLQGLGGFDELDEKSLHMLGMHGSAYANMSMQEADLIIALGARFDDRVTLNVPKFAP QAKLAATEGRGGIVHFDIMPKNINKVVQATEAVVGDAAANIAKLLPYVDIKTMEDRSE WFGQINAWKKKFPLSLYERSGENGMIKPQTVIEELSKLTAHRKETTIISTGVGQHQMW TAQHFRWRHPRTMITSGGLGTMGFGLPAAIGAKVARPDALVIDIDGDASFNMTLTEMS TAHQFNIGVKIIVLNNEEQGMVTQWQNLFYEDRYAHTHQQNPNFIKLADAMGVQARKC EKPEDVVDSLKWLIESDGPAFLEIVTDKKVPVLPMVPTGAGLHEFLVYDEGRDKERRK LMSERTCGLHK VE01_00275 MAGTVPVVASLDGIYTQDAVSAQMKRWSQLLSTFESTYKHPASF VSRSPGRVNIIGEHIDYSLYPVLPMAITSDAIFAVSCPDDSASASSNTFKIRISNVES EKFPAHEFDIPYDNVDIDSKVHEWTNYFKCGLKGALQLLKKTHGEGFRPKSMNVLMDG SIPAGGGLSSSAAFVSGSALAVMVANGVKDVSKMDLTEIAIVAEREVGVNSGGMDQSA SVLSLRGSALYVSFVPELSARPVKFPSTNPELAFLIAQSFVQSDKHVTGPVCYNLRVV ECSLAAAYLHALLNETKEPLPADSGPLGISLYGFQKAYFAKRNSSASINEQLPELVEK VKSTFTKEEGYTREEIAKVIGMTVPELEQRFESTFPVRAETFKLRQRALHVFSEALRV SEFIKLLEQPAEELERDASGSTESYNRKLGDIMNETQDSCRDLYECSCPELDELCAIA RKAGGYGSRLTGAGWGGCSVHLVPADKVEAVKEAWEKEYYSKKQLTAEQKEGAVVVSR PGSGSAVFLVKDGGL VE01_00276 MAPADPLKPAAKEPKTLRRFKLFPKLPTEIRLMIWTLLLPPPRV VDIRMRRKSIPTSAGEILDVGRFISSVDHPIILHVCSESRRVARQHYKLSFPKKTNTE WSPAQTYIDFDNDTVWFDNLRYFPTTPSTNSPKTLPMAEFAKIKKLAMRHEIDRVLLD STKLFNPKHFPALEDIYVVDHKVYPHEILLVVYGTYTSETLEKVWEKEKKCPTVYLAS AGEIS VE01_00277 MSTRFNNRSQPTIAALQENAPLGDSSCFSDFFPSQKATDCSPQT VKCPIVPFHAAPLPTPPQSPYGYNAPLAPVANNMRYFQQPVHQQAQQHFQHFPPTPPA TPPPASTFDQAQFFDASQRLQFLRTLGSGAYGVVHLAKDVRTGIQYAVKVLNKFNANG LPLDARQQHFQQTEMQLHYEVSAHPNIVSLLRILDVADCTYVVMEYCPEGDLFLNITE RGRYVGNDLAAKTVFLQILDAVAHCHRLGVYHRDLKPENILVSDGGSQVKLADFGLAT TDSFSSDFGCGSTFYMSPECQDQSSGMPFYACAPNDIWSLGVILVNLTCGRNPWKSAS TKDSTFRAYLADRQFLKSILPLSDELNEILNMVFEIDPSRRISLAELRHRIATCGPFT KSAAAATATTTTTSVPASVPTIQVTADAFVDSDSDLDVYSAALSPASTISEEGSMISD ASDTSTAPSEAGSSQDLDLQFEVMDEDHHEDHQQIASFDDYAPAAKDPWVAEPAFVAP YVPVDPVVPPVTAPKDHGIPPQAQQQRFLSPPPRSAPPRQQRGMHQFITRCVTPSLLN SFCPQGSQRGAPVRRAF VE01_00278 MLPSVALLSLLAAAPFTVVATPTTPTTPPKDVNPFLGKNYYANS HYSKELDQTKVAFLKKGDLLNAARVTTVQRTGTFVWVSNVAGLSGIDTAIAEARAELR RTRKQQIVQLVLYDLPDRDCSAGDSAGEFSSANGGLQRYKTEFVDKFAKAVSSAPDLT FAIVLEPDSLGNVITNQGIPFCATATPIYEEGIAYAISKLQFPNVSLYIDAAHGGWLG WADNLPLAAAEFSKVLKLAQTFKKGATIRGFATDVSNFNPYIANPRANYTEWSPSYDE KNYALSLAPFLQNASVPHHFIIDVGRSGLQNQREEWGDWCNVKAGYGERPTTDTGTDI VDSLVWVKPAGESDGACGPLIDGEGAPGAGQWWDKYAQQAVKFANPPLAPTWW VE01_00279 MSGRGDSGARAYSGGWGRLKPVTLDPLEAVGLPSKGDNRLLNFK TQENYYTKIVDRYMSFCSDAGRSDELLRRMSQLSVQSGGGRLPPPAKPLKGLASSIYA TPDTKTTNALPQLPSIPKKPVEFDSSKDLSVLTMSMRKLREGIVASKRIDEFSTQAYI FCIRLSILIKHMESYHPALLHLLHRMHTVRPLSRLDLQEFAGYLVLDLACRQQDLAQA HVVRIRYGVKDTKILAVLDALAHDNYYVFWQVKRSVDGHKAKLMEYAEEGIRLHALKC LGRSYFTVSLKFLEQVTGSTWDALVKENRVGWELKGGEVVIRKPKTR VE01_00280 MTTRQRKKATPDTIAEKVIKAEGKVKDALVVLWDDLPSWQQDNH YIISGYRPASESFAKSFGSLGYLHNESVNIFSHLIPSIGSVVLAIALYRVVVPRYESI TQGDILAFACFFAGAAFCLGMSATYHAISNHSHVVARFGNKLDYVGIVFLITGSFIPS IYYGFYCHPHLQQTYWTMICTLGLGCATVSIFEKFRTPAWRPYRAGMFVAMGLSAVFP VLHGVQVYGVQDMRDRIGLIWLLTQGALYILGAGIYAARWPERTEPGKYDIWGSSHQI FHILVVLAAVTHFYGLVVAFDFHHGANAAVC VE01_00281 MASRAQQIAGHLNWPKGMLAGQVAIITGSGQGIGAEAARLFANE GAKVVVADIDAAKAADVAKKINESGGSAISVAGDVLDENYLKDLVKKAAKFGNGKIHI IVNNAGFTWDGVIHKMTDKQWETMLAVHNTAPFKLVRAAAPYFRVKDGEPRNIINISS TSGVHGNAGQANYAVGKAGIVGLTKVIAKEWGPAFGVRANTIAFGHIATRLTQAKEKG AFVTTPDGEKIALGIPGMGEPPKDGSVAFADIPLRRPGSPSEGASSILAVASPLFSYV SGQTIMVTGGRNM VE01_00282 MAPKAQKDKYSILLPTYNERRNLPIITWLLNRTFTELDLDWELI IVDDGSPDGTQVVAKQLAKVYAPHVHLHARAGKLGLGTAYVHGMQFATGNFVIIMDAD FSHHPKFISEMIAKQKTLSTNGGYDIVTGTRYAGNGGVYGWDLKRKLVSRGANLFADT VLRPGVSDLTGSFRLYKKKVLEKVILSTESKGYTFQMEMMVRAKAMGCTVAEVPISFV DRVYGESKLGSNEIAEYAMGVFALWLKV VE01_00283 MPDEKPYKYENLPIPTYDEATSSRSPTPATSRPNEASNNEERQG LLGDEARLPVPTRRIGYRPPPTEDDESRRDSLDSLEFLGSDEGRDSMAAEDAEMRREM DEMEIEEPPAEQSRWGKRISSISQSLHFPFKFRLPKWKFKMPEMDASIFILLARMFAL LVVVGLAYLLFMSDFFSNASRRMGGQMFDPGRVREWLQEQIDSEKIRDNLEHLTAYDH LAGTQGDFALSNWIQQQFLNAGLENVVRDRYDVYLNYPKAGGRAVEILNADGSVKWKA KLEEDNVYPEERRQQALAFHGHSKSGHVKGPLIYANFGSREDFKRLKDSGIDTKGAIA LVKYYGSQGDRSLKVKAAEQAGFAGCIIYSDPAEDGFVKGKAWPDGRFMPADGVQRGS VSLMSWVIGDVLTPGWASVKGAKRLPVADNPGLNKIPSIPLAWRDAQILLQSLKGHGQ AVPPEWKGGVPDVEWWTGDLSSPVVHLKNEQDEVEQQPIWNVIGRIQGVEQSEKSIII GNHRDAWAYGAADPGSGTAILIEMARIFGELKRHGWRPLRTIEFASWDGEEYNLIGST EYVENNIDKLRANGVAYINVDVAVSGQDFQASASPAFEEVIRVVMDRINNPVRNGSTL LQLWEESKSKLEGLGAGSDYVAFQDIAGVSSIDIGFSGERFPYHSVYDNFEWMEKFGD PGFLYHHMLCQVWSLLVLEMADNPILPLSMPSYATAIGKYVEDLNQWAGSKGANQAGK PKWDIEPLRAAALKFKGDAERYRSWETYWRNFINNNGGYEQMDVSIIRQSYNNRASDF ETLLLDLEVGGGVPGREQFKHVLFAPQAWSGYDESFFPAIRDAIEAGDWKAAEKAVGT AAKLLTIASEQLVEGDL VE01_00284 MDYVDNRVAKEAARSLPKAEVATLFTPINAILLSLFVLIIYYQL RPKTPTVLPKQPPAVVFQTFTPRTLLPNNGADGAPVYLSVRGRVFDVTSGRQFYGPGG PYENFAGRDASRGLAHGSFDEDMLTSDLDGPLDTLSDLNDEQKDALKGWEERFNEKYL VVGKLVAAGEEE VE01_00285 MGGVDRAGGKAKPLKAPKKAPKAELDDEDKAFQEKQRADAKAKA ELAAKAKGSKGPLNTGAQGIKKSGKK VE01_00286 MASSSSDEGEIRDPGLDKATTSPQMKDTSVDRQHRTRSRYSPSP SLSIEDSVVSALRRSSERRLSPRGSKRNRDDDHYSRNHRDSRRFKGQYEDRPTQRRSQ QPYEDLDREGATPAGLRYDDGDRNRDRRSRTRTRSRSPYRASREAESRGSYNTKPQRD NYSGSKSDLPDRPRMNGYGNHQRQGSRDQSVSKRADGRMPTDLSNGEAKFVQGYSQKR GDSYKGERDIERSHPPAEEPPSDTEPLDEAALIEQRRKRREAIKAKYKGSATATPLMV QALQIGDRATPETRSQSEQDGDDQTTRNASPDVGTPSTPKEIQDPSAFAALNDQELAN DARRANPEDGPSAADYDPTMDMKEDRFRDDIRHTGDVPSSAYDETKPTTEQEVLLPAS EVEEKPKKSKDDFDMFADDDDDDMFAEEPETAAETTRKTGDSAVAVPIPEAKVLNVGM LDNWDDAEGYYKVILGELLDGRYHVQANLGKGMFSGVVRATDITTKRLVAVKMIRANE TMRKAGMKEIEILQKLMSADVDDKKHMIRLERHFEHKGHLCMVFEHLSINLREVLKKF GRDVGINIRAVRVYAQQMFLGLTLMRKCNILHADLKPDNILVTENRSMLKICDLGSAS DASDNEITPYLVSRFYRAPEIILGMPYDFAIDIWSVGCTLYELYTGKILFVGRSNNQM LRSIMECRGKFTTKMLRKAQFAHMHFDEQTNFLSVEQDKLSGRDTVKTLPLAKPTRDL RTRLATGAKGLPDDEMKELNLFIDLLDKCLALNPEKRCTPAEALKHPFLNRPVGR VE01_00287 MWKRMQQGKSAKHQNQPISPGSNGGALEGPQDSFLSPQDGNYGR GLPALPNESEQRQGGKYRPESSVYSQHSPQYPAPATFQEQVSSYEEQVEISPPSSPDI PRSEMVSRSYNTHPSDVPAALSAHPVKSSIPVARREKRRNQAQATTAGHLARREEQAA AAAGLRKTSGTRWDDYSGEPNDQGRPPSVQPGQFTPVVTNLEDSYNAAQSAPKVQTSF SDRVRKLKDGNKSNGPKPEWKGSSGRMAIVPAPEDNPSAPPLQIPRKSSKRVPSNPSL AGSRVTSPSNETPVVSPTAHGGPQYFLNTTSEVPEPPPHDEPPSPLNSLPSQRVHARR PIAAPATPDKSTLPPSVSTIERNFHEALKDVSISNPSSRPQEVSRFSVTTYAPSTTTT NTSSPRPSTDSVPPLPEPYQYTSSVPAHSPILNRRRPVGAPNYTFDRTTGALRHPPSG EPKFISMSHRASLSKALPKSPEEGAALDKVALLQAQLDDLARQRSNIDSSIRRMTELM PGEMTGLGRGMSSEARIAEMARREEEKRRVEVLRGDLAGVRREEHEVGLKLHRALKKA DERAVYEPSGLWVRRVTG VE01_00288 MQHPMDLDPEAPPTHQSDNKGDKNPTTSHAKTRDAPTSSGHPSP APSSSSSHHNELYDRELSNFNAVLASISPAAARAGVRQNWRKCLLGSSSDEAFFIRSL MGRTSDHVMTKILEDEQERILEVASEHYKAFLDQAMAMRLESISAKDLVAVLAKARRL GYDEMDLVEADEMVMPAERAEANEPSDMEEEAEENLQVEVPEVLDVEGGARKDGWEKE RLMEFRMKEQDDGRQGSQTVFSQTEGHAKRKSKRKVCPACGATFLQSAGLKYHMDRKV CERQRPTAPLKFWCDLCSKGFTTSGGLTYHRLNNVCKGHEPSTASSPNAQLQAEQAAK YAEPPRDLTPRDILKSEPYPGALNVRTYSPPRAQMPAARFTEFKASPKPLPMHPSPQQ YQPQPQSTPSSSSKPSVALTPEQYSEMNAKLEKEERRFESVLAKVSPQLSSAERHAEI KRLKAGSSTRKSIIRREYGVQVRRSKVATANAIRTASGGVDISMVDAPPSTNGFAAIN RIASFRAEPSEPDSKRRRTGEVEVVRGRNPSMYDPLRDNSERSRSVEYPRPSFNGGAQ QLPHPDQVLKSDNRPTTAQRQNGIIPRSRPPLPLHAERPPHGTPQHHDQYRQPSYQQH QTPTGGFTSVNTEQNPSSAPHDRRDSHHGPVARMQTYGAPPFYVDQDRREPAPTHPPY HPSHPSHPNHEAAGTFGVLKSHKKVPVHEAQVQWDNLRGGAGTHYGGQLGVNGAERKT SARTGDLIEILSDSSSYSHTPPQEKVVAQAQQKAPAPPSSREQDVPPEARQSAGGGGR PTALPARSSPRPGEKGGEMRGGEVESDSDDGSDTSIPARRTPGKNEVYRDTLSAAPRS ARGRGKYGRDEKENRGFE VE01_00289 MIPINRNLSTLRHLHRRATAVSIWRVPLDHAGLRRFTVDAKKQG TVERVIRDAPSASEHHYGAIVVGGGPAGLATVGTLLDEDVKPILWIDHKFQGGRLNAQ YREVPSNTKVSLFIAFARATAAFRKIADQDPTPPAYAALQAMDQDTGCTLAAAGDMVV ELTAGLGKETDVVMEYGHVEAGSLNEQGVWSVTTRASPAEAKKAGIPTTRLLVLATGA RPLPPRLPETYPYLTPLHLDTALSPTALAEALPRDRDVKIGLVGASHSAILVLRNLYE LARSTHPGLRVKWFSRHPLRYAVDKGDWILRDNTGLKGDTAVWARENLEEGVWEQSDV KGYVQKIFTTPGEGEKVAYERELGGRGVDMICEAVGFEKADLPRLERRGEGIGRIEAD GLTGGLRDEGGEIGGLKGVGIAWPERVTDKEGNVESAVGLWKFMRYLKRVVPEWAKES K VE01_00290 MFSLPTRLALSQAISLPLSARAFSSSTPAVAQLAKTLLEDKDQG LGFIRSNPRAPKPRKLGLTEMRGPYYSAYGTRHLEDVFETMGTHVDGLKFAGGSFAVM PEERVREMTEVAHRNGVYVSTGGFIEHILTHADVHSVVDRYLRKCKDLGFDVVELSSG FLSFPPDDWLRLVEKVQSHGLKAKPELGIQFGAGGDTEASALEMTGTSDPAKLVEMGR KFLAAGVEVMMIESEGITENVRTWRTDVIQKILRDLPMENVMFEAAEPKVFNWYVREF GVDVNLFVDHSQVVQLACLRSGIWGMADTFGKVVSFRDGN VE01_00291 MVSSRPLTWRIQSIPADFTKERLKSCFHSDDRKYIEVKSLVPDV TNYDADGTLTATILFSSREPREPRAEENYDFDIDKDFIGFTPLNNPDKDVYADIIAIT GLAGHAFGSWAHSGQKMWLRDYLPRDIQNRARILIYGYESQLHGANTAKSIISDYGNS FIQSLMDLRDHPSCRDRPLILIGHSLGALIIKQAITDLEPSIRSRLPVRTLIFFGAPH NGLEVSALETLVKGHPTQTLISELKRESPTLTGLSERFRHVAKDMTIHTYYESRATST VAQGPDGQWERRGEAKVMVERSSALLNFEAEKTRMKVDGDHKEIARLRRGQGGVYPNV LHIIKEALVSASDQFAAARAATAAETDAGDKWADEPDSNANDDQNTDEDTGDEGMGDF DDYNLICDNCYDEFPKTQTHKHCYICADGNYNLCRGCNADGTSCPGGHDMVSRELEHD SGDDDEEEGEEDDDDEIVGTCDFCGCGFIDDTSYFRCILCSDGEFFICIPCRKSGRIC ITGHKLSKPKIPRDTSSNGTAEETQEKINYCDLDGCECDLPRRPKPPSPKVNARKTEA EIEYCETAGCECSLPRRPKPPPPAVNTKETKAEIEYCDVAGCECSLPRRPKPAPPAVK AKGKKGDTKYCEVAGCECDLPRRPKPRRLW VE01_00292 MSDDSARELLKKLGISIKRFNTEALPIQHRETFNLIRSIRHRTL EDYSKDIASFQGNEPWREQTHDRVKWIACRAANLVNQRRNEAGWRSSLENDVFHRFRV EVACPTCRARIWKSELEAYNGLPYAEACKLDERRSRRKQCKCPLEQRPRDSYYDVGEN LLFDDRAEELIVYGPLVEKIQLPNKKPDRIFGLKKTKAFAKILDVDGRDDEEDSKQDT SVGFEKIETQTALPIWELLRLQDSIRAEVSGEPHSASPLVWFFANRGDSWRVYGCYVT QTEPKQYEILQLWDGCIAEKDNSLQLQLIIDYIADWARDIYRPSILRHLKSTVSKIAY DQVSLSNDSDIFSLASAYHLTRTISNWIPEPPPIIDVDETPEGMVCDPGPILHKDFLP IPIPNTERGSLRSASLFESRIFGLRLTKQNVKHMLEFSMGIYRDYKKAETMARDILKL FSRSDCIAMPETDLDFLEESWTGDVSAEARASTSSNSRTFYVFVEFSTFITNTWDIAK EISYLAVSKAALDELITVADFKKRPSGLISIALRARPCSHTVWRDAIECLRLGSPWEH FCAAITCTQLSVSSLPVRMRADYTPDTEALSFTSTSRNPRTHGTSQTPMRRIIERWHK YSRKQSTPKVQSVPRFSWEVNPEPHQLSFIRDLERTQVTSERQDHNIQICKRCRYVSN KSEEDHRFTPIVAPPIPEYGMVLVATLDLTTAPPTKHDICLIAFDIIPEIAGGSSALA LVVDDLLQSGLIFHTIRHPLPPRYANELSSCDTIWNLPLPYRPVTNKQRYDVKNWSLE LQGLEPVVWVSNGTGQQVDYWDNLQLLLHFMKQGLTWKDARVKVEDLVKISKRRSGFY WSDEYTRSLRN VE01_00293 MGGNVFTNRLTPRMPPSIYIPTRDRCHAILSQYYTHISTPLEAP EKTSFGDIDILVHGPLTPGIPLKDLGAALNAAAKILPRTENPEANFAIPWPSSVERDE AAETTDALELRDGQSRFIQVDVLALPTPTAFHFLSFTHAHSGLFTLLGPSLRQAGLIL TPTSLALRIPSIEAHRRRGSTLPLTSNPSAILDFLGLERERYWTRFESVEGMFEYVAS SRLFTTRAREEGEEEEEKVKHRNRRNSRRPLFVRWKEEFLPRVGGEGKYDRLVPSREE VREEAFLTWPPARGLYEAQEREFEAERQLEEVGKLIRDGVPVDVVALGLPLGTRGAAV KGLRRIVVEADETYGVVLPKGVKGDAGWDLEGVERFVREMWMEVGRVGLERGFGRMVE KRQVKEEEGAA VE01_00294 MAYQQPYGGGPPPPNQYGAPPPGQQPPYGQQPQYGQQPQYGAPP PGQPQYGQQPQYGQQPQYGAPQQPPYGQQPQYGAPQGQQPPYGQQPPYGQQPQYGQQP AYGAPPQQPYGAPPQGQQPPYGAPPQQQYGAPQQPYGAPAAPYGQPAYGQQSYGPPDL PSTGYVVPPPPIQWDGTPDARTLRKAMKGFGTDEKTLIHVLVSKDALQVDVLRAAYKK EHGRDLIADIASECGGNLEEVLLAIVRGPLAQDCHVLRTGMAGPGTDEEALNDVLLGR SNADIAAIKNLYTKTYRRNLEADLKSELSMKTERHFLMVVAGTRAESSAPVVPQQVEQ DVLEIYKATEGKTGTDELLVCQIMSSRNDAQIGAIAGLYEQKYRRSLETVIKSEFSGH MSRALLHQLRTGTDRALRDALLLEDSMAGIGTKDRLLLNRAVRAHWDKGHLQRVKAAY RARFHKDLGAAIRSETSGDFERALLGVIGE VE01_00295 MAPSHPFLYILSLSLAIFSLLADAKVVTYDFNVTWVRANPDGLF ERATIGINNAWPPPTINADVGDTVIVNLENGLGNATTSLHFHGLFMNGTTEMDGAIEV TQCGIVPGATFKYNFTIDQPGTYWYHSHFRGQYPDGIRAPLIISDPKSPFKDMYDEEI VVSVSDWYHDQMTDLIPKFLNKANPTGAEPVPNSALLNDTQDLQVKIEAGKTYLFRMV NMGAFAGQRVWFEGHTMKIVEVDGIYTDPYEADMIYLTAAQRYSFLVTAKNETTANYA FVGSMDTDLFDKFPDTLNYNVTGWLVYDNSKPLPEPKLIDEFTDIDDFDLVPHDKEPL LGDADQTITLEVMMDNLADGANYAFFNNITYKPAKVPTLYTALTSGLTATNPAIYGQY THPFVLSHNQIVDIVINNNDPGKHPFHLHGHAFQAIWRSAEEAGPFDATRDTDFSKTP MRRDTLMVRPNGNMVLRFKADNPGVWLFHCHIEWHVDSGLIATMVEAPLEMQKTISIP EDHYEACKSAGTGTKGNAAGNTEDLLDLTGENKPPGPLPDGFTPRGIVAMTFSIISAL LGLGFITWYGLADMGAAEKENERRRVADSGIIESPRSE VE01_00296 MAPNVFAVPVFFIVFRETIETGIIISVLLAFLKQTLSGPTSDPV IYKKLVRQVWLGSIIGLTLCLIIGGGLIGAFYGLSRNAWQSTEYYWEGSFGLVAAIII TALGAALLRVSKLQDKWRVKLAKALTEKAEKEEGKRNRFQRWCERYAMFLVPFVTVLR EGIEAIVFIAGVSFSSPAASVPLPVVMGLLAGAAIGYIIYKGGATARLQYFLIVSTCF LYLVAAGLFSRAVWFFEAQLWNNAVGGDAAETGAGPGSYDIDKSVWHVNFGSPDLNGG GGWGIFNAVFGWQNSATYGSVISYNIYWIFVIAMFASMRFQEVRGRWPWGRKVKKGTD DGDVEGSARESGGEGSGGDEKRVHVVEVGGARTVGE VE01_00297 MGALLSLPVVGYLLLPTLGTYSTSLNLLFFYMTWSTLVLTQSQL RVEVIGTLAVRALFFLLPAAFFLLFDTIIPSLAVGLKIQGAPALPTRTGGVQGAKKSK GTPPWWQVIGVSLFNILLSVGIQVAVEFLFTEVLHMRSAMKITTTLPMPWSIAKDVLR GLVIREVLQYYIHRFLHRPAHPNIFSTLHKQWNHSITAPYSFTAYYDHPLPHLLLHFL PLYLPTLLFRPHILTHLLLLALTTLEETLVASGYATLPGIMLGGIARRQDRHMQSRGR GNFAPWGFMDWLHGTSVGGDVMEDVRDEAEKHQVRERAGGMLDEAAEKGREGVKALRG RRKKQHA VE01_00298 MAPPSTPPPIPERTRYEGKYEGRRGSLPPPSLKLRATDGNRVHV HPASPEVISSLITSLSIISSPANRLFELPGAANSLPSSPYATQTTFDPIDRLLQNETS QGGSFGIDYGAYNQSSHNYAMNELPLDEMSAAAPVIRTAKPPSGFSALTAPKSPKPDG TPIKNLLRSSRPTSRESSGDDALSIGSVSIEPGSLPRDLRRRRSSDSWDKKQGRHNKG LLYMSSKERMRESERKRSSSSTTRGSGVERLPKQEFDTKSFMSEAPITEEPVVEQPEY LWNDSAAPSPSIGPDSSMNGTSNGGIGSGRYIPTRDSSLRNKKRAPHSGRSSRQPAPE LADDTIKEVDEHSSPVVDKGAEKFGQSQSSLAVQKPRDQSAIPLPTQQKTRTGEPDRS LYADQAKGQFVKDDGAPSPNVTQRTSRDKSEQAQNKAKKSSGRLTPDPFERLAGRRDS KRDSNKARRSSGYQSGAEGDKVEKHRRTSSNPKKDAQAADGKANRVSTDARPTSADSI DDAVDAYLCSPRLSHKITHPQTGRVISFSEVGDPEGFAVFCCVGMGLTRYITAFYDEL ALTLKLRLITPDRPGVGESEPYTDGTATPLSWPDDVYAICQTLKITKFSILAHSAGAI YALATALRMPQHIRGRIHLLAPWIPPSQLNVFGGQQVMPPANSIPTSQRILRALPTPI LKAANSSFMSATSSSITSSLPKQKRSKRKSTTAKDAPTIIRPNVVAVGPGDKENVGPH TDQYGPVKSGQAAPLPRAANNPPDLSSEAAILAAAAMSASSKERQTTYDTRLTHAIWD LATSGANPAVDLLVCLERRHTIGFRYVDITRAVVIHHGSKDTRVPVENVKWLGKMMRR CEVRILEGEGHGLMASAQVMGGVLMEIAGEWDDWMKVVERKGGNKGEEAGRRLRESRS VSAFR VE01_00299 MFQFDGGKKERETCFVTHGAMGHLDPAQPPVRRKPYSTILAVPF AELILPQELYESIQIDMDSKFSVPTYSRVILPLAELLSGDFFTEYIKKGNILMLSEGK QGVNDVYSLRDGVLTLALEKESYERAGLAGEPDGAKGKRGARARWLVEINLRQPSMLH GKKGFDRIVYAFKNVLNKPVTWLFCNLEGEGPSISGSLGQTFSRQDQLSPKYNSWPSS VE01_00300 MSNPFLLAADNSPELLPLLRANPSLASAQDDHGYSLIHAAVSYN HLDLLRTLVNEFKVDVNIRDEDNETALFVAETVEAAKALVEELGADPKITGDEGRTAA ESILADDDFPEVAAYLASFADGGSTTQANGNGTDAPLAPVIGQVPPLPAGISIDMGTM APEDIGDNEVDQEFRRKIEELAAREDFQDEAGQAELRALVTEAFRGQVNPAERDSINS DEGPGIFDMVQSLEVAGKLSGNPDTEKENQDFVVAASTADTKVLCAQDEDMKPFQVIF TRA VE01_00301 MTDQENVPFVAQDIQEIGSFLKDLESHAKKWIGASRSKKTFSCK KNEFNVENSPDKLVVDSWRFQEWDYKRDDLPTYARGLFTYHTKDNRPEIATRGYDKFF NTEEVEATKWSNIEQYTKGPYELSLKENGCIIFISGLSDDSLLVCSKHSTGPREDSNL SHAIAGERWVDRQLKAVGKTRQDLSRELRKRNATAVAELCDDDFEEHILAYRGDSAGL YLHGININIPEFTTYSGLQVQQFANDWGFKRTDFLVLDEITKVRSFLEGVAETGSYNG RDVEGFVIRCKSNFRSREYQDWFFKYKFEEPYLMFRQWRECTKMMINGKPPLFKKHVK ITEEYLLFARKKLAENPKLARDYNSNHGIIELRDDFLKEKNLKGSDLLRLEYSNEGEA PQDATKNIILVPIATIGCGKTTIAIALQHLFGWGHVQNDNIQGKGRPPRFTKEVMSQL EDKPVVIADRNNAQKHERKQLIGDVHHQYISSARLVALNFVHDFNSIEKIKQVTMGRV FARGDNHQTIQAASDKSKVMGIMQGFINRFEPLRLDQDPDHGFDSVIDLDPISDSRQN LETVIARLSELYPKLFTTMPTSEDLDDAIAFALNEYKPELKHDVSGRGPKVKTNQQPK QQKPIVPAAPKKKALEYIAVDIPTQQILDALEKTFSTQDDAKAAFFRQLQETRRVQPK FHVTLIHRASARQQPEVWNKYKALFEVHGDEEKPLGDCQVQLERVVWSHRIMAIVVRL VTEGWECVNTVPHITVGTRADDVKPKESNDLLQQWLQDGSGEQTGIFEAVIQGVTVDG TVHAVLQKH VE01_00302 MFALYLDIQKGKVLDDLDETEARGRWKSFIGKWNRCELSEGWYD PSTYQKAVAAVSELEPESRDSEPPSRDAPKQRYQRDEPSRREDPESSSDDSVGPALPG QEGRQGRGRMGPSIPNMQDLELRRENESEEAYTRRKEQREDMKYARKLDRKGQKEALD ELVPRAEAGTRERQLEKKREKNETMKSFRDKSPGAAEVPESELMGGGDSLGEFKAKKQ EFERKKNDRELRREEMLMARAAERDERLQEYREKEDKTMAMLRGLARQNFGA VE01_00303 MPAQQIALSAGRSTPRHHELPRRRLRLQPEHYIRRDTGELVPLV PADELPLEFHGLSKSLSPVESSRMVFLGQKGPSTGYYRMKQPRSSVLPEQPGAQNGLL SKTQDSKISRELSGDSTGRHPATEPVVSNSTAGTPSQAATSQVRPRPSTLPDSRHQLC HNWIRGHCKFDLNCHRLHQMPETTEEWQDIILRGILNHGGNLKSVAHPHKAARHNKPQ SELDVLNREIVFLRQALANQSHMRKGRHQKAPRTGVRDIEARLIQKVLGDKDVKRSLD SVAELGREQGQKDSAPVGKERKEGSGAQTTSSQPMASKTEGVRTGHLVDVK VE01_00304 MSSRRYDGMMFDKAQDSASRAKKYLFSATVIWEPRDKATRLVGP QPLQKFTVGEDNDEMASNFYIGQRLSYDSVPCTVRYIGPVAGTKNVWLGVEWDYPSRG KHDGEHKGVKYFDTRRGSINAGSFVRPTRMPDFVESFVEAVHRKYASEDIERRDEQNE LIKKKEIEISGKVVYEVGFDKIRKQMAQLDELKIVLVDGMRIVKATTEGKRIRDVCPV ITELDLSRNLFQSCGEIVQICAELDYLKSLRLNGNRLAILPQEFEGGESQNAFAGVTD LSLNEMILTWEDICLLSRQFKDVTSIEASSNDLVTLSLNGPSSLLPMTLTSLTLEYND FSSISDLLPLTALASLKSLHLKGNKISTVGAGSQGEKPVFSDQLCYLDLSYNKVADWQ FVDSLPDVFPGLTALRMSHNPVYEAVAKPGDVMTSADEGYMLTLGRLANLKSLNFSTI TPAERTNAEIFYLSRIATEMAAVPESEESIVTSKHRRFSELCNIYEAPVVRRAEKAIN PDLLEARLIKFTFRLPAGTFPGQISEISKEQEIPRGFDVYRIKGIVGKLFDLRPLSLC LIWETGEWDPVAGYEDEEYDSEDLEEGDDSLAVDAESRGAKGKWMRREVELEDSTRQV GNSVDGMEAKVRLELR VE01_00305 MDYQNRAGSKFGGGGVASTSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQKEGRVGTDPVTG LPIGMVGAQVSVRRNVVKIGRPGYKITKTRDPVTRQQGLLFQLQYPEITPDMTPKVRF MSAFEQKIEDPPDKNFQYMLVAAEPYQTCGFKLQAREVDRTNDRFWTWWDSDLKEFWV QVMFKTEREERYSGVPGLAPRR VE01_00306 MENDGGANGNNANNGNNNRLFLNFNNNDRFSPTDRSYPTTPSTF PQPVFQAQGQNNQGQGQPQQQQQQQNGYTPAQGYFMNNPYPANNQQNNQYQPGQQAYA QPSYQSRGPGGNPDSTTNGLVHQFSHQNLGGAARGNQYARQQAGAQRPRTAGSSGQQN YYSAASAPVVPQQPEFEPAPERNPDKYGPLTHNNQKRCAQLASDFFKDSVKRARDRNL RQSEMEQRLKDPNMSQARREQTWANTGRQEGKYLRFLRTRDKPDNYKTLKIIGKGAFG EVKLVQKKQDGRVYAMKSLVKTEMFKKDQLAHVRAERDILAESDSPWVVKLFTTFQDS NFLYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKLGFIHRDIKPDN ILLDRGGHVKLTDFGLSTGFHRLHDNSYYQQLLQRQPAPKPRDRNSVNLDQINLTVSN RAVINDWRRSRRVMAYSTVGTPDYIAPEIFSGHGYSYDCDWWSLGTIMFECQIGWPPF CAEEAPDTYRKIVNWRTTLHFPDDIQLGAEAENLIRSLICNSENRLGRVSADEIKAHP FFRGVDFDSLRRIRAPFEPRLTSNIDTTYFPIDEIDQTDTATHLKAAQAAGQVRDEVP EMDIPFLGYTYKRFDTFNSR VE01_00307 MAYEFLLPALTPSAQKVVRTQPEVVTTMILVLVGLIILGVGAWY IGFAVRKPEQKKPAAAPPGKAPPKK VE01_00308 MADSKPVEPEASAPKAEIPKSAAKNAKPVRPPNPVWKMMGLPNM RLKLPSRNWSIFLSITGTITGLVVYDKREQRRVQKKWSKLVEHLAKEPLDPKSMPRKL TVFLEAPPTDGLRVAQDHFKDYVKPVLVSSGLDWEFIQGRKEGDVRAELAEKIRKERQ LATNEIQEDDPVLAARLTNGSKLYEGPLGDIVIGRNTWKEYVRGIHEGWLGPVTEPAK PEVPVEAVEPVAEPEVIETLPGITVHTSTDDVPPAPINPDLKPDGKPPKPPQPKPWIS TDAYSAATPAPTLPNELDPSVPIAFPHILGFFNTPRRMYRFFTRRRLADQIGRDVAAV ILANTRPYHTTSIAGGDSFAPSSDGSDGVVNDEADKSEQGTVLKEEEQDWHKSVHKPL DDLTKERTWLDDVVLDPRIAGRMRRAELSPEDEARAERIAKEITEEEIEGWIKGNLRK GWRAGNEALFGEKAKRPGEGLVEDESMEDR VE01_00309 MPQPLSSKESSLFRTVVRNYEDKQYKKGLKAAEQILKKNPKHGD TMAMKALILNSIGKSDEAFALAKLALQADMKSHVCWHVYGLLYRSNKNFEEAIKAYKF ALKLDPESQQIQRDLALLQIQMRDYQGYITSRRAMLQARSHIRQNWTALAIAQHLSGD LAEAEQVLTTYEETLKSPPSKLDFENSEAVMYKNSLIAERGDYKRALEHLETAGKHNL DRLAVLELRAEYLSKLDRNEEAATAFRALIDRNSECKKYYDGLAAALNIEPGNHKELK AIYDEYAEKYPRSDSARRLPLDFLDGDEFRTAADSYVHRMLDKGVPSTFANLKHLYSN KSKQQTLLEVVNEYINSKRGQTNDEPKRSGDTSKGDSSAYYYLAQHYNYHLSRDLDQA LEYIDKAIELEPKSVDFHMTKARIYKHKGDTKTASATMEIARTLDERDRYINTKAAKY QLRNDENDAALKTLGMFTRLEAVGGPLADLHDMQCMWFLTEDGQSHARQGDVGLALKR FTTVHNIFDVWQEDQFDFHSFSLRKGQIRAYVQMIRWEDRLRDHPFYTRAAIGAIKEY LKLHDKPQANGKSGDDDEAALEKKKAAKKARKAAQQAERDAAAKKAEPNKAVPVDAAA AKKVDEDPNGVKLAETKEPLTDAMKFLGPMLEFAPKLLEGQILGFEVYFRREKYLLAL KCLLAASAIDSSNSTVKEQISRFNKGLEAKSASLPEKVLEAIKEEAKKLPSA VE01_00310 MAQADTFEFPAFYSFPPFFSPQPSTTTEHARRSKWSSLILAYCR QKKLWKLTLVDAIDTDLFWNKTINKRVSLADAKEIINAMRKEGRAEWLGGKKDSDSKE VAWIYWRTPEEWAGLIYDWVDETGQKNVVLTLYELTESEATMSQEFHGMDPDLLQRAM GVLVKRGKAQVFGQEDEQGVKFF VE01_00311 MANEVPPLLFDDCHIAIIPSRELTIENAREFGSILEENGATISE LGANGTVEIAEVTHIISSTSDFPQYHIATAHLRPVITPSWIAASLKKNKLAPFRPYTP DPKLFFSGVNVTCADIPEGDQDAIIGAVIAMGGQESNSLTRQVTHIVALTEDHPKCQN AKDKQLKCKIVLPHWFEDCIKLGHRIDEKPYLLPDPEFLRIGSEAQILVPVTNSVTGA TTPIPDYIPVGADSPSGSRKLSVFRDKKVMFSEGVKLRSRHRGILEDLITNGDGAITT SVHKANMYICNYRDGDDYITASRSGKDVGNLSWLYYLMTYNEWTSPLRRLLHYPIPRM PLEGFEGTRITLSNYGGDTRIYLESLVKAAGGEFTKSMKQDNTHLITARNNSEKCEAA LEWGISMVNHLWIEESYVKCKAQPLTNPKYIHFPRRTNLGEVIGQVPFDRKILEHIYY PGDVATPESSKQDRPVMKTKDRNAGVQHATEPPSAALADVSMADDQTLPVLPRPKATP VPKRTAHLLSTPHSNRLARTGKENETPSSTSSRGAKDRALSKLHDQAADIALYEKEKK RAGNGIWGGKRAADQVDRERGTRRASSEAGGASDAESEEEGRVIKKPKTGSRPVSIRL LVTSYKRWEEMPAKEDSDKASTPSMKKLRELGILIVQDPSTCNYLAAPGMVRTHKFLC ALATGVGILSTEFIDACLTSSTMPPPDSFVLKDKAKEKHFKVKLADAVNRAKSNSGHL LRGIAVYCTEAIPNGPGTYKTIVEFNGGEFAIFRAKAETLRMREEGEEEAVYLLTGTS IKEKALWPKFAQMAQDNGHEPRIVQVEWLLDAAMRQEVKWHDAYLAQ VE01_00312 MSTANFNIKEHVVEAQHIREYARATSFSEEEILKLAVKQYTPLD NPNPQPGDVTIIGAHANGFPKASTHSLTSSIRELYEALWDELYLRSKANGFRIRSIWI ADAAHQNQSAILNEEKLGNDPSWMDHPRDLLHMINHFRKEMPLPLFGVGHSFGGNNLA CLSLIHPRLLTSLILLDPVILQQNPTGAGGRGAPMASTFRRDLWPSREAAAAGFRKNP FYKAWDPRVLEAWNKHGVRETPTLLYPNEKGSVTLSTPKYHEVQTFIRPTFPDPINGP LAPITHYSHADIDPAVPAGEPFYSPAPYIVLQQLPRLRPSVLYIFGGTSFMAPPEHNA EKMRVTGTGAGGSGGAAKGRVKEVVLKGIGHLVAMEAPVQTAENTAKWIGQEMQLWRQ EAEKFAGWAKMGVIEKQVISEKWKNILGGDPRNRKVTDGAAKL VE01_00313 MTESQSQAEVPSMDSYMASLRGAISEYESGKSMRSAQAVKLQLA QELIKQEAWDDALRILRPLWHTMSWRREGWWDLTEEVGTILREVAVKAGDSGTVVAVD WELMSSTFARNPRQTLDITKSLDDVDTVKGKPAVVLQGNDIHSFLCATFVFEQAEGKV GEPCTSQLSVTSFASKDSSPITMAEIKIKFEGSLKPVTIRHSSEAQDVEVRPDNTSFT KVELREEHGNSEDTAMSVAKERGLRSSTLVGDESLIFLPGQTRVFELSCPLREPGKAK AISATFALAAPLFDLECIIEFDHITTRDMWWSQKPARKRVVRADPHSIIILPKPPKME IKFQALKDQYYTNEPIDLNVDIFNGEDEESLASLEVTITNDGAGTPQLPFKIIIPTAP ESDVEYSPDDETPPSAKLGSISSSNTISAVVVLGPILIQSTYEITLQLKYNLASDLET PITRTAAIRLNIGSPFEANYDFSPRLDSRSWPSFFDPKDGETSSTGEVAALGLPQKWN LTARYCSFAGEALIVEDVSLAILSLNGGVACSAIHPLPNTSLGLPILPEALAESHFDV LTQKLSLDDRRSASLDLSLLIQWRRDVPGSPSNTSTLPIPRLLVASSEPRVLTKLSYS EDTTTPMVLHMEYMIENPSMHFLTFSVVMEPNDKFAFSGAKQSTIQLLPLSRRGMSFN LLPNVRGEWVRPQLVVTDRYFQKVLKVAPGDGMKSDKEGILIWIPPDEE VE01_00314 MPSVQDMMIARLVGDMKQAVKRKADESDSEHSIDQTTNRGNKLK KRARYVREGRLAMPNGPQAYKKTITYNEHYERTIISERPMLYDEDGYEMDSEDDEDGE ALAAANEFYPYNDVRMEELLAPLTSVTDLPNHPTLSRPFTSKTLTELTLNARSMMQKE KETLWKMKRLLTRLSGDHIWVPTALLETPNDISFFGDGRNEYREHLLEKNRLEDARSE DTDMNGAILAQLDASTPGQAVDNSATENEVAMVDVPTQNGSAQEVKTSTSVEPAVAED VAAATNGDTNTTELDGDKKAAGPHESENEQNANGTDKMDGVVTDAAATADAEESAEDK ANQFEDPDTTLPDPDVPADDESEEKDETDAPEPRRMRTRAQAQAASDDNVRSRTRSLS TSSNDSFIHPFFLAPQSAIPDRDIGLPTQEADETRRLLQLFVQKQEEVCRGSEKLYEG LLKADRLRNDVMKWAKAEAHTGENGMSDGEDWYDMEEWNLDEELKKGQDEDDEDAATT AKKTRTRRQ VE01_00315 MAEYLKLASGFVDFDQKSLAVAAAAIAFNPIYWNTVARQEYHNK VLTKLAGGNARLGCYLLAASIFSLGIFRDYLYEQALRSQPGHPDLETDLVKLIAGGLF LAGNVLVISSMWALGLTGTYLGDYFGILMDSRVTSFPFNVTDAPMYYGSTLSFLGTAL WYGKPAGVLLTIEVLVVYLVALRFEDPFTAGIYEKREKERAKAKRGKKN VE01_00316 MPPARALRTRVATNENDENVGTTRLTRAKAAALSVDDLSSAAQK PLQSKKSGLQINQPLQRKRAALGDVSNVTKGDGAVEGKKPLGKSGLVSKAAQPTGIQK VSRTNSTRTALGSKDNNKKSTVELKRTASGSGVLGGGVTKKRQTTSSTSSLSIKESVT EDEEPARKKVHTSVEKRVAPEVMSENKVESVPVEAIKEPEAELPLDLDAEDIDDPLMV AEYVHEIFDYLKELEVATMPNPVYMKHQEDLEWKMRGILVDWLIEVHTRFHLLPETLF LAVNILDRFLSAKVVQLDRLQLVGVTAMFIASKYEEVLSPHVQNFRHVADDGFTEAEI LSAERYVLSALNYDLSYPNPMNFLRRISKADNYDIETRTLGKYLMEISLLDHRFMGYL PSEIAASSMYLARKILDKGPWDVTLAHYAGYSEEQIEPIFILMVDYLARPVTHEAFFK KYASKKFMKASIHSRQWSKKHAEELGIEISQPLDSVSQ VE01_00317 MEFRAKKFIKTARIDPGSDDQNGWDGLLKMLQPRVLLRAQRPLC HTLTLRPLRPRTYATAVEEPIPAESQQTFTTTSISSSFAPPAVVIDSNASFAMRTYTP RTPGVRHLKRAINDHLWKGRPFLPLTFAKKGHGKGGRNNSGRVTIRHRGGGHKTRIRT VDFERMVPGPHMVERIEHDPGRTAHIALLNEEATGKKSYIVAPEGMRAGDVVQSYRAG IPKDLLDSMGGVVDPGVLAAKTAWRGNCLPLHMIPMGSIVYNVGSAKGRGAVFCRSAG TYAVVISKDDATTKGGEKHVTVKLQSGEVRKVSREACATIGVASNPHYQHEQLGKAGR KRWLNIRPTVRGLAMNACDHPHGGGRGKSKGNVDPVSPWGMPAKGGYKTRQVRKPNKW VVTPRVRNGGKRRAK VE01_00318 MQLIKVALLFAPLLAVASAIVDDSPHDVEAKIKRDQDLKNRGFD TRSLGLEKRDCEKNGCKCAKNTPQGVYCGLCKAVIESGTGGFYTRDSFECGPSGACCN YGSTSVCKTGNYAGSCPR VE01_00319 MEHIDGSFGLASLLTEVANTTFANATFTNAAALSTTACPDDSKV PWQSALWAILALALNAMTQPSSADPSPTPAISFVRSSPVICFIDAVSIPIWLAVGCYY GESTTNSLTSKRLATRPNRTPASAPIFSATTSAVFFILGPLPQAIKLAGMSGVSFTKF IGFIFLVAYLLGVYEADAAHRASRRHTEKPWLSFAVKAQRIPETVRIRLAWLDEVLRY YIFFVHFSLWVVVAHMVVYPSTNAGKVAADRLEESVILLTYQLPIVFIAVPAGLWGKR HLGKWLHERFSGSVGPQRMRKVVHAGLLYGPAVLSGFSAFALSIFLFQLLKNYARSKQ EVRLGWKAYADTKDSFVKLSGFILVLFVLAVCIPLLSFGLYFAKMFLDLLMEPEPEIN KPKLEKEADDEEDAVTTVYNIAVLGFALSNLFFAILFYAHGYVAEGTSKPGWSEMLG VE01_00320 MSTSSSVKQGELEESSPTTATGPIPPPVRQKPPFFRSTLFQILV VGICAFSAPGIWSAMNGLGVGGSQSPDLVNAANALLYAFMTVTCFAGPWLTNIIGFRW TLAIGSLGYPLYAAGLYVNNRFGSTWFVYVGAVACGLSAGFFWSVEGAIATGYPEQGK RGRYIATWFTFRNFGNIIGGAISLAINHKADHRGKIGYETYLGFIAIQCLGFFFGLLL SNPEKVQRDDGTRIEAKRGTAWRDEGKEMWRLLRSKPILLLFPLFWYYGWIQAYPGTY LATYFTVRSRALASFLSAVVGTIATWVSGSIVDLPWAKRRQTRAITAFVLIALLNSAT WIWAVYIQNEYRHTKPTLDWGDQSSFGRGFGLYMFERISLGMVENYIYWCISNLSDSP GDQIRYSSLLRGIETAAVAVGFGVQAVPTALIATASINFAFWFIALPFAYYATLQVVQ KFDQLEKLGSISEDERVVEQVSVGKEA VE01_00321 MATTVEQLGINSLKLDGAPSPSLVFEPFDLPSTNQRTLGAPYPA NTVTPLALRPSVHDNAEVELDTVVETIKSLQAQDGILSKKLALHGTLLFRGLPIRSAA DFSKFAHAFGYKPHEIIGIVVDRQLLAPNVAPANEAPKEVLIYNHNESPQVPHAPEYI FFYSQKAPEKGGETPISSSLELFYRAQQEIPEFIAELAEKGILSRVVYKEGKQYEGGS TLQQAFGKEIQDDDDAATRRSKIEAQIARYGRGKYTTWEWTDDDGLVLTHRLPGIRTQ RGTNLPTLFTGLAAYYRNSQVNSGARRTVTQQLFGDGSPIPEKFLARLAEITDEIRVL HKWQQGDVLVYDNVVSQHGRQPWEGEQADRVVLASLFDGDDVPGAYGFGEWAQVVQAL DL VE01_00322 MNPFSSRRSSGVNANVSSPRRKHKDQLGMLGLADNTRNNTVAVI GEFVGTFLFLFWSFVGAQISNTPKPQDGAPPNTSNLLFVSLCFGFSLTVNVWAFYRIT GGLFNPSVTLALFLVGGLPAVRALLVFVAQLLGGICAAAVVSALFPGPLTVETLLGGG TSISQGLFIEMFLTAQLVFVIIMLAVVKHKSTFLAPVGIGLAFFLTELCGIYFTGGSL NFARSLGPAVVNRHFPGYFWIYFVGPLLGSLLAAGFYKLLNGMRYQTCNPGQDLCEEE VPQQSGTIPGHYENGNPPLKHARNVSGATAVDHDERTGGQTIPVQNDNYANTELRQDG ISPLGSSTYTTPAYNGSAPVVQAGQAGYAAREYV VE01_00323 MADMRLSTSLERYDSLKILVADESLKSTEGSILRMICGGNSMHP GQRFTPRLLDELSFDEPNGRHDCLVQEPAGCSIAASKEDSVNFMFPTETTRSIATQLI MGVSYLHSRGVCHGDLHTRNFLLRGPGLDSLSPDELYNRYRLDKAPVTRVDGAAVEPH APPYAVYPVHIKMSADKLVDPIVRISDYGTSFAVATEPSPKLHTPALYLPPEDFFNEP ITQAVDIWTLAVNLYEVLGERAHFETFGWDRDDTIAEMVSTLGSPPARWWDAWENRKE FFELDGSWVRDMKRIYTPVFRPLNQRMWDMGCGEAPESCQWDVKEGEMRALDELLRGM MAFEPASRLTAEQLMESEYMVKLERRREDGLK VE01_00324 MFHIGTQLRRSHAASSAVHKQLAMPFMETGHWWYSSHPTPLNWK IYICYEKQSTPVFFGLETIEEL VE01_00325 MSSSNTSPDTYSNPTFDSINTTTAGQSTHKRRRSTDDPTSPKGD NTGSGTPSIKRIACVICRKRKLKCDGSKPSCSTCKRLHHDCAYDEVRRKSGPKRGYVK ALEERLKQVEELLKTRDPSAAKSPDLARPTFPPANTHTSTRAMLATANADFGVNNPDI AVVNDRDHERWHFPGDSPQPGMEELAFDPNLNMGGMTMDPTFTWEMIGLGLDEPLPPQ ETIDELHQIYFEKIHPSVPMIHKYRYLAAMNLAPNQRPPVCLRYAMWTLACSVTDKFL DLKDHFYQRSRKYVEQDYLKGHGEHLISVGHAQTHILLSTYEFKMMYFPRAWMSTGSG IRLCQMMGLHRLDGAGLDVKQCLPPARDWTEREERRRTFWMAFAEDRYASIGTGWPMT IDERDVLTNLPASEEAFEKSKPEQTTSLSDAFGPNGAEKLSAFGSIALMAALFGRNLV HLHRPDANELDSDLNGEFWKRHRQLDNILLNTSLKLPEHLKVTNANNPNIHSPNIVYA NMNIHTSTICLHQAAVYKADKNHLPASVSKESKMRCINAANEIAGIMRMISHLDLSAM NPFISFCLYVAARVFVQYLKSRPEDNTTGDALRFLLAAMNAMKAKNPLTESFLVQLDV DLESLGLRDPKYKALINGHLNNPQAQIFKDRNGTDPCNFLKIVDDVAQPDSPPTSTAP TTSGPPVTSDRAPPPFSGYTDNAMPWVPADRGFPSRPGAGTNLGSMPGLVVLPNTNDT TYGMQRHFTSEMEMDLSSGDAALSDRPTPSSTAPSDGHRPTNLAPAKGSGHSSFETSP VASHNTGIPGQHQNGAAQGFYQQQGGEGYIPALQNQGGIGYTMAGSPGRGAEFAGAQW EMASALTPIGEGMLRELMSIGPMDMGPWEGQAP VE01_00326 MPSTDPRPPPAGASLKAKQDFAVGLIKDEQDDTDRRGWDVIMSE ACFGELPRTDISRYLHTGEILCGMVIRGRLQSAVFGTFMDLCLQGVCSLNALEHPVHY NNGNRDSDCHRWIMRMLGVFNCFNADMQLVQGGWYMEVENRWIQYDAHSTWLVTMIER SFTSLDAVFNIIRAKGRLGPVNASRLKELEGKYPPYPTWKADININRTNGWHGSGTFA FWLPENIDPAITQLGVYDGIMALSKDFHRHLSRTEMHYAEFFDINRDEKYVEDVNRKI KGPN VE01_00327 MDNQSPINHGLSSFPIDPQAFDADDRISYSKLDQKFLLVADDGS EYEFDDALRRWIPVLDDELAAQQQAAYKVQGVDEEETVEDMRRKRKKEHVNGEEDNGG RAVKAPKKAKAPLPPRPNTAVYVTGLPRDVTIDEVAEVFSRKCGVIAEEIDSGRPRIK LYTDDSGAFKGDALIVFFKAPSVNMAITLLDDTDFRYSDLGGSGKMRVMAADSSYKKT KVDEGSGSTSTVDKEAARERAKTSARDKAKIIKKTQKLDARLADWDDDDPQVLYEAGP KPQKLVVLKHMFTLEELEEDPAAMLDIKEDIREECAKLGEVTNVVLFDLEEAGIASVR FRDEAAAAECVRVMNGRSFAGQTVEAYLSEGKEGFKKSKAKAQEGEEGGGA VE01_00328 MALSRRDVILAGIAIFFAWGGVTSLIPSLRWTAWAFVAGILVTV LGLAAIVLTTTRGSSYGSRSRVVRPRGPDFLGAETWREELAKLPSRQPYDPRPLYPES FLISASLDELLEYIVRDFVKSWYSSISRNPSFTNEVDNGIRDALIDIRDRLLSIDLVE VVVERFVPILTAHFKDFYDAERVVRGKHLNRNVTESEELDLAIAAKYRDGKLHPAASL AYSDTRLVQQEHLRTLAKEIIPKVVPDSLISSKVVSVLIEELVSCAVLFPVMQVTSDP DTWNQVMENYGRSMLQDRSTVRKLRAALDQHASPAPKEKRSLPFPRLSPNDNERKFEK FIRAARKVNNLSDARRFRSEVSSQLKRDSQLEAADSVYLRRLEIAKKILDQRVNHLAS GNWSANGNNIPDFSSNNGPSSDLNNASLVDILHDSSALSYFMEYMDRQRVMPLVQFWI VVDGFRNPLEEDPTDDDEVPPNLPPWTDADRLDLAQIHEAYLSRPELNISESSKQSVR TFLKAGKGASQEQYYAARRTILLAQTSALEKMKGKYFDGFKKSDLFYKYLTSQEASKN TKASMSHNPFDDQDRPSLPPRIDTPQSLHPKPKAVSRVSLRQPQRKIALHRASQSSAD LPSMQRRNVMDLANSRRSLDGDGDSPLFDDDDADSEALAGSVQSLDQDIDHHDGSAAP DNQIVKAMEAALNDIMEDAPNVDDLRGSLFDNGDSPATSLLFGKAEVSPRSSIDVQAH DSSQKEGGRPNIASLGLVNTSSRIGVFTDDDLFGDEEKFLSDEHDDPDEDKEDVSDQI HEAAPGDLGLAEAITVLTTDIERLIAQNAVVESLTRKAELTNNTAELRILRKSKASLQ REIRRKELQRQQYVVQESDNSLYGRSTIRIKSIMVGKDDDGREFAIYVVEVQRKAGEQ MIAASWAVTRRYSEFLELHQKLREKYPSVRHLDFPRRRMVMKLQSDFLHKRRLALEKY LRELLLLPDVCRSRDLRSFLSQSAIAPGTEAPSNLEDKQDMMTRFYNSVTDGMEDILG NIPVLDQLSTAGQNLISAATNQLTTMPPLVSEDPMTAAEAEAELNAFENRELEPFVKP ICDIFLEVFELNRGNNWLRGRAVVVVLHQLLGGTIERKVRENVKTLVLEDSIMRYITL IKDTMWPGGKKREMVQRTAAQKAKTRTEASLMLATLIPDLVGNVVGRVNAQAASRRIF ATLNNPRLK VE01_00329 MAGAAGKKQKREEYRAAQRDEGGTELPKKKFYRQRAHANPFSDH QLTYPVSPAAMDWSSYYPAYVAPAPAKDEDNETMEGTETSDKPRPLTKDVEIADIGCG FGGLTVALAPHFPDQLILGLEIRTQVTEYVHERIKALRAQHPDSNAYQNASCLRANTM KFLPNFFKKGQLSKIFLCFPDPHFKTRKHKARIVSLSLNSEYAYAVRPGGIIYTITDV EDLHKWMVQHFDAHPSFERLTDEEQEADKCVHIMRTETEEGKKVERNKGMKFVACYRR REEPPWPEVEAAAKAA VE01_00330 MSWSSILPSNLEYIEVWITRLFLILAGLVLGPWLLLVVYDFFVY IFRIVAYEIPFIGGRAQNRPRPRAPSLSERPSGEPREFRMSVPVISIPPEAGQQDEQG GAESMQRLQEGRNRRRRPQNPPPNE VE01_00331 MAAQKTVFIGIIGVGGVGKCFLSQLKGVTERLAKNPRNPALAVV FMSRSKTNIYSADYAPLSLDTSAETVAASKQDVLSTAKLIEYLAAAPGKAVVVDNTSA QDVADQYPEFLAKGVSVVTPNKKAFSGSYALWEAIFGAAAKSGAKVYHESSVGAGLPV ISTVKDLVETGDEVTKIEGVFSGTMSFLFNSFAPTEGEGGKWAAEVKKAKELGYTEPD PRDDLNGLDVARKLTILARLVGLKIESPTSFPVQSLIPKELESCSSGDEFMQRLPEFD SQMEEVKLAAQAQGKVVRFVGSIDVAKGEVKVGLEMFDKSHPIAALKGSDNIISFYTK RYSASPLIVQGSGAGGEVTAMGVVGDLLKVVAQL VE01_00332 MSSHLSKTDTHLYRPPSSTTSVPPTKRTKHTPSAPTPSSLTFTS TLSSLLSRPPSPPGPRASRAHAKKKDDIFTAHRRRRKDKDTRGREGGEQDIGGVDEET LERSRRKMEEKAKRYRAMKRGDIDVAEGGEGAGGLVEWDRKWTEAGEKGEGSEDESEL EEGGGTFADLEKVEFEDEYGRLREGTKAEKDRMERRLRNQLRGAEELDRMSARPRAPE GLIHGPTIQSSAFNPDAEIEVKMDELARKRDRSPTPPEAVHYDSRKEVRSRGVGFYQF SREGRGGEMEGLEGLRRETVGMRGEDGGGGEEEGEGGGNGGGGGGGGGGGLVARLRAR REAEVFLEGLEGVGGGEEDGGGG VE01_00333 MAPPDHQSSDFGRRESQRLSVRHSVDNTIDEGDDLYGLESMGVS DGFRPPHVQNHNRISSDSSQLNRSAPPRPPSISKPRMVDNFALRHDGTPESSSFGPPA ERNESTPLSRSTSTSTDAPTIVPDGPYQGPTRPSHPYRMYPQMGRPARAASVATTSSF PASEQSYSGPNGPTHPYGMYPQNTVPESEAGDIEPPANIPVGFPGLSGNQYQRRLGPD GEDAADIIGPDGHTEQLPPYTKYPDEVFARKLMPTSAPGPYAAAASGAGGIGLATRNP EFSSENLAISPIEPTSPRSTLSVQSGDPVRSREAAAAAEAESEKPAEKKWKTMAKQKV CGFLPVWALALIVLLIVVIALLVGNILGVMVTKRKENKHNKPPTIPVSGDYYTTVTTT VDATPLPSLPTGLPTLPTGTFSLFMGSAVEATGLCLENTSQLPAWSCDMPPASLQVTI ANLGLDAPKTSNHAFSVKLPDGAQQGFSYGTQPPVFRPKNVMTLVSDYDDPHLGPAWF FQVNYDKVVILPAELLTAPSAKARKRRGNVEAADGTTATPTDAAALQTSSSTETATSS PTAPATNNYNGGDSSSGGWGPPPNSYPRHNVVVAAGSTPWFCYWNGTLLETFVYPNAT SQASEKWASSYGANPTTASGYGRRSVPTDAGAVTAGGGTPTGSASTAGTTGVGGLLPP YPKVVKIEERRMPRGAYDQPYCVQMLISADGTSAVPVKGGDGNAVVVVLDERVGTGGS GKAERDGEGMEEGMGWGKRTTIQECHCGWVAK VE01_00334 MSERPQNIGIKAIEIYFPSQCVDQAELEKFDGVSQGKYTIGLGQ TKMSFCDDREDIYSFALTVTANLLSKYKIDPNSIGRLEVGTETMLDKSKSVKSVLMQL FGSNSNIEGVDTINACYGGTNAFLNSVNWIESSGWDGRDAIVVAGDIALYAKGNARPT GGAGAVAMLIGPNAPVVVEPGLRGSYMQHAYDFYKPDLTSEYPIVDGHFSISCYTEAV DACYKAYNAREATLKKLANGHANGHANGVAAEEDASKTPLDRFDYMTFHAPTCKLVAK SYARLLYNDYLTNPTAAAFADVPSTIADLDYKTSITDKSVEKTFMALTKARFGARVQP SIEVPTMCGNMYCGSVWGGLVSLLSNVSSEELQGKRIGVFSYGSGLASSLLSLRVAGP TGELAKAVDLKARLAARRTVKPEVYDELCDLRKKAHLQKGYKPAGSAETVVAGTYYLE EVDELFRRKYSIKA VE01_00335 MTEVSSTRLYLGNLPRDATKADVEAHFATHGTGTIKEIKLMNGF GFIEYEDAMDARDVVPAFHGSELKGDRLTVQFARGSRQRDNFAATDRTAPRPRRTPHR MQISGLPGETSWQDLKDFARQSSLDVVYSETGRDRDGKGSFVEFETAADLRTAVEKLD GREFKGARVTCTADTQPDMPRDRMRSRSPPRRPYPSDDYDRRPRGYSPRRDGGGYRDR SPRRDYYDDRGRYGRSPPRPRGPMDDYPPPPRRGPYDDPYRGGYPPQVDPYMRGGEYG RPPVREFGMREGGYPREYDRRY VE01_00336 MSQDTGIFSVRRPRETLGGINYNSNIPQPASAMKRSNSISGPGA FTANQSRSISGSRASLAPSRPNQPQFQRSSSGTNLADMGLSSVKRTSFQNASGGGRKS FAPGGIAATGSRPSQEANERRSSIYRSRASSSGPGGAHQSFFQQAPAPAGVPRDPRPL KDRAYQTKIGQELLDYMANYNFEMEMKHMLSQNTIRSPTQKDFNFMFQWLYHRIDPSY RFLKNIDQEVPPILKQLRYPFEKSITKSQIAAVGGQNWSTFLGLLHWMMQLAQMLDGY AANHYDDACLEAGVDVSGDRIIFDFLRGAYSEWLQMDEDADDDDQERVLAPHVENMAH QFENANSKYLEEMKILEAENERLRLEIEEVEKSTPDINSLDNHFKILQEDRVKFEGYD EKMAQKCERYETRIQFLQDEIKKAMTELNDAEEERMNLQKSVDDQGISMQDIDRMTSE RERLQKGIEGTASRLEDAKKKVNEKEFEASRKLDELERLVENYNSLGYQIGLIPSTAP NAKGKNYELQILVNEGPQFSSSQMGNSANSESDRLLADPVSGYQPHQILSLDLRGQAR GAFLALKKEVSDRRSAVVEAMMKNHDLLDGIKEAIEDKRSEVDALEHRVRAAQEEFEK TKEVTTTQKIASDTQIEKMEKELAKMRGGLTESVQLMEQREMNTNIEYEQLTLRANAL REELHTEVERMLNDIIKFKVHVQKNLEDYEGFVVEEVEHELGGADEEGDDTRTVDIS VE01_00337 MAIREDIVASAVTFLQDPSVAASPVESRIAFLQSKNLTQEEVDA ALARADGQAAAPSYANYAPQQQVARQPPPGYGGGYQQPWQPQAPPELPKRDWRDWFIM ATVAGGVSYGLYTVAKRYVLPLIAPPTPPQLEQDKASIDASFEKAFTLLEQLSKDTDA LKSAEEERTTRLDATLADVESAISELKASARRRDDESRRTTDEVRALKDLIPKAMDGQ KESTDARLVELNAELKSLKKLMGQRMAPASPTPSTFGVGPSARTTITPSNTTPAPSAP QSNGTSSPVVPASEGPKPASVSNGKGTESTASLSGRGSSTPYSAGVPTGRAPIPAWQM AAANKAAAAAPAASSSSPAAGASDAQDEAQA VE01_00338 MADPAHTRPKAGDKRASSPRFPRRNSIIDDITSGTQIGGSPSAL SPQPPNNAPRNRPAAAMDWSQPLPIIKALAPKVPMIGKTALMHSLGLSETSKYWDLRT AVTINVIRSFVFPPEPTSVGKSQHISLRDPGVKGKVWIANVSLPFTPDDDDVRQAIFG AIDHLAGAPQGDLYTAPELAEITAEWTGYRAGATSSTPPLDASDADKYAAMMKEVVSP TTVLYLHGGAYYLMDPATHRPTTARLAKHTTGRVLSIRYRLAPQHPFPAPLIDALVAY LTLLYPPPGALHTAVAPEHIVLSGDSAGGNLATSLLLLLLTLQRQSRTVLWGGFPRSV PLPAGTALVSPWMDITGSSPSCADYAHFDYLPGRTAYPNGMEYAPDAIWPVTPARTAL HVDDALLLHPLVSPLAAKEELWTGAPPVWMVTGWELLSDEDRAVAGRMAGAGVKVRYL EFEAMPHCFAMVVEGSAVARKCIREWAGWMKKVVEAPGSVGVGGTVVGFKKLEEKRVD VKGLDEGWEVTMGRMKERFKKNEERKAAAAKL VE01_00339 MRRLFRTLFHLRRPTPATMTAASSGTLAGVALAAYTVSASVPIQ AADAPTDAKNKTHHNKSGNGFINPWESWKPLAPIQILSNIIWRRISGIANTPVLTPPL TVQTPTFLPSRTASTSLRATWLGHACMYLEFPSGLRVLLDPVFEDRCSPAGFPGPKRY TPTPCGLGDLPAVDVVVISHSHYDHLSYPSVMELAKLHPKALFAVPLGLESWFRDAGI QSVVEMDWWQEIDVTLSPTAAEGVEWEVTAAAAGAPAAQTAGGPITARISCLPCQHTS ARTPFDQAKTLWASWAIKSGGKSVWFGGDTGYRTVPKLPPTVDDYSAPYADLPRCPAF KDIGKLRGPFDLGLIPIGAYDPRYLFSSMHADPADAVEILKDTGCKRALGVHWGTWVL TEEDGGAPPKRLKEVLRGQGMPEVGVFDVSDVGESKEY VE01_00340 MGNNKKLSAYYAPTGGLPPQTQILTDRAMFTEAYAVIPKGTFSD IVTSFLPFWDKTRLWVIARPLSGFAETFSQYIMEVQPGGGSDRGETDDSAQGVVFVVK GEVTITLGSEKHTLSEGGYAYLPPKSGWSLRNTGATTASFHWIRKAYESVEGIDQPTP LFLNEKDVPPTYMPNTNNAWATTRFVDPNDLRHDMHVTIVTFEPGGVIPFAETHVMEH GLYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGKFRYLLYKDVNRQMKL SAKL VE01_00341 MDEERSPLLTPTDHAESVVPDGSTGDERRDSQVPARVTNRLYIS HFLSTWNSRVFEFGAALYLASIFPGTLLPMSVYALARGATAILFSPAIGQYIDTGNRL QVVRLSIVMQRLTVAGSCVAFYILAIGLPRAHGAEAGLLVLLAVLACAEKLCAIMNMV SVERDWVVVVAGEDQAALRVINAQMRRIDLTCKLIGPLFIALIDGMSTEAAILVSLGM NVASVAVEYFAIAQVYYEVPELQQPKTKPRDESLGRTEHRGRFVHSWRNVRKVIQKSV YDFSLYFYHPAFLPSFASALLYLTVLSFAGQMVTYLLSAGYNSTQVGIARTLSVVIEV LATWIAPWLMGRIGPVRAGLWLVNWQIVCLVAGTSVFWAFSDQPNMSASGLVVGTILS RMGLRGFDLCAQIIIQEDVEAAHRGSFSSVEASWQNVFELCSYASTIIFSRPEQFKWP TLLSVIAIVAASVLYTVFVYSRRGHLVHLSKWMEMVRSQKGKQRSREQGLRRIASSSD I VE01_00342 MALFSSEVLSSGWEFKLTEESQLDSWLPVAKVPTVVHLDLLDNK KILDPSLDMNELQTHWVGEKSWTYRCQFTVGSKVEGTKTVLVFEGLDTFATVRLNDTE ILKSENMFIAYHVDVTATIAFNSLNTIEIDFESALLRAREIGKEHPEHRFIGHQTEPE RIAVRKTQCHWGWDWGPKLMTAGPWRPVRLETYASKIENLWLDSTLDSDLNACRGNIF AHVDGEAGAKVLFTLSLEGTTVFEVECTPSSKGAIQTPFVLQKPSLWYPHGYGAQTRY VLEASLVINGVVLHRVEQKIGFRRAELIQEKDTNGKSFYFRINGIDVFAGGSCWIPAD NFTPRISSDRYREWLTLMVEGNQIMTRIWGGGIFEEEVFYDVCDELGILVWQDFLFAC GSYPTYPSLLESIEAEARSNVRRLRHHPSVIIYAGGNEDYQIQQTYNLDYDYDGDKDP ESWLKSSFPSRYIFEYLLPNTLAEEDSQAIYHPSSPWGDGKHTTDPTVGDIHQWDVWN GLMKPYQDFPIMGGRFNSEFGMEGYPHMSTIKAFISDESEMHAQSLTMDFHNKANFHE RRLATYVHENFRVSSADFKYWVYLTQLLQSEAMHYAYTRWRREWGTPGERRCGGALVW QLNDCWPTISWAVVDYFLVKKPAFYAIQRALRPVSIAVARTHNEWTKGHVAPDPTSKY DVWIASSNVSGVRTAKVELRFISIKTGLDVVDPQTEDVEIKPNGTTTVRENVTIDNPP TLEAFVLSATLSIEGEVIARDADWPQPFKYLSFREDRGLTITLSESRDTISITAKKPV KGLVFAERVGLSFSENGLDILPGHEYNIHVGGLKEDEELEWVFLGASESH VE01_00343 MSEGTVDMRIDPARAKTLVSNLQHVSGLISSRAQGRKVRLVAVS KLKPAADILALHQEIKQEHFGENYAQELTEKAEVLPKSIKWHFIGGLQSNKCKPLAST IPNLWVVSSIDSIKKATQLDLGRASLSPPATTPLYVHVQVNTSGEEAKSGCQPGAETL ELCRHIKDNCANLQLLGLMTIGAIARSQATTPENENEDFVALTKERDALVKELGGELE LSMGMSDDFEGAVAMGSGEVRVGSTIFGTRPAKADFSVKG VE01_00344 MASSAAAGFLSRGTNGGGSNMRGLVQFIADLRNARARDLEEKRI NKELANIRQKFKDGSLSGYHKKKYVCKLLYIYILGWNVDFGHLEAMNLISANKYSEKQ IGYLAMTLFLHEEHELLHLVVNSIRKDLLDHNELFNCLALHAIANVGGREMGEALSGE VHRLLISPASKSFVKKKAALTLLRLYRKHPDIVQPQWAERIISLMDDADMGVALSVTS LVMALAQDNPEQYRGSYVKAASRLKRILVDNEYQPDYLYYKVPCPWIQVKMLRLLQYF PPSDDTHVRELLRESLQKILNLALEMPKNVQQNNAQNAVLFEAINLIIHLDTEHALMK QISSRLGRFIQSRETNVRYLGLEAMTHLAARAETLDPIKQHQDIIIGSLKDRDISVRR KGLDLLYSMCDASNAQPIVGELLKFLQNADFAIREEMVLKIAILTEKYATDVQWYVDI SLRLIAMAGDHVSDEVWHRVIQIVTNNEELQIYAAQNTLQYVNAEHCHETLVKIGAYI LGEFGHLIAEDKNCSPIEQFLALQGKLQGSSSSTRAIILSSFIKFVNLFPEIKPQLLQ VFRVYSHTLDSELQQRACEYLTIACLPTDDLLRTICDEMPPFPERESALLSRLHQKHA GTSDKRTWVIGGKDANADARELNLAKNPGLKRAFSNQTAPLADKTSPSIPGPDGSLAS PTGVHDLAGLDMRNLGPAEPKTLKAPNLASAAHLSPDWEVGYQRLLLKNEGVLYEDRQ IQVGFRAEFRGQAACVILYFSNKASSAISSFTTTLDVPAEDKAKLTYDVKGLPDATLN EGAQAQQMIMFEARAPFSKPPTMRISYLAGALQALTLALPLTVHKFMESAALSSEDFF RRWKQIGGAPREAQLVFGVKGVGRFDAAGVRRCVEGFRWGVLDGVDPNARNIVGASVL HTSEVGKVGCLLRLEPNYETQMLRLTIRATDASVPSLLLALMTERLSSGTAQPATIHR AETSRSEMNDAFANVMVEG VE01_00345 MSPIPSAANAYSQYRCPTGSSNQSGHGAQRTNDSQNLVKLMRIV ENYVNGEKKKFMHCQSRNPHQDICGSRGSCVESRWEHDGVYIKYIKIIIHILSLKIIH ISPLSFSSAHSLAACGLGAVDAQF VE01_00346 MSIIQSHTSASLTDHWRTIDIDALDPESSRNFPIATLAAPPSGP RALDAQSVRGLLRGGDAEGALRGAVGSGVDKDAQLQIVIEVLQSIKAADMTPLLRSVY ASEGGSDVLDSLMKYLYAGMAAPTQQRQGESSGAAMSVLLSWHEKVVEVAGLGCVGRV MTDRRTT VE01_00347 MRPLALRPLLRCRRPAISSSLPCTRISSPFTPRTRTYAIQAPGA PTLQVFNSHVKHLQKERAASKPEQSREVDYLRDEIATRLADRLLDINRDFPHILDLGA NACNLSRALTTPLSPTEAPASERIGHLTCAETSPTLLHRDDALPLPSPLAITRTVLPT LESLPYEADTFDAVLSSLALHWVNDLPALLAHVNRALKPDAPIIAAMFGGDTLFEMRT ALQLASQERRGGVAPHTSPLADVRDIGGLLTKAGFKLLTVDVEDIVVEFPDIFALMRD LQAMGESNAVLGREMGGIGREVLAAASGIYKELYGEPGKEGIPATFRVIFFIGWKESP DQMKPLERGTGEVNMKDILGGGSIN VE01_00348 MSYQAGVSSARQGGFIPLSNTASKETLRNNDAMNFEDIPMTKVK SNASSAWKQRDGQSIYGNDGDPSGARLEPPGHGHHGRRKLKKMNSRQSNATNQQSVLT GMGKFYDKIVNFSIVTRYLVYVLPIAALIAIPIVAGATVAPDAKIGGVRLLWFFVWIE CVWLSIWIAKLFAKTLPYIFVFLCGVISPGTRKYALILKRLEIPLSLVGWAVASIATF EALIKRPDNAPQHWTDVMKKILAAALIASGIYLVEKLIIQLISISYHSRSFDLRIQES KHQTHLLGLLYDASRALFPLYCPEFAEEDYRITGNVDTLRAGKRASGAATPMRLMGNV NRIGDKITSAFGNVASEITGKKVFNPNSAHSIVLEALEKKKSSEALAKRLWMSFVIEG KEALGIEDIREVLGPAHKDEADEAFAYIDADNNGDISLDEMIVKVVAMSRERKAIANS MHDIGDAISVLDSVLVSVAFVIITFIFVAFLNASFVTTLATAGTTLLSLSFVFAVTCQ EFLGSCIFLFIKHPYDVGDRVDINNEPLIVERISLLYTVFKRIDYMKMVQAPNIVLNT MWIENVTRSKAMKEQIELSISFDTSLEDIELLRTELEAFVRHPDNSRDFQEDVILECT GVGTMDKLVLKAEIRHKSNWANESIRASRRSKFMCALVLAVRKVPIYGPGGGGTALGE PGNPSYSVAVTDDVAAEARAQAAEKKEAKRLVPTPKPDAGKSTEPGATAPESGVLAGT HETAAAAAMNARRPTDTGLDTQQRGRGDTLDRARSSDIEDIRNDLLHRASTRGRRRAD MTASPLQVGTSGASIGLTPSSPLRDRADEDEEAKVGGYGGYPASPGYPVQAQQQQGQT YPTQQQGPGGSYAQFPAGAAGGQQLGQQPAPPRKDGRF VE01_00349 MLIVESHIDVPTKADGVEGSMRIFLFHPSIPGYPNAKFPGVALF SEIYQVTGPVARFARSIASAGYIVAAPSSYHDFVPSDPLAYDVPGTDLGNALKAKKTL ASYDADSSATIDALLALPTCTGRLGATGMCLGGHLAIRCALDPRISAAVAYFATDLHS ATLGANNPDDTLARCKEIKGELVCIHGTLDTHVPPAGRDKIRLKLREAGVVFSWFEVA GAQHAFIRDEMSKGRFDGAVTRVCWGMLEEVFGRVLRTELGERVGGGGEVEHVC VE01_00350 MSAIGIDLGTTYSCVGIFRDDRIEIIANDQGNRTTPSFVAFTDT ERLIGDAAKNQVAMNPTNTVFDAKRLIGRKFADAEVQADMKHFPFKIIDKGGKPVCQV EFKGETKDFTPEEISSMILVKMRETAEAYLGGTVNNAVVTVPAYFNDSQRQATKDAGL IAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGIFEVKS TAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLSSNARALRRLRTACERAKRTLSSSAQ TSIEIDSLFEGVDFYTSITRARFEELCQDLFRSTLQPVDRVLSDAKLDKTKVHEIVLV GGSTRIPRIQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILLL DVAPLSLGIETAGGIMTPLINRNTTIPTKKSEVFSTFSDNQPGVLIQVFEGERKRTKD NNLMGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSALEKGTGKSNKIVITNDKGRL SQEEIERMLAEAEKYKAEDEAEAGRIGAKNALESYAYSLKNTLSDAKVDEKLDAEDKA NLKAEIDKTVSWLDESQQATKEEYEEHQKELEAVANPIMMKFYGSEGGAPGGMPGAGG PGGFPGGAGGPGATHDDGPTVEEVD VE01_00351 MPRLNNTSRAARPWTITDTYGFIATYLSISPGHGHPSRLLRSRL PTFSRSYRNASYIPILANQLLDNSPQAKLRDGIPGRSLQISQRDCLLPRSQRRHFHNY FVTHLPSSSLHPDSRSPHHKLPRAASIPHTGASPPPTAVPPLASSSRDLTVVRIPIQS AKHHFGAKTSRGTRPYNEDRFEAGTIEIPAFANRAPISLTRSDTGSGQGPEATSADSA SGDPQVFYFGVFDGHGGSECSEFLKQDLHHYVEDAAKQFGLQSSLKRSPDSMEDPAMG IAVPEVKVATEGELSKEGRKSLNAVAMKTKEETKPADTRSPKEQVAQRPPDAERLKSE DPLKQRMDKPKALELEASLLDQWKSTVGGYFRRFKPDYFDVKVKYQHRNHKTTNEPAS PETPPSIETVLMYAFLRCDLDFVIAQASKPDKESISDLPLNHDEILGRPSQAAHNIGG PTRFKGGSTASIAMVSTPSPTPFWHPSSPSTLLVAHVGDTRILLCDTATGLAIPTTTN HHPSSPIESTRLHRYAATFVTDSFGEERMSGLANTRAFGDMKSKRIGVSAEPEIVRVA LAPAQYSFVVLVSDGVSGTLTDQEIVDIVKEAKTPEQGAQDVVTFATETTKDGDNATC LVVRLGGWERRAEGGSGSLGTKEMRDFRRQEATDPRRNRR VE01_00352 MGWLWSSAATPADAAAPTSQPQTTSTKQAPPPATTPSQPLTPAQ LAEQDLQAALAEFDAENKASAPKKPIFRRTPRAPTEGTPATAPDGELNVEDSLYPTTM SCRDAFDAAFYCQSLGGQFNAVYRYGGMQSCSDHWKSFWFCMRSKSYAGQREDMIKEH YRKKALKYKVGPSSEDVWEGREKKMEWGEAFSEGVEELLPGESDEEWNVRERKRREGR NGGTV VE01_00353 MSVPARRAVFNPTSIPELRPRRAYIQPARTIPGRPPAGRPKNWS WRVVRKESTEVGENHSGHIEAHTNEGILFFDSIFPLRFNFGMHMRLPFFRHRNLPELL ERFNSASLQALDPMVMVKRAIPANVPITVTEILPRIKDGGAFVKFSHPDGVSSQEVES LIIGYLKEKYVRPWFNPMRPMKANLVRGVPWLEDLHRFPSSRIKVEFAPTKPGGEAAE LSQETLYSLFRRYGMLAEISSQPADSKVLPRFATLDFARLRNAVMARNCMHGFKVLEE AGGGVGGTQLRLSYEQKIKAHMIRDWVVGHPRIFIPLMAALLGTLAVAVFDPIRTFFI KARVTHSLKIRDSRIYKWFSSQAKDMFTFKKRNVEEAGLGAIWDDRRKLIDQLQTWMM ETTDTFIIVQGPRGSGKRELVLDQALKDRRNVLLIDCKPIQEARGDSATINSIAQQVG YRPVFSWMNSFSSLIDLAAQGTIGVKSGFSETLDTQLGKILSNTATALKQIGLEDRDK HDKDASMTDDDYLESHPERRPTIVIDNFLHKNEDSSVVYDKIAEWAAGLTTANIAHVI FLTNNISYSKSLGRALPDRVFRQIALGDITPAAAKRFVITHLDSEEDPTGTDSKLSEG QRRRDLSELDACIDVLGGRLTDLEFLARRLKTGQSPQKAVEEIIEQSASEIMKIFLLA ARTNDREDRTWSPEQAWFLIEQLAKAEALRYNEVLLSNTFASSLTPSASNGEAVLNAL AQAELISIKTAKGRPESIRPGKPVYQAAFKILTEDKVLKARMNLAVLTELSKIEGKSI DKCEAELALIAGMPRVPPQVAPRVEYLLGKVEASQRKVEQWDREMGGLKRVLMREY VE01_00354 MTRQPHQEEHQLKGKEEEEAEAEAEEEHQLREEEKEDVRQMKES THTRTITKDALDAHVSGIATPMSRPLSHHSLDLDDYFVGPRDLDGHSKLPYFMRMHGS VLPRMIIPLFCIGAWATFITCICEFVTKLGVDTVLLTVLGFVVGLSLSFRSSTAYERY SEGRKTWCTLMIQCRNIARYVWVHVEEREGDQSKEDLLQKITAMNLVLGFAVAVKHRL RFEPYAHYDDIAGLVSHLDTYAKAAFKEEHLEKKRTSTWKALGEKLGLPFALSNPRKE MKRSDRPLGNLPLEILAYLSAYFEEVSTNGQMKSAVMGGQFLTALAGMTDTAGNAERV LTTPLPVGYNILISQIVLLYIFLLPFQLYDKLKWITIPGTIAAAYIILGIATIGDELE NPFGNDVNDLPLDQYCAELGRELDTLMSTPCPKFTDHVDLNKHLNQPLWPLSASRYQE WRERSETDIRSALRTKVVAGKGSSKFYDVNSSKVGHEIIYNVFSTRSNKAHAHAIRPV RKLFNLSSLLKTEPLIDTTIQTLLSKLDSNFVLGENSGKTCKMDDWLPFFAWDVIGRL TFGAPMGYLDNGWDFDGSQRSGTETFFYFACVGQIPELDYFLAKNPVCRIGPPAFNGP AILCAQRVAERQKEFEIEGKADHQDMLEDFMRINREDPSIMDDNAVVGALIVNVLAGG DTTGILLCAIIYYVLKDQVVYRKLMEELDAANLTCPVSYASTEKLPYFDAVVKEAARM HPGVGLILERVVPAEGLTLSDGRVLSPGTVVGMNAWVIHQNKEIFGDDAASFNPERWL RGEAETEEGYQARLSMMKSHDLTFGAGKRVCMGRSVSILETYKVMTTLFLTYEICAIE VMKWTITASLLLAAFSQTVVSYQLDAASFSRCTIACRELDLLLRGRVALPQSSTYLLE DFQHWSAQQSSQRPACRVTPKSALDVSITLKTLKGNKCNFAVKSGGHASFAGASNIDG SGVAIDLRDLNKLIVSPDRKQTSVGAGMVWSSVYMELDAHNLSTVGGRSGGIGVGGLT LGGGISFFSGRYGFACDNVNNYQVVFADGSINDVNKKSHPDLFFALRGGGNNFGIVTR FDLASFEQGKMWGGQLAYTPDNMLALNTALYNFNINHYKDPYGAVILAYVYIPAQDFF ISSLDLEYGKPIADAAILANFTKIPSIQSSARITNLTDLTIELNATQPSGLRETFWTF TVRNDIQIMTDIQALFASQVPVIANASGLLPALVFQPISTAITSHFTANGGNALGITD KDGPLILINISIAWADIKDDNRIMKFAANFIDSGVALAKSRKLDHRYIYQNYAAAQQD VFPGYGPTNKARLNAIQKKYDPTNVFTKLQPGYFKLR VE01_00355 MTTRPDAPLRRADQPLFAARKREPKYFALGTAGASTAAGAPGAP TTTTTATNPNTNTHARAQSYAEPATTLRGAWERAALEDGVGEGSPSPAARRRSGPTAI PRATAESRRDRDNDGRGLDLWRRSAGSPAVGGGSTTGSESGSLTGTDEGDEFDRKMGR FARDEERARRLVGGGGIFARRGNSVGGGVKGVVEEKEPGVRIPAQWGRRARKSDKWMQ RIVSPESSGEVDEPLKKEGGGTINWAEAAADTPLPTVEGEAGQQQLTPPRSRPASAQP EKSAVWDADLDFTATSLMGGESPQLRIRNTKLDEVRAREREGMSRHAVATARLEEIRE RLSEERSMSPQVEKAAVREPTRIPSPVVRNPAYRRTSTESFEIVESGKSLEAGPATER LFGEIKGTKTEPKEIQRGRRSRSRERPGVSRASPSRTTQDDPDQFHEKTILEEEGEQI PGTPITIFRNTERPQDGHKREDSYDTLRRLAAISSPNPSPIPLKSEEKENQPPEDTRR RRPISQAPEEKRHSRSSSLPKSDIDPEERIAAEKDLFEIPDNKSERNSMREPSPADED ELPEQETPRPRQKQNPLTMATPVVTGAFIETPAPTVRHSRIRPPSPAPETANPKPDTK PSQPIQPAKPQSKAPSPPRRSSSTSSRSTSRSRNPPASEPSAPNPQIERLKPAPRAPR PRLTNSAPPPSAAADLLRIQHEAAIEDSTLDDLTDLLSSSPLPTEPPVPVPDRDEKGR PLSAGARERRLSEQQLVKMERGLSHGLESIRDAKRGIEKLEQVVSSAPLAVAKEVVAV PGGTAVCAHCASQSSVYHLTIPLPRLWFRDRSRMSGVRLTWFGLLVLVGMMVYIAECV AYAWIGKPEFASGWDYDINGPAFGWSLLRLGERAGGWVWGIWTEGMGEEVRGGRGGGG GKVRGVWEDGSMLEDEML VE01_00356 MAESEGGGVPQGQKQGWSSFIKSIANFSGDLSSLTAPPFILSST SLTEFSSYWAEHPSIFVAPAAEKDPQKRALLVLKWFLSTLKQQYASRSDKYGNEKKPL NPFLGELFLGKWVDAAGTTELVSEQVSHHPPVTAYSIYNKEKGVQLQGYNAQKASFAR TINVKQIGHAVYSIPAFDETYLITLPNLHIEGLVFGAPFVELNDKTYITSSSGFTAKI DYSGRGWVSGKKNSFTATLYPTGKESSILYTITGQWNKTFEVREGKKGAVIDDYDAEA SAPTPLTIAPLEQQDPMESRRAWSKVAAGIAAGDMDATGVEKSKIENEQRALRAKEKE DGSEWTRRYFTRVESDKLLEALAPKIGLLVEDDKTGGIWRFDEKKATAEAGKKN VE01_00357 MGCQDVLTRKTGVIVGDDVLKLFNYAQEHNFAIPAINVTSSSTV VAALEAARDQKAPIILQMSQGGAAYFAGKGVANGKQEASIAGGIAGAHYIRAVAPAYG IPVILHTDHCAKKLLPWLDGLLDADEAYFKEKGEPLFSSHMIDLSEEEVDYNIKTTAE YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIYQALSPISPFFS IAAGFGNVHGVYKPGNVKLHPELLGKHQKYVKDAIGAKEDKPVFLVFHGGSGSAKKEF TDAISYGVVKVNLDTDLQYAYLTGIRDYVLAKKDYIMQQVGNPDGEDKPNKKYFDPRV WVREGEKTMSARLTEGLKDFNTSNQL VE01_00358 MSSLVGSLRAPQCMSCMRRVTTSVGDAWLASTKQQVRGKKRLAK VSTVNVRLLKDVTRYGKEGSVIPLPPGRMRNQFFPRKMAEYMTQAQIKEAGLENVILE RDFTFGIVDAESLKAAEPEVKAAPAKKVVAVDLDLLSGEQAAATIAKLIPKNIDFYRS AIVPAAPAPKRSPSLPASSVLSEEAIGVKPEPAPLDPNASIFGSVSTSDIASSIRAIL AEDKEGSRLVLGPEDITFAVETEEKGRVKNLGTYEVHIKIKGGEQAVRRTVTVKPQE VE01_00359 MPDKQLQMATYAAGASLAAITLVYVFAPTFFIDGEAESSSSSRK RGVVGLHNQANDCFINSTVQALAGLGDLRLYLIRETHRRALDGPAVYRNLVEDPTRKN LAPWKIEGLQEGLITQGLKELLDTLNERPIYKKTASASGFVKCLEGAFRQRISRQQQD AQEFLQIVAERLCDEYHAGRRARKRAAELGTIIVDDETLQKRLTTLTLEAADEEASTV EILDSGKQPEMEKPEITNSESVESPTKTESPTTKAEVAPSAGEGEPEEESFPLEGGYE SQIECLTCGFKPKPNISTFCTLTLNVPELPSTTLSSCFDGIFKVEYIDDFKCEKCRLI HAVETLQKDLQKCKDETLATETTNMIARINEAIATDPENPATDLTLPDPRHAPKRKIK RHIRITSFPKVLALHLSRSIFEARASTKNAAKVSFPETLPLGSIIDQRRYKLLALVTH KGSHSSGHYETFRRQTVAPPFANQGPFGRSDVYSRTATPAASTPQLRATPIRPLTPDV PSPHPAASSSSLTDSNSQPTTDHLTTASAETVASSEPSSSSSAPSPRGREKDGEGSSL RSATRASLSKLSKNISSSMSRPGTRDGTPAGGKENEKVGRVQARRQRKAAERWWRISD ERVKESKTSEVLGMQKEVYLLFYELIR VE01_00360 MATTDVEAPPAAAPELPDYLLDPNAVLNDTGANWRYGQPPDYSG TRKVYGETKTKTHEPGSLPFLVENLVKNWEIEASFKTSISDWRTIDQSNYTFSVNGGP PQSAEHMLSVGTYNAIIAPNEFYSPEHADFSSSHKTFKRMMPAFAWEVLEVYSGPPLV AFKWRHWGEMKRDYVSLNDKGEKVTVPAHGATIDIQGVTIARVNASMQIQSIETFFDP LEMFRQIAPNGIPGKTNVAPEGGAESCPFLATKE VE01_00361 MSEVQSRPSAPRGRGASRGGRAGFGSRGGAGRGGRSHATNGDRL DSASAPSIEGDAGVGLLKKQYGTKVATIKEMYPDWTDEDVVFALQETGGDLELAVERI TDGTITQWGEVSKAKKDRSKSKVKETAANIHTDSGNAPRAARSGADSGRGGRGRGSSE RARGGRGRGAATVQTNGTHTKPAAPLSVPTDQSVVWNASAKEAPSDDTKPEESWGGAA DIAKAVVSTVVPDGKRTWASMFKAEPAPKPVEKKAPVEKVPEKVAPEAPVEPEATEET PKAEVAEPVVAAKEPVQEEAPAAEAPPVEADAALPPSKDELTETNLEQVLDESGPPPS ATVASTAASSWDPRSGATSGTPYSGLQGQPAAARPTTSGFAASAMKATGPAPRVASYQ RRVLDQEEAVRMPGNREVDRAAVQFGAFSLGGAGEEDVDGDREEPETRAQPPQHSPIA HPRASLPPAPAQAAAEGLPTAKEPQGLPSAPHPVAAPGLPTPLPGAQSMSQQGSQGGQ YSQYGRYGQPAGQEQSAFGQKPYDPFSQQAPATASQYEGYPNQPNQAQTQAQAGAFSS APSEYSQYYTADQQRHAYQNYYGQQYGQQQSAQTQEGPSTQQRAFSGYNAPPTDTASP FPQSSAQQTQSRYATAGEAQTSGHTTPNPVAQAQQAAQTTQAQQAHPSQPQGQPGAQY PYGNPYYSSPYYAAYMTNYQQGYGQGSYGAGPYGGKGGMYNQPHQYGMSPQAPYDHAS SPAAAGAFSQSSLHGRESAVGGGLNEYGRGGSQQSQAAQPLGASGGFDAFGRNSSYQG QGQQYGQGSQQGAGDDLKSFGDAKSANGPAPSTAQAARPGSAANTAPGQSTLPPPQSS QQSYGGYPSHLQQQQHTAHGAQTGSQYGALGGLGAHQAAGQGHQSSQYGGAGGYGQGQ GFTGSYYGNNQQQRGGWGNNYQH VE01_00362 MVQPSPHLLLRPAATLAANFNIFAHPKVPLTPNESRQLLKALTT SFRRQLAEEHDTRTPNPVHTVSASTATTTLSSSSPKKRPARPNVTPTDRHLHDVLSNP LLSFQPPPTRTGQRDPMDVFEEACARGYMNIARARACLQGKRTSFGESLEEQRAGMKT SGAGSKVLQWLLSTGAESDAAWLQPNSGGSAWKKDIIIDFLVLEGKHEALWELFGRYA ALNARDGQNFLFQLSRSMAQNISVDAGLESVVRANEILIKAETPLADRLRILNPAISL LVNYVKNTTSENVQQSPALFDAFIGAVQTLPSRSTVSKSNLFIPQLYLRHPTNPDAKP ALRYLESLNEASSEHLLQATKVLSNSLASLALDTAKQLIVTEQFDDARWVLGFVQKTY PELNQGDSQPETKPSQSAKAMELLGFVKQRYAELKVPPVKMKKVGKTTSVQEEDDIAS VEELDRLDLGLSSS VE01_00363 MSESNQQQQVSNGTENNVQWAATHVAPAPGVVTQVRSETTTYAT DGDRFVERHEVLAGPLGEEEGYVEKREGHLGVPSGGVVEEVEEEREIGLLEPEGKGGD GIEEEGEEEEDEGGEKEIGAVNGDAGGVVGEEDLTAAAAGIIGKEDEGEGTKEPEPSK AGTPDQGATTSTKKAEGGPAAAAVADETDKKAEKKAETGPAATVAAEAAGEAPVRAAR EKEAEVTSTSLPSGASGGEVEEAGGGEDRKRKAEGGHGEGEKRGRGRPAKGEGNAGKG KEPVEGTRRSTRAKGRSE VE01_00364 MRAAFEELLRRTEGSDELLELLKKPFSSNFQQNSIWASFGTSIG FTVLIAVAFSLLRPYNSVVYAPKLKHADEAHAPPPMGKGILAWLGPVIKTQEQDLIRH MGLDAAVFLRFTRMCRNIFLSISVIGCAILIPINLRKGTGSSFVEKLTPLSTSGSPTW AQVVCAYLFNVVVSGFLWFNYRKIVQLRRQYYDSPEYLASLHARTLMINDIPKAYCTD EGIGRLIDEVVPTSSFSRTAIARNVKDLPDLIAQHEGTVRKLEKHLAKYLKNPDQLPP ARPTCDPSKKDPSYGSYAKGQKVDAIEYLTGRIRDLEMEIKDVRLGVDKRNAMPYGFA SYEDIGEAHTIAYAARKKHPHGTTIVLAPRPNDIIWQNMHLDQKTRRWRRIVNNLWVI LLTLLWIGPNAMISIFLVNLANLGRVWKNFQASLDANTTIWSIVQGVASPAVTSLIYL VLPIIFRRLSMRAGDRTKSARERNVTGKLYTFFVFNNLIIFSAFSTVWTFVSAVVEKT GKGQDAWKVIQEEDIARVLFTSLCNISPFWVTWLLQRNLGAAIDLAQLWTLFWSSCVR KFSSPTPRELIELTAPPAFDYAAYYNYFLFYSTVTLTFATIQPLVLPAAALYFTIDVY LKKYLLLYIFVTKTESGGMFWRVLFNRMVFASILANLVVFLAVWVQGDHTHIQAFAVV PLPFLMVAFKIYCRRAFDKKTHYYSLRAVGRHGDDTAAAPLKNSRKADRLASRFGHPA LYKPLTTPMVHARAQSILASIYSGRLSNPNNPDAHDIASVSGYSDTFTLSTMKSPHGG APGKLQQNIIPGFEVVPESQLDFSFYKNRAEFGDDHGAGDIFTRTPNPYDRPGTADTY RYTASSPGSSRAGSPAPPMPGVPSQYSRTPLGGGDSRYNTSSPPPPFSSRYNTSSPPP PFSAGGVGRESPYSAPIHPAFRNDDRRRGSGSQTRESLVRAAAGMPDSAPVSVAVPPG FLGGGPQGYGGLPQEDADVADGRGDYDYYRGRRGAR VE01_00365 MGSLRAAQENQLVSKIDSLVSLLTFVPESSPAAALPNSNRLSNL DTLVEAVTNQISAGNSRSPPLQHAYPSRELSSSSPLALPSGSSARASSHPSLWSRTFL SPALELSPVDAAISNSPCFSGFQPSPSEAEEYFQLFRTQSLPYLPFIHIAQCTSAQEF QQEKPFLFKVIMAIGSPSTSQKLALGTEIRDALARRIIVENEDSVDVLLGLLAFVTWG HDQFMVPLNLSRFTQLAMSLVFDLRLNKPLPIDLYMISAIDTGASDPSPRLQPTRSLD ERRAVLACFLLSSNVSLYFGKIDALRWTTYMDECLQVLTGSQDYPGDEMLVTQVRLQL LTDIVTRAAEGVSYGGQSSQRSGTPSLYLKALHSDLATIKRSIPPNLQQNDLLLAHIF NTELSINEMGISKTPTSSSSTDFQRLEPLYACLNSIKSWFDIFFELPLMAYIGISFPF FAQLGHCVVALYRLSTLEDPALDKELLRQTADLLEILDRIIHNIQRVRDMDDEHHKGD MFTRTIKIFESIRIRCGLKLGDITSLSNAGEYRFGGMMEDSSFMDVSGDAWLGDMLGS WDY VE01_00366 MSSPEQENQSADNTILEKNKDEEQISDGGNKEGILQEEDAHEYV TGIELFIILAVVTLVFFLLMLDMSIVTNAIPAITNYFHSLEDLGWYGSVYPLASAALQ PLTGKIYTYYSSKWTFFTFFVIFEIGSAICGAAVTSAMLIIGRTIAGLAASGLMTGAL TILSSCVPKERQPGLMGIMMGIGQLGVASGPLIGGALTQHVSWRWCFYINLPVGGVVA GLLFMVSIPEQVAKPTGAKLRTVLSKMDLVGFAIFAPASVMFFLALQFGGEQFAWNSS TIIGLFCGAGVTFIVFFLWEWRQVQRLGYYLPWFLAAATIGSVGFGLLSTLNAQTSTG RWIGYLILNGIARGTGTAMPIIAIQNTLPLAQISVAMSILVIAQNYGGAVFIAICQAL LTSALKTSIPKYAPSVNAQNIINAGATGFRAIVSADDLPGVLAAYSKSISHTFYLGIA ASIICFITGWGMGLKDVRKKKAEEVVEKAAEGGVEGNVVRL VE01_00367 MSHSSQFENVREAIYNETRQKLEENDLSENNMNVVQIEQVQAWI LITSYEFLRSSYQRAWFSAGRVFRLVQLLRLHELDNHSELLQMLSASSSQEEEIGREE RRRAFWVAYCLDRFISVQNGLPLTLNEEVICTRLPCLESEFETGKIMRVSSLSEAIAA CNVQTPLSPLAECAILVTLYGRALSHSHVSTVEQYYGNTTQDFWFRHEWIDFKLREKA DTFALKYPTTTIFAEPMLLMSFMILQATTLYLYKIMESMSVDDSCGEKVLEYQIQAIT AAKKIANLTKEHARIGYFNGHVFIPAAIYLGIERLMIQRDAHMLDMQFLEESSIGEGL DGCLEILRKIQSVNNLAKYYLNLLDSGQLGDSRPLQP VE01_00368 MDPNVPIAIIGMSCRFPGNVKVPEDLWKLCAEGRTGWSKIPASR FNLDGVYHPNAAKTNTTNVIGGHFLEEDLALFDAGFFNLSSEVASSLDPQYRLQLEST YEALESAGLTMEHVAGSNTSVFAGTFFRDYSEAQTRDGETLPRLFLLGVGSAMASNRI SHFYDLRGASMTIDTGCSTTLIALHQGCQSLRTGESDMSIVGGANVMLNPDNFVVMSN ISLLGKTGKSYAFDNRAEGYGRGEGSATVILKRLDDALRDGDPIRSIIRTSGTNQDGK TETVTTPSQRAQEELIRACYEKAGLDPAQTAYFEAHGTGTRTGDPIEARAIASVFREH KSRTEPLLVGSVKTNVGHTETTSGLASIIKVALALEKGQIPPSANFEKPNEEIDLDDW HMKIPTKLEEWPKGTIRRASVNNFGYGGANCHVVMQDCQSFIDPKSAEAKHIAHKTNG THANGTDANGSNNKSAVSRINNTDGINGTNGIKGVNSTNGINGHHTSHTPRIIILSGK DETACEAVASNLRDYLLKSEQANDESFFASLAYTLSQRRSIFPWVSAQPAQNVSELIG LIDSGKMKPGRRMDRPPRLGFVFTGQGAQWFAMGRELFDAYPVFKGCLLEAEGYLKAL GATWSLTEELKRDEKSSRVSDVALSTPLSVALQIALARLLQSWGITPSAVTSHSSGEM AAACAVGALSLDSTMASVLSRGELVGEVTQFAERKGGMIAVGLSPEDTEPYLERVSSG QLVAACMNSPTSTTVSGDASAIEELERMLHDEHIFARRLKVDAAWHSHHVQPIAKPYR AYLEKYVPPVNGELTDIVYSSPTTGTRIGSLATINRPQHWVDSLTSPVQFVQAFRNMC FGDESDDAACEVDAVIEVGPHAALSGPIHEIKSTLPQFRNRSEISYHTCLVRKSNAVA TMQALACELVRKGYPVNMEAVNFPHGRIGGGRGRGSGGRSRSGNKNADVHENGNGGKF KTEGEGEGEGTLKVKVEVLHSLPSYPWTHSTRHWSEPRLNRAWRERGGKPHDLLGSLA LGCNILTPSWRHVIRATDVPWVNDHLVQGNVIYPGAGYLCMAIEGASAQARVQQPQTA IQGYQLRDVDILQALVIPESAEGVEVQLTLRPCNVKDLYATGWQEFSVSSVSSASHWL EHCKGLIKVDIQQKAQVQHGALITKDHYRRRIDPMDVYASLRSAGIYHGPIFQNLRGI RGRDKQSLSVFVVADTASTMPYQHQSPHIIHPTTLDTVFQAAYTALPGAGSKSQSSQI PKSIKHLWIAHSISSAPGHTFNAYTNVNRATPQTFEADMSVVNDGELGAPLITVNGFV CQSIGNAPIQADSLEDQLFIAEKWVPDISFLKPAFFKQQLSCHISSIEAENLLDLRQL CIHFISDALERLTAEDVKRLDSHLKKFYVWMKLQVELAGRDELAPGSTYWHKAAPDQI TVLKDKVYAASVNGELLCKLGSELVSILRQERTPQDLLVEDGMLNKFYENSLKLDRSL HQVCGVLKHIVHKNPRAKILEIGAGSGSFTAPILATLGEDNSDDGPLALSYDFTDISS GVFESAKEKFKNWKNLVRYKKLDVEQDPLKQGFDEGSYDVIIACRVLSATKSMQNTMS NVRKLLKPSGKLLMVETTQDQLDTQFSFSLLPSWWLSEEEERKFSPSLTVGMWDRCLR ETGFSGVDIEVPDCDEPDLYSYSVIMSTANSQSTKLNSDIVLVTRNLTPISWMDALST SIAEATGVAPSIEPLEGVRGNSKSIYVFLGDTEGPFLKNLDSAQFEAIKALCSTSKGV LWVSRGGTVGCEQVDSSMHVGFFRCLRWEYSGKRLASVDLDPNQSPWSFESIPTITDV FSKVFCEWSTAEDIDFEFADRDGLISVLRYHKDISTDSAAFPNAAELTMAKMEPFAQL GRPLRLFIGSPGLLDSLAWGDDPDAGKELEPDIVEVQAKAFGLNFRDVMVAMGQLNRD IMGFECSGYISRVGSNAKLQGYKPGDRVAMLLRGHWGTFARVHHSSVVKIPDDMTFEV AASLPVSFCTAYISVFDIARLRRGEKILIHAATGAFAQAAIVLAKHVGAEIFVTVGTE AKRQFVIKTYGIQPDHIFSSRDTSFAPGILAMTEGKGVDVVLNSLSGILLQESVNCLA PFGRFVEVGKRDFEANSLLDMGAFTRSISFSSFDLLAFSDTRYPEIQDVLKDVIRLFE QKVIVPVDPITVYPVSELERTYRLMQVGKHMGKIVIAMNPEDIVPVLPKTPSVKLRPD SSYLVVGGLGGIGRSVCHWMVSHGAKNIVVISRSASSQEKAAPFLAEIGKNGCKVKVT ACDITDEYQLAEVLKACSFEMPPICGVIQAAMVLEDSILERMSLGNFNAGIRPKVQGT WNLHNQMSGLEIDFFIMLSSIVGVTGNASQSAYAAGGTFQDALAKYRTSKGLPCVAID LGQTKSVGVVAESVGMAQQLSRKGLTMLSEDVVLSTIEYAILHPFSTQLSIGFNTGSG PHWEDSVYTRDLRFSLLRYRKQTSSNVNKSAAGDLGSRIAAASTLDEAVEAVVGGLTK KLMDSFMILESDVDPSKSLASYGVDSLVAVELRNMLALRAGAEVSIFDIMQSSSITAL AATVALKSSHTDPLLVLS VE01_00369 MPSISGQSILVLGGSSGIGAAVAKLALEQGVHVFIASSNSTKVA KAVETLQNAAPIAKVSGFTINLDTPRIEEDLVKFLTEITSNGTKLDHIVYTANSLNLK PLDQVTADYLSNSGQFGLVVPMLLAKLASRFLNPSYKSSLIFTSGRVAEKPVKGYTMG AAFGALLFGITRSLALDLAPIRVNCVSPGATDTEMWGNDEQRAQRLQMYTKIALLGKA GTAEEVGEAYIYLMRDFNNTGSTVSTSGGALIQ VE01_00370 MHLFKVSLLGLNVVSAVNGYTTEFDASTYAKADVVNVDVAIIGG GATGTYAAITLRDLGKSVIVVEKQGELGGHTETYIDPATGTAIDYGVQRYENTSVATK YFDRLGVTYNGSPMPSYTNATVYTDFTTGKPLTNFTPSFNFGPYITQLERYPFLEWTK DLAAPVDPDLYSSFGDFLVKYSLQDVAYSIYMFSSTAMLQLPTLNVISNVGLKELGVH TTAIGVVSEKGNHIIYINALKVLQKSVLLSSTVTSARRNSYGSQLVVSTPTGKKLINA KQLLITIPPTAKNLAPFVLDTKENSILSHFVAEGLWPGIVKISGLPAGVTYKNAAPET LYNLPTAPAINSLTPTAIEGFYRFYMFNAEPMPYAQARAKTLASIKAFADAVTGVSTE PELIEFASHTPWYSKFPSEAIKNGTWHELYKLQGYRNTWYAGMEIVLGSVALWNYTST LIPEIVAKL VE01_00371 MTVLSDLAGASPSALVALAISLSLYTCYSVFISYWRLRDVPGPF WAKFTDFQRMFWVKTMRAQEIHREAHEKYGDCVRFGPNTVSLCDPAMIPILYPMRSGF PKSLFYRGIMPYAKGGAFPAVFTTQDEQMHKTLKTPVASLYSLTNVINFEPFIDEVLE VMFNQLDARFVETFAVFDLGDWIQYFAFDVMGTMTFSKRYGFLENGNDANGLLRAIWD FMLTIGPMTQFPKLDRILYKSFIADILKNQVAVPILRIASEAVEARQKDAQKFSVDEK KTPGKGDFLSHFIRIQETNNSIPAWASKTWTFSNVIAGSDSTTVVMRTAVYHLLANKD SLAKLVREFCEVDAKSGLTRPFPKWNEVKNLPYLDACVNEAVRLHPPFALPLERVAPR GGVTISGHHFPEGTCIGMNPYVVNRHRPTFGEDVDAWRPERWLVDDPALRKKLEGSVM TFGAGRRTCIGRYIAFLEIKKLLPALFLNYDIELIDPKSYFVESSYFFRQEGIDVRIQ RKVF VE01_00372 MPYRALVVFTRKPDESPAVFEKHFEQDIINIIKNNAGDTFPTSH TRMYVKRSEEPGYPADIIVGEQEDFPFDGISIIEFEDEAAAKRFMAKIEVPEARKTME GKLGVPIHSKGRAVLLSGTYTTTKD VE01_00373 MTTAEENGCHDDDHFGVAVVGGGIVGLALVTGLLHRGIRVKLYE KTSAFRPIGAGIGFTPNTQQALQLLNPGAFAAQQKVTTANGDPKNPNDWLLYLDGYSS DVEELIFKLHAGYRGFEGCVRADFLDEMLKLIPPEIIQFNKSIVDIVDPGDGAKVQMH FKDGSTAQADVVIGCDGIKSRVRKLILGESHPAAEPSYNHQYALRDVVPMDQAIEILG DFKARNRHMHLGPGGHVVTVPIAHGKMINVVALIEDPSDWPDPTQLTRKGGGQKAIEA YKDFGAPVRNLIKAMIERNPTPDLWGIFDSVDNPTPTFAKGRVCIAGDAAHATSPHHG AGAGMGIEDGLVLSTLLANVALFSKLNRAAAVRAALNAYSHVRKERSQWVAESSRVIG QVLEWRYPETMRDWEKCQAELTWRSHRIWHWDQQAMLQEAQSAFQKLLGSVE VE01_00374 MGSIAEDYNNFARYQDIFGQLRLLKSYTHFLLCFPIPEGTSHDT IVEGLRSATLKVTSTFPWLTGKVINEGSGKGSSGLFKVAHCPQWEPPNSILRVKDCSH ICPSFAEIMREKGPIKFFNPKDLAPTVAFPQSYQETDDDPACVFALQANIVEGGLLLD IAAQHNIMPGGGILQFLSLLSKVMNGGEITTFEIEQGNRDRRNMVKLLGPDEPIIDLG RYRRPSLLEASIPAVPAPHGKWCMFRFSAASINALKKMASDTSKFVEGITFVSSNDTL TSFIWKRIAAIRLRRMVDPNASSKFVRAVDVRPTMGVPNEYMGHMVYNTFTTLTMREV DELPFPTLVSLMRKNLQEDVNEYAVRSFVTLLDRTPDKTTIMYGGEMQPDTDVAFTSL AQSDLYNVSFGALGKPALLRRPNFIPRTTTSMIFPKAPDGSLDVMVCLSEDDLDQLKA DPVWSSHTELIDKD VE01_00375 MPLAFTQEAQDNPSLHLPRILCLHGGGSNAKIFQAQCRKLNAQL KAHFRLVFADAPYSSQAGPDVMSVYADWAPFRRWLRWTLDHPEITADEAVMDIEDSLQ RAINEDDRKGGTGEWVALLGFSQGAKMCASLLFHQQILADKLGARLPWGGYKRKLNFR FAVIMAGRGPLVSMDPELVMTSAFHDAATPSLSSYDAGSLNRKCFVHYVLRIPTIHVH GKFDPGLKMHQRFMEEFFEKESVRLVSWDGDHRVPLRSGDVSAVVDQILDLSEQTGVF EDLNAFSWM VE01_00376 MVSYSSLPGEAGTILIFGSQILDFDGESAIQLRSRILETPKLHW CLETILELPEHWKTIAKAVPGLEGFPGLKYLEGFGHWLKEGRFPGESFPLPNILLTPL VVITHLAQYSAFIETLLSQSEDGGNWAALLNHKFETLGLCTGLLSAAAVSSSVNQAQL QKHGAVAIRMAMAIGAFVDMKDAEEGPKGRWKSFSVRWASMEAGEQMSRILKEYFPEA YISVVVEDKRATISTPSTTVAPLLEHLKDAGLAVAEISLSGGFHFEGNREDAEALGRM FDSSPAFTLPAALEMVLPSFSNTGGGHINTGKLHQVLTRSMLVEQSDWQQAFASLQSH TLTHKNLVVCFGSERCVPAGLARKLGPRLIHIADLDLHHESAQLSASSFDWRKILSDK DSLGSDEAVAVVGMSCQLPGAADLEEFWAALCAAKSQHTEVPADRFDFQTTWRDLDTK RKWYGNFIDDHDAFDHKFFKRSPREMSSTDPQHRLMLQAAYQAVEQSGYFNMRSKDQD RHVGCYIGVGLVDYENNIACYPPTAYAATGNLKSFAAGKISHYFGWTGPGLTIDTACS SSAVAIHQACRAILTGECSAALAGGVNVMTSPEWFQNLAGASFLSPTGQCKPFDAEAD GYCRGEAVGAVFLKKLSDAIADGNQVLGVIGATGVYQNQNCTAITVPNAISLSQLFRN VTGRAGLQPQQISLVECHGTGTQVGDPAEYDSVRQVFGGAATGRTDTLSLGSVKGLLG HSESASGVVALIKTLLVIAKGAIPPQASFHNINPAVNPLLTDKIDIATELKPWEPPFR AALINNYGASGSNASLVVTQAPSSMEFSRVAVQSSAAEYPFWLCGFDDQSLRRYATRF LELLESKTICGNELSLANLSFQVYRQSNRSLGQALLFSSRSIQDLKDKLREIGTGDRG VSTMSRPLSTSPVILCFGGQISRSVGLDQEVYGHVKILQKHLDHCNEICISLGQESIY PDIFQKAPITDIVKLQTMLFALQYSCANAWIDSGVQVAAVVGHSFGELTAMCVSGVLT LKDTVKMIAGRARLIQDQWGTDKGAMMAVEANLEEVEKLLSDTKEAYPEESVATIACF NSPRSFTLAGSSKSIGNVLDIGKGKKFSVIKMKRLNVTNAFHCRLVDPLLSDLQKLAE HIVFHEPKIPLERATKDDAPPNLSSTFVASHLRDPVYFNHAIQRLSTKYPSAIFLEAG SNSTITNMANRALSSLKGFHFQPVNITTDGAVQLLSDATLALWKEGLDISFWAHHSIQ MPDYPPIFLPPYQFEKSRHWMELKKPRKVMSELLPGLAEANKAPPALWTYMGYQGSTQ NRVRFRINTTNDKYQRYVSAHVIAQTAPICPSTFQLVIAIDALMSLVVDDAKTSKLSP ELHGMDSHTPMVINDSKFVWLDAERHHSDPLIWDWKIATSDSAVGSSDETLHVSGRIA FRNVADADFKADFARYERLIGRRRCLDLLDGHQANGVIQGPRNIYKAFSDIVQYNHEV YKGLEKISGTESESAGRIRKQHLGETWLDLGLADSFCQVAGIFLNSMTDHSDNEMYIS DRIDHWTRSPLVTTLSQPKMWEVFACHQRPSEKEYISDVFAFDPSNGQLVEVILGIHY VRVSKAGMGKLLSRLSLESKDNHTPYLPAQVEIPKVNGIVNGFHQKQPDLAFQPAPTE YKLSQTTPPVQDIEGPTRNMLCNLSGLEPEAVKIDSDLVDLGIDSLMGMELAREVEGM FKITLEISDLLDLTDFQSLIDCIKSTLGMPVGGVSDNCEEILMAETKSEATLVTPKVN GAKMGPAANGAHSYTNGDTPSSESRIPATVVLDIFSETKQATDDFIEENGFSSYVHHV LPKSTEMCVVYILDAFEILGCSLRTAQPGQIVDRIQHLPFHEQCVDTFYDILETARLV DLDGPNIVRTAIATPKKSAQTLLEELLRDSPIHGYDHKLTAIAGRKLAETLTGKVDGV QLIFGDPDTKEVVSGMYGKSPINVTWIKLMEDFLTRFLLRVPKQEGPIKILEMGAGTG GTTARLVPLLASLGVPFEYTITDLSASLVAAARKRFKQYPFVKFKVLDIEQQPTGDFV HSQHLVIATNCVHATHSLATSTKNIHTLLRPDGLLMMLEMTKTLPWIDLVFGLLEGWW LFDDGRKHALAPPEIWEETLQSVGYGYVDWTDGHLPETAIQRIIIALASGPRYERVLT PPKLLPSNTTDCDARQVVVDSFVEKYSQEFISQGALNTIEGRNDRVDTCVLVTGATGS LGSHLVAYFASQPNIKTVICLNRPKGIGRVPRQQLALESRGLFLNSSELLKLKVLECD TSKSRLGLANDEYTDLVNSVTNIVHNAWPMSITRPVKAFESQFKAMRNLLNLAQECSD KHLPKGHRFGFQFISSIATVGCHPFVSGETLVPEERMTVESAMPTGYGDAKLICERLL DETLHKCPSRFRPMVVRIAQIAGSKTSGHWNPVEHLAFVIKSCKTLKAIPDLSGDLSW YPVNDVAATLGELLLGDVTPYPIYHIENPERQSWSEMIEVLVELLNIPRANIITFEAW LDRVRQFPPSSADTENPAARLVEFLEAHFVRMSCGHLILDTAHSRQHSETLRNSALVG RELVAKYVQAWKEIGFLHD VE01_00377 MEKASSRTEISSQVSNIKNSDVDITIFVDPVIEKRALAKFDKFL LPQIAILVMIAYLDRSNIGNAKVFGFEAGAGLSGNQFNIVSTVFYPTYIVFDVFWVVA TKRFGADKTLAVALTGWGVTTLGTGFIQRYAQAVAVRLLLGIFEAGLLPSLIFVISTI WSQEHQAKRVAILYIATTVSGAFGGLIAHGIQSMGARLGLSAWRWLFIIEGIVSIVIC TASWASMPKNAEEAWFLTAEEKEVMRARKQRDFMYKGSDTFSWSYVTMAVTDPLVYLA GITLFANSICLLGFGTFLPTIIKGLGYTSIQANYLTIPVYAVATIAVGVLSFLSDRLN TRVTLLAVVPIPVIIGYAIVIGTANIAAGYFAMFLVASGIYVFNCLVLTWISINLAPD YKRSVSIPIFVSVANVSGVLSSNIYPATDAPRYIIGNSISLACECIALLGVGAIYLVL RSRNMKKEKLIADGATENGKEGDRGLHFKYLL VE01_00378 MEPKVLIIGCGVAGPVIALLLKKKGYTPVVLEKVRELGDAGASL MMMPNGLKILALVGLADVVTQQVPHLGELRDQTYTGKTIGVSYIPAKWQSKYSQPACG IKRTTLNLTLKNALIDADIEVHEGWKLKTILEKEDSVVAISEDGKRMEGSILIGCDGI KAMSRALLLKEHQIQPEEATYTGLMQTAGMSPTPLSLQKRPGLLNLYGPRAHLICYPV TATTTSWAITKRDLTEAQETWKICSPAEMILERDSLLEDFKDWSSPALELIRGAERII KYGLYDRPHLEPKLWYSPKPGRCVLIGDAAHPTSPHLGQGANQALEDCYHLSQMLPTF DHESDADISVEDLQRVFNQFSTLRQPRTAALVKGARAQGSMRVISGGIAACEERDKVL SKGWEDEAAVEVKYDSLFKETFQ VE01_00379 MGSILPLYRIGVDVGGTNTDAVILDPNGALWENRGVVAFHKTST TSPNVTNGIESAVRAVIEQSGISLGQISSICIGTTHFINAVVEHDAKQLSKVAVIRLS KSYTRDIPPFSDFPPALAKLMNGYYGYVNGGLHIDGSQESPVLKDQVIQQCNIIKEKG LNTIVICGVFSPIDTHFRQEHQVRNIVLEQLPGADVVCSSEVANMGFLERENASILNA SILKFARRTIASFKAAMRRLQLDCPLYLTQNDGTLIDAAAAARLPIRTFSSGATNSMR GAAYLGLSSSQGTKNTSAIVIDIGGTTSDVGVLLPSGYPRQASAFVTVAGIRINYNMP HVESIGLGGGSIIKVNVHGDVTVGPTSVGYQLTTKAKIFHGDVLTATDIAVAHDPSIK IGNPALVKDVSTENITQARRKMKVMLERVIDIMKTSPDPLPVLLVGGGSAIAPNELDG VSTIIRPPFHQVANAVGAAISKAGSTVDIIQSTANQTVADALEHAKLAAIEAAVAIGA IRGTISITEMGSIPLQYVEHQIRTLVRVAGDLSTDFAAVDDITSDHLHDEEHEDEAIS VESKHKRCIEDAPKPLDIETYRPKIITNSETGISEWIISETDLIWLADGCYVLGCAGG GTPLPEFLKLRDQVRAGHIIRVVDASSLAKDARIYWGGNMGSPAVSAERLSAGELLEC IDEMMYYLRHDSFDAVMSVEIGGANGLQPLLWGSSKNYNRVCVDADLMGRAYPNYWQN TLTAHESGQLVPCAIASGNGKAMIMTRTDEDLDVDRVLRAPAIEMGSYVGMIGKPTTA DHVERYGVLNTMSSAWRIGRCINRAKLSNTIGTVTDQIIDELGGSSAAKLLFTGKIIG VERKLLKGHSYGEVIVEEMPASEEDKRKLAYAPTAQGGQLRVPFKNENIYAKHIAEDG TETFVAMVPDLIAILDAQTGKALGVPEFRYGVIVHVLGIAASPRWTDSPRGLEIGGLG AFGYDIPYKPLGTYVKPKSVVLEFA VE01_00380 MSPQPTPQITDHGNNTGEGSDEGLRQDIQPQHHQQEHQQQVKSR ALSCLPCREHKLKCDRQVPCKSCVRSNRVDHCRKHPAPIGRNGALTSMSGSESGSESG SGPNSEPKSGPEYRPAHRSEPDSAISNFQLPRKFLGRRKKQRLKQPWPATCRCQISEY LFTWNYAQSDLTVSRQESQHCPHDQRL VE01_00381 MAQAVRNAQAIGLDKDIAVSTSLEREMRHRAWWDLCDSDTFQSM CLNRPPLIQARLSKVPFPLNCNDLDITETSILARPIDQPTEMSMHYFRAKVFKILNRL YLDDSAHVLSYDFVSGIDAEIMAVVDEFPWYMKIEDGSCANLPPSLEFILWQFHILHT CICTQRIRMYRSFLHPRVGDSWPKCTRAAEDSFIVYRNIRDGAGDEFTKSQKFLGQAY QVFSVAVAIAALLIVERSAQNPNLRSDIEMAIVDLGTLDSSETTVSLASDGRKVLIRM LAIYDQRGAASPAESEDLVTGISTVFGGEQTARSYLRRCKIRTLQKNNSGASSQPTNL IHRQVGREFIYSQETAVGIDVTSQDGFFSNAEMALQQLPAEDGANAYNFQQMDLDIPL EFFNCWPAESLVPYLEYPP VE01_00382 MASAVQIADYGKWESPITAELLSGYSITLNEVQTNPKTGAIYVI EGRPAENGRCCIVEYLGSETRDILPEGYNARSRIHEYGGGAFAIGPNGTLIFTDWETN GIFALSPSSGDPKPLIHAKSHLCFADFSVHPIHEQWILAVQEDRSLSPIQNSIVAIEA TTKETFIVTQGADFYSHPRFNHDGTEICWTQWSHPDMAWNGTELFRATWHPGTVASGT KIAGAAGTESICQPRWGPDGTLFFVSDRSGYWQLYRINPGAETSEHVCLKGLETSDFG EREFWLGNTILSSYTKDATSALVVINLDTNKYTDLPLGLVDIQHSAIKRLSDSSFVVI GASEKAPRSLYLVEITEPAFKKLIKSSIEVELPEVLYSTPQMIEFPRVYGEFRSGSGH AIYLPPNNPAYQAPAGEQPPLIVSLHGGPTSHVSPGLLLETQYWTSRGYAYVHVNYAG STGYGRAYRDLLKQRWGVIDDADAASCVAHLISLSYVNPAKIGIVGASAGGYTVLQAL CGYPDVWAGGVSYFGIGNLKPLAVMMHKFESYYIDQLLFSIGTPLEDQARIFEERSPY YKAGNIASPILLLQGTEDTVVPPSQAIDMERMIKETGGTVKLVLFEGEGHGFRQMHSL KTAIVLEEEWWRKTLL VE01_00383 MSRPIFNGGDFVHNNTVAQAADRILPLFSLKGRTAIVTGAASGI GHAVVQALAESGANVAFTYNSNKDKAIEGAKAIEKTYNVQCRAYKLDVTAELDIEETI NQIVREFNGRLDVFVANSGVPWLQGDAVDGDTSHYRKVMATNLDGVFFCARAAGKHWR RQAAEGTTMDGNNLAGFKQGSFIATASMCGHVVNIPLKQAPYNASKAAVIHLCKSLAV EWVGFARCNIVSPGYVASEVMHQVPEELLTHFKNKTPMGRLALPGEMKGAYLYLASDA SSFATGTDIIVDGGYSVT VE01_00384 MTSTTESTIYKLIFSVPVSHFAAVKAAVHTSGAGNFPGYTGVSF QTQGMSVFLPSGATEPNEMAETKVEVFCSGRVQAVAAVGAMKKSHPYKAVSYAVFKAE NI VE01_00385 MPSETQKTTVDGTMVRLNDISKVSSVEELLRREKEHMAAAFRIF AKMGFADGASGHISLRDPTDPETFWINPYAVHFGAINVSDLIHVDEDGNCLSETSHKV NTAGFVIHSEIHKARPDINAACHCHSPYGRAWSTFGKPLEMLNQDSCMFYDSLAIYEG FAGPVLAADEGKRLADALGPTKKNIILQNHGVLTAGGTVAEAAAFFIALERACHTQLV TDAAAASGIQKRYVSDEAAKYTSKTTSNSEVMYAQFWPEYNLLLKETGGDFLK VE01_00386 MATSDLPPQILSVGTYTFTISEAGESDIPEFCDVFDSAFTGNLL FNTMSGTGDRAALREENIVFWKKQGVISGRRHFKVVDEGTGKIAAISHWWFPHVLTPE EEAAAEEAKKAPKPEPIQGTNSKAQEAFKTQLFEMRDKWLKKDDMYLMNILAVLPTYQ RLGLGAALLAPVLRLADREGKKTYIEASEAGEKLYRRLGWVETGDTISLDFTEFGAEG KVDILLMMREPGAGITL VE01_00387 MLVTFTVRASANSVQGKLPTLGWNSWNAFGCNIDATKVMTAANE VVNLGLKDAGYEYINIDDCWSIKDGRDETTHRIRPDLTKFPDGISGIADKIHALGLKI GIYSSAGTNTCEGYPASIGYEEVDAATFAEWGIDYLKYDNCFYPSNWTDTYASCIPDG SSPLLTNGTCPVTSRTAPEGYDWSTSNTTQRYRIMGDALKAQNRTILYSLCEWGRASV QNWGSSIAPTWRMSADITPQWSRITQLLNMNSFLMNYNDHWSHSDPDMLEVGNGVLTD EENRSHFALWAAMKSPLVIGTALDKLSAANVAVLKNKYLLAFNQDDIYGKPAMPYKWG VNVDWTFNATNPAEYWSGVGSNGVLVLAFNSLAATAMREIKWSEVPQLDGTSYQVEDI WSGAKLGCVKEGISKDVR VE01_00388 MSTVVLPTPKQIRFVNNEGRPPAKRRRVDAAYVAFTWSSSFAFL HRTTLDVFRALERNCANVYVTRRCQTCRKRKIRCDGKRPECSTCKENRHACLGYEAPL NPGGGKNPDSKKFAVDDADDDEEDIIHEDIKPKLPSPTARRVDTKLHRVLSEEHRISE ESVGYGGQSPGAAGDNTAYTDDGQSSQTLNRRVPYFRWFGPTAIVRGFKQMVVSVGHP GRKIGPTSLSSASPLSNSYSPGGDQKFPNPRRSSMSIGEPRNDLGLPLYDISNSEPVP SLIKHLVKIFFVHLGCNFPFLRKDKVLRMMDDKHLAPILVDAICSLSARFSEDSQLVG SFGPKRLKSEYGQAFAQRAKAVVTDTFLCPTVEALQACLLLAYEAFGDNKDSALWMYT GCAIRMASDLGLEKLDGIRIQGSRLPNYSPIHNDFNQRQSDGHPDADIEQKASEQERI DTLWAIFTLDRFISSGLGRPATMRREDFELGLPVITMLPKSDWPAPLPALIQIIHLYG RVSDLLNGIKGTEDMTEGRLQGLEDISMEVTAWQESLGKKLAFNLGNFSHYDKAGEGT NFILLHFWYHTLIMVVYCPQLWSSAIAQLLPNDNRKLSMSSAKTIADILAFANTNESK CFVGNPFTSQPMYFAASAFLMETNIQKASSPASREGTPHRDTKASSKAPVNVNIKCEP SHHNTKQTKAKPQSNPSQDYQCCYKALQQLETYWAGTKYILTALDQKAIGIADPEAFT SEEMESTKVRPGPVQDWKRTLPPPFAQPSPSMKSVAPSMSPKTERSASPTVDGGTNQP IWWALTGTTNSPNSNVTLMFPQAPGDIASPAPPPATGQTHHKFYDPIRPSVPESTRPT NIAATYPPYNIGYSPPQQQQHIPMQQNMAPPSQKYNMIDDSHRDAAMLIELKQSPNPY GRSMAPNPYDTMNSQASTGPSQHLAHGLYDGPVRYDYGAPFGAITEMPYQGWGVTGYY GLGMEGLGAGDMTFTTRDVDLSNIDTDLSQILEHVQYLPNTDFNAGGDVGHYRGNGE VE01_00389 MGGDNGEQAPTPENMTMMQAFEWYVPDDQKHWKRIESQLPQLKA FGIDNFWIPPGCKASSPSGNGYDIYDLYDLGEFDQKGGKSTKWGTKEELLSLTAKAKE VGAGIYWDAVLNHKAAADHKEMCRAEEVDNEDRTQKVSEPYEIDAWVGFDFLGRGEKY SAQKYHWYHFSGIDYDAKTKKTAIYKILGDDTKDWAESVDDERGNYDYLMFADLDYDH PEVREDVINWGKWLSKEVDISGIRFDAVKHFSEDFLREFIIKMDEVRGDGWFFVGEFW KDSLDDMSEYLLRMGTKFSLFDAPLVHNFSEMSKTPEADLRKVFDGSLVQKEPINAVT LVMNHDTQPYQALEAAIEPFFKPLAYSLILLRYDGYPCVFWGDLYGMDPAGDKQTFPP SCGGALSALTLARKLYAYGLQQDYFDFPTCIGWVRYGTWDRRFGCATVLSNAGPGEKR MHVGEMHAGEVWTDVLGWSDREVVIEDDGCGNFVCPGTSVSVWVNKDAEGRDRFREFD ANIYEN VE01_00390 MAKPTPSDDDFGIHVDGSCLTDSTDLHASPKRNVSDQTVIHHDE VHEKTEQDEHTEALIHAAARAIITSIEQDAYDKHAGSVISDLSYSGVDGSDNCYTEGS NLTYGEEAEMSYGEGTEMSYEGDQENSYNEDIHGDPYDGSGSSHHEDDVFSHGRRSSG GSLNSSDEQNTDEERRYQLTKSDNKAAQAENRFVQHDEQEKELDNEMNNIPETISRIP SMASNAYSMLPEPLSFSSVTSPHTPSKVLNRPPFRTPSSVRAMQMSSPTPSVFSGSPT SRKRVNSSRISTPNRLQSSLTKHRTPTKFKAKEEHPLVLLHVTVLPLHWQHAQIMDTA PPDVLSKDLLAVRESWKLLQDKLGDTVFERGVLLPHPQDSYETLEERLCAALELPMRP RAKILACGHYVGPSTSFSDSELSSSDSSDSEDLDSEVSTKSLWCDICCRDVRFGKFDS MPGRQKKSFKVKLFASNGLMGAGAWAACWREMERVDVEITPWVEAAAAKEINELTETV MAHQASDEGHFEEVHHSIMEEPVPSVKIEAPEEESHADFADIARSERDAMRLREIYGE QPSQAPEQGPHPQQHDPHPQHDPHPQHESPSSRRPTARHQQDESFVTLLVAAAKVLVQ DKKNVMIFLLSLVVLLLAVRPGGAPPVNSFQMQELAMQRFEQEAAARMAANVVQPVVQ MVAKVEQQEIHALEQAPVQVVARAEVVEEKRQVPVQVPVQVPVQVPVQEAAYIEVEEA EPEVPAPAAVPEQQAVDAVPEIEEEAVVEVAEPVQEEPLASSIAEETEESGPTPQVEA TEEASTSTEALEDAVDSEPAIEAEAVEAVEEAEPSSAASVEAVESIEPVVEVEAVETE PAEVEPAESEPVLSETETVQPVAEAVEEPQE VE01_00391 MAPPAPEKLDISDTEEDLFASPSRSPRASSYSKPAAAAAATTEA PRESRYDAEAARTATLQRELASLQDTNAAIEGVIASLESARGSMGTVSTTVTSASTLL NTWTRMLGQTEHNQRLLLDPNWKGASQDLVDAENEVVMRAQAAERRAAEEARRREEAR AKAEEEERVRLAGGTTRGRVTRGRGRGLRGSVTGSSVGGQRPASGLGRTASQRGSGIG RGGVASTRGRARGVR VE01_00392 MAGAAATTPPPTSRVRIPPTPRLGLEDDYQPYARRKSTRVASQR ESSAQTPPPASNHNLRSANSSPQSSSKRTASGSSNITPPSTISRKRANKPTLLGEDQV SSQTTRGASSPQGFYSSIGTRNGMLPTPAKTPQKRAETKTSTITSIARNLFPVRHETV EQAMPSPKRRGKKYKGFSLDGYGEDEDESIAIFTDSKERLPEVDESLGNPFYGPEVVR ADDLSKRGSKRRRNPPRESNGAEEEAQDEERKDGLVYVFRGKKIFRRFSDLDETGSRP SAADEVEDEIDVTVPSRLRGPLTRSSMKPRLLFPTQKQLDERNSQYSEADEEADTDIE EDNEVTTPSLHTEKVATPRAPRFAPVSPPSTVARTTRSKKLSSDDDMAGASFSSLGSA SPFESWQRTKPKGQKRGSDAVSAFDTGNHKRVRG VE01_00393 MHILVVNDDGPPSNQSSPYVHSLVNNLVEAGHVVSVILPREQRS WIGKAHIIGQTVKPTYFRPGTLHEDDGVTSKRPSPAGDDWVLVDGTPASCVQIGLYYF FQERGPVDLVLSGPNYGRNTTACFALSSGTLGGALEAAVCKRKAIALSYAFLTREHDP KIIAGASRLSVKIVEHLVANWDANTDVYTVNVPLVPDVENKKIFWTEMLQNYWGPGSC FEAVEDTEDAGEEEHRIREGEAEQKDGDAKAPIPHQHRNFKWSPRFTDVYKSVEDAPP GNDGWVVKEGFTSVTPLKANFMHATGKQQGEITLALDLVLDQYKQILIPFAIAFSLAR FTLTSSMQDLSLAAPAAGIKHFNALIDYGDTYVQPLILAALSKLLPKDSYTLITPDSI PTNSTSPTLHIQQYEELPFDTLLSQPTTTLANAYIIRKALIRKHYLSATAHAWGTKNP STALAKHITPTVHFEVDYAEFLDDALVECFELHEMFARNADKKESEREWWILKPGMSD RGQGIRLFDSEETLQGIFDEWEAERPDSDDEEEDDEDANMHDGGDYIMTSQLRHFITQ PYIHPPLLLQDNPHKFHIRTYVLAVGALTVYVYRPMLALFAGTPYTAPAAQPDLRAHL TNTCLQDGSRDGSVAAFWELGASQPGLPVTWQEDVFAQICEVTGELFEGAARTMGTHF QALPNAFEVFGVDFVVDKKGEVWLLEVNAFPDFGQTGEAHADVVEGLWEGVVDVAVKP FFGGVPKGGEGGMVEVRKIDLGRR VE01_00394 MQPLLRSAHRCTLKPLRTAVTGNTRALSATTRTRAAAEGESHYD PPTGWLWGVKPGEKYEKEGWEGVMFWGFGGGLVALTVGYAFKPDTSIQTWALEEARRR LEVEGILKEPESKE VE01_00395 MTTPDEPPPTAGDQPRRQRAPTITIDTSAVSTPPAADDEASQQP MALRPQMVSRSGSEASSTSNVDAGNASAPRISSTSNRSQGSTPEFRSHPSFEGSERPT SPHNVSSPTSKSNDKPGAFLSVPGRERQGSIDSDEASYGGETYVQNGGSSSDRDREHM NNDHITKDTDALTPDPGTEEDFKREDNKFAYTPGQLNKLINPKSLAAFHALGGLDGLE KGLRTNRDSGLSVEETFLEGTVTVDNVQTPALDGLKKASRTGTSGSHHAKKGHELFSD RKRVFQDNRLPEKKGKSIFEIMWITYNDKVLILLSIAALVSLAVGLYQSFGQKHDTDE PRIEWLEGVAIIVAIVIVVVVGSVNDYQKERQFVKLNKKKQDRDVKVIRSGKSREISV FDILVGDVVLLEPGDMVPVDGIFIEGHNVKCDESSATGESDIIKKRPANEVYEAIKSG QDTKKLDPFILSGAHVTEGVGRFMVTSTGVNSSYGKIMMSLREDPEVTPLQSKLNVLA EYIAKLGGAAGLLLFVVLFIKFLAQLPHNEGTASEKGQQFLSIFIVTVTIIVVAVPEG LPLAVTLALAFATTRMLKDNNLVRHLKACEVMGNATAICSDKTGTLTQNKMQVVAGTI GTSSRFGGTTEPNDDDDNSSRERPPPVVLDNISAKEVAATLSSDVQGLLRESIAINST AFEGLVDGEQTFIGSKTETALLIFAKEQLGLGPVSEERSNATTHQFVPFDSGRKCMGV VISTGHGKARLLVKGASEILLDKCSRVIRDPTQGVEDAEMTTENRKTLSNLITSYAER SLRTIGLIYRDFEQWPPKDARRAEGDNDEVVFEDIFKDMTLLSIVGIQDPLREGVREA VEVCQKAGVVVRMVTGDNLTTAKAIAVDCGIFTPGGIVMEGPTFRKLTKKQMDQIIPS LQVLARSSPEDKRILVKRLKAMGETVAVTGDGTNDAPALKGADVGFSMGIAGTEVAKE ASAIILMDDNFASIVKAMMWGRAVNDAVKKFLQFQITVNITAVVVTFVTAVSSATEKS ALTAVQLLWVNLIMDTMAALALATDPPTRSILDRKPDPKSAPLISLRMWKMIIGEAIY QLVITFMLYFGAATIFSYNTPPDPLDPSAPPAETQLVGTLVFNTFVWMQIFNQWNNRR LDNKFNIFEGVLNNYFFIGINIIMVSLQVLIIFVGGKAFSVERLDARGWGYSIAFGFL SIPIGAAIRCIPDELVRKFVPTYILRSSETPELTIEDEEEQMPLPQPLADVKEELSFL KKMKGGRINNLRFKVGQAKDNFISRSRSGSRSRSNSTAGIPQDGSAEEGSEHPPTTAE SRKRGRAGRSRSNSALGATAVMAGIIAGSVAGWSPIERPHDEQGWGRGRVDLENTPGV ELHPGTRPSDNVIVEEPLDTEAELPPSQREDVPHPRPASEGSEESKEDKGDKDTKP VE01_00396 MDLSQLAAGQTTIETSFKAVVDLVSDDEALVGEREVSPEPATEA LEADEDEDDDNDYESGSVTSGEVWETESLFEDALEEIGDGELQGGDDVCTLEEAREYR RLLRDSGPTIFCGTTVEAGKVTAKKLITAFGIKPPAFLEGAPDMAYYSLLSMALSREL SKRSKLPSYNTIDDVVKLLNKCNNIIVLTGAGISTSLGIPDFRSKDVGLYAKLEHLGL SDPQEVFDIALFKEDPSIFYSVARDILPTTSRFTPTHAFIKLLQDKGKLLTNYSQNID NIEGVAGVKPEKLIQCHGSFATASCTKCGAQVAGEAIFPDIRAGLIPRCKRCVQYLRA AAAPAAMKRKRSANGSEPKKRKKAEYEDSSSEEGGDDEYDLPEAGVMKPDITFFGEAL PDTFHDRLTQHDKDIVDLVIVIGTSLKVAPVSEVVPFLPAHIPQIYISREPVKHVNFD VDMLGDCDVVIAELCRRAGWELEHEMIPKGQVVDVQLVEEFESRWKMVEVKKGDVKKE KVLELELELELEKELE VE01_00397 MEGSQQPGGADPPQGTTTSKPTIERRRSRALSVLKSSKPKVNDE GQSSGAGGVSKGILHRRPTLGPRKKEKHIKIEEGPQRPNPLVNASSIYMGSYGPSPSA SRPISMRSMRSPGSPRSPRPSAMGTPRHSAMATPRHSLSAVGMNTVHLEELDHLLRDL NVHLETYGIQETRDGFFDAPFFKPPKTDKEELMRSARNTLPASFRKKHPLSVSHFLPK QWHELKSVVRRLMTTRAGIKLTKSFLGFFIPYILCLIPVVGNWVGRYRYIMVLSALFN HPGRTIGAQIDGAFLTIMGTCTGLGWGALALYVSDSTSVAEGGYGGVLAAFLIIFMGV MASLRSYLIRIYQFVVPAGIAAMFCCLAETGRNVTWEKLLAYGIPWALGQGISLIVCL VIAPDGGSRQIALAVHDAFRVMQDGLTLPRTENQSIHRQLSWTFVNLSQAYRDLALDI SYTRFAPGDIAEVRNAMQAVVRSLLSLKTETELFNGAESNSQQANDSSDGDVVVDIEE PRSRQASTGTIEEQAVNLVTKTLAGPTEQLLADMRDGLDCCNAVLLGMSGYRKYIGPP PEVSSDVLGSLVNLRKAKINFDKLHKELINDEAFPATFSNYPDVVELFLFVNPVRQTA DSLESLLVLVMKMQQSQKKWRFYLPHYPFHKSLQRTNAQVRHDRGGMTTGLFFRSQRE LNALMIGAQKSTFQPLPRNKAEESAATSSQESDDGDEDVIMNNETSSPKKTFRYKLWK VLHRAQGFEMRYALKVAIVVSMLSVPAWLEQSREWYNDYQSWWAVIVVWIMVHPRTGG NIQDLFTRSFCAILGAIWGGVAHAARDGNPVVVAVFAAIYMIPMVYRYTQSSHTRSGL VGCLSFIIVSLDLQAQDNRQSNINIAWTLGVAFVVGVISAILVSWLLWPFVARHELRK SLSGMIYYSALIYRGVVAQYIYYEEGDEPTQEDITRSEMLEGRLREAFVRMRHLLALT RHEIRLREPFNPLPYSALIESCEAFFENLVEVRQFSLYFHPNYMSQNEAVSSELLPYR RDAVASILMTLYILAGALRGKRRVPRYLPSAMVARKRLLDRMEELEMEQQEEERSKDY NDEHAEAPLGKKNNQTQGKQNAEESGMRFAQVYQFAYSKGLTQCVEHLEQLQKYTKAI CGEVGFDPDDFAEVEHKIEDEWNR VE01_00398 MSFSGKNVLIIGGTHGIGLSTAQLLIRDSATVILTGRNTTKAAD LLGTTAHGLPLDLQNLSEITSLPSKIQAHLGSDAKIDLLFLNAGFAALEPLATVTEES FDRTFNTNVKGTFFAAQTLAPLVRDGGAIVFTTSIANKLGIPGMGAYAASKAAVQSLV QTFAAELASRKVRVNAVSPGYVKTPTMGVVGASGTELEEFEEHGKKTTPLGRVGEAEE VARTVRFLGFEGTFVTGVEVVVDGGLGFLR VE01_00399 MDTHATQSPEEKMKQVAESTRDESHAVSETVATNITSKQAIPPT ETASESRDTAKAAKDKDPRTEAARRARFRQIALENATNWEKPTEDTVPGLFIRTNYDT ASIPLPKKKVLSEEARKRLKENMISDEGFRYPYQFPPFSEPSLSKDSRRG VE01_00400 MFEGFTEFDIEVQSSPNVTIHGVQGGSGPALLLVHGFPQTHLIW HLVVPHLVSQFTIVAVDIRGYGASTKLPQSEGHTHYAKSTMARDLKVVMEKLGHDKYY ICAHDRGARVAHKLCVDFPALVIKAIFLDISPTLLMYSKTDFEFAKSYFHWFFLIQKY PLPETLISQNPKMFAELFMGGRHAGLGAFTPQCFAQYLSVLEQPEAVHAMCEDYRAAA TIDLEEARQDIKANRFIQNPLRVLWGKNGTIEKCFNAVADWSEVSTASVDGGNIDCGH YIAEEAPDILVKNIKEFFV VE01_00401 MLDAGKSTERLHSGNLSSGEDGGVVQKKKGGIRPIWYWIAGVFV ILAIAGAVVGALVAKDVIHVGSGKSAAAVGDTVGDTTETAGSPSETSSPPVESSDGSD SSVDTPSSSSTSGTGTPTSSTTSEKSTSKPTATAACTTSDEIPSKEKGTWMDTTSWLD MTGFNCTFTDATVGGLPIVGLKSTWDDSKQANANVPALNKPWGSYASKPFRGVSLGGW LSLEPFITPSLFPDTSLVDEYSLCKKLGPKEAAKTLEKHYSTFITEDDFKAIAAAGLD HVRIPFSYWAVKTYDDDPYVQGISWRYLLRGIEWARAHGLRVKLDLHGAPGSQNGWNH SGRQGTLDWLEGPHGALNAQRTLDIHVQLAKFFSQDRYKNIIAFYGLINEPALALSQS DLILWTEKAFKVVKDNGFSGTQVFSESMRGLEPWQGTLTGYGDKLAIDVHQYTIFDNA LTGLKHTERVNFACKAWTQASISGMNPASGFGPTMVGEWSQADTDCTKYLNGVGNGAR WTGTFSGTTTPYCPTGDSKCSCDLANADASSYSKEYKLFLLTWAQAQMSAFEKTWGWF YWTWKTESAPQWSYQAGLAGGFMPPLAYQRSWDCSKTVPDFGSLPEFY VE01_00402 MFNTAGKIAIAQLAFFIIAIFPALYCLFKHGQHGLLGWGFLCVF CIIRIVGAAIIVSDESTNKTLSEAGLIIASVAIAPLIISIGGIAHESYTSIKSHRRII FGFLPHVVVHFGCVAAIAMIALGYTKFEKIASTTSDMKTRLDMVRAGGILLLAVWLGI AVIVAVSFLYPRDLRGEKQLIRGVVVAMLAMVVRILYTTLGAFIKTASFNLRTGGTIA EKVVLDLLPEFIFTFALLAAGIASRNLKYEREMK VE01_00403 MGLIKTAILTGGGIYAIKKVTKSAENRHNTQQPQPDYSRQNPNY PQGYEGFQGSPQNGSSQQGPYYGGNQQQYPGGSSCNHEQHDQSFARGYSGQITPPAYT QQPQGYNGGPVGNSGGSDRNYKSEKR VE01_00404 MAALYRALGLGRREIRLLKLLKSDSFDSPIRGRLVHTTLDDHEP YETISYVWGVPKFTQTIELESQPFGVTPHLENGLRHLRLASKDRTIWVDAVCINQSDD VERGEQVMLMKQIYESCTGNLVWLHPMVPTPSNVSEGENQGEEVDEEKRQQQMAKTLA TMEEGLDLFSSIYTRDIKSLEPMRHKRGEVSFWETTSDKPGDDVWLLEYEQMDSLQTL FTYSLLWSRIWVMQELACAPRITLVIGKRTLDWDMLDSFLGDAEYSDAFHMEWGHGTV GPMAGQTFSKVKTIQNQRTMTQAGQRSSLMDVLARFKGSRSTDPRDKIYGLLGLTSQS REMKVDYRKSVAEVFTEATTNEINGSENLDIITQNPFQGNDSTERLAGLPSWVPDFSC NMYDDYSNQYSSILFAQRGIYSAGSAECKVPCDDVLPGRVLRLHGTVIGRVGPILFND WEQSYDVKPGWQLQNLYAYKKLYLSPDVLDSSATYVNGEPELQAFWRTLAGDCVAYPI ERLDQTQIAEDGKAIRELMRQPLTEESRWYTFYKDLASGRMMDKMFMRWMFTKADNGL FLMVKEGAKEGDVIVVVDGGKVPLLLRKVEDGEGGGYTVVNAAYVHGFMDGEARTWVE EGKLTEQDFLVV VE01_00405 MAASTASVPDRGVQLMVLMWVMTILASITVVMRFIFRAQKSIIG YDDLFMFISMICFFGWTISLTLLCLKGGERHMADVGALGPDIVAQVQLLNWTSQVFGI IGIGAGKVSVSALILTIIRDTQRAWQKIYLWTFCIVLVLCVSVSCSILTFAQCRPAAA LWDSRVEGTCIKPTVMASFGIFTGAYNTFVDASLSLIPATIFWTLQMGFQEKMTLTIV FALNILTSICSGIKTSYLSELGNRTDFTWATYDIFAWVTAEFFLVIVCGSTPTLRPLI SLLRRQLGYTSKDSRNSYLRHTGDSGVPSKDAFQLDGFRRNVASETTVSKANLTENYD GNKNWEDMSLAEDIRVERTYRVQINNNDSQQELNVT VE01_00406 MDPIANPDLLIQQLKALIANPEVFSTQGTEIIQLSRQAAVALEG PFETFQRLAYSALPLVSARVAQEHNIFQTLVENKDEPTSVAVLAEKTNINPVVLDILL DYLSTQFMVEEVSPQHYKATKLSNILVAPLFIDGVLHFHDNCLPAFNALNYSLAHPTD PRTPFEIGHDSPVDFYTYLESHPIQGAAFHRFMEAQFASLPTWLDVLPFDSEYAASTT PETPTFVDVGGGNGQQCVALQKKYPALQGRIILQDRPAILEKAITPDSVERMPYDYLV EQPVKGARAYYFRQIFHNNNDETCHLILAAHLPALSPSSVILIDDKVLPDAKPATGSV EYTAGLSLAMKAMFNALERREGQWRTLLADAGLEIREIRRYTDFGDSIIVAGRKA VE01_00408 MARLSILAAALALISLTNAADCGAGTPEAKVTGSSGAYVATKGG SSVYSGSDYFAAINAALGAIASGERVAVMASGSIGTNIISIGSGKIFEGCGTINLGYK AGRGGIESLNTKGASIPYLSLTGAPYFAMHFYGTTDLSLGKIVMNLTGGIGIRFDRDE AANKNVKMDSIKVTGATSHAVETWNIDGLTINEVIARNVGECGLLLQMTTNSHVGLVD ADNAGAGTGYAALRFANQNGKLNGGYETNIFVDKVIAKGGGRGFFCVSESGGAEIGTV DISNTGNNAILIENCYGVTIRGGTVNGGGEVRLSARDEFALTDDIELHLKVDGTTVRE SPCGTNIVLDITGSATLDVC VE01_00409 MSFKAGFARPLLPALPEGKTSVLVRAPVPTPTFLDESTLSFTVH ARIHIAAPPIVVLRALLDTGSWPRWNSFIPAAAIHHSGTPVSALPESSEILGVGAEFT MFVNMSGKSEEERGPVEKLRKSNECLSLVEELVPEREQGRKGWRLAWGADGQFMLKAD RVQEIVETEGGCEYVTWESFGGMMAPVVRIAVGGQLIDRFADQARDLKEWCEGDRGDD E VE01_00410 MRNLTFSLANAKTTKIMYAYPGMPGAPIKKYPLQMDALPVPRGW VPDAWFKAYNAADSQIYHLVGRDCRISKRKRESGETRERALMLWERYPINKVMWAERG REDRGAEVAEVAVVEEEEEEESGDESEEEEEDNDGDDEEEESGDEEEVEERGAEIVWE QQEVEESEEGEDTEMVDAMDVDGDSSEEAYDMSYLFEEF VE01_00411 MKLRQLSTALAPRRLVAQQWASSSTMAARLYSTSKLAEMDASKL TITKTTTPKELIAPKDLVFGHTFTDHMLTCEWTAEEGWHAPKITPYQNLSLDPSSCVF HYAFECFEGMKAYKNDAGEIRLFRPEKNMARFNTSSARIALPTFDPEVLTGLIAKLVK LDERFIPNQKGYSLYLRPTMIGTQATLGVGPPGSALMFVIASPVGPYYPTGFKAISLE ATDYAVRAWPGGVGDKKLGANYAPCIVPQLEAAKRGFHQNLWLFGKEEYVTEVGTMNM WVAMKNKETGQKELITAPIDGTILEGITRDSILSLAKEKLVPEGWKVVERQYTMFELD DAAKEGRLLEAFGSGTAAVVSPVRNISWKGKIVDCGLKPDQEAGEIAQSMKEWIERRQ YGEEEHEWSYRV VE01_00412 MFSSALKSFSSNINSNYVIGSQPSAVSGPWKIFDGKNKKTSKEV SVFVFDRKVLESNSGSLGRSDRASASSLKRSVEEVVERLKKEASSLARLRHPNILELA EPVEDTRYGGLQFATEPVTISLAGLLQEKDEEERATGVGGRSSRFVKEDSEGNRRRRD LEIDELEIQKGLLQISKALEFLHENAGLVHGNLTPDAIYINAKSDWKISGLSFLSPAD NSDKPSSVTPISLSEVLNLDPRLPRSVQLNLDYASPDFVLDTNLNVSADMFSLGLLIV ALYNSPHESPLHANSSVTTYRRLFASSGSIPSSSNNYISSRPIPRDLTTTVLPRLIAR RPAQRMTAREFQQSSYFDNILVSTIRFLDALPAKTPTEKAAFMRGLTKVIPSFPKSVL EKKVLLVLLEEMKDKQLLSLVLQNAFKIIELLPSAKRSFTEKMLPRLREIFLSGAKPA APGTAGAPERDTGKEAGLTVLLQNINIITSNCSGKNFKDEILPIIHLAIESPTHSIVD AALRSLPVILPVLDFSTIKNELFPVVAAVFSKTSSLGIKVRGLEAFVVLCGGTADSIT SAADDGLSGFAPQNGKKKEKSPISALDKYTMQEKIIPLIRAIKTKEPAVMLAALKVLQ QVSSEADTEFIAMDILPILWNMSLGPLLDLRQFQAFMDLIKSLSTKVEQEHTKKLQEL SGSSSGIKNAGGNDDFMSFGDLPAAFSSNANGGEDDDFERLVTGKYTTSTAASNAVAD GGWDAAPNAPAKPAPPAAPTFSWSTTPSPSPGPSSPGIGSMSAAMRPQAPFRTITPDL SRFDSLQPSTTQFSQPLQPVSSAFIQPPPTQASVNWQTAAAPSSSNIWASSSPTSTHS SFAPMVPAQQQRQTSFSGAQPNMSTSMGNLSMSQQQKPATPFSLPPPPTWSQQPQQPT QQQDWGAFSSAPPQGQGQGGQQPAQKKGLDAFESLL VE01_00413 MADRGNREFLDALQLRVRVLEVENTRLNAEILSLLEKLEICIEK YGPKCFVRKSKQVDWRKMLRDSDNLTDPKELKKIYRAACKQFNMSSVPQNHGIYYVRP NNKELELELAVADPTAGFQPEMFFNFAALLKDHPKIAFKIAAYTMVKDTAISVVARFD IHDVYPPTQGGLDKKSGLSYRFHWGGSACAINSSPLPRDVLAPIFVSRDWHEMFATAF YFMNSFSFESLGEFGIFCRNTPRARWQRVSDSAITWIGSIMETSNPKKSGPRWCKSRW GMHVLGQFRNLRSLVISLDETSNGRIRRKDEPEHMKQPLKRSTKNHDNYRMTRDLRKL RGMDNIYQLRGIEHIEVYDNFQLFPKRPVRDQTFVQDLKRQVCAPKSQADEAKAKIKN MMPLLRRNPGTPKYKPSRQVKQVLKYIFNSRPRYERPDVPQQMAGDESDSDGDDDDED PGDISDPESDDVDYDQLDDDDHQNPHDDDDSDDDDDDAPNQGAIASREASEASRAGNF ANGGSENNDDEDEDTEMGGHELQSVIKPGEDSDDDDFEFIHSEKLPPIKVEGDDELGF LHSVKRPTQTIDLSQLPDMADPDTALPSIEQDEVKQEVKSEDPSAGEGRDSMESIPFF DSPTWTQRDVVSPFGRLTPRRGSSPQSSLFVRQSPSARSAFSSRLSGYFGSPGSERKR SFEDEDDDDAAPLSVRRRLSDMTVTENEIEK VE01_00414 MASRTAMRSARVLKAPVRPPIRSNARQIRLQSTSTPQQSSQVAS NAGGGGGSGVVAGIAGGALVFSLGYGYYYYSGAKTIVDGAAATKRQFKNITSSMKDSA PEPNELLKWFRSTVTSYAAFIPGAKSYVDAAFNDLDKIEQKHRGKVDEIIKDAYSDMR EATKGGLTIETAHKTWEILEKHLSKVTELASEASGEILDNHPQLKEKVGGNIDQLKKM ADSYGPEAKEQVNKTYGQIKDILKNSSGTDAADNIRKVVEENIEKLKGMGDQAWKKGL EKAQPYLDKNPEIKKVIEENSDALKQANFSELFEKIKSGDVSDLKEYAKKASEKAKSS GVGKNIQEYINLIPGGQEIVPKLHKLHEAVKKHGGEADKIAKEAYKEIAEILSKKADE VEDLASKAASDAKK VE01_00415 MARETRAATGNSRPRIFEAPPSPVAPRKRAAPKPKANTTAKRAP KKAAAAGSKPVGVKKTTAAPKAGAAAVVKAKVEKVKKVVEKKAAPAKKEKAPAAPKTV VAKK VE01_00416 MSAQTDSPHPLLDRLNKDGFVVIPSLLTPEALATMRTATTSVDA QARAGNWPYIRTLPKQFPPWSAADLDKGIWGVQHLMHPELPPSALFASSYFSPRTLAV VTELLQCETDDLVMELYNLLVRPDHPFALRWHRDDIAPTATAEEETERLAKPAWHAQW NLALYDDASLIVVPGTHARPRTDAERTADEYEDNMPGQLIVQLKAGDAVFYNNNILHR GVYDAGKARATLHGSMGHVKGGRDRARNVLQHGCGEWVDQVDFGAMEGKDREVAEGMR KRLVELGRVSGDIGYSQVD VE01_00417 MCPTFFSTSTLNRKFEEMQGEMAKGNVDIMRDARYFFTSGHMFL HEMMHTDLIGQPHIIDEYIDPDIMSYQAYGPKRVHQLAIRDLQQGGGATRASTNADGY AWLASSLYWWDTTKYFPGVPNKPGPLVAETGPVILHLGDFDDFNTASSDDSFQKALGG LFGSVPVRSGTDLNSNFPRYWILDDNSKQDIKNIYYRLRDKACQNICDISTIQNVPSQ FVRATRVGSTGCEYAIKISNTKELYLYTTQDGQNCYSATQMMIDNYGATKDSSWINRP LVSDLYQIGIRDINTKGPGGVSHDEFPADNQHLGFMHLACRRSDGVAKWAYDFNLKIS GWDDGNWGKSIKSEADRCDRVLGTDHWKYEEEKDYKFSDGSAADHWRVGH VE01_00418 MLIRSLLFLGCSLAAALPHLPHLHRQETDDPNSNDPTTPPASIT APAREENPFPNGWPQLPSECTDASNPPEACKNALHASDGGVHAFGGTLHHDGSCKLNS ADTREGFLETAEWDAQILAHYTRLTSDIHNIEGGHFYMGPDYAGQQQRIKDNFAEPRI LN VE01_00419 MDIDQTNTSNVVAGRQVLRDSALPENIQLMNTWINECLPSHPEC QYFDDRLLPTRVINVATDGQDPYLEVSHGRKGRYVALSYCWGTTTAPFTTTVDTLPER LQGIPLASLPKTLLDAVVVTRALGVRYLWIDALCILQARWEDDQEHIADWQIEGANMG NVYSNAWLTIAAASAGDKGDGLFLPRNLKERKYKVPIKAGDKEIDFAYLKLISYNSPL ELDKRAWTFQEWILSSRILGFTTEQIKYHCRTCEVWEDGQYQRNGQDLRQILTTPKSP EESGNGPRQLGLLNAWYECLADFSERNISFMRDRLPAISGLVQLLRQQLPGEYYAGVW KIDIHRGLLWRSDQKVHFGRHLGPSWSWASRAGRISLPFSPTYSEDYECSMEEYEEWL GQKRDFRTAILEVEMQYAAPKYESTGIVEHGILRVSGPTRESWVAMADDIRGEGSGWY EYLERKYYDSSGIDTGTVLDLISGSALLLFDANETQDNTLEKVVGIGYFDDGGKDVGS AICLLLTESEGLLLKNRKDNREQFERIGIFSVYEDAWFETCEARDLSII VE01_00420 MPSVIKVGAAQARTLNTLTETLTDLEEITKKAAAQNIDVLLFPE AYLGGYPRGCDFGAVIGSRSDAGREQFLQYFRAAVDLGDTPEGAGDAWVEKSLPAPKN GGVRGDGTREELERISKQTGVFLVVGLIERCGGTLYCGAIYVDPVHGALGKRRKLMPT GSERLVWGQGAASSLRAVTTEIRGTKIIMAAAICWENYMPLLRQSLYNQNVNLFLAPT ADARDTWLSAMRMIACEGRCVVVSANQCQRKSHLPAWVKGDVSKGDDFVSRGGSCIVN SNGTVLVEPLWEVEDGGLISTEVDMDDCERGRLDMDVAGSYSRNDAFNFSVVGLDTTP PA VE01_00421 MVAHAEQRPTVVLVPGAWQRSCVFDVVQDKLQLLGYPTVLVDHL STGAEPPTIELPDDVDNLHKVLQQLVDEERDIVVVAHSYGGVVASCAVEGLDVPTLQK AGKTGGVIMLAYLSAFVLPKGASLMDGLHGQWLPWQKVEGDYCGTTNEADVFYNDLSV AEQKKWTATMTHMPVAVFKSSCTYEPWHAMPCMYVFCTNDNAIPLAMQTGMADQMGSI TTYSIQSSHSPFLSSPDDVIKAVELAARTGEEKKSLM VE01_00422 MKPTQIISAITFSALAASVSAKTEFHANYEDGTLSSGHPTTGPF RIWIPGIEPGLAVAKDACYMVKGGAHNTKWAVANKVTLDDPAYIGGNFPRSEFGFGGP RGTSYHSGDRGAYSFSMQFPDLVPSVKGDRPAPMEDVVWQFKHHDGGHDIHLALVGVN LVLGWGGNVYKQVIIDDVMPYVNKWMDFHFEVLWKNDTTGWFTLDMKLPGERRFGHKI KKKGIQTFVTASPDGTLWGDHGMIQYGVYRHSANSSAGDTKTLIIWHDEVTAINFSQP PDQMPPV VE01_00423 MGLISSLFGGKTAQDIPAQPKRKSAKPRTKSNKPNKLSKPRTNT SANNLLDVPGGGVSRKSSLASVNARGKRRSSSVPARSDVDLPFAEDAREDSGPGRGRQ QERDKRASRLSSLFRSKSSTPASIVLQDRPDDKWILDDNGGVEALDNSDPLRRYSQLG LAGYAQDLPTDSQYSVTDSTPDLHRSPSYIRYQQARLSLVAETSSAAQINDSLRRQRL AETEAILTRNASVHSQNSNASNRYSMRSSTSPALSARLSSTEQVHIQPENYTSHSVRR RSHLTPGVATRMGETNSGSRSSRLQKQNPKAWRQSAAEPESSQTFTHRFERSKTLPSE EEIYSYYDEAKASESPVEDLEMLAPLQKPRFREDITSTQRMSTPTDLRHIGSFELGSL RIMNGVATPNAANTPKARRAPPIDSEVDNVSARTTAMSLASLARRHPSEQGKTHEVKQ ARQPEITVNPTDQLTVDPPSRSPSTATKQSAYSDVLEISRASSQYLESAPQIPGGASE FAEFYRLDIDFLPSLFSADLSMPSTPKLETSSKRNSEEDERFEDARSHLGVFEDAKSE LSVHDRRSPISEAPRRQDDELYLPAVETMSPRRPAQTMDTSTHARLEPSAVPDSGYSS YTSLGSVQSSGSRSKLRDTSESPTELDNYQVARPSEFDVSSKPRVPKADYWRTQHAPS ELARESRAEPIAPPPVRAAPSEPQRNQMPRPPIPMTTAHVDDSLASQAEAELTKSNNK SAPTSPKQRSPGKVLQKSSRARPNSLAVQGRANSEDTITPVKKRWHRHSTQPSSEAPI TVRKIEEPEQIKVPPVPKRLSLTFRERASRFSSGLKHTTPVPDTVKPAKKEEEPKPAP PPSKEEVQRSIRRQSSPAMPGFRLVNAAAPSPPAPTPPTKSLRPLSSPPPKHTTKDSR SPPKDTPKAPRSPPKSTTKDPRADFERHIPNATTITSPLSNTPYDASSSAIAPPPAPA TAGSATTTTRPRRDRTGRLIVADEDSASHSARARSQVRAAERAQEAERREVLVSRVAQ SISEGSDGRAQPAVVVVVPNNSSFANQLAGAGHRTPTASGASTPRAGNGNLTPVPALA SGSLNVPETRQSPLMKGKREKIGPPPSLMNNQHRKHGILGFWSGSSSSKDGQSLDALP PKRPMPMGPVGSSGEIPAIVSAKSAGFTTPRGRTLPSMAPQWRGPSPAELAEMALQAR KEGRLSVEGGRRSMSAGGVYDKGKGVAGNGGGERERPGSAMSESEPKGKGRRRGWSFR SRKEGEV VE01_00424 MHFIRPAWLTHSGEQKDFEVYSCHVSPDGSRVATAAGDGHVRIW SMEAIRNAANTEYKGPKQLCHMSYHSGTIHTVRFSPDGRWLASGADDKIICVYHLEKE PPAHGASFGTNEPPPVENWKILRRLIGHDNDVQDLAWSYDSSILVSVGLDSKIVVWSG HTFEKLKTLSVHQSHVKGITFDPANKYFATASDDRTIKIFRFTSPAPNATAHDMVNNF VLEHTIANPFKSSPLTTYFRRCSWSPDGNHIAAANSVNGPVSSVAIINRSQWDSDIAL IGHEGPVEVCAFAPRLFSSRAQGPEDTDANGFSTQPLTSVIACAGQDKALTVWNTSTS RPLVIVQDLAQKSISDLAWTPDGRTLVVTSLDGTILAVAFELGDLGYVARIEENDKVL QRFGVGRKGVGVIEDVAGLRLEERSRAGELRGAEGRMGALMGDGATEARPEKNGVSIT NGDSKVADKGASTPATNGTDKDAAPTPPAEETNAAKIEAMKKRVTITKEGKKRVAPLL VSSSGTGQSSLPQSQLMAASSNNATSEAPQSILDLSKPYDGLPSGGLASMLLGNKRKA VVLETGDEDETGAKRLNGTTTNGTIPIMRNGVDGVEPATATPTRGQEVTPEFIRPAVV DPVMSVSQTRLSVPKVRTHILRSLNRGGLPTTKPLSNGAAPDDDAAKNADDVIFEARN DARTGAHANEPTRITALKRSAVLWQDFLPRPVLLVTGNKNLWAAACEDGSLYVWTPAG RRLLNALVLEAQPVILECRGWWLLCITAVGMCHVWNIRTLSSPHPPVSLAPVLDAAVK SLSSHTVPGPGITSAHLNSTGNIVLTLTNGDGYAYAPALYVWQRLSEAWWAVGSQYWN SNDSSVSALQSTAVGGPSTSTAPETLVSAGIIPHLERHTTAEVLLKGRAYMLQRLVKG VLPRPGFERFESAVSVAHLENRVAGALGLGAREEFRLYLYMYAKRLGAEGAKGKVEEL LRGLLGGILEEGTAEGEGKGWMAEGETICGWDRRELLKGVVLILGKFRDLQRVTVQYA RVLDMVDDEDAMEST VE01_00425 MTDRPLPFVYQFAAGAVAGVSEILVMYPLDVVKTRVQLQTGTGK GADSYNGMLDCFRKIIKNEGFGRLYRGIEAPILMEAPKRATKFAANDKWGQFYRDAFG IPKMTQSLSILTGATAGATEAVVVVPFELVKIRLQDKASAGRYTGMIDCVAKIVKQEG PLALYNGLESTMWRHILWNAGYFGCIFQVRALLPKADNKNQQMMYDMLSGATGGTFGT ILNTPMDVVKSRIQNTTKVAGQVQKYNWAWPALATVFKEEGFAALYKGFTPKVLRLGP GGGILLVVYTGVMDFFRAMRDKKEAAAA VE01_00426 MPLQKSFSDTNHSTKQRRPQWQRNKTFHAPQNRYDVNPSEPART KPPIPISSATKSKLEVFQCNAQIKNRRSSIDMSGTGANPLVLEEDKENTPLKASEYGI SPLSRKDFAKPSIETPATPSSKLALPELIGMMDVGSMAQLQLKTPDERVMWDQAINAV DNNSTSYVAPRRGGRKRPRSSSPMSSPGNISSHFTANPEAGDIQQPGSHAAHAGPGLD LWSGYAIGADKFTPRGPPNPLFAHLMGNSSSPQSANVKNSASREGTFKRSISCGTQFP KRRKVGVFEDHTDVFTGPMKSALSKPSRVSELLDGIKVAAVSPDKLAFSSGPSSSSPI PRSKTWSERKEMHQASPLGAKDRYVTTDAGIVEGEAPRLRLQPEERDDATVSQKSDST SSDYGDFDADQFDESFLEVLESNQTSIQTSQSPATGMYLDRRPINTQDSPAPAKKPSI MPPADAEEDDEFADSDEEMFAADFEEILSKYDTLPATADAGNQPALKQEELAEGKGPD AKTVADIADSDDEYGDDLDDTDFAVAEASATQAQALQNSASCFSNLSQKPRVIQRYLV TNILDDSYQNSRGRQCNEKVLIVQVENTKEYRTIRLRDGWIETQVTEKAAVHVIGDFV SGQCVIDDSHSLLILHPDHLISATVVADSFGCTRRAVLQDRVKATSDSSAPLVYGTIL HEIFQAAMMANRWDSPWLHDLIEKTATRHLEDLYTIKIQIPQAVEYLQSKMGELQSWA EVFVTSKPHPEAIVKAGNGDTATMCVSKLLDVEEHVWSPMYGLKGNIDATVQVTMQDA KSRRTLTVPFEVKTGKNANASHRAQTALYNLLLSDRYDIDIAYGILYYMETSETIRIP AIRHELRHMIMQRNELACFVRERHAQLPPMLKKENLCGRCYAKTTCFIYHKLADDGDG ETSGMKDKFDEVVKHLTPQHKEFFLKWDDLLTKEEKESLKFRRELWTMLSSEREKLGR CFSNVIIEPGSAYEEQDNPKINRFRYTLIRQDEAANTSFLESQITIGEPIVISDEKGH FALANGYVTHVRKHRITVAVDRRLHNARIRRPGFDEANNQVFASIMEVAGEGSAPEST EGKITEAPVRYRLDKDEFSNGMATVRNNIIQLMADGPFMSRQLRSLIVDLEAPKFKSQ ATNYVLKDRESINIDQRRAIDKVMSAQTYALVLGMPGTGKTTTIAHIIRALVSQGKSV LLASYTHTAVDNILLKLRDDKIPILRLGAAAKINAEVQEFAELAAKPRSSFEELGRLW HDTPVVATTCLGVNHAIFSERTFDYCIVDEASQITLPVCLGPIRMARTFVLVGDHNQL PPLVQNEAARTGGLDVSLFKLLSDAHPAAVVNLEHQYRMCAEVMALSNELIYSGRLKC GTPEIAARSISIPNMANLQTHHFSSSTMSRAGKTICAAPAKGQCWIRDLLDPATKACF VNTDTLVPRPREEAKGNRIVNPTEATICSQLVQALLSVGVPGTEIGVMTHYRSQLALL KHNLRSHQEVEMHTCDRFQGRDKEVVVISLVRSNEAGGIGELLRDWRRINVAFTRAKT KLLVVGSGSTLKGGEGGAEEMVGQFVRLMERKAWVYDLPGGALDSHCFDDAATQASGS AAATPEKGGWKQLDLKAARMPGRAIGNENFKGPKKAVINERALFAGKPVLRDIMNEAR Q VE01_00427 MPTIQKLNTGASIPAIGFGTWQDKEEQEDAVLEALKAGYKHIDT AHIYGTEPAVAKAIKRSGVDRKTLFITTKLWNNAHAPEDVEPALNDSLKALQTDYVDL YLMHWPGAFKSGSELMPKDANGKMETAKIDYLDTWKAMEKLLDTGKVKAIGVCNFNKA EIERIYKEGKVPPAMHQVEMHPWLQQTEFAEWHKMKGIHMTQYSPFGNLNQTYDAGKN LGKLTEEPVLVEIGKKYGKSGAQVALAWGVAHGRCVVPKSKTPQRIRDNLEGDFKLKD EDVKKIDGLDKKLRFNDPSGSFGYNFHVGLDGKK VE01_00428 MYWPVGAPRIYAASNSSTSKGRIYHSNDDVGPHSAPQSTSYLNA SSAASDTAQDDEELLSGHLTPTTPRTPAIQPVELDAHRRPPATSLDDLEYGHNDSIRE AGRQPILGLQMSRTGHLFAVITATSMTIWQTKPTAILAVVVRSPGSLETYGPNVSLLI RPDSAIFVVQTTLGYLITYSLATDPDTRAYRPHFPENSSVNTRRQSNFTGARNQSDRI LWGPGEGGGVREVSVRFRMVIKVDAGIERALALDEELVVATTKPPAVQCIRWAPDSTG NQTSTELLSKMAWLPKKVTVLDMIYDRPMNLSAWITSDGKAFAVQRLVESRDAMKAEP QDTSNPPKKSTSTSKLFKGYCFHDPKSETTHATKVAINARFSLIAVARADCSIDVYAA KDYAGNIPLSHTQRASVSSATSGKITFLAYSPDGYCLFAGFEEGWASWSVFGKPQSTS FAANRGANKNGDEGWLYGVSKGTWLPGGSEILLVGPNDDRLWILEMSRSSITGCFTAS NISRTMLQTRDSIMVYRGYDLPDLTTISAESSLWHHAQIPTNYLTDQWPIRCSVISLD GRYVAVAGRRGLAHYSISSSRWKTFDDGNEENSFVVRGGMCWYQHILVAAVEAGDSYE VRLYPRDAPLGSSSVKHAVRLPAPIVLVSPSGEDSLLVYTYDNLLYHYIFTPVDGTIK LVQVGQIAFHGIVRSPARVRGLSWILPDKQMNEGDPAQDVATATVVFLVDGKLVLLQP SFNEEGQLKYDMRVIAHNIEYFALTRDLPDEKSQGVATSGSPHTGTSMNGFEPQGLKD SLWMFDGQEIKAWPDVQDVLRSAPSDLARELPSTVHFATDFYPLSISLNKGILIGVEP ELVQRRDINFAFFRFAIRTHLFIPPVLKFHLSRYDSTAALHLAHQYRHLEYFPHALEV LLHDVLDDEVDNPPRPDQALLPGVVSFLSSFPQYLDIIVQCTRKTEVRSWRTLFAYLP PPATLFEESLQQGSLKTAGGYLLVLHTFEELSSSSEQLVRLLGRARDEGDWDLCKELA RFLTALDESGATLREALELVDMRSPTTDVERVGSLLQGTTLKPPRRLSQAAPAFPHQR TRTQLPQPKRDPRNDAAFRINTSALSQHPAERRKRQQTQSRLPVQQAHEMDDFNSETD SDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNLTGLSTEVQYYQYALDLVTDVFDLD CDDDMRETIEKSARHLYGLVHARYIVTTRGLAKMLDKYKKNDFGKCPRVMCKSQPLLP MGQSDNPNIKAVKLYCSKCEDIYNPKSSRHAAIDGAYFGTSFHNILFQVYPALMPAKS YERYSPRIYGFKVHAPAALIRWQNGQREDMRRRLRHLKVDSGFVDDERDELDTEYEED FEPEGVANGSVAGGPVNGMA VE01_00429 MAPMRPRPSISKERFPAVFSNFKTQTYHDPSARGVGSHAIRCLD WNPLGTLIASGSADRTLRVWNPDRPNVRYSTELKGHDASIEKVAFNPVKDAELCSLSA DGVLKLWDVRTKTCINEIKGLGSAVSLVWHPDGEGIIVGNKTDTLFFIDPAQFKVVAE HAQDVQTNEIAFCWSGKRIFVSTGEGRVKILSYPDLQPVFQCGWEDKPLTLNGHTSSC LSLALQPTARFLASGGSDSIISLWDTKDWLCQRTLIEMTGPVRNISFSWDGMYVVGGS DEGNGLEIAHVDTGVYVHSVKSQTPSPVVAWHPTKYQLAYADFGGLKIIGIDSDRKHN N VE01_00430 MVKIQGKEVGETGYGLMGFTMPQKLIEHEQAFQAMKAALEAGAT FWNGGDFYGTPDYNSLHLVAAYFTKYPEDADKVVLSIKSGFKTGFEIDGSPEYVRNRV NNANKILAGTKKIDIFEYARVPTNVDFFSVTLKELQKCVEEGLIGGIGISEVTAATIK KSAAVTKIDAVEVELSLWSTDILTNGVVEACSEANIPVIAYSPLGRGMLTGQIKSADD IPEGDFRRRFPRFQPENFHHNIELVQRLEEVSKRSGCTPGQLAIAWVRQAGKTVKGSP QILPIPGATKKERVDENCKTVTLDKTALADIEKILAEVTIVGARYPAQVPIEG VE01_00431 MGSRSPSPASSPLSNVDSDEAEYYQRHIAASHPSKRQRLDGGSL GATSASHDPELDTLSSISSDTSGDVPQSPSSLPKVDDEDAQQEQVTVCAWEGCDGGDF GDMDKLVTHIHNVHTEHKGKRNTCEWANCPRKSHAHASAYALRAHMRSHTREKPFFCA LPECDRSFTRSDALAKHMRIVHETEALRPSDPTPRSILPKSMQAQGSKSSSRLKIIIK NQGARGSDGGQSPAPRRGHDGLTPLTVDDGFTLDELALGTKELWRLLRRQAHWADEEA ESLKQEIQTMNEIRDKEWLEKEILLDQVINNEMDWFERRKHVLATLPTVEELRSQTMA KMEKAGSGSKGGKEEREADQKVDQREAAAALASLAQQ VE01_00432 MSKPKVLLLGPIEHAHMEWSDLASIADVIEPTSTNRADFIKEAQ GGAFDGVVAAYRTFLSVSVTGLIDEELVSSLPKSLKFLSHNGAGYDQIDVNACTKHDI RVSNTPSAVDDATADANMFLILGALRNFNSSMVALRAGDWKGNPNPPLGHDPQGKVLG ILGMGGIGRNLKIKAEVFGMSVQYYNRTQLSEELSGGAKYVSFDELLATSDVLSLNLP LNKNTRHIISTPEFEKMKDGVVIVNTARGAVIDEAALVEALNSGKVGSAGLDVYEEEP KIHPGLVACERAMLLPHMGTWTVETQTKMEVWAIENVKMAVQSGTLKSPVWEQKGM VE01_00433 MLDSPADTPDRQTNRSFIESANTSNAAAGPSGGKNGDKDGKGKD PFGAPGSCWKSAKYREDVARCESVLVHQEWSMKEFPDPLGER VE01_00434 MAKNGSSVPDAWDDDWESQADKEEGGVKLEQPEEVKLSKAERLA KHAEENKKMWQSAETPETFHFLAAKDTVPLKSEFKPTLKVLSRKPASQGAGDGDEDEQ PGKKQLSVEEMRAKTQREREEKQKKYEEVRARLFGTDTKSGSSSPGNVTPPSQGDDGR GGGRGKGRNRGNGGGGGGRAQQDIRRPDSSHNGPRELFDPNYTAKPGGVSLARRGNEA TSGRSTPNSTRGDREDAQILRTPRGPDAGRGGFGFANRGGKSG VE01_00435 MSAILSADDLNDFISPGVACIKPIETLPPAPADENPYELKFSNE APLPSDLPPAEISLTDCLACSGCVTSAEAVLVSLQSHAEVLNALDTAPALQLGQDGGI QSGVGSQDGRIYIASVSPQSRASIAAAYGISEREAGYMIEQLLSGPQGLRIGGEQKNG FQWVVDTNVAREACLVLGAEEVAASMQGGKEGPTKPILTSSCPGWICYAEKTHPHVLP HLSRLKSPQALMGTLLKTTLSKKLKISPDRIWHVAVMPCFDKKLEASREELTDVHWDG ETSPGTKGVRDVDCVITSKELIMLAESRGIDFAALPRSQLPSSQSFPDQALHNFLFPP RSSIKNNGDRAAGTSGGNLHYILQYFASQHPGSTIQTVRGRNADVIDYNIISSSGETL FKTARYYGFRNIQNLVRKLKPVRPSRMPGGRPVGGARKPVGKAGSSVELSYVEVMACP GGCTNGGGQIKVDDPIVAERLGRETKPGPQEQKEWLAAVDEAYFSGSENGVVKSGGNG EMEVDSPSDTIYGISPSHIKNTLSHWADVTDIPLDKLAYTSYRAVISDVGKDKTTDTE RVVQLAGKIGGGW VE01_00436 MTHSFNLFCYATAGWLALQATPLIISPRIIVALLSNDIHQPNSL ETYFARFLGLTLIPFAILFLFLSGAFPLDGSSSLSEPEDAQTTPYTTPTLLLAGLYHT SISFYTYSRYVQRSTSQFSYLLAATVSGLLAALGLWSTMFGNGSHISKRTGADKRTSG WPFKNTVETKRRKGKGRQEEGIELKEL VE01_00437 MRFTSACLLAIGTLFTAATAHNIQLAGHGRECFHEQLRRDDKMT VTFQVGDREFKQAGNLDIDFWITNPAGGLEANERSVSTGDHSFVAKHDGKFVYCFSND NWSANSKEVSFNVHGIVYVPEAEGTSDPLEIEVRALSDLLAQVKDEQSYIVLRERIHR NTAESTNGRVKWWSTFQMIVLVANGVFQVWWLKRFFEVKRVV VE01_00438 MGLTFSKLFDKLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVVE AREELQRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRGWYIQSTCA TSGDGLYEGLEWLSSALRKTGQN VE01_00439 MATEEMVSQPPHPALTPQFCFSTAALRDFIRISRSSIDDSITQN LNALATPASRGFDVTSTSHRTPSGARTLDPNACTSFKSSILFPAWQARSDVLQYCGHV AVSPDPDDPGLVARQLEEERGKARVINDRLDPYSSRFFPRESRTEELARVVRMESGVE RIVRARTWEVVEERCGEGRGKGWEEALREWESGKKRGGE VE01_00440 MSKNTNVEVLIAGGIAAFTIDLLVYPLDTLKTRFQSRDYKRLYY DPAKNAVNKAVLFRGLYQGVGSVILVTLPSSGIFFTTYEAVKSGCMEINPTRSGSQHP LVPLPVVHAAASSIAELASCLVLTPAEVIKQNAQMVRRPAGSHHSSFKQSPTYQVLQH FKKPTQLLRGYTSLAARNLPFTAMQFPMYEHLKRTINSSRQKKGKATGSISELAAVTA VSASAAGSVASFITTPLDVVKTRVMLSAMDKRSDNSSSPKPSGSVSPGKGSAPARASR GVNIDVARQVLSESGVKGLFRGASLRSAWSALASGLYLGVYESMRMWLGERRVGTAGT GA VE01_00441 MAIDIGPVLVEKPVLPSIPIKAAAPVALPVAPVLGPKLGDETHL VISPYTDHAHLLDLSTVGIQEQLLAYALIKFKSLREDYATAPYTETFNWAEVIEDLRE RAKAIGHSWTEQAFYIVAFRSQVPPTTDYSNLGALDKAAHVEAVQSGGFLKYWFGSPD VTGRNLATCVWRTKEDAVLGGVGPAHRRAAGAARHSYTEWHIERLSLVIKDNIDSWAI EEWVAPK VE01_00442 MADAITKEIPLNAVQVEALVVMKIVKHCTAAFPTTATGSIVGMD KNSTLEVTNSFPFPTTDIVQSDSSHQSQNDHLNASNAAAAAPRAKANVVYQNEMIKYL REVNVDANNVGWYTSANMGSFINLNLIENQYFYQKEVNERTVCLVHDVSRSAQGALSL RAFRLSPTFMAAYKEGVAKFTTESLQKSKMTYKDILVELPLIVHNSHLLTSFLHQLPA VPSAELEFPTSLADIKGLNPEIPLYPAYETTLDMSIDPFLEKSCDLLLDAVESHYTEL NNFQYYQRQLAREQTKVTAWQTKRKAENAGRVAAGQAPLPEDDWKTLFKLPTEPSRLE SMLLARQVEQYGRTVDNFTATISAKMFLSKDTLLPGESS VE01_00443 MSGAPASKFKVADLSLAAFGRKEIELAENEMPGLMATRAKYAED QPLKGARIAGCLHMTIQTAVLIETLTFLGAEVTWSSCNIFSTQDHAAAAIAAAGVPVF AWKGETEEEYQWCLEQQLVAFKDNKGLNLILDDGGDLTSLVHKKYPEMLKGCYGVSEE TTTGVHHLYKMLKNGELLVPSINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGK VAVVAGFGDVGKGCAMALHSMGARVLVTEVDPINALQAAVSGFQVTTMEKAAPQGQIF VTTTGCRDILVGEHFEAMPNDAIVCNIGHFDIEIDVAWLKANAESVQNIKPQVDRFLM KNGRHVILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQILLFKSEDAAFGKKYIEFG KTQKLDVGVYVLPKILDEQVAQLHLAHVNAELSTLSPVQAEYLGLAVEGPYKPDMYRY VE01_00444 MLSSRMNAPARRICASARLSTVTSRSSAIAPRSYILPSTRANLR IIPKLSPVVSLRRYANGPRKPHPPGGTHRMDMSGGEEKSALEEYGVDLTQKAKDGKLD PVIGRDSEIQRTIQVLSRRTKNNPVLIGKAGTGKTAILEGLAQRIVRGDVPESMREKR VVSLDLGQLIAGAKFRGDFEERLKKVLKEVEEAEGKVILFVDELHILLGLGKAEGSID ASNMLKPALSRGELQCCGATTINEYRLIEKDVALARRFQPIMVNEPNIQDTVSILRGI KERYEIHHGVRILDGALVAAASLANRYITERFLPDSAIDLMDEAASALRLQQESKPED ILRLDQRIMTIQIELESLRKERDVASRERREKLEADLKAAQEEVGALNEKWEKERGGL EAIKKLKAELEEARTELEQAQRLNNYGRAGELRYSIIPKLEEQLPADGETGLDAGSEG NLIHDAVSADDIAAVVSRTTGIPVSKLTSGHAEKLVHMEDSLRQFVRGQDEALVSVAN AVRMQRAGLNGENRPLASFFFLGPTGVGKTELAKKIASFLFSTEQAVVRFDMSEFQEK HTISRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGF LTDSQGHKVDFRNTLIILTSNLGADILVGANLDHPYKEDDEGLIDPEVRTAVMNVVAQ NYPPEFLNRIDEFIIFKRLSHEALREIVDIRLKELQGRLDERRITLEVDDEAKAWLAD RGYDPRFGARPLNRLISKEVGNRLADLIIRGQLRKNQVANVKVKGDGLEIASITGGDE E VE01_00445 MGFTDLVSEAGLTVLNSYLTTRSYIVGYNASQADVATFKALSSA PDAAKYPNAARWYKHIASYTDEFETLSGDSTKPYTAYGPEVAEVTLNPAKAPAAEEEE DEDVDLFGSDDEEEDAEAVRIREERLEEYRKKKEGKVKPAAKSVVTLDVKPWDDETDM VALEASVRSITKDGLVWGGSKLVAVGFGIKKLQINLVVEDEKVGLDDLQEELAETFDE YIQSSDVVAMQKL VE01_00446 MPWISKATTGLGLLFLAHACYSAQEHSALQSTTNALHDVPSSAS LPTDIVLETIISLFTTILGLVLGTAELRPIRWRVWAGKIEREGEKGFLGADGLVAKDY VGNPYKALESRPGFVDIRKQKKEFAEWVREGGSAEVR VE01_00447 MSSKRQKTSSQSSSTAWFSGHGSKFAPRGNTHTSETASSPGFQT PIGRRTIARSQSANARFSRQGSVLRTSLSPSSPTLPQKRTSFGLPRSHANSGGSSSLS RISNHIAEIESEAEVQDREDSDALNEIIMAIDMRDRGTLGCAYYIAREEKLFLMEDIK LSGLDIVDTLKLHASPTVVLISTKSDDALEDHLMKEAKDASRGDTPDALFGAYVLDSR PSAEFQYEPAKSKLVNYDLSGSNDPRMQFSTPGDELMGNMGYMQDPEEYEAAGHQGRL LRLAGCIDLESRVSIGCAGAVLSYLARRRTTEYLPWDQEALVAFNVNTIEMFSLADLM FINTDTLNSLQILQSEFHPNTHMQGPTKSNSGVKESLSVYGLFRQLAHTPQGKHKLRQ AFLRPSLDLDLIQERHNTIAILLRPGNAEALNVIIQSLKRIKNIRTVLIHLTKGVSAP ASKGGAIKQGIWGSLQQFTFHSLKVVDAIRSIEDGDKLAIVGKVLKELQPAELHVVGE LITQTVDFTESDIHHRTIVMQGVDPDLDSLKRTYDGLEDFLSQVAAKLAAELPEWATK YVQNCIFFPQLGFLTVVTLDPETGKGNYEGQGLDDDVWNLSFCTEDMGYYKNRQMKEL DNHFGDMYGMICDREIEIIHELAVGVLKHEKVLKDTSDIIGDLDCLVALAQGARKHNL LPPQMTNDNVIDITGGRHLLQELTVPSYVANDVFIQGGSGKEDDEEVITANDDQPKGP SLLLMTGPNYSGKSVYLKQIALIVYMAHIGSFVPADSATIGLTDKILTRIATRESISR AQSAFMIDLQQIALATTLATYRSLIVIDEFGKGTNSSDGAGLACGVFEYFLSLGANRP KVIGATHFHEIFASGALQDRPELEFGHMEVRVDADSESVEDQVTYLYNYKSGKSMSSF GTCCAAMNGVDPAIVERAEELIILSSKGEDLVAACAKISQEEAASLEEAEQMARRFLA QDMHYQNGKGGMMASGVKEVLHGILARA VE01_00448 MSQSTADIPHWPPRSPMDALKSTPGGRERLRRLAERTSPSPSPS KRDRQTPSRNRQYGAAALATASADLNDDDDEDEDEETLQLKLQEIQARLKLKKLQKAK AGGGDAIALAIPRSGTVPNLRANSSAAVHGRSNISGLREERQERARSQASIHVPVSPV RKLQAPEPTRSPSRVLLGIDKGLRGCDVSLKRPPPSLRKPTDALMENVKRAGGYLQRS KSPMANQDPFAPVPSTSQSQSRPATSFSERMAKVRDQETTQKETDARLKRLRSRAFDI DARQMEDFKSAAVPLPEVRPQVPEFSRDQVLSGLQGPGGGTLHKSKSTSYLNSTSRNV SGSTASTLAHSTDSQPSSQLGPKSSASSIQSIPGGSQTDLVEFESFSSLHLSKRIIPH NVLSRTLAGKKIFTLPDLLRDVKAPDFRLPEIEEDIVVLAILASKSDPKPQKNGATKG QKFMIQCLCDLKWEVDLFLFDTGFQKYWKLTTGTVVAILNPTIMKPVKTDTGRFSLVI NSSDDTILEIGTARDLGYCKSIKKDGNACSTWVDKRRTEYCEYHVNETLKRTKASRME VSTMDFDSKAGKRGGRRNNEIYNHAERRSELLKKNQNQGVAYDRGTQSTMYVHRGDVR FEGEAGEKMQKAQRMRQRLAEKEKERDLQKQLADLGGGLGAEYMRVGSGSQQSQRQES EADLWQPEARPDAKQLGLLGGKASDMSLGPVKRKRTGTDSTSSSAPAMGWGGHLTKEL GRMKDGERLQPVKKKTRFVTAKGIREAGRESFGGDAAKVLFDDNDDDDDDLEIIK VE01_00449 MAPSTTRSKAKAKGPAPKTGAGATSATTQKKPVQPDSKNPPKLF VLPKDVSSEARIATLAHPRTSDPTRYFICPEKGFFEFTKIAAPKSQPRSWLLAPNEEE CAAEDSQTTSNGKKEATVKDEGNEYTPSEGYITSSADLFLATPIDPLFLLLPALAGKS SKPKEEPKRLFLEADDYLDILSSASPHLKSLLSQDSLRSKFTDRLDAVCDTVDAGETM YRLSEPKLIAILAEKCTTVVEKGLPASMEDLLVSKALAVPLLSVTRGEDCPDEEPEVA DAESSATPKTESAESQTPSSATDSSATPVSEASTALTTPSPDAKPPIVAPEGVPHLLR LRTAFQYLCSSYIPASLSASLATKLNTPFPDQAASFPDFTPLDTHLAHLAKLRADAIA SRSMNDFSRKRGFEDEEAGETRAEKKRKKEEEEKLAKKNESRGVRDLKKVNVSGMKKM SDFFKKKT VE01_00450 MATQQIPQIPPRPTRGQAQKPASSNSGSTLGSDIPKIPPRPNRR ADRSVSPMRDSYAPSPLNEPDFVATGKNASYLRENGRTSASDAPSRPSSVSHMPSVGQ EGMEYADICTPDAVDSPRAPNETRNIAEDLKLYAPRPSLPGSSAKDQINTVTRTDSSQ AAAFGLGKPRSEERVSVDDRDLEKHSLRAKDSFASSRSAGLERPTSSADNEDQPDGPD VGHRVPMYPNAGMVQAPSPSPQSPYQPGIGFHNDGSRPRHQRQKSGRGFEGPPGSYGM HGHGIMSKDKFEQAYYEKHPELWKKELSAYGEERNNWAMSSEDLNKIVRDTGNRSSGL DSPALIGTPTEQIGYVATDEYISRMASPRLSTDMSPLKKEGSTSVDKAESAESLGRTA QKASETSLANETDGGTVVHVEEPNRRISRIYGGDKHAESTENLGPTGGNAPGAGGFIT ESGYGVPILASDEVAKTPLGYELQPAVSPLQDNNYDDEQSYFRSRQGSGLSPSGSRPT SLHRSSQDIPSISRQGEFESPRSPPLEDVQEYEPLFPEDDKGKQPPAKPLTEADKLKS RSELKGRRFPSQDVWEDAPNSHMQTATVSTPQLPEGPDDAKTTGETLEVRKGETPEQA FARRQEELADMEFSKKETESFLKKQSWDQNASIAAEGLKQQRFPSRDIWEDSPDSLLL QTTVSGPQSEDVSTPVDRPAGDAAASFEEKQVPATTSIAAALKPSIPPRPMRKLSDQK PDLKAETAATGAAKSPNTSPVLKAKPAIPERSKPVIPSRPVKKASGDSGEGAPLSTVR SGGSEKSSNSSSSQNSAAAASKPKPPVPSRPIGSKIAALQGGFMSDLNKRLKLGPQAP KKEEQKEEEVAEEKEKVPLADARKGRARGPARRAPAKAVVAKVEPMPTPAGKKFAVSV SSVWEISPEDGELISISEREEAKRVKKAEEAKKAEEAKKAEEAKKAEEKKAEAEAEAA AAAVSTEDKEVVAAEPEQEAKKAEDTIVTTEDKKVEAAEPEEQDKKTETSPITTAIGA PLLTAKEVVAETAASASKEVKKVFGASDSPKEDTEVDETSVADTTGEEEAVKDQGADE ATSSLPVKPSESESVSKPEEGEEDGKIKEPEQSEKAVESKEE VE01_00451 MTIAEEFATRNFSIYAQWTGVVCIFLCFALGIANMFHLSLVILF SALCLVSSFIIIFIEIPLLLRVCPTSSTFDEFMRRFSTNYMRALIYFVMAAIQWISIA VGVTSLIAAAVLLTITAALYAAAGLKGQAFTGSKTLGGQGVAQMIV VE01_00452 MLTFESAAVAGAGPIVEKLTSLPFAKVKHQVSTLDAQPVEGGGI IILVTGALLVDEEQRPMNYSQCFQLLPDGAGSYFIFNDIFKLVFA VE01_00453 MPCFKGIAVSVHAEGAPLPEYSVQKQSRVSRVNTYIPVPQAHVP KDSLSNKPEPSRFAISITLLTSGIAVPYSTPKATADKPYPRAQIVSDPSNKSADKGPH GVIGPYIPITNSENETIAAYIYFDGRPKEEVATLLRPGEETWVNSRWVQVPDSEGGGL AEREFLFREVGLERWLNGLDLDGGKDAAAKLERRRQKFEKRRSRRRTGNGDIDMSPTK KMGDDVLRYGDSEGAPVEKLSDDDAISDWSSDDDAPPEAAGQIKVAMFRVLASGEIKR GEYSPQFDAHDDDEGSEGGNKDANGGSSEADIDHTTSFAKPKTLDPKSISTQTVTGID GPDKPYAVFTFFYRGERQLQKMGILDSSKQAKTTPAAAKRGSTQMDFSNLGPLKPGGT VGFSAFRDNEDSARKAKARKASNGSILEDSDDDDDDIDIVGKMEEADDKDVKAMLSPE DAKYSGELAEGVGRIKLKRQHSADPLGGARQSPVSAGTPSGTVTPPATTEAPTATPAM DITNNMFGKTALPDDSVIGSPLKKQRATTFSATPEEAKTLLNSAGIPLPSGNILSLAE AAHSNTVPPPQVPTVNFPKAEMEEEEL VE01_00454 MVPIHHRSGTYTPSPAQSIITASSHSPHGPHTGGLSAGPSPSTP TGSNSLTKIVVAQVYLLLSTIKEDKDRTKWEAQAEQLRKLIDDHGMEVFQKYFSRLVV GNAPQIFPGVNRSVANPGNYQILVNEVRKVSHDVDQAGKIAESIETANEDIFRDFDLS TFMEHFKLDALEKTILALAFKQCSRSDLKTKADAILSANFQSFLHILSSPHDNLHGDM TASFVATIIDRFIQEHPPNFNDGAKADFTMAIRYRYSQPEQDTLPVEILAALYLMKPS FDHSPFILYIRRMGAAFTADEETCIKYIRSMGISRLDEEQVGMALLYTAISRTPRFST SVLVKSLRKELPRSFNWQRTVAQFDQEGLRISSDQFFALYSALKPLADDDSTFDVQSL WGGRWQNSETQLSFINAYASLNPEQLDASTIPGLQPSFTLAEFAGAMANVQERAASAV RHPLVSVLALEAVFHVALQSNVASDTPEAKRLFQEVVVPNLDVFVVSAFGVPKPWPEL ATDTLNSLFDRFLYKIDPNYDFVLYSVWNKDRNWLVGRLIETHGRTPLELPMILEHSI RHNWLYDLLILNGFGLDLAALAHASGKLELDQWQQKHSQHPDELAQMLLNFLGIKAQH ELQVQRTDQEQPTSVMLPVRTISALLNILTSILPRSLSTEQMALQRSCITAYPRLVNY GEGFDDIIDENGRERNSLPIEANEKMEEHYKRMYSEEIKVRAVVEALRDYKLSRNPSD QDVFACMIHGLFDEYSLYSTYPLEALKTTAVLFGGIIKAKLLPDLPLEVALGMILDAV KDNTPDQPMYKFGVEALKQTFERFGEWPGFCRHLLRIPGLQGTDVWARADEVCREQAD LSRSGNADGLSADNSVNGGAIPNGNFDDMLSTDPSVAPFNALHVDQPAYNSIYEDPSD DTQEKVLFVLNNITERNLETKFKELKDVIEERHQQWFAGHLVEERAKMQPNYHQLYLD LVRLFGNKALWSEVLRETYVSVIRMLNAEATMQSSTERAHLKNLGGWLGSLTLARDKP IKHKNIAFKQLLLEAFDTQRLIVVIPFVCKVLLQGASSTIFQPPNPWLMDIIHLLIEL YQHAELKLNLKFEIEVLCKGLNLDHKSIEPSTDIQTRLPAIDEPTEPISLDVVDRFDS LSMNGIAPGVGTGRFSPQDITSSIPDLGPLLVYPPANDLVNQARLQDIVRTAITRAVH EIISPVVERSVTIAAISTAQMIHKDFAIEGDENRLRHAAIAMVKKTAGSLALVTSKEP LRASMNNYIREASMSMNQGLAEGTIIMCVNSNLDMACKQVENKAEERAVPEIEDMIES EIEARRRWRAARPTEPYVDPTLSRWAMTIPNPYKLLPGTPGGLNSEQMAIYDEFARQP RIASLGISAHATSASDASRSIANDVLQDQYPSVGNLPTPAEPPVMPLLNSQPHTYGQP AASLTNGRSPAPPVDHRNLADRIQELLDELLRAASNSPEQHYSELPRPHTIVDIVDAL GQIIIRNTQVSDEASRFTADHILQLLFSPATEGDLAIESLVHILDMLCQLHGGTARHV VMFIAQQPDERLLSVPLVLSLINTDISLLDWQRVDIAASKALQQRKVSAIDFLSALTS RVLLVDRPVALFTDFARSFEALAQWLGEEPDLEAGKQLIQKLKSSGLMQTLTSDSDNK FQAHKDQMGYVFEEWVNLSNSAGATDSTTNNFIEQLHNKQIVTDQENTCLFLRICIDA SVDRFDHHFQNNGLANDAYLPIDALAKLVVRLVKRSGERPGEVQRDKVAYFEAILSLI LLVLNHHHVMRGEGFNQKVFFRLFSTMLHEFNVVAPQFSEAERNQFYQVFADAFLKLQ PSRYPGFVFAWVGLISHRDFMPHMFQLQQQSGWEPLVQLLECLMLYLGELLKQLHISP VTKDIYHGAIKVLVVLHHDYPEFLSGNHVRLCAAIPPHCIQLHNMILTSGPSAFSKMP DPLQAGLKIDRVEDIREPPSVAFNTEAPLKEAGLLDLVDQALQSGPSEDAVAHIAHAI QRQKSHHTSAGYLPIHVDLELIDAIVLHIGMHAIENASQKGGPIFIQGSPDSALLTML IHELSSEARYYFVNSMANHLRFPNAHTLYFSQALLDVFGNDMNDQEESDIRQQITRVL LERLIGPWPQPWGLLITIFELIKNEKYMFFDLPFIKSAPEVAERFAALTQRNP VE01_00455 MPGTVSEGPSVALSFANNFWGKEDAGVNPLLERMHNAKQTCDEL KAFYNARASLEEEYARKLLALSRKPLGSQEAGTLRASMDVMRGEVESMGKSHQNVAQQ MKTELEEPLAAFAGAMKERRKIVQGGIEKLLKTKIQQTQVVNKTRDRYEQECLKIKGY LAQGHMVMGQEERKNKAKLEKTQINVATSNTEYEAAVKVLEETTGRWNRDWKGASDKF QDLEEERLDFTKSSLWTFANIASTVCVSDDASCEKIRLSLENCEVEKDILSFIKECGT GQEIPDPPKYINFCRGDISDTQSEVSEDDAYSVAQFQRTINPAFRSSSPQPSTYESHH DPKSNLARELGHREPETPPSRETTITPQKVLADRTAQPQVDYRREPSRLQYEQPQNVQ LASVPHDPYPMDGMTMLCRTGPPSDRSSAVSGLRPSSRDSHSEYSNPTSFSSMEPPSG KQSPIKQDAAFAPAVDKSVQKKKSGFFQSHSPFRRKSKHGKEIQAAAPSPSNRNTWSA KPTSNYQASSFGRSQGYGNSQNSLTDRETTGGEPVDPRASFQLNVGNNVFDVATPDRR NTAPQERAGDELDPIAQALAELKGVTKQSSSRVSADHYSGMATPAPESTSASRTRNTP MGNGALVAATRGTPPPSYDQPVSRLGLPQPAFTSKAMQQTSQKYQAQTQNMFNSSQSR PEPQPDYGSARSRPGTRGSDMPRATSPMPTRSVSPRPSQSSQVMNNNNDGRQGYRSVS PNPYGGNSQQRGAPQSQGYQRYNSPGDTARSVSPAPFRERSGSRMSGGDMSLQLAPAG DDGYGSQQGRHGGGRPRTSGTSRPMSYYGGQGGQQQIAQVNPHQRSKSVADNRQFNRD GRPILHFARAQYNYTAAIPEELSFGKGDTLAVLRLQDDGWWEAEVAGKNQRPGLVPSN YLQQI VE01_00456 MDADDINFISGGTSNLDINNGLKQPQPLDEYGEVLRPAPRIHSS GVVAVDITDVFTKAAEGLEVGELVKDEYFTLFESVGAIEIMDPKMDTGFLAEGETMED SYDIWRELLPEECLGIIDQLLCLEMAWHMGHPLSQTLFTSLYIDKILTLKLENVEDLS SPADCPSLTEPLTLRVLVAYCLAIIKTCWHINERVKSEHFYEEEDFVTHTYNRSLLAE LDDASITKFIDVTIHLLATSDSMDPRVKDALVQRLKCRNSLLNTVGVTEDRSSAEPLL LAWSTTLELLPGIKSERELAKPVPDSFSVKLQRKLASTVPPRPVVTIAFDDAYQHLER LCKDGLVVSEVLDFHDTNSLMNFVILFQKSKPQPSVYIRTLLQHYLFCQMVVLGKLSL RSVLDEDLAALVLPANPLLDAINDEIEVPHDPRHQMAQHMEIFRSRAAQSFLDILRAL CQNRCRIRRTLHHTIVDWDTLQLDAEEIDAELRDFTKEKPIVDPDFGPEPIYSFPLSS WSYYYKLRQMEWLIQMGFELEVYAADELAGMYWYLHNISQTTFRHLQRIRGFLTKDYA ELRRDPKQENFATKDEAFAATMSHVNISMLSSSAKQALANSIGCLYTILTRYNLIPQT PHPYSTDAIRYEQRMKSFLAVSLPEFLPFPVFQEVVSQPQESSANLLAFASDAAAKAR KDFELLSKLDAKTAKCRGKWCDEAWHNNVKAEVKSCISVSITLMMVKRAMAAAEKTKS KTLQLKVEIESSEKGYHDWWVVPKVTPMT VE01_00457 MTFAWKQAGLSYNKYLSVAARVVRRSLKEEQRVAAERRGVSELR SARWVNGKQGEVKNVAEANAEAQAAAGNASA VE01_00458 MISRSAIGQTAQRVARRQCCAQPATRRGFAAATGSTSFSYENAD VNGIKVASRDVAGHTTKLAVVAKAGTRYELLPGLTTALEQFAFKNTQRRSALRLTRES ELLGGQLVAYHTREALVLEANFLRADLPFFTELLSEVISQTKFTPHEFLEEVNPAIHL QQKRAYANTAQIASDAAHGVAFHRGLGAPLQPALNTPLASYLNEESVREFASSAYTKQ NISVVANGANQAELGKWVGEFFGDVSTKGSHELPSKASKYYGGEERIAHSSAQNAFVI AFPGSSSFTAGSSYKPEIAVLAALLGGKSTIKYSPGFSLLAKAAAAAPGANISTTHAA YSDAGLLTINFSGPALSVNSAATEAVKSLKSIADGSINKEEFTKAVALAKYRSLEEGQ NIESGLVATGSGLIQGGQPFQIDQVTKSIEGVSQESLKTAAKALLAGKASVAAVGDLR VLPFAEELGLLV VE01_00459 MSHTQHLADLCALLVREAYGELTSRIFALLQRRGRQTINTIKQH TGLTGRQVRHGLVVLIQQNLLFHNTEQESGSTFYEANEESAYFLLRSGRILETVEERY GVDARDVVQNLLLLGHTKVADLAEAYESKNKTTNGHTNGANGTNGATVNGTSNGTNGH HDDQKPTINLDGILARLLDDGFVEPVVESMFRSPKDAYNQAEKEALSSSESGASKSAK ATLELKRIVTATLQKQYEERSWRHGNMKRYMPGGHPKGADKRRKLASGKPAVLGSGSY DEEIKLDRELVVRINYERFTVALRTHALTEVAKNDIGDVTSQVYGELLRLLEDKIPRC RGNIVEDDDDENDTGGSTITTSTLVQALSADINIGTGIGQAAGNKIAGTTDGANGSRK RRSESSDEEDVVTSKSRASKVKFDVQAPAKHSPENHQARITQLKDHLLLLTGHNHDLL KKLSNDGLGEFTVLFKKATEYMRENELDTYIASAFGKEGLRMSHILRKHGKLDEKQVQ KIALMKQGEVRKTLVKMQMAGFADIQEVPRDTLRTPGRTIFLWWFDTERITNLLLDRI YKTIARCLQVLETERYKERVVLALADRSDVKGNEHEMLPEDHLVKLSAFNEKEDRLTT QINRLDQLVGIFKEF VE01_00460 MSPPMATAGGKGVKKESTAARILGSGSAGIAELAVFHPVDTIAK RLMSNHGKISSITQLNQVIFKDKASVSAGKKFFSLFPGLGYAAGYKVSQRVYKYGGQP FARDLITKNYGNSFERTFGPTTGRAMIASLAGSMIGIGEIVLLPLDVLKIKRQTNPEA FRGRGIVRIIKDEGFGLYRGWGWTAARNAPGSFALFGGSAFTKGYLYGLEDYNKATWF QNFVASIAGASASLIVSAPLDVIKTRIQNRNFDNPESGMKIIRDMIKKEGPSAFFKGL VPKLLMTGPKLVFSFWLAQTLIPAFDIAFSK VE01_00461 MAPPAYIISRTLDPIFALFIGLGAASMRINREEKELGRSTKETI NSGLRRIGFPRADDPVAAAAKK VE01_00462 MRSKFKDEHEFAKRKAEAERIRAKYNDRIPVICEKVEKSEIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGFEELA VE01_00463 MPPMHSVTRASNILRVAPRPFTQPARISRLKRNYADIPPKPPRP EDKKRTGVLFPKTRIAVGVVFCGLLIHSMVTDEPTKLQAPSIADRDNLTKRESGVTAD SPMRLRMEAFIKEQQKEIVRVLEEVDGKKFQIDTWEREHGGGGISCVLQEGNVFEKAG VNISVVYGSLPKQAIIKMRADHKKIDTSVDSLPFFAAGLSMVLHPKNPMAPTVHLNYR YFETENPDGSVGTWWFGGGTDLTPSYLFDEDAIHFHRTVKDACDKHSKDYYPRFKEWC DKYFSVKHRNETRGVGGIFFDDLDDSENDQENCFAFVQTCLKAFLPSYLPIINKRKDM PYTEQEKGWQQIRRGRYVEFNLVHDRGTAFGLNTPGARVESILMSLPLTASWKYMHSP EKGSREARLEEVLKSPREWV VE01_00464 MSPVSEDIHDLVAKLEQRVKDLESRLHLASGGASKQAGIADGVR MILMGPPGAGKGTQAPKIKSMFSCCHLATGDMLRSQVAKKTELGRQAKKIMDEGGLVS DEIVIGMIKDELENNKECKGGFILDGFPRTVVQAERLDEMLTQRKEPLKYAVELQIDD GLLVSRITGRLVHPASGRSYHKIFNPPKETMKDDVTGEPLIQRSDDNAEALKKRLVTY HKQTAPVVDYYQKTGIWSGIDASQEPGQVWKSLLGVFRAEQKPSLLSKISTVV VE01_00465 MPATTAEAHSLVTTTVSVAPLVLLSVADHYGRSAKGTRKRVVGV LLGQNEGKNVRVSNSFAVPFEEDEKDPSVWFLDHNYVESMNDMFKKVNAKEKLIGWYH SGPKLRASDLEINELFKRYTPNPLLVIIDVQPKEAGVPTDAYFAVDEIKDDGTTTSKT FVHTPSIIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIQQYL QKVSDGKLPVNHVILGNLQDVFNLLPNLSTPKASTSETPGSGEATSELAHAMSIKTND QLMAIYISSLIRAITAFHDLIENKIQNRQQQEEKEAKKDEDKEKEGKDAKKAEGVTNG EITSVDDSEKAKEDKEKNANPPKKQGQSSKASWVPPAKFTPRRKSHQRTTSGTFTTTT TPIYPPTTLRNTTKPRTIKPLPNPTPLKPSTGLFPHRLPEPRPALDPAHVLAGGDVQP QLEIRKLGQDERARTRFSSGGKKSAMLAAPSNSSPRIASPASTTTSAFRYSNSSTTAS PSTRNGKSASPALPSLRQQRDMTADTASNGVSGSPATTALGSSNSSQDLVDLKNLNMR RESQDEAGRPDESGNGGDVNGEGRTQGGSAGEGDGVRTAIDIQALLQSPSAKRRQDPK PAKDGSSRASQQFLPPKRPRAAQRAPKVLPIRYELVDVEDMVILVAGMVSELIQTNDN LPLRDVVLTRFHSRTPPGISVLDYLQRLAKHAALTPPLLLSMVYYMDRLCSLYPAFTI TTLTVHRFLITAATVAAKGLSDSFWNNTTYARVGGIKLAELGLLELEFLHRVDWRIVP NPEVLVDYYRGLVARTGDYVIEDEDADVDAMGEPVVAGSAEMPGEEGGGRDTKWEMWM RDVAHTTDTKGGPSGENSGNVGAASGQP VE01_00466 MKFTSSLAAASVLASVLASPIALTETSPLAKRAVDAALFAKLKF YVQYAAAAYCTNNADSSGTPISCATGNCPDVQAANAVSVLEFDSSILTDMRGFLSVST VRQEIVLAFRGSSSIRNFLTDLNFGYVNFGCSGCSAHAGFATAWYEPRSAILAALKTT RAQYPSYKIVITGHSLGGAVATLAAGDLRSQGYAADLYTYGSPRVGNGAFASWVSAQP GVTARVTHVNDPVPRLPPMLIAGYRHTTPEYWLSNGSATKVDYTLADVKVCEGISTTG CNAGTIPTLDLDAHRYYFLAATSCAPDLAFKREEQMSPARRADGSASDVEILSWMAAD AAASPSELAATT VE01_00467 MSTTTQHPVPLPTPRALLTALLSLPPSTTRPPSPNPTPNPLLHA PAPIRTLLTTLHILLPTLLLPALDLLDRALLTRITTPPHLPEPSTTSATGSSTEAPGL KPAAAPSGSQRNTVYLVRSSQATRARGAVGEKVYIVRLGAWNCSCAAFAFSAFPGAAS SLVFGAEEEEEEGDVEMEDVEAGGGEWRFGGVSRDGFGGGVPCCKHILACVLAERMEG WVGGVKEREVGREEMAGLGCEG VE01_00468 MSSVDSKAAQAALLHWVNTFPLDKEARSLVDLSDGKLLYQVMAD IDPTFTRRRSNEKKTFQAVIRGLRYYIVTECTELEELVESPSFIAISADGKTEDILEL TSFLLVAAMSGPRRQDYISTIMTFDQGVQGEIKDIIEQRITEAHEARESGNKIYQPPP GKATPDEFQLEYRYGVLLGQKRGVEQENERLLKQTADLTTRLTHLQENNDSLQDHLRD AEDRLNAPVRDDETEHRIRRLEDDIRERDEVIESQEGQLEQDRDKMARMGRELSNLKD ADSRLIQLQDEIKELEFKNDELTKKANTVDRYKNKLEVQKDLQKNFKDLELENEELKT QFQHIDKIIERNSSLEASQRQFQNSISKAEMEIFEIGSQKKMLEAENSELRYSMSTQE EKIAQYEQAMFELREQSPLPEISPHGQTLAEQMQNSEGDRQNNLENFRLKAENALLKG NTIAAQENSSLRAQLEESDAHIKVIEGKYREAFEKEVITQQQLRAMMNLVDDNKKFVN AAMSIGTLTLLTPEYYRDLAFVELKKAHTSVTEQLEALQKQLAALEAEHEDSKRGLLM ATADLSMVEKEEIDALEELKATQESLSKSFENELTALKGKYKALLIDYEAQKSQLIES LLTNNKLREALEKNTNIEETPIVDDEPKEVPATPTQPDVSTPFSPLYSKNWRIFNTRY FVGSYPKRTKSAKLSAQEIAQASRIGNHFSSHIPHPSRIINPATIPLPESRPTTARSE PYHTGGGHVSEKMFKCKSLTATLTGRRSIEERRNNRRTPGKGSYLQPLWIPVPRAATG TTLHRVPTPASFTDAELDAARFAAETVAASRAQETLVREAEIRQRQKGSTIGKAQVKE LEENGNDAQKVALERENDNLKREYSMMTMAWYDLSSRVQSNTVMLQRRSEVSKSWINK QRRAVNPTGRR VE01_00469 MSSSPFVVKWGILATGNIAEKFTKDLLTNPAIRDTHDVRHELVA AASSSSASRAQDFINNCKGPSTAKAYGSYAELVADANIDIIYIATPHSHHFQNAMLAL EAGKNVLCEKSLTVNAKQTKKLYEVAKEKKLFFMEAVWTRCFPLSVKIREMVSSGEIG DVIRVVSDLSFPENAEGGKLNFPNENRMVNKELAGGALLDIGIYALTWVFQIMYTTQP KPREAPTVLAAIQKYAETGADEMTSMILQFPKHNAMGIALTGLRAGYKHGKNYGAAIT ITGTKGEIQVDGPAYCPTHYRVIMNSDPSKVEEVECPIPKDEEREGWGQGMFWEADEA ARCLRDGKLESEWISWEESTLIMETMDEVRRQGGLVYPDLIESAEYDPKSPLNVGRA VE01_00470 MSYGASDEVAEDFKVALEDLTMNSRYEISNLTIIAKENTENALA ISEALKDHIKRTGPLKKLPALYLLDSIVKNVGTPYTLFFGRQLFSTFMEAYALVDNNV RRKMEEMLKTWKEPVPGSIDTRPVFLPEVTRPIENALIKARTSAIQAHQEYARQQQPL AGKNRPSPVPFRNTATPPLQHPNMAGNQYPGSQYPPPYGNQQQQQPAQYQPPAAAQPW QPQPAQTRGYGVLDDNIDTLNSDIARLITAAKSEFAQNPYDGSIQTRLKALLDLQSIL GSQKLPPDQIALIKDQVKALSAASKPQAPPPQPAPAPVQTPVAVSQPPAQQPSLASLL GGQNALAALLARSTSTPRATPPPLPANVQPAHSQPSYTLPAYPPPQGPTARPPSATPN PMSLLDQLRAAGLLPSEPAPTATPPIRQMPQIPPPSAFPGIFPPPPVLHGQTGQLPTW AGGPQAAVDIVQLTPASLKIPRPHLIGRLYERLGIPCTQCGRRFQTDEEGKKKKAAHM DWHFRVHQRMVEAEKRGQHRSWYVDELDWIKSRETDEEHLASATTDKDAPASQGPKKQ QYLAVPDDPELANSLCPICQEKFEMKWLDEEFVWMDAVKIGERIYHASCHAEARKSYR GTPEPVLGKRKNEEELLSVRTKIKTEP VE01_00471 MKLSLLPILTFLALASAATQPQRQVIVSYPDNTPYSVLEAAMDE IRAAGGMITHEYKIFKGFAAKASVKALETVQAMGTEYVALIEEDAIISVNSGNAQ VE01_00472 MPKETKTKRATKPRGEKKKKDPNAPKRGLSAYMFFAQEQRDNVR EENPGISFGQVGKVLGERWKALNDKQRTPYETKAQEDKKRYEDEKASYNADAEEESG VE01_00473 MAETVECWWLAKRADDIASALTRIRLSLTSSSHADITNVISEVL HSGSLLRDLSDLLRIYRDRVSLVRGFLGILAPCFERSVEDIRYLLGAKGSLRQVWGGI VERMEREGGGSLYTRFIMYNGYMVQLVRLLSRPSMYEATVLKSLIEKTLRLRAARGIE APRILPLLPLSSQVRIQQPGRIHWAQQIFDRKHAMTRMRHQVVSCCYAPSMPDAALEI PPGSTVLFKLKFNQNTLSVVLYLPPSPPTAARLLCRWTARDGSPAYASRGLHELRIKR KGCALKLERWSAERGKPEEWLVLYFKGWEKMVLFHDVFAVLKQHCPRTVMCDPEELML GEERKLFRGRITTPTTPQILTLYHDKLTSSPRLSATHPSGPLKRSPLWTAFIPASSLD ASNIKRHGRKVLLKKLDMNVYENEYEGRRGRGGEVVLGFCEETDAEAFLTAWKALAKE AAL VE01_00474 MSSQSSQNAMSRSASQANCLDPLDSLLDLSSYETMTYGSPSISP SESSKSVSFRPTASTPTANTLLPPRQQSHAPPSHPYDAHKQQTGIPTGGLATTLAINN NSQMYAGYGYLSGVDNSDDFSDMGFNAGSASGSGSFDNLGMDIDYDSPTVDPAFFFPP LPSAADYASQTQTPVPATAVKVEASNVGRLWPGMHQQQAAMAKAEQQRKQQQMLIAQQ QRHSAVPTQAAKARARPATDPIVEEKISQLLNSMRQNSEATSNGDEDVAEGHGHGGRS RKDEEDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRKEYIGQLEGEIATRV NENTDLRNQNRELLSENKRLADLTRMLLSSPSFSGFLDTLSTNPNPVERQQQATPPVV EQQQQQQAPKDPNPYAQQQQQNLDMNINYAMIPDAAPLDFNLLDLNTHDFIYQPQVFS VHSVEEVRFDASVLSGKPSSTFEAEEDKLELPLFSAPALPEPVAAKSIETVEEADEEF DSDPMFSLFAPSSSSAASAPLPLDTAALIAAIQPAKEMLRFELVDWEESERRFLRVQR LGAGIEAVVARLEGLGLE VE01_00475 MAMAWHKYGDERSVQAMCSLRHRRAGDESEEPARVEHVASLRGG PRRGLEAGDEEVGEVDPEYKVDSEQEVDSEQEVDPEYKVDSEQEVDSEQEVDSEKEVD STQEVDSEQKVDSDKEVGLEQKVDSEQKVDSKQEVDSKQEVDSEEEVDSERDFGG VE01_00476 MDSAAFQDVCDDSIAGADKSRSDFTRTLGMWPEKPLSTSPNSDV LSQRLQAANSRHLSAPTYQPLLNKSPFQLDAPLASKPNFTGFSFQQYQLEPELEPTQF DTESFLYDQEWSGKPELNFPKSRFLEQKLEHSIQGSKLEERPKLSLNEDIAVTDEIVA EEPRTDSGYGSIFKTNISRNDSPLPDKPQCTADYKFNVKMRDEDTEDAKTLYSAATTI DLPKSQQYISELCSDIFSKLENHFDSTNWNTLETALPSLIKAFAINIGHDSSGQVNQD IMYFIHKQHKGIISQLKVMFCGENDEAVDEAVDEAVNEAVNEAVNEAVNEAVAEGPFT DSGYASILKTNIPGNDAYLPSKQQWAGSNSRRSGPKGMSLQDKMRMWDKSSKIGTVFG NDESFVRVGGDDDDDDDDEITNGVDLSVYHGIVLKSLSYEWLLSSLKKERSLQWGSRQ PRIMVENIRQKILDKLPTRTISKRRHLNALTGCQEESQALTVEQYLRQTWPTYGIHLL DVLQKAITYSDHRHYVILPDNTQLDARIQCAEQLAWLQSAIYTNSRDLVGYCAPSIIN YTSTKQFRYICHCEIGIKFAPLANLTDSISRKLSLWQHLVGKRNVIQGFPISRRPEAY PGLELPFGLLLSHLQTDKAIIDDGLVLLKGPTLTLQLFKDTDGVFLWRPFHLENGICS CGEQHMEISFNMSYSSFDVNRLETGRHIVSPCTDLLTTIAEDSEKSRLPETKDASRDI GHVGNTGYNLNETNPNEILSRSPSSQDEAKISQSPASSQVGHPVSQNGIPPCTEDQNC HLPLNHQNLLAVNSPSNSTMPSQDESLDSDFLSISDSSEQFEMPENNAEVNAFIDNVV NKLLSGFRSTTQCQFSQGASGDSGQCTTQAATRGSSTSPTNSGQPLKRRRAANDDDDA DHDDSRKPLHKKPNQSPDKEQPQPLFACPFLKRDPAKHGNCCTKTLKRIRDVKQHLTR RHAPEIYCQNCLRIDFCNEAALNDHIDLKSCLRDDPAAVKGISPGQRAQLKRKSDHNI TEENQWFVIWEILFPKQARPRSAYMDTGLSMELLMFRDYCDTHGPSTIDEEYLSNPIW SPESTLEQRHALLQMSSLDSLLGTIKEPKFGTSWQWQAARTTRGSSELDCG VE01_00477 MSTASDPSATTTTNGNGNNASQKTPSLMLDKYLATPPSITENLA FPGPPRAAKRIAEERRKKAAEYIEGWQTEWERMG VE01_00478 MFFSLPTIALAASLLSSSVLAVPHRGHRHLHAAAKRDATLVERD VVVVNTVTDWVTVWWDGTSFVTPSATSANAIPTQAAAADAAKVEADAKAKAAAEAPAP IITAAPAAPAPVAPAVAVADTGAADAEAASKASAEAAASQAAAAQAAASQAAANEAAK AAASAAANDAAKAATNAAAAAAKNPEGIIAGVVGAISSVVAPIIPTPVAPVISTPTPV VVTPPVVLGAAKRGLAYNNAALASGFTGADSKVSWAYNWGSTTPAIPSSFEYVPMIWG PQPIHSDGWTEAANAAIAKGSKHLLAFNEPDLPSQANLDVGTAAAGYKTFMQPFAGKA RLGSPAVTNGPAPMGIAYFKSFMAACDGCTVDFVPMHWYDSASNVEGFKTHVNDMRDA AEGRKLWITEFGASGSNEDQENFLREVIPWLDSNDAVERYAYFYADGALTQNSVVSAL GNVFKTFVS VE01_00479 MDPFSQASLSPGDYYHGGLSPQAPGSSAAMPGGVKLELPPAAQA PTTMQQPGPGPGPRNYKSRKYRPCDFCRARQVACKIEVAPPCQLCQSHRRECTFVEQP KKKRRPPAESVVAPVVAAASSSQGSGSRAATGTPAEGSRVHIEPPQLPMLGQDSDISG HLHPNYVAHFDERLFERQIGHDHFLDHQQHQHQQHHEHHQGLDHTAAASFQMPHLDVP SPERSLDSHPSRSARLVGETGETNPYLLRRYHYDDNDECTISQTHYRRIRPRPSASNH PTPKDAPPPVFTLAADSASLSAEPRTEDHVLAQARYDISQLFTSEQCFRLLGLYFRFV DPYLPILARASLFVNGLISRAALDALPLSLTATLYATALPYTPYDALLAPSLAHAPDP STQLYRTAHLALTHELHNPRPATLQAVLLLLHRPAQHTPFRPALVATAVSLAHSLGLS HDSTSWSLPAPDLALRKRLWHAVFITDKWTALTLGTPSCIRTDDADVPPLWPADLEPA LGPDDGSDAPTNFRLLADLSSIVADILDSYFSVRATQRTASNFALSLDLGRGLRARLD AWSEGLPRALFVRGAPVRRGGGDAGLYLGYLVANMTLFRGLMRPLEGGGAGQEMGMGS GGAVRAGARECAEEAVVFVEGLAGAGGVEGFWHSWSRANFAIASAFLTQLLLTASTEA EGAEMSNLVARWRWAMRMGAEGNDNGGGLMGMGLGVGFAGLGLDGGGEGGYEE VE01_00480 MAIHALLFLLFTSMVGAVDVFGSDAALSKQATQLTLVWESPQGT LTPLVEPLTAQAGRGGSIRASTNIQGSFKSVTTNGAGAGEVNKGDIALVSCDSTNSSF LTQDAVIDLIVPKNPGAIVLYSLASAHCSVKDVGSFADYYTLRNVSANAMQMLVSSST SATATIRPETAQGENQPTQRNQTPAVAMSVLYAVTGIVTVLFLVIITTGAWRAHNNPA RYGPRTGFAGRPRQSRAKGLAKAMLETLPIVKFGDPLPPKAGNRDIELDDANTSEEPK AAHVATDSDKKGSPQQDAIPVEADIATSSSESNEVGSTPQPNPETPDEHLGCSICTED FTKGENVRVLPCNHQYHPACIDPWLLNVSGTCPLCRVDLRPVRSNLSAGEPSSPTDAD QTPAVPAEAVHQSRVQRILATRHAPVHERMAILRQLARESGQHQPTEPASSAVSAEGS RNRLSLADRLRDRFSVRTRSSNAQDETSAPATSATETTANTTTTRSSGPGPNATSEEA PPRP VE01_00481 MQTAGDIPLLITSDNSSSERRITPSWTIGQLKSKLEAVTGVPPL SQKITLRVNQQGIPVEAADEENTQLASFPLAPYAELHVADTRPPGARPNFTDASTVEK YVMPAEEYEQKSDSVLAWKKAQKLGRFNPDAPTIEEAKIKAYQTEIDSRGIAVGKRCR VGGDDSRRGEIMYVGDVEEIPGSLGSWVGVRLDEPVGKNDGSVGGTRYWGEEGGPKHG VFVRPERVEVGDWEPVDDLDDMEEI VE01_00482 MPFFGMQESIPNVFRATSNSGSIPCNISGYGYSEDVAGTSAVTA LAIRTVKVDISHLLGASDSNEPPPPARPATTSPTSLPAITTVESVHPYSTAQTSGAGG KRSMPAHPSETPAKKQSKWSPEEDALIIDLRGGGMKWEDISKRLPGRSAISCRLHYQN YLERRSEWDEERKNKLARLYERFKPEMWAKVAEEMGVPWRAAEAMHWQLGEADMARRA GVVPFSLSSAPVDTPAHGHARPLSSRGHSHSQSLSSPTASTMGSSVPSSRYYREGPAT RSIAARRQSMPRGGLSTSPSDGYIYGGIGMGQGMGMPMTGPPPMLPSVAEMTTGMSPY STPAYSVSASMAGGQPRSSPGSTLPSIGYALPPNLPPMPEGKSRSPDPGQGGTRRRQD R VE01_00483 MAEPTLKPEAYEEQHVHEVYEQIASHFSQTRYKPWPIVNEFLLG LEAGSVGLDVGCGNGKYLTVNQDVFIVASDRSTNLAKIAAQHQPHSAVVADNLSLPHG GGAGAGRFDFAISIAVVHHLSTPERRTAAIGAILECLKAGGQALIYVWALEQKTSRRG WDEGHEQDVMVPWVMKTGKQQLPDGEVSEPKETTYQRYYHLYKSGELEENIRSAGGQV VKSGYEKDNWWAIATPNSAPT VE01_00484 MATVAIQQPIPPRSPMIRKRTFSSSSDGMHYGPRVSKQPKTARR QSSPQRSPQRSPQRKRKLPVPEEKKKLDSAFVGGAKVDLEYGFVPPTASNRDRHSAAK EAQAGLEKKCAERQAMAATVSEEWMERISMKQPGFDNIKMSRCYRHSLLQALLHIPKL VNWLLEFHKLGECCSHRPAVCIACKLRDLATLYWVQNSSRQEIYALLKDIDRTLAIRG WATDNPEEYGDPDDQFTAMLEMMSEDMGAMGHTRFQAIHSTLLTSSIRCSSCGHTTDP HHSAERTLSVPLESSLSGSSLEVFVAAFLRDKISGYRCEGCQKPVNVTRDVKIASPAD VISVQLKRAGWNGTIKTKVGITRVLDLTKHAVDGSTELRYELVSTVSHQGNSRFGHYI AHAVGPDGKWTEFNDECTRKVSPKAVLMPAGFKPVLLYYKRV VE01_00485 MKAAARPPLRSTRSHSHRPKTPSSAGGSPLIQAIRAPSSAAPPT IPASFSPIRTPGGREQARPSSPNYFGLVVDSGNNARESGSGSKDNRSPTASLVQSYIE QTPRNIPIENSADFDAFRKQSEANQSFSLGHGNLSHFASAPVLPAQAHGYKSVAGHDR NTEAKRTNSAVTISSDAWLSPARHSNTFPTESSPVFGLPMQESPMTAELSQPLAPVRE HMLSQLGDRHPRLSLPGDRPSPSTPHTSQQNDIPRAETLPPVLQDGPAFISASDLRDF LKTQSSSDYLLLDLRVAPQYSQSRIRNALNLCIPTTLLKRPSFNLAKLTDTFKVPEEK TQFSRWGECRYIIVYDSHSSEKKDAVAPLNTIKKFTNEGWNGRAYILKGGYKAFSAIQ SDAIDQKPTNDTQNSKNLSLGSLFPNAADVAGGCEMPKTKSVANPFFSNIRQNMDLVG GVGQIDVRRPDDVDQLADDFLPSWLRSATAKEDHGKTVSDKFLHIEQIEQSRMQNALS SRVSYGTPTARSDNDVQIAGFEKGGKNRYNNIWPFEHSRVRLQGRAEGVCDYVNATHI KPLWSNKRYIASQGPLPATFEDFWSVIWDHDVRVIVMLTAESEGGQVKCHPYWSAQEY GRFKITSSTEKKAPLEPIKHVRSIRKESFGRRRANTVMESTIPEASPGDNPDVVVRTI TLSCSTQPHVPARQIMQVQYSSWPDFGTTARPSQLLGIIELSNSLQHAALPPAVAKQI NSEEPDPDQSLPPILVHCSAGCGRTGTFCTVDSVIDILKRQRKETLSGVTPMDISAAE ETDYLTTKHASKDMESDWLFNPKIDLIERTVADLRKQRISMVQSLRQYVLCYETVLEW IVQQYQAPPKRERSGSESLASDDRRG VE01_00486 MALDSFFHNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDISDNVDIAKLTVGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPTVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMFALRERRVHVTQEDFDLATAKVLNKHDDKEVSLGKLWK VE01_00487 MSSKDSSTGKAKASEGASQAQEQESGPSQTPVGSSTLTGTEAGH PLHRSQSITKESEDLLSSSVKSTDTVRRRPEAGTSGYGSISGPSNPNPSQGSERPTPR KIDSARSIQSAQSPVLGPERGRYQRGQKPAMTRRVSSTPQGPHRGQEFSVDDDANEIE GDKALRHGGIGGSVSGFGTTKSSLRRRPTATGAVPREDSNREEDLRATGSGFTGRSDG IAEEGSASDESQETIRKNDNMDPDTTNLDGDDDNDDDEDGDISDTESFTLKDRQEAIN ETHPFGIRIWKPALYKKDRSVQKIAEGDIHSAPGGLVSRWLLLFNIAWTILFGWWLAL AALAGALVCFVFGAAPGALEYGRVLWGLSGYIFYPFGKFVRLEQDEAYANEDEGEGRS VTEYERWQSGDLEDGRLFFGPDGGNRSIVGRRRGSLDSVATETDSLLGRTRRGGDRDS SVPRMKRRLFGRGQWNLGRVIFFIFFYGLITPLLFIVSGICWIMVFSIPMGKVTLLLI YHLRRHPLALSFQSDTSYSRGGPNSSILLCTYRAVGLKYWKYTVDGTNIFFINLMAVV MFVILDYWVLREMMELDLAITQPAFLFVGALLSIIPLAYFIGQAVASISAQSSMGLGA AINAFFSTLVEVYLYCVALNQGKGQLVEGSIIGSIFAGILFLPGLSMCFGAIKRKTQR FNAKSAGVTSTMLLFAVIAAFGPTLFYQIYGTHELSCLSCIDTESEGPSRDCRRCYFS QTPSFDDRFYIEAVRPYCWFAATLLFLSYIIGLWFTLRTHAAVIWNIEVDEKKIHAQD ASHLLATQQAADRALRSHQLNISPDTARTAEIRDSPMYKKFVGQPLKQGATPADSPGT SHNGPVTTPHMVPPRASLDNASSPLTEGATDPTVDTAQHTDGGKKVASHKASPLPAMD VEVAAVDVAVTGAHDAPNWSRTKSSVILMSATILYALIAEILVDTVDVVLQGFAVDEK FLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVLFSAVTA AYVEGGDAVEKTFNLIFPQWDMVTVILCVFLLSYMYGEGKSNYFKGSILILSYFVVIV GFWFSSMADTDSMGMSRFDVIRDGAVFATVGRGKSGVAFQRHA VE01_00488 MSRSIAQTPYEERQSTHPLSAYLYRLMVVKESNLCLSADVSSAR TLLRLANSIGPSIVVLKTHYDIVSDWDSDVETGTGAQLAALAAKHGFLIFEDRKFSDI GSTVQKQYTDGPGKAVEWAHITNAHILPGAAIVSALADAASAWREKKKYEVKTDITVG TPRPESLSEDDEGKDDEDIKNLKALQARRKASIVSITTVSQSYEPANSPRAPIPECEE DVIFSKIEEAPLDRGLLLLAQMSSAGNLLDEAYAKACVEIARNNKDFVMGFIAQETQN TAADDQFITMTPGCQLPSGEEQRANSDGLGQQYNTPKKLIGEKSVDIIIVGRGIIGAA DPVTEAERYRRKGWEAYTARISA VE01_00489 MREAFTGLPALVTRIARKAPQVVENVINARSNPLNLDWDPAPSP EDGPPLSAGALRDKSYLPAEIGGIVGAYVFVVITILLALFFVGRRLRKARELAAQNDV EMLVGHVINPAAYYPSPISPTSAATAFRTAAWPTAEKTQNPYVFPSQNCSPVSPGTNP GVDSRIIQADREILNRGLEDLYAHVMEQEEAKAAGLNIADMPAPTPLPSASPQRQSTA SSKRLEKIKPANLGSTEKTHSRTSSLISSLKSPKRKGIRGLKISSPMATPLSTTFPAT YASDEEPLSPRHYQPPPPPPVPEGQMPYQYHSRNNSSVQTADPSPISPTRSIAENLAS VSMGPPPNNRSVATNRPLNLTIPGPVSVNPSSATSSTRQLPFRAFDPPPGLSSPSFSH STKTTVLERTTPLSPGLKTPWSAGATPYSPYQPFTPLVPITPRLITREDRKAMRRMEP KSPVMEMVKDTDDLWDSGY VE01_00490 MAEITITDWTTRDVRFPTSLDKTGSDAMNAAGDYSAAYCILQTD SEYSGHGMTFTIGRGNDTVCHAIAHVAERLKGRTLSSLVANMGETWRYLVSDSQLRWI GPEKGVIHLALGSVVNALWDLWAKTLGKPVWQVVAEMTPEEFTRCIDFRYLTDAITPE ESIAMLKEMEAGKAERLQEALKNTAVPAYTTSAGWLGYGEEKMKGLLQETLAQGYKHF KLKVGTSVEQDRKRLSIAREVIGYDKGNILMIDANQVWSVPEAIEYMEHLKEFKPWFI EEPTSPDDILGHAAIRNALKKYNIGVATGEMCQNRVIFKQMLQYGAIDICQIDACRLG GVNEVLCVLLMAKKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKKSVLEYVDHLHD HFEHPSKVENGHYVTPTEPGYSVQMKEASMVEFGFPGVEGSWWKSEAAKPILNGDKF VE01_00491 MAEHVSRPLVPGVYVPTVCFFHEGSEDLDHETIATHAVRLARAG VAGIATQGSNGEAVHLSHTERQQVTSTTRKALADAGYSDLPIIVGCGAQSTREAIQLC REAHRSGGDYALVLPPSYYAPLFAPSSKSVLKFFNDVADSSPIPLIIYNYPGAVSGLD LSSDIIIELSKHSNIVGVKLTCGNTGKLNRIVAATKSAKPSSNVPSFLVLGGSADFTL QTMIGGGHGILAGLANISPKACIKTIDLYKSGNITEAQAMQEVVAKGDWAAIQGGIVG TKAGMQGCFGYGGYARSPLPQPTKEEGQRWTNMFKELVSLERSL VE01_00492 MSTPISIPRTAPIPIAAKPNHAKNDTPAKESIKPKASSSMRSLI VDGDLPNTRTRKDRPCDACRRRKSKCVIHEGQVACVLCKFHKQDCTFVQSPQPRKRKL VPEEGKEVKEDSGAKRRSPDRPEEPSKRRQPESSNSSTCAGSLIEDMANIGGPAMLKR TLGLQNDRYSQYIGPTTDFEPSLLDLSHFDPQDESLLSRGTLRKVSDVDTFLMLPDYN TPGYEHVIEDSDAVEAIVTPHGPALIDLYFHVIHPSFPIIQKTVFLEKYARSYQEFSP ALLAAMYILAINWWDHSEQLAKSPRPNVRELERLVRTSLADAMYRPKLSTIQAGLLLS QRPEGDQWAPTAQLVAIGQELGLHLDCSTWKIPLWERGLRKRLAWALYMQDKWGSLIH GRPSHIFASNWAVRSLTWNDFPDDHSDPGDDNDREDGEVLEHERGRLLFTEMVSLSQL LAEVLETFYTLAAMDNVTRAGTSGTHMVLSLAKPVQLKLKEWFAALPVCLKMENSHTN LASSPSPLSNGSQGSRLSSTGFLHLSYFATEITLHRRIVRSLTPAGPNLPPVDPYILH ICRSAAKTRLISAMDFVNRLTPSHLRAFWYFSSKTNFALIGTFGSLLWATAPGKEEAD FYRMRLKEYRWTLGVSARAGVGADGEGRGVKGLTEFALGMLDTSTGLLKALPEKPLLS RDNSEVGLGSSHSASLISLGGMMSSSARSGGGGYGFGFGMSDGQAGSGDVDSGLASPS DSTSSVEVSQGFEAFMAAHPQGRESSGR VE01_00493 MAKPKSQKREANVSNASPPLTQENFEKELKELAKKAKEETFFNH AVDWVGTTVRAVVILALAAASSSVSQLTLSPVYGAIPASIWHDKLVNAACFLGWSGSF WLRRNVRWRLIDLLPLIAFYIPAVQYFLFNYSNTFGATYGPLITELLTVAPLLLFSAS AVGLVLEEFGITGKWQSVPGIASFVFLKITSAWSANVIQSTSYKSVLLSRVSWQVQLA ASYALLAPSKLLLYAVPALLHTAIFNAHAQTPWATQALNSSLQAEGYRLIARQDSNTG YISVLESVSNQFRVLRCDHSLLGGVWTMDQSHNPVVGEPVYAIFTMLEAVRLIEAPVS VPDSEAHALVIGAGIGTSPGALMAHGINTTIVEIDPVVLEYAVKYFDLAPNHHAVIDD AVHYAAQVANDTTQRYDYIIHDVFTGGAEPIALFTDEFLRNLHRALKPDGVIAINYAS DLLQPSTHLIIRTVLAIFPSCRIYRETATPTAAELLAAGQDFTNMVLFCRARSDAAIT FREPVERDFLRSGARKAYLVPKHEILRGQFDLEDMRDVLRANDTATLEETQRKSAVGH WNVMRTVVPGKVWELW VE01_00494 MLSHVLKSLVVVLAFSAGTVSSQSSSNSLVSRRLTEYLMPAASE THEFARVPGTNFVLLSQMSDSQLIKIELNPITEEPIAFQSFPMGKNSKSGLHGVWPSE VYPGKMWLSLQWENKLVLVDPGQNLSAVPSIIKTIDIPEPGNGPHCIFEIGNRVWAGL KDASKQTGQYYVFSADISNSTDKKLYPCLKSPVFIKEEPATKLIYVTQDTESSIMRIN ATSGETSQLPIPPNVGSTPVGMATAHGPMNGVWFSLAGNATGGSGSFGHIASTGEMRF FKLKKPYLGTNAGLLHIADASTVAGGPALWLLSTSLLSTNSPDALILVTFDEAVTKIT GEEYISMLTQNAMVHRVVPMESTVLVSQLHTFALAQLSYNNTVAGHWLPAEALSNTAV YTEAS VE01_00495 MVYNDSDNPKPDRGFQDATPQSDSDRYDEFKEPPNLRRFEGTYL PRPFIGARILGLNDAKVASSVDQAIVSAQKTLGRPPTEEEASALAYWAAKHLSLFSYG PVAGFAGGMMQAYRTAPSFKFPFVKPNPETFNPKVFPSARLALVTGVEAIPFWHVARV SAYSTVGMLVGTMVFGVYSSSVATVGMVADPRLKDFLAHVKSKAENAPGSARGRSQQP VPPVPYGNQTRPIEQVIGDDASPNSDSYWGTSNEEGPVDGGLLGADTARESQVPAQRP VGAAPQRRAQPAPAAEKEPSYFDDASPTGGVGVTDEMPSGGSAWERLRQQGSSSQSSR QPRADAPAQNGWGNGADTASSSQASEGRQMSAREVAQREFDEQIERERQGGSFNSRG VE01_00496 MSTFDLFGDYDDARVYRDARECAAKGDAKNFVVDFGSRMSRIAS NVPPSGFKTLMTEGAAPRTKGAPTRWINIWAPDSQPDVVKVIGDRYGFSKRLVALLLT TPPPSSREAPAENWTPDNELDSPSADIEKAPPARSIHLNALKVGKSKTTNFFKIAANF SSYQSIDIGDKFICIGANWMHPIESDSGIIEPERLWSWLVLCHDATVISFHENPTPKG VVSKYIETVRKNTLSVLSQLSAIGSADGVELISLRQPAESNDTSELTGVEGASNLFYY LFDDWTATYTLLMVLQGNIEKLRQKIMAGLGENQPDALAKEIIPKLYEVGKLLRSNQH LFSGYENLISRILKYEEDKEKEPSHGRIDERVLVVQTARHRFERLRDNIKFLVLNYVD EAIGEIDGLQNTYFNITTQKDSMATAKLNSSATLLSKLGVLFLPVSLMTSYFSVQIKE LDGVYTVKTYWVTFAVVMVLSFVALFMFSRVLVGAGTAVAKRVDRVVDRVTGRIAKGG SPNRTREQEPYR VE01_00497 MDLILRPIRLASSRSARKAYLNTALFLATSATLFGLAVVAYVLF YANYIPKIGIEREVHLQYGGDASSPYGLVSLDNSVMPQQAYDILVEIDLPTSPANSEL GNFMVDMVMLSSEYKDSSRPGTSTSRPSDLHSWIPAGSILFSSRRPAILTFRSDVVSL GKQLAGLPLYILGLHRESERLRIPMAEGIVFEKGHKNAPRKVYLDIQCRHQQALQVYS MRLLLRAKFSGLRWLMYNHRIFSFVVFTTAFWAAEVFFALVAWILLQSTFNATEDQTS VVEQKLQGGSSKVKTEPFDDDILDTDDSDVYDGPRRYSKYDRWAPVRHEPQIKKEDDE KKTLFSVDARVYPKTEEADDESDEPTYTSGMFKEGRSASRVETSFSESKGGAAATAAQ RRRSRTAREPSPEY VE01_00498 MASQEDLRDLLRLMTTGRNKVPMMAAMGRVKSLQAANIRSIADI AKCDLAQVTEAIGDDKAAKQLHTAAKNSIKDPSKKRAAPEGLLSTQAKRNKSAYELDH EPQTPEELEAKLELPAPSSDEEEISKTTIVTNRAPLVLAFAVALLKFTKPEQPLSSRL SLAQAVVSANSRSKAVSIGITKGTSAEDDGWGQGQPKVRVMGREVHVLKRDGYYVGDS GGSNSNQESSAANSGVEIPGSGEGPDSTNAAPDERDNATMDGSRWAVSQSVTLKKSTF SARAIGIKSPSDARSLKQELISGNPELRDASHNITAWRVQGDHGGIIEESHDDGESGG GRHILGILQSMDLVGILVVVTRWYGGIMLGTDRWKLMTQVCHDALSQRLRVAGTIGNE ALWGLDLEAMRSTNSSGGSIGAGLPIHQPDGARSYIMKAFAPSGDSTTKKKTGVALER EKERNLGLLFGALELLFGSWVEHIGADELDTRAWSWYVQVRPEVESGAAGWGGKGEVK LSDILGLRRKG VE01_00499 MSLFGQTASSANQPAPSQGTNFFSGLNAPKPAQPASSPFGNPQQ AQQPTSSLFGSTTAGQSQPQATQSLFGSTLNTGGQQNTNGALNTQQPTDSGRQSQGPN QQTGAYFDTLLQKSRKRTHGDNSLEELPSLQLGLGDLRDRIKRLAPPSMTNGADGRAH YLLAASGVEPGSAVRDLSQFDSQAKRQDWASQPADADTDVDSYITKLQTQTTLSMIAE GLSRSARDFDRFLEENASMEWDSQRNRIYQHFGIKSRAEERATKNGESTTNGSGGFGR SRRNKSTRSEENRNFDSLSGSAYQRQSMQRSVIGTVGPVGSLQKSLATDSDGKAPAYT TSSMPSGRLLREKEIKFAEKINHLNESRLHKSAYPLLHEFGAVEAQNPGDHTEQVLNA YKAVVEIVGEHPDLRSFSEPNVVKERQFASAWTDEAPNSVAAIDLRKRILRGTARHLE KQCFQTMEVLLAKNPREANLGGIPNVLSKVKAYVRLRASRKDLAPDSTGLQTLDGEAV WAIIFYLLRTGHVQEAADYVHANKNAFRAIDRYFPHYISEYNGNADRRLPPDMHNRIN NEYGQRFRIAPENSVDPFRMSCYKVIGRCGLTEMTFAGLHQDLMDWAWLHFVVAREVN LAEEHAGDVFTLTNVQKSVKDLGDLLAAKGPVEQKASSGVYFYLQIICGRFEYAIQSL YEMDYAAALHFAIGLGYYGLLNVSDPIASEGRLLTTSVTDRPQINFAQMIGWYTRDFR AANVTAAVDYLTLICLNGDLPGGIGSQQVLACHESLRGLVLESREFAKLLGDMRLDGQ RITGAIEERMKLLHLVDTDDFMRTVIMQAASIADDSGRVTDAVLLYDLAGEYDNVITI TNRALSEAVAVEIGEEKSSLPSFRPGPDQGIPGQRGSLSLTSVEDPVKLAQTITAIYN GKPMYHEKITPINKETCRVLLRMNEAKSKVEAQNWTGALDIIASLQILPLEAHGDSNI IRQFAGKYAAFPETITRNIPNLIMWTGRSCEEQRSRLANSQYNSNDGTRRHMIEDLKR KAKDLTMYAGFLKYRLPPWVNDLLARIAAE VE01_00500 MRLSALLVLCTSAIVAHGQSDTDHPSSTVVSIQPIEASTSSIDS LAQIQYDPTTLEAVIASYDAPDVAPGAGLARVGIYDKAANAWASSTSILSLENFTKGY APVITLSLGPDGNVIGVSCKSEKIDAGHTRDFGPKVTVRRTAGGKKPNLNRPIALSKE GKVEEEEPEKTFLQKYWMFGMGILLVLVLSGGGDK VE01_00501 MRFGQTLANSVHEPWKEQYLDYAKLKRLLREDGTGGDNKPWTED DESRFCEEILNTQLGKVAAFQESTFQKLEERANDVSERLKDLAPQGADTADIAKFKEI KNELDSITNEANQLKKYSALNYTGFLKIVKKHDRKRGNKYKVRPMLQINLSKRPFNSE QAYTPMINKLSVMYFIVRQNLEDRADKGLPNIGDKTTASTADMPPQPHPQNREKYMAY KFWVHPDNLLEVKTYILRRLPVLVYSEQSANQADASQGDPTITSLYFDNSQFGLYSQK VDGTVDASSLRVRWYGKLTDKPELLLEQKTIYNDGNSEEKRFPIKEKYVQPFITGEYK MGKHVEKLERQGQPEEKVADYQRSVNEIQEFIKERNLHPVVRANYTRTAFQKPLDDRV RISIDTELAFIREDSLDPDRPCRNPDSWHRTDIDNTQMEFPFPNVNQGEISRFPYAVL EIKVKENSGKKSPQWVEDLMASHLVHKAPRFSKFVHGVASLFEDYVNSLPFWLSDVET DIRKDPHDAFAEEELAKAQKAEGELLVGSYMASASNRKDSYKAAVGSPLGKSYMTDRL ALEAATKSRAMADAASKGKGKGRAQDNESGDQDIGTISGGYGTTSSVFPSFSLSRYAQ SRRQHKAALPPGVTKPGVLIKDSGPLQVEPKVWLANERTFLKWQHICILLGALAVSLY SAAGENKLAEYMGIAYIIIAAFAGFWGYYIHFVRRNMIIARSGKDFDNFVGPLVVSFA LLVALLLNFGFKYHAALERLGQNGQVINGTASSSQIVDNGVHSEL VE01_00502 MGRVDDFDDRHIQTEAENEPYNQYEYQTKDNESWAGALPVKQGL YDPALEKDACGVGFTCHIKGKASHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTS IPHKFFIKNFERETDIKLPPLGQYAVGNLFFKPDEEVLQDSKKQLVNIAESLGLRILG WREPPRDSTILGPAAASREPVILQPFVVLTSAYGPGNAPETTDPDQFDEKHFERQLYV LRKRATHTIGSHNWFYLCSLSNKNIVYKGQLAPIQVYQYYYDLVNADYEGHFALVHSR FSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMQSDVFGDELELLYPIVE HGGSDSAAFDNVLELLTINGVLSLPEAVMMMIPEAWQDNPAMDPAKAAFYEWAACQME PWDGPALFTFADGRYCGANLDRNGLRPCRYYVLDDDRIICASEVGTISVDPERVVQKG RLQPGKMLLVDTLAGRIIDDAELKATVSNRHDFKAWLQDQLISLPKIHDTFATAGVLD LAPKPTSSAIQEDPMLKAFGYTFEQVSLLLGPMATDEKEALGSMGNDAPLACLAQAPR LLYEYFRQLFAQVTNPPIDPIREAIVMSLECYVGPQGNLLEMDASQCSRLLLPTPVLS IPDLNALKDIATLHPQWTVKVIDLTFKKEEGVQGYIRHLDYICSEATAAIENKDRIII LSDRATSADRVPVSALLACGMVHHHLVNNKWRSLAAIVIETAEAREVHHMCVLLGYGA DAINPYLAMECILKLNREGLIRKKLSDDTLIRNYKYSADGGILKVMSKMGISTLASYK GAQIFEALGVDDSVVERCFKGTATRIRGITFELIAEDAFRFHEQGFPSRSTTSIPGLP ESGEYHWRDGGEPHINDPTSIANIQDAVRTKNDKSYEAYSLSEYESIKSCTLRGLLDF KFDECTPVPIDQVEPWTEIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGG EDPERSKQMPNGDTMRSAIKQVASGRFGVTSNYLADSDEIQIKMAQGAKPGEGGELPG HKVSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSET GVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLR GRVVVQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIFMRKCHLNTCPVGIATQ DPELRKKFKGAPEDVINFFYYIANELRAIMAKLGFRTINEMVGHAEVLRVRDDLRTPK TANIDLSLILTPAHQLRPGVATFNVRKQDHRLYVRLDNKLISEAELTLDKGLPSRIEC DVINTDRAMGTSLSYQVSKRYGEEGLPMDTVHVNIKGSAGQSFGAFLAPGITLELEGD ANDYVGKGLSGGRLIIYPSRAAVFKAEENVIVGNVCLYGATGGSVFFRGMAAERFAVR NSGVTAVVEGVGDHGCEYMTGGRVLILGSTGRNFAAGMSGGIAYVLDIHQDFMSKLNM EMVEASAIDDPAEIAFVRGLVEDHHHYTGSELAARILLDFNRALKRFIKVLPVDYKRV LAEEAAKAAEAKQAEFQLPLLHKAEDRGSREGASGNHAHGKKQDLQDIEETVGDAAAE KKRSLVLDKTKGFMKYQRRSEKYRSAKTRTRDWAELSKRLDEDELKYQAARCMDCGVP FCQSDSGCPISNIIPKWNELVFQNQWKDALNRLIMTNNFPEFTGRVCPAPCEGACVLG INEDPVGIKSIECAIIDRGFDMGWMVPQPPKVRTGKKVAIIGSGPAGLACADQLNKAG HLVTVYERADRPGGLLMYGIPNMKLDKMIVKRRTDFMASEGIIFKTGVAVGEDVELLD LKKENDAVVIATGATVARDLPIKNRNLEGIHFAMQFLHKNTKSLLDSNLDDGSYISAK GKNVVVIGGGDTGNDCIGTSLRHGAKSVTNFELLPQPPPERARDNPWPQWPRIYRVDY GHTEVKQHMGKDPREFCVMSEDFVDDGNGTVKGINTIRVEWTKSATGGWDMKKIEGSQ QFFPAELVLLSMGFLGPEDRVLGDEIEKDARKNVKTPPGKYSTNVEGIFAAGDCRRGQ SLIVWGINEGRSCARECDMFLENSSLLPVTGGIVKRSAHEILQSSSGLSVSA VE01_00503 MMCSLPSLAPRDSHTLWYSSNHHTNDFPGQHDAITNVDHLSPNA SSGQNAGPHPLRRGQQGLSSRTPLARLRADELYMERRKQNVQNYGSSWIKPPGVVKSL HQLREEKRELEEHQEALRREQLAQELAEAEAADELLQGEGGDGEMEEARDLDDDIPEA DITGLGQGESGSEDDLDADESAGATMAQRIPDEMYRDALVRGNEGHSHGYGSEGGLAS EDEDGSQMLQEDDLLHEQGLDDNADLDMDMDMDADLDSGVPESAMGGYEHTDTEEELT SSSDESEGEGGLPFGRRANEGLRESSGFQSSPRSSNLAPRTLGSDRRNSSFREVDDDG SHHSSQSIN VE01_00504 MVVTDTAAVEEQERWEARGNRIGQQHPIQGNFRGQYSDATLNEL ESQNDGQVEGILGKVKQLKDMTIAIGDEIRESSALAEKMNDSFDNTRVRLRGTMNRML LMAEKTGVGWRVWVGFFAAVMLLFIYVWLF VE01_00505 MSEINSSTTQAQGGDPHGTETGSNDKGKGKATDPTQDVSMGEDD DEEDTSDDEVDEAAPVVDEADEDNMEEIDTSNIISGGRRTRGRQIDFAKAAQELPEDE EDEEDDGDFEAPADEDKMDE VE01_00506 METSLSQPILAQILHPGSPTEHITALRTLKNDIIGHQQRKETWV GLGILDSIVQASASTESRQDSKLGQEPAWDTESLSEEETLRLQALSILGSLAYGGPPF IEPLHCAFALPAILSNLCPSTNPPQLVAAALQALSNLTESTCLSLASSTFSITSLADS IFSTSHVGSMYKIFSQSSTLPHTRSQVCLAASLISKLCQNERHRSILANAGLLDALAT RLAGIIAIQGLVIPGADAAVEKEGLLNKFPYAEGSEGADLAIILECIGVIVADSKLRA CQLIYSSAILAVFPLFPTTDFAPNRSTKAEWAAYNASDPSGRELQMNAVDYLIPNVST SYTNSVSGIGSAFPPLGTQGPSDSIMQDSGSKTAAWPHRNLASTELPPGSSGVGDAEE PESPLVPYLIWLTRSSTDIGRLMAAYLLAGLYRAGVTAKSREISIGLLVIPILVGLLE RAAEHSTTNDARQTAKLWLLMERTPAVIAKLIIDSEYLQKSAYEAGIISKLAKMMKSS YDRVPTNHEARTWSSGGSSSEVDKDSVHTSRSSKLGDSGLSALLVHKIRVRESVLRAI AALVPFKDEYRKSIVDQGTMPFIVESLKPHPEKPSHKVNEKSETTLKTSAGEASSLDS GFGINPTSVLIAACGAVRHLSRSVSILRTTLVDNGVVMPIFNLLSHADIEVQIAATAT VCNIVTEFSPMRETISAAGVLKILCQHAHSANVNLRLNALWALKHLVQSAGNDIKMAC VEELGQGWLVQLICEDTEDDAAYTSSTRSTVVDQDNDVHMNYSDDEDYKQKMADNATG VSSANKSPGFSRKFPESERAKSISGSTSQLVNSRFAALQKGELSLGKKARENDTAVQE QGLDLIRNLIGAYNSTGPAENSDMIDFLFHSFGQDQIFEILASKLRPKVINPFSKRGS DIGSGNGETRIVPPQPEIITSVEYILANVAASVPRHRQQVVAQTELLKLVLEQSSHPD KEVRLALCWLVINLTWVEDDQDTVTRTQRVRELTKLGFLAKMEVLERDCDLDVRDRAK TALWQMKQTTVPASSR VE01_00507 MSIHTPNPQFTEDDVHKSQDTSKKEMWSSMLDSVASGKRLPERN VFVLGGSSESQKEFLEALSSESSTKRSQGRHGNRKPPVANDFALGYTYHDVLDADHED TIARLSLYLLADSSPTFTPLLRSLLTPESIPNTLIVVLLDWSEPWLWLQQLRDWVKLL RVLLVSLSPECKAKMEEVMIRWRDRGKGTALDGGSSLSSEGEVSLPLGPGEWEDALGL PLCVVCQNSDEMETLERESAWKEEEFDFVLQTLRTILLKHGASLIYTIPSAPSQLRPL IHSSLGIQPLLKKLTLKHNVIDRDKVVVPPNWDSWGKIRVLRDGFDVERMSQGWTCDI EEDPVYTSKKKMESDQSEPRTDSQTSGGRLSAISTYEEAIRDPRRDGASNMHVNSTGQ KLEIKSQETQAFLTAQLEALDHIRNTAEPERERSTRQKFFQDEAIVPVESHIGPVQCN MGGIEVDVDDMLKRLTDHNRNLDTETPSVSTPDGKSQNEALASFFAGLVKRGGGSAAN SPKPGGV VE01_00508 MNSIRQVQELNRRELENGVSPEASWHSDYKDTAYIYIGGLPFQL SEGDIITIFSQYGEPTFINLVRDKETGESKGFAFLKYEDQRSTDLAVDNLGGTKIMNR VLRVDHTRYKKQDEEPDKGLVLDDKGIRSNINDSAGPNNHGKERERLMSKEERELKAL EDEHDDEDPMKEFLIREKREEVAARLRRGQDEKPRSRDHRERHHHRSRRSSRSRSPRE RAHHSRRDNSRKRSRYDSHRTVREDEEVKYARGSKEKF VE01_00509 MNTRPTIEPSVSPVALYAAFNDDATCFSVGLDSGFCIFNSDPCE LRASRDFNGGVGAVDMLARANYIALVGGGKQPKFPLNKVIIWDDAKQKAVITLEFGTA VRRVRLTRQRIVVVLQNSVHVYVFSSPPEKVSISETADNPLGLCCLSSQLLAFPGRTP GQVHLVELETGGVRIIPAHTSPLRAIEISPDGEIMATASETGTLIRVFGTSNGARIAE LRRGVDHASIFSISISPSSQMLAVTSDKSTLHVFDMPHPSKVARPDSSNPNRRLTSMG SGSPSPVGDEAPTQKWGILSRIPMLPRVFSDIYSFASAHFEMGDAVPQESSLLDSTSG ASRPRKGVIGWTSDHSLIVISAGRDGRWEKFILAEGEDGKRYCVRDGWKRYLGPS VE01_00510 MSSPLGAMSQIRIRKKKNVKKGIQFSIAVVGASGSGRTTFVNTL CGKKVLPGKDDDDAANAHIEEGVKIKPITVELELDEEGTRISLTVVDTPGFGDQIDNE ASFGEIVGYLERQYDDILAEESRIKRNPRFRDNRVHALLYFITPTGHGLRELDIELMK RLSPRVNVIPVIGKADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEE NAELRGLMPFAIVGSEEVVEIGGRKVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHL ADLKEITHDFLYENYRTEKLSKSVDGGAGADSSMNPEDLASQSVRLKEEQLRREEEKL REIEIKVQREINEKRQELLARESQLKEIEARMHREQTAHLEATNGHAEE VE01_00511 MADTTKYTQARQRDSLDDAHYTQAPPSYEDQPSSSRDEDALLGG PRNSEDNVPDDFKFGGSVAEATIDIRMQFVRKVYAILTVQLLATAVLSSISFFHSGYK DWIQSNQWMMWTSMFGAIGFMLLTYWKRKSYPTNLLFLAGFTGLEAYAISVVVSFYQS RLVLQAVLITAGLFIGLTLFACQTKYDFTSWMPYLFGTLWAVILFGFMAAFFPHNSTV ELAYSGIAALLFSAYILVDTQLIMRHYHVEEEIAASISLYLDILNLFLAILRILNNQQ NN VE01_00512 MNGNMGSSMRGRSGGMGGMSMDNVFGDPFALATLSIALLAWLIA LIGSIVTDVNGDVQSYAWFAVFYMLACIIGVFVVIASNTSATYSVAVVGFLAIGFTLT SSAVNGVIFKSVGSMNAMAAGNILLCMVIVVWIFYFGSTPSAVSRAYLDSFALHKEQP SASSNRGMSHAYGTGRPDTSISRQAPQMYTSAQLNGFETSSPVQSGFTNAGMGTDKGS IQQRFGNSPAPVNSGAGAPSQIPEQTVPEVVAPTEYPYRAKAIYSYEANPDDANEISF SKHEILEVSDVSGRWWQAKKANGDTGIAPSNYLILL VE01_00513 MDHDADDTARLTLDLLEARLRQAEYTIYGHLDGNANSRKRKSVA ERLHELEKGLDVITAKSKVAQDLLKLRARHPDLFYSPDSEVPPSLLDLPSKSAIVLSS AASFPLTASSLTSIRDTPIPEAERSAKIIATRPQVSELEALQAAQTKTIASLKERTAA VLQRWYSVDILQSGEHWAEIEGRIDTMEQGVRRAEIARQEEEATG VE01_00514 MAAYSTINTSDALNPMDGPEVGGGRRQRPKRTYKDAAFSGQASW MSSNINLVNTIIGAGTLAMPLAMAHMGILLGTIVIIWSAITASFGLYLQSRCARYLER GHASFFALSQMTYPSAAVIFDGAIAIKCFGVGVSYLIIIGDLMPGVVEGFNPSAANVP FLVDRHFWITVFMLVVIPLSFLRRLDSLKYTSVVALISIGYLVILVVYHFAKGDTMAD RGPIRVVQWESAIAALSSLPVIVFAYTCHQNMFSIVNEIKDNSPRTIKTVIGASIGSA CSTYILVAITGYLSFGSGVGGNIVGMYVPNVASTIAKAAIVVLVIFSFPLQVHPCRAS VVAVLKWRPARWTKSRETSVSPSRAAPLLPGSTGHSGRAEVIGDVKFAAITTVIVVLS YIVAMSVSSLDTVLAYVGATGSTSISFILPGIFYYKISSPDSIHHQRLAKDDEDSASA TNGLFEESGAVRKASHKEAIIRYLALGLVIYGFIVMATCLITNTYFLIAR VE01_00515 MVVKIRLARFGKRKAPFYNIVVAQARTARNSKPLEVLGTYDPIP KKPEDGEGRPFKDIRLDSVRARYWLGVGAQPSDPAWRLLSMVGLMEPKFRGNQSAKPA TGEPGKAV VE01_00516 MALKTLSAKSAAALDQDLMTVGAFSIDQLMELAGLSVSQAVYRL HPPSRGRRILVACGPGNNGGDGLVAARHLWHYGYKPTIYYPKQSKNDLYQRLSTQLKA LNIPFVDDFHSAIEETDHVVDAIFGFSFTGEVRDPFGPVIEALAKSRVEVTSVDAPSS WNIESGPPSSGPGKDFNPTSLVSLTAPKPLTKWFKGRHFLGGRFVSPGIAKKYDLSIP EYPGIDQVVELDNPNGKL VE01_00517 MSNRYQSNRRDYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTI EDSYRKQIQVDGRQCMLEILDTAGTEQFTAMRELYMKTGQGFLLVFSITSRSSLEELS ELREQIIRIKDDEHVPIVIVGNKSDLEGDRMVSRSKAFALSQSWGDAPYYETSARRRA NVDEVFIDLCRQIIRKGNDVTQEPEYEPEVPKKEKTRRHLLRRKDGPRCTIL VE01_00518 MSSAQNQPLSSSHTSPFLNNATVKHAMDAPVFVPQGQQYRAAPN GSQAAYQQLQMSSMTAFDRDGASNPGQQGASTLLSSTSAILIRKLPLKTTEDSLRSMV VWSKEFTEAEVLPIEKSEDAGFRSAILHFKSPAGAHEAQRLLNGKPNISNDAQMVVEI FPSSPPMPRKYTVDGASNSTFNGGPASNTSSASSAGPTPRQSSRFNDTFQTMERISPT NTTLYGSNELPNPDSGTHYQSLFSPQSPIGNHLSERTHISGKTLINNDTMDDDETGEL LKDPVAYAENGAASAQPRRATIPQLPISRMNSLSLNTNHITPAPSAPNYTASTHMPSM QPQTATMSPTMMSNGAPPIPYQLGNQHYPRHSFPPVNPADQNPPCNTLYVGNLPIDTS EDELKAMFSKQRGYKRLCFRTKQNGPMCFVEFEDVSFATKALHELYGHPLHNSVKGGI RLSFSKNPLGVRSGQGSGPPGPPAPPGIMQNMNGMNGMMNNNISGPGFSTANGPPPGL AAPPGLGPSRVQYGSIMNPMAVTNGHYGVAYGGGAGNGWNGPPYNGPMAAAMSQAATT NGANNGFPPAYMMGR VE01_00519 MSTSTSSSAALPSPPFIQVEGLPNLRDLGGYPVPGSKNSIRRGV IYRAGAPSSKIDEDGWTTIKSLGITHVYDLRSNPEIEKAEAAGRGGVVEFEGSKRVFA PVFTDVDYSPQNLMVRFKNYQSGSPEGFTAAYEDILKNAPQSYTQILRHIAQWPTSPL LLHCTAGKDRTGVLCALILSLCGVDDETVAREYSLTEVGLAHWREEVVAGLMASHTIP SDRTGALNMLSARAENMMATLAMIRERYGGAEGYIREHCELSDDEIGQIKSSLVVTEA PVHQL VE01_00520 MPNESYPPSREAPPPAPSQNQTSDADPHTTTTAADPSSPPDEKR QRIRVKNRRKMYLDTHPSYFDSPDLEIVDPLLYDRCVRRFQTNAEREADGRAKGYSGV LEADLYRSEAKLAALSGRGMGDDGAERRQEDLAYVPGPDGQVLPEDEDEVPKTKEEGM ERWREAMTLRFLKGGDEEFDYGEVDGREEWDVIEREDAEEHWFEEEEPGWAEGSPEDS EKRGETGIQDF VE01_00521 MPPSLIPKNCSSILSSSQSSCRTGTIRVAQPLRSFSASTKNQGP TYLRRKLYAWLHGPGAAFKEPIEGANYLGAYDNKGNLKRAATATPGEPLPPPRASDLK PFPKNDDFVSQPVTSEALREAVWERIMKNGLSVKEVSKELGIEMSRVGAIVRLKEVEK AWQRQGKRLAKPYSRAVMAMLPQTPYPNTSWTGVVQPHESINDLPVHAATQQQIFYPT SESRQFTRVDAARIFADGLLPADLRVPHPELIEDERLRLEGVGAKDIAERAEARSKEA YEKKAAFDERKRAREAAALKVVPGVRWDFKFREVSVDAAGETGRGKNGTGWRYGVPLY DRRRGEIKIPTRVA VE01_00522 MSASRASRKLLASTLRASQHRAPVTATLLTSRSSIRGAATFVPP HQANAISVLPTNVDPSSPEYQENAKQMGEVIAKLETLTKKVRQGGPPKARDKHIARNK MLPRDRVTALIDPGTSFLELSSLAGEGLYGEDVPAGGIITGVGVVEGVTCMIVANDST VKGGTYYPITVKKHLRAQAIAEENNLPCIYLVDSGGANLPHQADVFPDRDHFGRIFYN QARMSSQGIPQIAVVMGPCTAGGAYVPAMSDESIIVDGQGHIFLAGPPLVKAATGEVV SQEDLGGGQMHTSTSGVADYLAVDDAHALILARRSISNLNWPQKPFPEPSTYEEPLHD PEELIGIASTNLRRPLDVHEIIARIVDGSHFSEFKKDYGSSLVTGFAHIYGHKVGIVA NNGILFSSSSLKGAHFIELCAQRGVPLVFLQNISGFMVGLDAEREGIAKNGAKLVTAV ACANVPKFTVVVGASNGAGNYGMCGRAYSPRFMWMWPNAKIGVMGGEQLSKVMEAVGK KVDPELKSRIERESEAVFSSARLWDDGVILPSQTRKVLGLGLMAAMGGKNEVKPTKFG VFRM VE01_00523 MAAPSVLLRRTLRPLSNIKPQSLAPLIASRNASSKHPKGFVAPT PSDLAELRERVQEFTRREIPEEVAAHTDKSNEFPATMWKKLGDAGLLGITVDEDVGGL AMGYQAHAIVMEELSRASGSIALSYAAHSQLCVNQLQLNGSPAQKEKYLPGLIAGDKV GALAMSESGAGSDVVGMRTTAKKVDGGYELNGTKMWITNGPDADYIVVYAKTEPEAGS KGITAFIVETATSPGFAVSRKLDKMGMRGSNTGELVFDSVFVPEAQILGSINRGVKVL MEGLDIERLVLSAGPLGLMQAALDVTLPFTHQRKQFGAPIAHNQLVQGKLADMYTKLQ ASRAYTYETARRVDEVGEIRTQDCAGAILYAAERATECALDAIQLLGGMGYMEEMPVS RILRDAKLYEIGAGTSEIRRMVIGRAFNKEYAGQGL VE01_00524 MATQLPDHFTAVSHMEQSEPLERQHPSESPVSPKGFTLPGGAPA YVNQPSSKNIPSSRNYTNDLPSPPSTIKTSPSALSPHYYQKGRLVSPPISRSTSLNGK GVEQVDDWREFTRRQREQFLGEKAHMEADRARAEEVMAEERSLWDKERALYEERIAEL EAQLAAFTGPSRKSPATSALPPPVDATQKAYVQQSPSITSDAATSRGSNLGSPLSRSV TQESGRNPDGSPFYAPAPQHPSRTFEGTSTIDQRVDDMFTLRETGIRVTSKELSESDF QKSPDSGEQFSPREDTVIGDSIDISLIQPELEGVPIKSSAVAPMFAAQIRSPSSTIMS PNKFSPDTAPPPRPSQSYDRHSPMLGPRKARDIIKQPEGRRLTMHAGHTPNHSVIHFD LGDSGVTTPKSSARSQESIPTVVEQDESPIEDPELSGPLGLTNDAPEDKQFLAALTSK LEEVAESERTEELDEQELSDGQVLQNALRAIGRVNGSRRDDDEDGEGLVAIASTDDIP MLKLKQTPNFGRPLGSL VE01_00525 MMSFNPLSIKAVVLLTAAIGVIANPVLKDRAISCDVVRCPSGTV CQVIDNVAQCVGGQKCGTAVCGAGLVCCNALCNICTKPGQFCIQGCPVTDPVVEASAG PVCGSKTCATGEVCCNPLMDICTKPGEFVVRTLAHRTRYAVMRAVANAPNLESPAPKK RAAQCAVQTPAHSAKYAATIAVAIAPSQAGFAPRNYALRRLRLAQPAVRMSAHLDRYA ATVAAGSALLQA VE01_00526 MGLAQLQAAPARRVPHSGHVALVDLVSVAVPQQALGFASMVKHL ATASQLATLTPIVHPVRFALLTAVALKMFASVQHSVAVTHCAFVIGSSPRFLAQSWQP SAMRAF VE01_00527 MTELNPVARQPRRNHSLVHQRVSSLQTFPEQIRAPNNTQIENKE IVEVPKPTELQRSPLHSKHLRATVPESIPPSKSQIIHGCTRERAIDSVKRWLEPVSGS KLGSCQERRSHSDSIQNHSEVTYSGNIPIPRNCTNSAPVEGGTRDTEKFAQALAIPLI QSSRNGEDHGTHCDDCSCSRSATPEDASTTFTMLSRQSLVENPMYRAHLKQNGIDLLP SYNHLPGNISDFVNHLWKYRNPIGPLESQIQSDKRLAKLRTGSAESEVASYFQEQLFL VSEQTDILKGSLKLPMSKLVVPGVDSELRVSIPVPDILYGYDWLGAFTDEEQVQLNSM VIPVFGNNDGLVFPFFAIEQKGDGPVSRGSLWVATNQCLGASASCVNIVERFNQLLRQ CNDNNDDIKGGNIDVGLPNSTSFSIAMNGSEARLYVTWKHDEFKYHTAIVDSFLLQRP MDFLKFRRYVLNILDWGMSARLTAIRNSLGHLREESRKMASRRAKARLSPSMEDRDNG QAQKRRRGYRRAVVDDTESSMDPLTL VE01_00528 MSSDQNLQERKLPGCNGPIIRDPDEKIATPNRGHAKHFENATSE VIASPISKNQDADLSVGKEEGGRATVLQEEFKEREKQISHLRERVGLSEDPHIRPEQE STNRFSWPSFRVVFREPFAEFFGTMVMVMFGNGSVAQVLLGGGEINAPRKNGYGSYQG INWGWGIGIFFGIYIAGDSGCYLNPAITMGFCLFRKLPWRRCPIYFLAQCLGGFVGAG VVYANNVNGIDKFEGHGIRNVPPSPTTIAAIFCTYPQPFLAKTSQFVSEFVASSILMF AIFALKDVSNPGAMGKSGPGPLFPLGLFFLIFGLGAAFGWDTGYAINLARDFGPRLMS YILGYGPGVWSAGGYYFWIPMVAPFCGCAFGGFLYDAFIYTGSESRLNRQWWGLKELY DNRHRLMKRGHLNSRKHEV VE01_00529 MAKDKGLDGGPQAVFAHALTIDETRDQLETNLEDGLARSQISSR AEKWGRNELDDGPGVQPIKILVHQIANAMILVLIMAMAVSFGIKSWIEGGVIAAVIVL NVVVGFFQEFSAEKTMDSLRSLSSPTAVVVRDGKTETIPTGDLVPGDKVELKTGDTVP ADIRLVDAMNFETDEALLTGESLPVAKEAKVIFDADTGPGDRLNVAYSSSTVTKGRAT GIVYATGMRTEIGSIALALRATDKKTREPRRSKDGKVKPHRYLEAWGLTAGDFIGKFL GTTVGTPLQRKLSKLAILLFGTAIVCAIIVLAANKFSNNNEVIIYAVATGLSMIPASL VVVLTITMAAGTKRMVSRNVVVRTLDSLEALGAVTDICSDKTGTLTQGKMVTKKAWVP AVGTYSVGTSENPVDPSIGDISHSAFAPSNVPQGDHEKVTPFADLLEDNTHLKDFLNV ASLANLARVYKSENTWKARGDPTEIAIQVFASRFDWGRDRFTSGDEPEWSQTAEFPFD SDVKKMSVIFEHKDGSSMVFTKGAVERVLGSCTEVHWDNDQGLIKMTEVFREEILLNM EALAKQGLRVLALASKEYTVPAGKNAPLDRKVIEENLTFRGLVGLYDPPRPESAGAVA ECHRAGIAVHMLTGDHPGTARAIALQVGILPENMTSFAKDVVDSMVMTASAFDKLSDD EIDDLPVLPLVIARCSPTTKVRMIDALHRRKCFAAMTGDGVNDSPSLKRADVGIAMGQ AGSDVAKDASDIVLTDDNFASILNAIEEGRRMFDNIQKFVLHLLAENIAQACTLLIGL AFKDQSGLSVFPLAPVEILWVIMITSGMPDMGLGVEIAAPDIMQRPPQSLKTGVFTME VMVDMVVYGLWMAALCLAAFSIVLFGFGDGNLGIGCNDAYSEVCDTVFRARATTFVCL TWFALFLAWEMVNMRRSFFRMQPGSKKYFTQWMHDVWRNQFLFWAIMAGFITVFPVLY IPVINHVVFKHTGISWEWAIVLIEAILFFAGIETWKWGKRIFFRRRAAKLAAAGGGDL QRNMFSQFLTMSESPSQEKAAEQGRAGAGQQQQQVEMQGQRIEQAQ VE01_00530 MSFMIVASSANMGAAIHMYIQPLIALLAANKALGDLHGCLPAPR LQNQRPFGVDRLEQIFRWTLEQVFLGVPAFGTVDPANMEAILSSNFKDFGMGLRREIT FPMFGDGIFTQDGGTWKQSRELLRPQFHFKKYADLDFFGDACDNLLEKIPASGGVVDL QPLFFRLALDVTTAFLFGVSIESLKAGEGSGEQAFADAFNIAQDYVARRFRLLDLYWL IGGKNFRDACETVHSFADKIIDRNLSHEMTDGEEDQYVFLQAMAKKTPSRTALRSQII NILTAGRDTTACLLSWTFFLLIRHPQVLEKLRDEIFKLDSNTDLTRNSLRNMKYLQNV LKESEFHRFLTHDNKS VE01_00531 MAGKGNIFVSRQSWAAATPRLIYACLVYSMGSIFFGAQPDISNS DGASFAGVQAFTPFARKFGEFNAAKRIYALPPALASLMNSLALIGKFLGTLLVGPLIE KLGHKKTMLITCVTQIVGVIIQVTSSTPAQYTVGRVIIYTAVGLVENVVPTYQTEISP APLRGFFVGSIQLFLTFGSLIAGIVNNSMSTSKTDAGWIIATALQVLPAIIILVGIPF TPNSPRWLVSKDRSEEALSVLQKLRREEDVANGICEFELAALREEGTKITHKQPWVAL FNSKNRRRTGIAVSIMSLQQLTGVTFSSSYGPTFYRQVGLGGKAFVYAAVNNGVSVVT AIIGMLALDAFGRREVTFWGNWIQALFLCLIGGIGSKANRTQSETDGMVASFILYAAA LHATLGPAAYITAAEIGTASLREKTMAFSTAINVVVGFVVVFTTPYLLSAPYANLGAK LGYVWGGFAALGAVWVWFCMPELKGRNLEEIDQLFDANIPAWKFSSFKTQGMSHDMAL FENQNEKLEMDDLEHAEDVDANANPKV VE01_00532 MAIFAHTPTFDVVVYGSTSGAVAASIQSAKLGLNVALVSPQEHI GGIQIEGLGATDIDNQDEVFNSTTVGGLALEFHRRISTFYNRLPRLEEVVAKNIKDTE VWRFESRVAEKVILEWLAEFDNIRIIFGSLAATDSVIKKGAEVCSIKLRTKQIVSGKV FIEASYEGDLLAASKVTTTHGRESSSTFDESLAGVRENTPYTQLTVDIDPFKIPKDHS SGLIYGISTEPFGKAGDGDKHLAAFSYRLPLTDAVENRLPFYKPDGYNPAHFELHRRF VNSGGKLYTPKVRLPGQKTDLIGSEAPLATDLLGMNDGWVTGSEEERKQILEDTARFT KGLMYFFANDDSLPLEFRQEWSRFGYCLDEFPDNNHFPRMLYIRDARRMVSDYVITEH TASMDNGETPVEDPVGVAYWPTDTHCVRRILRDGKVHNEGFIFKDGHRWRPFGIAYRA LVPRQEEATNIITATCPSSSHVGYGAVRLEHQFYALGQACANACDIALKKSIPLQNVP YGDLKKRLLEQGMILDVSKVGVPHFPDN VE01_00533 MSSVQVVRMPQTENLHSTAPNDTASKHRAPLFQIGSTPPAQSRD QSPDSRPIDLQSPIPEEEEDETGSEAANEPLTPTDTQPPHDFRHTPPDDLKYDTSQEA FSNVGKPPLLVNTAATPPPKSSSDRNREQRASVDESLYASPQRPTSAVDSGIKRGLSQ FFRRSNSQSQYPAAASGTSAIEDPSPNPSRGGSDTMLFQRSPGARRVSVVESSDTTRS NSPPSPSSTPRDKKATMLEPDASQFLGKKSRASSGIGFRQRFITFAGPKDLKEPAPRP RATSVDLRSTTQISKLKEPELTRHEWGFPAEAGTGLKARRMSLSLPDDFWVDVVDLHK EFSDQSKLIGRRGKTLGKGATSKVTLMTRKGGSELHAVKEFRGKRTGEVEEDYEKKVK SEFSIAKSLHHPNIVESIRLCTHNGRWNHVMEYCQEGDLFSLVQKNYLTGPDRLNDRL CIFKQLVQGVNYLHQHGIAHRDIKLENLLVTSSSKIKITDFGVSEVFSGIHPGLREAG GQCGKEMGEVRLCAPGICGSMPYIAPEVVVKNGEYDPRGLDVWSSGIVMLHVIFGGAL WPRAERGNRHYDSLVRGWEKWEAKHPPGATITELDYPHVVAFDQMVNPPALRRMLIAM LHPDPAKRIKMADVARNRWLRNIECCQVDTYEDPSTVIDASKASSSGYAGNNRARVVQ HNHLPPSNHTGHRFVRLPGSTAM VE01_00534 MHNLKRRIRAKFHRHNSTDSNSSSSDIQPQRLPGLSSSQRIPST SPPAWRSSSNDASSVSLECDPRYRGAAEPVPHPHPLAQPQGGPPGATANLDDHDRDTE TSTTLPSSLPTTAPSLSSPAANTPTEQEEGDKRIHDAEDLETERPELESNPELVEKQE SGTHHPEQQQQQLENPGADDPIDDLEPGNGIQMPVNPSDSSQPRESERRQSLLPTQQT ALIQTLLEIGKAANLESGRGEQGSASGQQSTINGSMLMRKIWVKRPNSSATLVTINED DLVDDVRDMILRKYANSLGRNFDAPDVTLKICSRGDHARDHSRDHGRENRHERILGPE EPISRTIDANFPGGQTVHEALIIDVPVRPAPRQSPRATLYYHDELRPSESGTDYFPPM PVTLVPSPHHQGGVSMPASASSSIPHSISVLGTGHIPNLPSPGRKYAARPRVSRVTSS SPPVINGQQTPVSVGASGRTASPHGARSRVHPVLAEHSKTPPTPKPPTPPEIEAIAPG IVAPPPRDSSPAPSSRPKKPKRLMRNLPSMPGGMLNGASVPPINVLIVEDNIINLKLL EAFMKRLKVRWQTAMDGKDAMTKWRQGGFHLVLMDIQLPVMNGLEATREIRRLERLNG IGVFSSASSTAPEKPEEPEGEDKLPTPILFKSPVIIVALTASSLQSDRHEALASGCND FLTKPVNFVWLERKVMEWGCMQALIDFDGWRKWKDFSAQEVQKDAPPKKSGGGGGKGK GKKSRMGAAREAVATSATIREEE VE01_00536 MAIIEEQPVAANVLGLLPQIWSNWKTKDTDGLPPAMVFLWAIAA VPFGTYAIVQHFNTPLQIQPQLLCTLSLISWVQILVYGNGWSWFKATIYGVTTAAIFG GAQAVLVLTLRPLYNRGIDYPMIIVGVIASLLVAIGLLPPYYEMWKRRGRVVGISLWF IFIDLLGAFFSLMALVAQHEFDILGGILYIAVIILELGILGSHGIWLIRTRRVRRAAA LEGKTFDDVLEEKAHAGTPWKFSERRFCLKESRKGGKGGKGGEDVEMQVERGDVVETS TNPSLTTASSINKNPAVAADGGQSGV VE01_00537 MASGTGPYQFSTPTRKPRRTYGLKIGYNTPFSKKKAEDSSTNSS PESTSSSSSAQSANFIAPFPSPSSSPASVTSSSSVQRPHTTPAQYAKMAVQAGRVKSV LSGDTIILTSIVNPAQEKILSLAYITAPRLNKTGDEPWAFESRDYLRKSLVGKNIQFQ VLYEIPTTKRQFGVIFLEDGVKFPQAVVREGWAKIKDAAGRKEDTEKAVQLLNELKLL ESQARAEDLGVWSPSSGHLDVQHDLGNSDEFMAEYKGQSVDGVVERILSGDRMLVRLI MPNKKHYQPMTLVAGIRAPSTERVNPSNQQVQPAEPLGNEAKAFVETRLLQRNVKVDI LGVSPQNQLIATVRHPKGSIANFLLAAGLARCSDHHSTLLGSAMPALRQAEKDAQVNK RGLYEGHVAKSKSGAAAQEVTVTRVFSADTLFVRNKAGVEKRINISSVRGPRTNEPSE ALFKDAAKEFLRKKVIGKHVRVSVDGSRPATDGYDAKDVATVILNDTNIGLALAQEGW VSIIRHKRDDTDRAPNYDELLAAQETAKEEKKGMWSPKAPAIKTYVDASETAQKAKMQ LQTLQRQKKIPAIVDFVKSGSRFTVLIPREGAKLNFVLGGIRAPKSARNPSEQSEPFG QEAHDFATKRCSQRDVEIDVHNIDKVGGFIGELFVNRESFAKALVEEGYATVHEYSAE QSGNAQELLGAQGRAKAARKGLWKDWDPSQDEEAESAEAAPENDTNGDSAPVERQKDY RDVVITNIDDEGKLKLQIIGTGTSSLDTMMSQFRSFHLNPTNNAGLPADPKAGDFVSA KFSEDGQWYRARIRANDRPAKVAEVQYIDFGNKEKIPWAQLRPLQPQFSIQKLKAQAQ DAVLSLLQLPVSTEYLNDAIAYITELTANKELVANVDYTDKDGTLYVTLFDPKTSDKL TESINSEVVAEGLAMVPRKLKPWERSFGDVLKALKKVETVAKDDERRGMWEYGDLTED VE01_00538 MAAAYPDRPIRPMPKRRLRERLSPNVADAIVYPPTAPAIAPLFH YPYHAPTEEDRSPKPYPVNRTREDDVEHNYISRRNLKEVDSDEEYTELAYRSRYSRHS PDPTGRSYRFVQKPEAAKYPKPDPPASTTSSADGYDSFENTNNKKKRKIPTPGDAISN GILQANDMASLAISNPTTEESPVREESSSGPGQYYGPPVSNLVQGLSGPGRGRYGRVR SARSPLRSLSDSPANWGNARTPRQRQAPWSPGEPAGIISTAIANAESNSAPVSRGQEN MSLLQEQAARKSSSTAAQFTFTCDSRVPGTVAWPRPQAPPHGSNGRPTNTHATQTSPP ISNGNLPQQNFDPSQNQQFKERQGPVPPKKNRRSAGKEYQIAARQRREQQELQNYHHP LAPEDEWICEFCEYERIFGTPPEALIRQYEMKDRRIRKQEAERRRLLEKAKMKGRKSK KGTKPGAKNAAATQDRQAQGGRHASAGSSHAPHGSGERYYYNDDDYDGYAQDDQPASP TYPLPTVAQHKATQNQDVKHTNVQGGAGNSEALAS VE01_00539 MAGTSPRRSIRSRPLTQQNSNSHHSSASSNSSSRGERNTRTSAK AESPRKLTSNESLSSEPLDDRVASSIEESLSTRRRKRGQTDEQDKELKDKRSDMPNGI SEPVGEDDEAVRCICGSDDYPGPPQISDEDKKGIKEVVEPDLITPEDYTEDLAGFFLQ CDMCKVWQHGGCVGIKNEDMSPDEYFCELCRSDLHKVFTASNGQKYSHYLPLYQHQHQ QHQTTLSRSTSRASRAASFSKDGTRSPRAGSKNGRPTSSSMMSANQKRRSTMNSRDAA YDEEEQLRRAIEASKGEKSESTDGGGGTRRKRGRSDSEEKPDFPKRQRTLSNSPSPQK EAPTPFGPSNDSDDAEATRPVGAKKIRGAAARNHREKEAREEREKTRLEAANKRKGRA ERRRVDDLEPPEDLLPPQPTTTNTSAPPTSTPSTDDQPIPAPKPPASIPPSSPPPPKT TPSTTTTTATTTTTTTTATSAPTTTTTSHKKGGRPPHTRKGKVGKNQYTRDRDVEDSN THISPRRSESREGGGASGAGGGGRGGEEGRRGGGGGGGGGRGKTSLLEMRRRVAGMLE FISRTQVEMAETGDTGEAEKMVRALGGVVGVDFGGGAGTGNNAGGRGGDNRADDDRPR EFGELSLLGMMDVLTGELVKWQKAFT VE01_00540 MAPDLNSVPLSPYPPSTTATPPAPSSTPPSQPNILYIMADQLAA PLLKMYNPASQIKTPHLDSLASSAVVFDSAYCPSPLCAPSRMSMVTGQLPTKIGAYDN ANSIGPDVPTYAHYLRAAGYETALAGKMHFIGEQLHGYEKRLTSDIYPGDYGWMVNWD EPDRRLEWYHNASSILQAGPCVRSNQLDYDEEVMYKSSQFLYDHVRQGDGARPFCLTV SLTHPHDPYTIEEQYWDLYEDVDIVAPTVTIPRDEQDPHSKRLLKVCDLWDAEISEKQ VKRARRAYYGAVSYVDDCIGRLLKVLKKCKLDENTIIVFSGDHGDMLGERGLWYKMSL FEASVRVPLLIHHPASFPARHVSSHVSTLDILPTLVDLVGTKLWDTLDIDGHSLYPFL RGASANAAKDTAYAEYCGEGTVSPLMMIRRGKWKYVTCPVDPEQLYDLSADPLELINL ALPPSKSIASSTTNYSALTKSTTSPTEASTALAAFRAEASAKWDFPTITRSVHHSQRQ RTLVWSALTKGKFTSWDYNPIDDGREKYIRSHLPLDELELRARYPAVDERGVVRGGVV REEGGSVREVVM VE01_00541 MSHHHRSPSPIALAPASLTSDDVEKKSPRHVDGEKGSLGDDGLP AYGYEDEIVPIKADKLNRTLTARQVQMIAIGGTIGTGLFLGTGKSLATGGPASMLICY IIIGVIVFLTMLALGEMASFIPVAGSFCTFAGRFVDDAFGFALTWNYWFNDAVSTAAD LVALQLVWSYWNTAMPGWGLSLIFWVVLILANIITVKAYGELEYWLSLLKIITIIIFI FLGIAVNAGGNTSNHYIGGENWRLPGAPFVGGIGGFASVFVTASFAYGGTESIAITAG ETASPHKNIPRVIKNVFWRILLFYVISILLIGLDVAYTTPDLSTKNSATSPFTLVFQM VGSNVAGSFINAMIMTSVLSAGNHALFAGTRLLFTLAVNGHAPAFFGKLNRNRVPWVA VLATSLISGLCFGASFIGAGQLWTWLQNIVGVSNQLSWISIGIASIRFRAGLEAQGKT HLLTFRNWTYPYGPWFVVIGCSFLVLVQGWSCFSPRFNAVDFVSFYIELPVMALMFVG WKLLKKTKIVGLKEMDLVTDVYHPEPGEVDRDAAVGWKGKARAVLRWIF VE01_00542 MADAAIPVELADKAVAPISPHQAATSYHQAGAATDLPTIGHFRS ASEYNLQTDKVDAIIRDVSYHRVLERRYFISFSEDEHELIRISISTSFQRPSIASLGT LNRLPYELLSNIALSLDIQSVFTCRQVSTGLRQTIDSFSEYQAITTHALSALCALLRT RVLAPKVSFFDFHRELCSNTCFRCGEFGEVIFLPTWRRYCSLCITHAPEVLMGPLDAI QSRLALSPEAANQLPVFETIRQTHSVDINGDSLPRDTLVPIQQAVKLSPIPQRHRDRK ALDLYLRNCELSKSASCILPYYNRHSGKAEYGLSCCGCIHGHHTGEDEVLKVLNGEVF DRIYGDERFLQHFKWFWQAQYLWELCESVNAVAAGTESAISCRRKPFWTERKLRY VE01_00543 MASEMPQEYLFDDGYQFSEEQADAIIRTTSYHRKDFDLAVIWFS EREHQGIRTSISTSFQRPSTSPAPLGRLPQELLNNIFLSLDMHSLIKCRQVDLRLRQA VDSLPEYQAISTHALNALCALLRTRLAHNVSLFDFYQALCTKNCSLCRRFAGLIFLPT WRRCCFICLTLGSTEFQMHTVPAIQEQFPLDTEAISKLTSFETLPGTYSMKEYVQRDR ITIVPVEQAMRASGGDKEALLRPGPPWFPQNPKLAFMASCALPYYDRQNKTVEYGISC AGSQLAIDKGNARGMALKFAYMARDLVYTKDGFLEHFKECREAQLLWKSSKEGSIEPP ELPQIAKDGGYLKPRE VE01_00544 MTEVFLRIQKRLESYFDMAGFEPSVPQDRDFEERKLLYTFQEPG SDLYPPHLHMTPNIVGKVRGALDLSQLFDFSRLLDTGSLLFQVIPDEVVDWYHDHPEG ATIAAIERSNRILLKEKKDIYTEPNIGERSDWYTDAVFAQQSFTGANPVTIQAASNDW VEKFIEEAKAQSKDAMINVIKEGSLYMQDNSYYRAAVDTPAAAELKSKDGTRFCCASV TLLQLTPEGKLHPLAIIIDYKGSMGNSVTIFNKRYVPSDSVNSEGTDWPWRYAKTCAQ SSDWTRHEITAHLTNCHLVEEVVIVAAHRSFRRDHPVYKILMPHWLKTLTINAGARST LVPSIIVEINGFTEAQTYAFIRHEYENFDWKANYVPALLERRGFALKDLTDSKFKNYV YGKDISLMWQVLHKFVSSVLKLFYPDDASVASDSSLITWTSEMRGKSSGNMKSFPVLN SFDELVDAVVMCIHIASPQHTAVNYLQNYYQAFIPNKPPAIYAPLPKTIAELDKYTEK ELIASLPVNYPREWLMATHLPYLLSMQVAEQETLFEYALSVAKSTEGVDGAEQVHVKD AALQLYDDLVTLGGIFEAYSDEMDDKTVKYQVLDPERTAVSILI VE01_00545 MESIRASPLLTPIIALNAWTLVVEGWMFATRLPVFTRLNIAEKN TLTREEINKMTPPSVRWKADNFSNLFEQPTQFYAVAVVLAIAGGGKTDARLAWAYVAA RVAHSLAHNTTNNITRRFGFYLISSGLVAVLTGRAAMLLAA VE01_00546 MRDVGLEIIIAGGRYFAYSYCRRLAEIPDPVQLIVDKIPLDPEQ YREWLKNEHEAHSKLKERLDIEICTISEASKASLAEFTDLWEPYAEEFCVRPLGAVSW PFDHRGPHGFLERPPHALLNKGFDLWMADMFVDATDELYGEAYDIVTNAGSVDEIRSP WKYGIYTINLDRETFSINNHTYYNLWDIPQHRKTGKTPQALPFNIDFENYFGDHPDYR DKYQTIYHQYGHSVINATSGSPDPSVQQAMSMIFFEKLTDPYSTRFWEYAPSWDHKGF AFREFAFAILSIATGRYYFIDRADYSKFAGYDDMGYIIDRNSGETLSIPIFGLECHEP GVSPGSAPTETIYWFENVLVSLVPDSVFRQDTEAAIAKAVEYGFGQRKTSFQIILFSI FNVILLEAYVKGGAKVIRRTGVISFQDRDRKSDWTFEPNRFVFRREADIATTFQEVCH KHVGFAKLQNFFDVAARRNLPPLSTNERLPVELYANIVAYADSSTLHALSQVSWALRR LCQERFTFSDDLDVVGFDASLKGPPYTGPQKYELSEEEILEMEIEEQAKPKNEPKLCL NDLGTFAFKNRITGLVTTSGMDVKQQIDYDLRGYFAHEDYSRIWCPVIGGESRLSLIS QLMFHMNF VE01_00547 MIKIDPYINVDAGTMAPREHGEVFVLDDGGEVDLDLGNYERYLN ITLTREHNITTGKIYQHVIEAERRGVYLGKTVQIAPHLVDAIADWIERVAQIPVDDTK EAPDVCVIELGGTAGDMDNAPFLEALRRLRGRVGEDQAKTKPTQAAMRDVLSTGLKPD LIACRCEVLLAKSTIDKVASSCDVKVANIIAVHNVPSTYHVPALLEDQDLLPSITKLL RLDLLEKTPQQTANGAKIWKDWKALTAPRSENCKTVTITLVGKYTTFMDSYVSVLKSL EHSVMAWEHKLNLVAVEASHLEKPAEESSQEDYDLAWSKVCSADGILVPGGFGERGTE GMIATAKWARENKTPYLGICLGMQVAVIEFARHMCNIPEAGSIELSANCPDPIIIFMP EIDKETMGGTMRLGSRPTIFQEGSEWSKVRKMYEGKEVISERHRHRYEVNPEYIERLG AAGLHFIGKDDKNVRMEVIELKDHPWFVGVQFHPKYLSRVLQPSKPYLGFVSAAIAKR VETEGVKLAIRTTNGV VE01_00548 MAQNGSAQLRASPFARARATTSPYNQSNSGSIPSSSIGSPPPTH SASHTRNQSYSPVTTQTLGKGPVHSRQRSDSKISTTNSNTFAPSFIKTEESQERTKAI DGIEGENDFSGKRYVWLKDPGTAFIRGWIVEELEGGQILVQCDDGSQREVHSENVDKV NPAKFDKADDMAELTHLNEASVVHNLHMRYQADLIYTYSGLFLVTINPYCSLPIYTNE YINMYRGRSREDTKPHIYAMADEAFRNLVDEGQNQSILVTGESGAGKTENTKKVIQYL AAVAHSDSPVKNKIQHSNLSAQILRANPILEAFGNAQTVRNNNSSRFGKFIRIEFTRT GTIAGAFIDWYLLEKSRVVRLNSHERNYHVFYQLLKGADRQMKQKYLLENLDVQDFTY TRDGNDTISGVSDRDEWNSLIEAFHVMGFSEKDQDSIFRTVAAVLHLGNISVMNESRG ADQARLAPNARAEAEKFCRLLGISVEPFLSGLLHPRVKAGREWVEKVQTPEQVRLAID ALAKGIYERGFGDLVSKINQQLDRTGMGLDDSHFIGVLDIAGFEIFEENSFEQLCINY TNEKLQQFFNHHMFVLEQEEYAREQIEWKFIDFGRDLQPTIDLIELSNPIGIFSCLDE DSVMPKATDKSFTEKLNSLWDRKTPKYRSSRLKQGFLLTHYAAEVEYSTEGWLEKNKD PLNDNITRLLAESADKHVSNLFVDCADPGDESSGIRSSRVKKGLFRTVAQRHKEQLSN LMTQLHSTHPHFVRCIIPNHKKKPKQLSAPLVLDQLRCNGVLEGIRIARTGFPNRLPF SEFRQRYEVLCRNMPKGYLEGQAAATIMLDKLTLDKSMFRVGLTKVFFRAGVLAELEE QRDALIREIMSRFQSVARGFSQRRIAHKRLYRAEATRIIQRNFQVYLNLCDNPWWQLL AKMKPLLGATRTSGEVKKRDEMIQKLGERIKQEAADRQRIEDERRNAHAEMQRIQTTL ESERSLALDKEEIFKRLQMREVELSDKLAGAIDDQEKLEDHLDELLDAKKKAEEQSED LRAQLSHAGTIIYNLEAEKQNLIQKLADMDSKFEELSQAQLQRSSAEAELSQEVQMLQ SQLSLKDRKAQELEKKLLKIDQDLDVKLARTTKDLQASRSREQALADETRKAQQQLAE LASTSTGYEDLVRRKESELAILRSDNKKYETSQASFEDEKKSLLDSKNVIAARVREVQ AEMVAMKTQKSQLEREVADAKKLLEARLTEDAEAGQSRKLLETQIKDLKDQLFAVQTD LSRERQSRDDVQLLGEHKFQKLKEEYQVLNEAKITIEKELYVQQDTLRRATEGRAAAE KERNEARNEIRRLREAKSAMEELKIQAELAGERNASRVAREREAGLMRDLEAEQSRLK WFEAECTKLSQQVDELNKMILESGNFGLQVDQQKERLESELNTVKSRLMASENDNRAL LNKIQQKGLELARAGSQASESQRGKVIALQREKARNEEQNMKLLKQLEEVQMLAASAQ KKNEKLQLTVEDLNHEVSREHKASRNAEMNSSTTTTQLAEAKRKIEKEEQLRTQSQEL VKKLQSSLDGRDRELEELRAQRLQLLKVVDPEVSAQVPHGDGAADTSILEKYDLVRKV QDLQQNLRIQMAGRSNAESQLSDLRKKYEADIESVERPRAMLEEIHPNQLPFQSPTHA RTKANGRVHSNVSTPTRRVATNDADPTLDSGRSDRTADLLSFNNRMDLKTELEELQNQ LQLTQMQNRHLQSQIERSTPSRDLWQDDSPSLRRVHKLEKANTRLHDMLDDSAKKVSQ LERSVQSGALSLRDVQTRSHEELYELISNQEDARRSLVHLNDDAAAELSDIKDHFDGL KHARATLEVELRDAKSDLEEMARARDQDVTSRNQLLQEFADLQIQFDAESSKVAEVSS SLALYKGRADEYFNKLEQAEIAVLKASRAEQFARSQAREAEETCATIMSERKQMEGSI EDLQRQNQGYEERLEDLSADLEGAIQARKRLQHELEDYRSQRAMDIEDKETSMEQTRK KYQAEFATLTNELDIAREERLFKQSENTRLREELDDLRSKWDDEVLNSSTWSKEKARL EVTLADLVSSRDEASNAHNDAQGKIVSLLSQVRSLRTSVDDVAAERDALQREKRGLEA RLEEAKNGFEGLVRGDSPSLRNAAGLDKELLGLKSSLAQSEDIAAAAVEKMRRAEALT SEMQKDIVAERETTVQLHKEKAALEKSLKDVQVRLVDLETKGYSSASQDVRFLHGRVQ ELESQLEAQESERSKSQRDVRNVDRTVKDLQQQIERRERANSQLQDDINRSREKAEKL LKTIDELQASDSSNQLSARRAERELREEKEKALRLERELGAWKALRMEKGATISGGTV RRSGQWARGGMSSEWDDENTSILGDEDGIVIPKRKGSQSRQTSMSKGFL VE01_00549 MSAFERNATTLAPGLEYNARPPPFNLIARNSGAPPLPIYGGIDP SALYQMPVWATQVNPHGVQNPLESSTVDVVSGQGPFALQPESAAQGRAGPSATRPGLH AAEEGELSEGEYKENSVEGIPGPARGATGSYNDYRKDRGRPSNGTSNQRQSSFEKMTP VPLTDPYGRSRDMHRQSSDSYSPPSSGPAASVIATGRQPDLPLQDATVNLAHRREEER RMFAKQRGESMTNHQEQNTKQSLDNRGSLTATSANRAKSVQDARRQAQNAVLNLIPLK VRFQNFVDEGIDEEIIRSVFDDIGMSSSYSSTKQLSGGIGQAQHTAGFTPQAIASAVS DSITSGSPQIHSNLKTTTTNTNSNSQQTTNNAVPITNKGEERKDRIARLLEEKSKKLL NLPQPMSPATAILADNALANKSTASQSTTKVDKEKLLKQKMEALQKSREARAQKATAK QSAPSSMESGATAPGQHHIPPSQITHLSASVPITSPPDDIRTYSASPQYPDPQTSMPS IPGLFLSGTTGTLQPNIHQSTSIIQNTNLRKRPVASDFDSNAPTNTTYKRPFGHNRHD QRLVIDVSEEESDNESAEMEIDDQVADILARDIQVANPLRPGLRDLPPLSDFPPKKSF AAPSSTLSTPPSHQAPQRTSTRPEDLKKKEFEIQEMRRKIAEAEQRKKAKLVASGSQT PMNKTSTPIEDRSAKDASISNKIHTSIHIERLIDDASRKIEEEQEKLARAQELEEHSA KDLKQRESEQRRQRRARIAADLPVVDAEVQESQRRLAGLREEMENLELAVQKRLEEKR RLAEEMEKLGHEVDDQLEAQKDHLISLTGDIGMGQEGDSQVLPEPVDAASFPVDTNPE AVAAQEDISMSDYQPSSESPASQERTTTEGLASKEPSLPPADEESSGSQPQLRDSIGE ELLPPPNLPDGQSPEAIMAGLPIKPSSEQDAANAADTNIMEPQASQFSLQAQDQLRTP PMDLNIDDTRPPSQQDTEDEVDMEESIADDAESTQSKDMEIDEPYQPDHDSITDTQGQ NTPVPESLGLESNLASTADGDSDTYEPPEATPPLSTELIAADSPPFSPQSPESTEDTH STDQEMSLAPIDGSTEPTEPVGAQLSQISNDEDKTEKAPAQKSFFTPYESPLKRFKAY RFHPEFTKQVPGGYKSLTYSNNIDDSKELCRFELAGGVCNDVSCEFQHFRDIVLPGAW VDVSAVQDELILVSLGDSSGYKEEDKTRFIKGLKEVLQDLRMSKIRDFQVIAAKICTF RQNMFEDSSKVLLLDSPAI VE01_00550 MKQRFSSLDVIAHELSNSLVTLRLANVYDLSSKIFLLRFAKPDD KKQMIIDSGFRCHLTSFSRATAASPSVFVTKLRKFLKTRRVTAVSQIGTDRIIEFQFS EGQYRLYLEFYAGGNIILTDKELNILTLLRTVPPGEGQEEQRIGLQYSLENRQNYLGI PPLTKDRLQAALQKAAEQSENAPAQKKQGKKGIDSLRRALAVSITEFPPLLVDHAMKV TDFDPTLKPADIANNDTLLDHLLRSLAEADRVVKEITSSDVATGYIIAKKQERSDKVA SGDEETERQALLYEDFHPFKPRQFENDPGCTFVPFEGFNNTVDEFFSSIEGQRLESRL HEREVTAKKKLQAAKDDQQKRLGGLQEIQTLNERKAGAIEANVQRVQEATDAVNGLIA QGMDWIEIGKLIDIEQKRRNPVASIIKLPLKLHENTITLLLDEEIFVEDSDDEAYETG SDVSDSEDEAPIKQAAKKVVDKRLTIDINLGASPWSNAREYYGQRRSAAEKEKKTLES STKALKSTSHKIEQDLKKGLKQEKAILRPVRKHMWFEKFTWFISSDGYLVLGGRDAQQ NEILYKRYLRKGDVYVHADLDGATSVFIRNHESRVDAPIPPSTLSQAGILAVSSSSAW ESKAGMPAWWANADQVSKSAPTGDYLKPGSFEVRGKKNFLPPAPLLLGFGVMFHVSDE SKANHMKHRVHGSGSPTSTSAKGPESTVNPTQPGIDDEDNQRNASDEDDEESEENNGT FTAESRQNPLQFTGSHGPDVSQSQQGVDPTTLTSLQNLSVDDEKAPATANDDNQTPKT QPSPIDVDDTKSKASAESSSEEGAATPSSTSTKQKGQAPLPRGKRNKLKKASQKYKHQ DLEDKLAAQALTGATAGVKKAEAEAEAKRALEAEREFNEQRRKAQHERTQQEIAKHEE ARKAMMEAGEDIVDEEADEAEKAVSLDTLVGTPLPGDVILDVIPVCAPWTAMGKYKYK VKLQPGPMKKGKAVKEILSKWGVDSLVKAYVDENSSDVEKMWPREVELVKGLKAEEIV NVIPVGKVAIMLGGGASGAARKGGGAGKGKGGRGSKKQK VE01_00551 MFSLSSLVKSAQTLIDPTQALNLSSSDRNPAKSTLFRSQFRLPD SENPLQEITAELTVSPAVQYAANVTQREKDKDRDRGYHYAGKLHLSESYLCFSTQPTS FLQTASTTTSSGFNGQTHGAGPGGNGFTLPLCAIRRVERLHSQSYQFALAITTWNGMG SAASKDSSKASPSLQKITVQLAGSRQQCERFCDGLKKGLKGAIGEVGKLKKVVAECYS EFLLRPDPQKEDPAPDAGLGMNAEFKYPGDARKLRDRSKMRLWAEYLRENGRNVTLIR QPTFHKLVRVGLPNRLRGEIWELTSGSLYLRLQSPNLFEDTLSKFEGRESLAIDEIEK DLNRSLPEYPGFQSEQGIERLRRVLTAYSWIDEEVGYCQAMNIVVAALLIYMSDAQAF YLLSVLCDRLLPGYYSTTMYGTLLDQRVFESLVEKTMPILWDHLVKADVQLSVVSLPW FLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDATDDGAFISVLK TYFATLDESAHPKSENPKLRAVTRFQELMVVAFKEFSAITQTTITDERMQHKDTVLNN IENFAKRTSIRNLGPDSKRLSVEELGALYDRFYGILYERQQRAQMIQEEVERRAKALK SKTSELVFSDRQSIEKGRVGLGPSPTLMDYDGFREFLAGICRWAVSDAPTPPEKDTPR EQSYFGSIRRRENSLSPWGAGNPVPADHEFMQRLFRRWDVDMNSALTLSNVVTGLAHI KGTRDIMGSITYFFELYDDDGDGKVDREGILRISEALLFLSRRGLEGSLTPSGTHGST PLEGPHGTSNERFLSSVSAFIRRCFEYADPDHPQNQQEVAEETDDDDMPQPDSFGIGD DSDEEEDLLDLSPPENGMSKKLPKTPGNKRSSQRSVSKQQSEAANAALDPSNPLHITL PTFRMVVLADELLEQFFESSFPASFHTLDSANDAVVTRSSNLTTFANLGFARAPSIVP GVGGSGSAGAVPPGKGLRGVLDNIVTDGMRVAAEVRRRVDEAQKDIEKNALQRDEDED EDEVEDYSKPSPSAYTDRRSVRSEDRDLLEGADAEAGSSRGGGVGEVSMDDLKVPTSS ASRSRSASTASGKSRVVEFEQ VE01_00552 MRKLFKNRGVFRRDKVEAEAATTPKGTVPAADATLLAHKPDLYS TEGSIGMKVVAEPGDAVVEYVYFYIPSACAAQNCGQGLIVGQLLAAPGIDVNAANTAG KTPLWEAASRGYEATVAQLLAAPGIDVNAADNIFDPNWESWCGTWESAVSPLWKAADQ GRAAVVAQLLAAPNIDINAESADGITPLWQAVRMGRKDVVGQMLAAPGIKVNIATTGA GITALNCAAIRGSKEILDQLLAAPGIDVNAASKSGRTPLISAASYGREGVIGKLLAAP SIIDNAVNAANENGETLLWLAARYGNEVVIGELLAVPGINVNAANKDAQTPLFIAASE GDDEVVGQLLSAPSIDVNAANKDGQTPLWHAVYWGYEAIIAQLLATPSINVNAAGKDG HTPLWLAACEGYNGLVGQLLAAPGIDVNMTDSSGNTPLMYAASNGYEAIVGQLLAAPN IDIDYVNTRYSMTASSLAQSCGQVGTLKLLESRIRCGG VE01_00554 MIWSGSRNSPRKEIDVLVRPTHLQTLVDGLVETNDWEISENYGQ TTRNETHVRDAWLKATFLDPQFEYIRLWPEELYHLFVGCHKIEVSDVYVRDSVLLEEE YHRDPCRRFGPPLLRYFEERNLRLLLPIQARAKIMRRDIPIFIPTIEDHLNALLDQRR EQIRTNLDNGGAPEWQVRNFIRCLFLDWKPARNWILSTKVKERNRELMAERIDKYRRK PLLKPVRTMAEIKVLSYMPWERPIMPESQYLAGGGWESTDIQN VE01_00555 MVETYLLRPAVLLAVLFTGSQAKDIYVSPTGSGSGTSTSPYGSI QSAVNAAVAGDNILLRAGTYKPTTNIQITKSGTRTAPITLRSYEKEKVILDGESLPGT PYGLDESLPNKERGIMHIEGGNYWKFYNLELINGPYGIYSRDSSHNYYELLSTHDNYE SGFQMQGTASNNTIIYLDSYRNRDPRKNGESADGFALKEGSGEGNVLRNARLWDNVDD GLDLYMFGSPVTIEEVYSWGNGFNLWGFSDFNGDGNGFKLGITNNPPANHIVRNSMAW GNAKKGFIDNGNPGSLKVERNTAWNNGDNGFTFRSSSSAMTANIATKNVNAQVSLTGP VVASGNSWQIGGDWSDSAFKSVNSATLKGARGADGRVPASDFLIPVSGQAIGATTRQN V VE01_00556 MHPPTLLLIALTTCVVTATSAETVPAAITRAPRAVDPEGCPTEF LTQEGLDVPKPTGSLADEIQFYGWKLYKSCITATATVTATDVDRCPSYAASDWCGFTT AAPTSVLPAYSIYASQAYSWWMEHSSALVYMRDNCPNTWEHGRWRDGDGERWIQDTYV VGACYAEALMTAELAPTGVATGGSSTTELAATGVLMTTGLAVTGASTATKLAATTLAE TAVGSATPTSTDAPSGGMGRLSAEMWVAALAGIAAVAGGYEW VE01_00557 MATVHGHCNAGFDHVRNVFQEHITADKELGASLCVNIDGINVID LWGGHADATKTKPWDEDTLIVVWSCSKIVTALAAAILIDRGLLDPEKKVSNYWPEFGA SGKEDITVGHILSHASGVPSWEQPITIQETYSSKSAAEKLAQQSPWWTPGEHSGYHLF NQGHFVGELVRRITGKTLKQFIADEITVPLEADFRLGLEEKDYPRTADVIAPPAIPLD GLDPNSIMVRAMCGPVIKAEYSSTREFREAEVGAANGFGNARSLCRIGSIVSLAGTVD GKQYISPQTVDQMMHERIRGQDLVLGTFLRFGLGVGLPVPQTVPWVPEGRICFWCGWG GSTVVMDLDRRMTISYAMNKMGVGTLGNESTAAYVNAVYAVVGGNLPS VE01_00558 MDDQNNFGAQSTLSMPAESIPVESIPIESIPVESMPFESMFIKS IPIDLTSTPATNWDNQSQLILSFESMPIESIPVDLTCAPTTISDEGVKLQFDPKVIEK KYIEERDKRLRDDGIDQYVRLDQERQSDLYAGSPSPRDPIKKEVDFLIMGGGWGGLLI AVRLIQMGITNIKIVEKAGDFGGVWYWNRYPGAACDTESYIYMPLLEEMGYIPVEKYA KSPELRKHARAIGTKFGLYDKALFQTELLALDWDEESKRWAGTTNRNDNIRAKFVATA SGPLHNAKVPRIPGLETFKGHSFHTNRWDYAYTGGDTLGNLDKISDKRIGIIGTGATS VQAIPHLAAGAKHLYVFQRTPSSVDVRNNQPTDPKWVDSLTPGWQKRRMENFNTIVTG GHADEDLVGDNWTDIIRKLSVLGGKNQSTASADNAAARQLADFQKMDEIRARIDNTVL DPATAEKLKPWYNQFCKRPCFHDTYLPAFNNPNVTLVDTDGQGVEKITEEGIVAGGKT YELDCIIYATGFEFVVNHTNKSSLTIRGREGLELSEKWKSGASTLHGLHSRGFPNLFI VSLMQSGLTPNLTHMLDEQAIHIAYIASYCVENGIEAVEATAEAENKWVQTVVELARL QQEFTKQCTPGYYNNEGKVSDVVLRNSWYGLGSPAFIELLRKWRKEGKLDGLAYETAH VE01_00559 MYANKEKPGPKPLTPSQRARKRAADRKFRKTSREKTKSYIAHLE KLVEASSAPSSDSEKVQCLIQQADENYNAALQLRCTLTNIIEMAQSSLQGSSDRIARP PLSAITDLAFAVGASDQEGIQSPPEGSSSEAMETVGPGFALDRCNKQPHYQDLEQLFN AAEPLQRHNDDFTPFISMPPNPDQMELMAGSTPDPFQVISNMSGPEEAYCCRESASPE TSEPPKSALLTPCSNNGSMSMWNSLETITHNALASTKQIRPLVFGQIQRAHDDNVLVT ALVHGWNLVPEKYLLDPIWQAIRHMDEEILSLYGAVEKLAMMYILALQLSVG VE01_00560 MLILVLRAVPIFCLCIAYTLAAGPHVILWSSQTYGPDGPWHAVT VKLGTPAQQMDLIPAGAWETQILSSSVCSTSTTLSGCNANAAGLFDPEKSSSLVTISE TGTIKNGNFCVNGGGIPSMSGDAEWMFDTLSLTLRDGVVGNNGQNTIRNLSTMVISRG YNTLPSGSTYAAEVGKLSLGAPNINQSWVHAGSRFNATFLPGTLFEAGQIPSNSYGMH IGSVAAGVRPSLILGGYDPTRIIGPVSAQPYTILKLPIDLLDIGISVSSGKSPFEFTS KSGLLASNNASIGTSLPVVIDSASPYLYLPKSTCDAITSLLPLTYNPLLSLYIWDVTS PLYTTIISSPASLTFTFRLSSSITANMTISVPFALLNLTLTTPLVSSPIPYFPCRPAQ VETLGENYGLGRAFLQAAFVGVNWGGDGLWFLAQAPGPNTPSLSPLTSIFPGDKTLKG ADNGWEDSWKGYWEDGIDGDGNAIVPTGSTASGNGTGADIAHTLPDSALSRGVIAGIA VGAVGGVAVIVVLACLLYSRARRRKADRENVNLFAEAHVGNDGLAGVCDRHAGQGYGP SELYTQAPVGELHSDHNGVVGELHGEYKKASVVELP VE01_00561 MQIGLPSGFLPKDLPPVVVLGNSANNLLFRIPPDGFDSDSGTWS VWHQPTGQTSSQPWSVETSVNLVVEDLDRNLTNSQHFKNHPVEKANLLASLNNLGATA FSLQQLVLDLENAIMQTLPRLGGSLKGSDVEALLTKYFVSIYWDNAKQIGQPLISVHA VSDSPDASQLQMTSFERAEGIIQISQPASSVDEINEGPNVNDFVNFFINLEQTANDIK KKVSGFTSTTLKTFDFRSLQSFVFPGGKVFTYKSATFSKHQDLICAITYVKPSPNQVP QDWSKLNPGIAPPFPIPGGNQGLPQGGPTVAPPFFLPDPPPPKGDSTPAVSTDQNTNT LRPSTPLKLTYSSEMMRNYVQGAIVSPVGKFVGLQSDDGHTLLFSTGTDNVLNVIEEQ NGKTQTGWVLNDISSAAISSTIREGKVRTFDAGQSVFDGTIGLAMAVTSAGISGVSAQ LVYVPMINVFSRGPPMPTRLTMPGSATPSAIAAAWNDNQSSELHGTPDLYVTSGSTLF RFSAEDQESDGALGKPLLTSKVISETSKLIAMTHNGVTTLWGRNGSDEVFYTSCAANT VSDPKSWSSPVPILFGIEQISPYVNKSDGGNTIFASGAGKLQKLVQATGTSSKMWQAQ EIKLTAPPRQESLSFNSYTTSIQVADEQGLPARGLEIAVSAKVRSPVYINGIYYILQQ SPTKVATDSAGSITIIEATNSINGTIIAVAVPGAGTTAINPMEKPFKKLAALNSQGSL MSK VE01_00562 MAQSLVDDLLGAVSKEGAVLSAVYDQLQTLARDFPSMNVGQVLQ RVAGIIVDGVLSSVQVVVDALLKVLVEVASATIGILEAKLHIPIISDILNELGIPDVS FLDLFLWIASVGYTVVYKIAKGSAPFPDNDGTAKLISAPDWSSLAALFHPTVPVSRMA VTTPLHTSSFIGDQADPVKPASTEDSDDFYKHSVYVAGHGIAGFLSSIGLLDPCRPRG HAGYKR VE01_00563 MGVIMGASLEAANWFLPPYPIEDKYMSGSMMSISGITILSKILF CGPIQRKFGASKTTGAIINATLVIASLTGTGWHFSELRDKPDGPKKTAAILGEASNLC QYVSRVSYAAAVNTEIPNVKMVEVEVLAVSMFGTAGLQYGMAAIQW VE01_00564 MPIITLCRFGREGQLESFEADTNATEFIAISHVWGLAYWTDIPG IQHQVIVSQHKAEFLETKLPKLVGQLPFWMDILCVDQRSKAARIAVVQSIPDIYRNAV RTVAIKDGDGFRGCCANAISSFADWNLRGKEELLGHVRAKHPHIGLTESYLFRLWPLE EILLSDNVQFEVCADWEPESRNDDADFGVSSVTSSLLNIVASLDALGSCWSRKGRSDD TITDETMGEFARAFMTCHVPISRPAGPAMPEHPSSSNNLWYQMNINSIRATGKPRDFI LAVMVQYSWYHVPAQAGSMPFSELFLDCHRQAHEAGFGFNSKLLEMTGIPQEAGSGSH WVPAKDIPTPTCLGDFVKLIRPPSPTSSPSKVAVVANTFGGTKLMMPSNMMQVLQVIQ TAMKFSPRVWSLAHRGGELSKYGSWTSDYESIRSQRNDSGIGVSNSAGAAEQDFLMQR RTSERLLYEVTSYLDAQWTGLGLYERDSEASGDWINTHLPYLVHCDPPEYKQTLFFLA AMVSCGIPLSAFDWARKRLYAVVVAFSDQPVLALILRSVIDSRNLPNFHVVEQRQESH ITGTGLFLASIDGSPIGYVPDFDAVDGNEEFRQHMYLLYKDLYTQNDEQFGLRRAVYL GERADDTFGIVDIGETSILSNWTRVVLE VE01_00565 MAGNDSFTLSVAGRARSKVSPFYNPTLDNLSKEARDLFENYSGI DPDRVVSHVEEIRDRAWAISHQFPYPCIGLFRFVNLSLRTSPLYPSILSRLKDDKQTF LDLGCCLGTEIRQLVADGAPSENIYGTDLRPEFWELGYELFRDKGSLKASFLTGDVFD PFSELGKLDKKVDILHAGLFFHLFSYDQQIEVAKKIVKLMRPVKGSLLLGWQVGSLDV GVLQSSDGATILYRHDEESWAGLWREVGEQTGVKFVVESQLVEGARYFIRDGQPAEPW VEDPKRLMFSWENGAQRDLRLDNSQWRKDRKLHPSVMGTKWSDVILSPSLKDSIMSDI TTFFDYEEMYTSLIPWKRGIILHGTPRRSSVETTEGDDKDKGVDKDETETLDEEKEVA KPISEYTNQHIKFHILRY VE01_00566 MSCRRASLHIAVINCDTPVPHVLAARGLCSDIFASLLRRATEFY ADWKTTELVFTAYDAVKGELPLQQDLEKLDGLFLSGSTASAYDPTPWVVKLASFLTNT YHNNPSVRLVGSCFGHQIICHAIFSPYSSSVGSSITLPSPSSTISLASSLSPLPSVVS QDPKGWKLGLHDISLSPQFLSHFGPVPSNPASPSQMRLRFTHADHVILPSLPSDFLSV GRSEHCALQGLYKTGRVLTFQGHPEYDEWTNIEMITSYGATIWTEEVLQEALEKLKKG EDDSLYAARVMLDFLVEEAAEQVDAAGKIEMLLDEKLPMAVAHSHKCLGMDPAKN VE01_00567 MFDSKQKHLAPRYQKRLDPFTTTMGMINYLAEILQNPFESKDAR IDFRKLSMKEDETFAEFYTRFLHLTGIGNIPTVDLQPDLCDKLTPAL VE01_00568 MPSNTIGVPDVATTVAPIATPTPDGTNSYCGEYFKVGSGDDCAT IATEFGIERDDFLFLNPEVWKNCTNLWKDYNYCVKPVGTITTYSGHPKSTSTVPFVNT PATSVPYVDPLTRFNTSAPVIPLANDTRRDCYSYFYITNTTETPDLSCWNLAMAYDVE PEEIALWNPSLAENGTESGSSGSDLSPDCELSESVSYCALLASPTTQSGSAIQTPNPR AMGEVANCTTWFKMKTYSTCADVLVLYGLTLEEFYAFNPSVGKGCATMAAGTYYCISN KANGSRPGGYDNDDDDDDPTMTSSGTPTSTTTTPTTTSSGGIVTPTPTQNGMVGGCKA FYKAVANEGCAVICQAHGIELADFYKWNPDVGSDCTNLWPDYYVCVKA VE01_00569 MSPPNSETAESPSHISPMLRLPPEIIKTIVDQVPNSTIKNLRLT CRFYLETVALRLDRVFISANPRNVEVFTAIANHDAFRAKITEIIWDDALLYVRPVPRS AVEAYYGSDDDYQDYAEESDDECDKNGINWRGNVPGWFRKACRDNVFFLKEKRDKYLN RPDLVARAQQACEQMPMEEAWAYYQQLVQQQRDILDSNAHIIALEKHIGSFLALQRIV ITPAAHGWIYNPLYETPMIRSFPRGFNYYIPRTWPVHDRSMPECDDWNEDGSDWQGFR TVTRVLAEGRDFGRVVDLRIDVHELEMGLNSRVFEKENRTLSDFEAVLARPDFAHLQL DLMVDPHYTQADVFRSGLLKRALARASCGQGLKSLSLRTNIDMYTTIDECDWYVPLTT IFTPTSYSRLQHFGLARFYVEQDDLLALLASMPKTLRSVELSMLEFMGEKDSYRTLLW GIRDTLGWKEREPRPTLVVSTDLSNPKPGQALWLEHELYSFLYENGSNPFGNDPATRG PSAIRFGFGWEKDCFNPYHKRPHVDNYDLADLGYIKGSRPRPVARPTQDLPGPGKSYF TDARYLL VE01_00571 MIRPVFRFNSPRACALVLRGVQRRGLLTSSYAQGPTEPPLLDQT IPEHFASIVSKHGDQNAVISRAQQTRLTYEELDQRSSSVAAGLQAQGVKKGMRVAVSL GNNIEFAVTTYALFKLGAILVPLNPAFNSPQVISALSHLSATHLIIGTETNLPFKPPR ENISLLKQISPDLTSGSIKSASVPSLQKVILVDNSAGRLDVSDFKSTTSFNDLLYTQT PQSITPDSPLHRDDIINIQFTSGTTALPKAACLSHTAILNNGYFIGLRMGLTPADIIV SPPPLYHCFGSILGYMASATHGSSIVFPSEAFSPSATLLAVQEERATALYGVATMFTA ELELLSNGTIEDKGFEHLRTGIAAGSSVPPVLMGKLHDRLNLTGLTICYGMTETAPVS CMTTPDDPLQKRLDSVGRLLPHVHAKVVSVTDPGRVVPIGECGELAIAGYNVMSGYWG DEARTAEVRKTETDEQGREAVWMYTGDEAQMDADGYVHITGRIKDLIIRGGENIHPLE VENVLFAHPHVSEASVVGIPDEKYGECVAAFVVVHGGVRVDEGDGEGGGEGEGPMVTK QELREWVRGKLSGHLVPKYIFWTKNYPKTPSGKIKKFELKTEGIKLRDAGKGL VE01_00572 MSTHPTQTPLSTNTAPTQTTTTTTTTTQHAPNNGGLAQKAHSAL AAVHGTGEAVRGHFNAAVDDAFGESKGVQKNARVENEGLNEIASGHFGTGTKVREGAV PGDGGKRGNEVPHPRRGRPQAPHRNLALLRPQTLPPLPRPNSSTAGPPILPNVFDTPP LSSPAIRSICADTVWDPSLVFTCNSSVGGIRNIRNSLLNCVRFTISAAASLVTPLIVV RNESYTAAIRTGITAPLSYMLSPSHFRDSLALSCPGLILHETLESAIAGAKNHDPLPL KPEYLQSKNLPRTGLASPETWNANFTTWLHERIPLRALPATKIVHLSRTYLAYPTYSD GVNFAHHFGSILKFRDDVRVLATSVLRGLAERYGYKGVPDFFFGAHLRTERDAKLGWP GGDWVYSRYETQAALLLSAASNASLPLIYVASGDQDEVAKLSAEAAAKNMTVTTKFDV LGKEEVERMDKMSWDQQGMVDFLVMTRASVFGGVGHSSFAWNVALGRHVVGGESGEGH LKGPQALNDKLSQVYGKPGEYPEYPSTLWP VE01_00573 MSNSLEQLKATGTTVVSDSGDFVSIGKYKPQDATTNPSLILAAS KKPEYAKLMDVAIAYGKEHGGSDINAQVDAALDRLLVEFGKEILKIVPGRVSTEVDAH YSFSTKGSVDKALHIIELYKSVGISKERVLIKIASTWEGIQAAKILQKEHDINCNLTL MFSLPQAIAAAEANAFLISPFVGRILDWFKAANKKEYSKEEDPGVASVKAIYDYYKKY DYKTIVMGASFRSIGEITELAGCDYLTIAPNLLEQLQNSTDAVPRKLEAENAHNLKIE KKTYINDESVFRFDFNEDQMAVEKLREGISKFAADAETLKGILKEKLQN VE01_00574 MSTTPTPLVPPPAYTPRAYTLRGPSPPHDIETASILSAAPSYFS SAPSYSTIPPRPPQSTSRTPSLADYYPTSWSRHSTPSSRHYDAVAARRAKAATERDTA DLLEAARVTRDPIRAALMVVREREEREFGVGGVEGRRVRPEEDGELVGEEAAGEARRE RLRREGVEVLEREDRRWDWLLAQMNDWEERERSWNRFREKKQRTKRERMASKLGFGTA MWMGK VE01_00575 MTSKLVPSNPSAVMVIRDITPNITTLSVPFARFGLIRVGGRGTI VRLTSGALSVFSPTALTPEVRAKLQEKGDNLKYIIAPDIEHHIFVSEWARAYPSAQVI GVEGLAEKRAAAAKDPKSPSHGAQVPFATVFTEKLKGQVRISEEFDRDFEYEYVPEHM NKELVFCYKPDRTLIVADYLFNLPATEQYSRTGEAADKGIMTRLFGALTSTQGRALGQ KRFLWYGASAANREGFGESARRIGGWDFERIIPCHGDVVEVGGMEVFRKVFGWHLGGG RK VE01_00576 MANTSTNIDISTIMDPPTTTTEQNASTNNQHSSLSAQPDKGGYD YSNIDPQLLAISAQPDKGEHNYSNIDPAILANIGEYDPSNVDPEILTMFDQSNKGEED DSNVDPEILAMLEEANQAFKEGLEEQAACDLEADLDFDDALDREFQLAFAVLDEEEPG WDDPVWSDRSGANSRASFRSFDFEHLPGEYRYNDDDDARSEGSNGSLYREKIPPVGMR PILQPKSRVRATPAEKAAAAMMPFTVSNPADVPNISFPSNPYWGGAPIPSSGQQTLTF MGVTTSVPVLSDAANMELVNNTVVNNTVVNNTVINNPVAVTDTVQECSTEKDRALVGL AMQELNTATHKLIAADVHSAALETNIRRLEEARKESDLKIADLETRLSEKLDSVTGTH MAATKELQICRNALAASQQSCNAMNKENGELKRQVSEIANAANSLDKESNEIRYQVTE LANKVSFVNGELDTRTRERDFAVQKLGEAQAHIDEAVAANNYHRQQIAIMENNAAAKE IEVNTLMTALTMAETEKQDLARKAQEKEENVAAREVEVTTLMTALTKAESEKQDLARK AQEKEDKAKGLIIPKEEKAELSKTEQHWKDQYDAEAKHTAVLERNIQGFEQDYYDMQG QYKTANIRVSQLTDTVAEMKAVAQHKEYEISELTHKVTKLSNDLGELESSTKTESVNH HAKVEKLKKDIGVAIREKNYIDGLLTEANDECTSLNRANIRHKKKINDLDEALRKEKA TTSRLQEQVKNERNSSDDALHVSRIAAVHRAQRTHGFPRPPRDDRHLIPTLDEQLAAW KEDKRDSEKFMEDHRRMLKEKPMTPLRPITKPKDSSTDTVGPSESHKKAPSVSEGSST AGVGPHEETASNFDDSSVTAVEPSVSSDETDSELEDSSDTENESYASKSKKLSAILTR RPKKDVKYVEQLFYVDKEVIVDKIIEHTVHQVRSPILAFLFVYVDLWTIFSHSYPNFA AFIGRLNPVNIVRRPFAAFARAFPKFTGFLGRLNPINIVGYLSSFFAHYFPNFGSFIR RLPINIAGRLSSIFAHYFPSFAAFIQRFHSVNTAGWLSSGILSNISRLICINTKSSKS ATTRLTDIDIESQTKEPTVIGLESQVENITEPDTHPKVIHRLPPLWPNLLTAILQILT IYILYQSYLIYGQRSIWLTGNEITRSTVSRFWGREPGYFGVSIRPSNWYILKKICFWM IRGTGYSYALPG VE01_00577 MKLMKKLLKKLMRKLMKKLMKKLINELMRKLMKELMKKAGNLDF DARVPIPFSIFPSTYRNVAAAPTKVEETHVEGEIQLPAPQQSSAGRDGYQSSFTASLP PQEQEQRTEEKVHINVKEDRHHRPSLFRRPIQEHSTTHIDVQLPQRHQEQSQQFQPQF QQSQFQQPLNQYHSDNNLNSTIDIAERQYRTRYQPNFQERVSIESNVQPSKVIINDNM GYYDESGHYHSFRNGLHRAADRIVNGSHHHHNPEIDVEITSTTSGGSSQAPRSQSVQG GGIPNTITIPTNHVRIGDIVILQGRASQVIKITTSPATGQHRFLGVDLFTKQLHEDSS FISSPSPSVVVQTVLGPVLKQYRVLDLNDGTVVAMTETGDVKQSLPVIDQSNLWARLN DAFESGRGSVRVLVLNDHGRELAVDMKVIHGSRL VE01_00578 MSGHHRADEKRFLDERGSSAPLAPNGLNPATIFEKPVRERIIDC YFWKDQCFALNEADIVSRVVEHVHFVAGTYGDSQRPSPFLCLAFKLLQLGPGDDILKE YLGYGGERFKYLRALACFYVRLTRPAKQVYETLEPYLEDGRKLRRRGRQGTSLTFVDQ FVDELLTKERICATSLWKMPKREQLEDMEVLEPRVSALGDIEALLEEEEDDVVMLEGE NGRPESDVDEGSEEGRVRSPGEEFGREMSNDSDGRRRTSREDDVRSGKGD VE01_00579 MLLTQSQVSVFISAVTIFFFTLALFLSGYVLQQKTLTNLRAAIR PSHLSTPSDAHFYTPSSNDAPSPLPNISPRDSSQLIEVTTTPQTNYLDFITSYLPSSG KPTTPRRGGGVVSTANKMIHRWIARVRGEEIEEEQEEEDESIPMEQLSRAARRRRIKA QIRTEGDGEDVDFSRVYRPRKRVW VE01_00580 MGSQSSRPSYDDYTEKQAVERMRQLALTAPGSKGSYEAEGNEKQ RPKYVADEASGLSISDVWKWQEKLMDDPKNRLALSALSAADPKTVLQNRNVLTENQHI FNVKIRFEGAPITNQNSSGRCWIFAATNVFRVALMKRHNLEAFELSQSYLFFWDKLEK ANWFLEQILDTADEDVEGRLVQQLLQAPVNDGGQWDMIVNLVNKYGLVPQVLYPDSFN ASHSGTINNLLTTKLRENALTLRSLAATSSSLSTIRSAKAEMMREVQLILTLTLGPPP SPSSEFTWQYLDASGAAQTLSTTPLAFAAELSSTLSIRTIGVDVNSMFSLINDPRNAP NTLLTVARLGNVVSDTSRTVTYVNVAMPTMKAACIAMLRADIPVFFGCDVGQSSERAR GVMATGLVDYELGFNVKLGLTKRDRILAGESKMTHAMVLTAVHLDAEGKSVRWRVQNS WGEGSGDKGWFVMSDEWMDEFTYQAVVDPRFVSKEVREVLKQDPKVLPLWDPMGELA VE01_00581 MDLGWAVRVYSRGGNLKAIKTTPVLHTSPAVVLRIPTTEYGRLS AVLPAGDICYMGTGRPAKVTRPTGIHWMAEIYLFKPKLPVEAGDAERYIGDEGERCIA GADRRDLD VE01_00582 MVAPVCLDPRDPDAQWPYCPSYAGAITFTALFGAVTLAHYIQAF SYRKRFCWVVCMASTWETLGFAFRIMGARNPRASGWPVASQMLVLLAPLWVNAFVYMV VGRIVYFWLPDKRIWGIRATSLTRWFVWFDVIIFLIQAAGGMMLSGTDVSASQTKIGL DIYMSGIGVQQGVLLAFIGLMITFHVRALREGRTHATRWQPLVYTLYGALILISIRII FRLVEFSSGIYGPIPTHESYFYGLEATPMFLALFSLAAIHPGRYLRGPGSEFAKPIVT KGARRWWCCGRRKRTRVQPDYDTPKTGGQGQSDSEFGRGERTSDVPLTARSEGVYEGS YKAPRGDGVYDRHDDRMSDVPKTAQFPYRERV VE01_00583 MSKLWGGNRKGGEQTPVSTVRGKISAPIPIPTDDDELPERIPVV GLAIPFGYDTADITADDGQGTIHSQTQTSIQRSPPRRISPQRLSGDELRRSTTGSRFR ESGLLSPLASPAKPERRKGSFRAALGRLFGKKPKGNGEPLSNGHAEGRANHKHHKSDP TALSNAADKPTSPQRSNSLPLNQINRGLASHPTLLNNDPLGQDDQPENSPTRPRRATI PSRLFSNAPAMYTGFSGLSPRPTSHGREGATSRIGQAMTSGAHPNRRSRSAGQLKTLS GFTSPLPKRRSEEIRQWRSSFDASGPLSPWSSQRPDTAVTAQSQPDPSQQDEEAMPGL AIDGSEANEASYPDPEPFNFGPIGPMAMAGMTITQAASLEDRVLYLEARLREMEQAIS SWSPGPHANGHTTAADPQHLQPPIPPKHASRPFSAEFGSGSETLAGRGPHSRDGTPRP VTPTRQPRSRSTTDTTEPSHGPGDPSPRRREQMEDCSPTSKYSTPESSGAQIATPTGT MRGFPPFSGMPLSKHGSLTAHHYSALLNLIQVERKAREELEEKVGLVERRLGAAMAGV GRGEEKRRRSEGLRGMKEEMERREMEVREAMEEDMDSDVDMGNGTPRTMSLSQLTLGK GGALQDVVF VE01_00584 MQLTLLPSKLQAHIVSGLNNRDKKSLRLTCRTLRDRSPLRIDRV FLSANVRNVEVFRAIADHEIFRKGVTEVIWDDALLAESNEQYEDEFYCEGEDLPDCDE TCPVWFLWACRENLSWLTSRKGNDVDDLPQHLERAKQMEAQIPLNVSYAYYQGLLMQQ ESVLSTQADIEALKYGITRFPALKRITITPAAHGALYTPLYETPMIHAFPYGFNYLIP RAWPTVEPGFSPCEAPPWDTEAEKNKWRGFRIVLRTLAKQEHHISEFILDVNGLCTGL NCHVFDEPCEEYEDLVALLRKPDFNRIDLGLLVSTQQDEGWPSFRSGHLKHALGEAKD LQHVSLCTDLGANQEVDVPEEESDVIPLRTIFPINRWQQLQHLGISGFVVRQDDLISL LAALPASLLSVELSFLHFYGDGNYRNLLCDMRDKLGWRDRTASERPKVIIGGGGGGLV AVHVGRATWVGDEVSGFLYGDGLNPFGREGDSFSPNQIRLGFGVERDEFEPGFERPWA QYDELQRLGYYPDVRLQYEEWKKSLSDDLST VE01_00585 MSLSSKLSDISRHLVTPDNPARETGTLDYQRCALLHNFLVEYSW LADGQSLADLDRRSFFERNGDEAEKIRERLDPALISFLEAAYDVEGTVFYLWVVGITA PSLMWINHSGDDEGETLTLYWTNNICSHTNGLMYHQKQCKATMAMGIFDMDFSQPIED HPELWHPLETVLSNWIHMIQLGKITATPEETDCEKQGVWAWHSYGEAQVENTVAAFNQ LVEAIESRMPTESLRPAREGPLLSDKDLDAASVPKSCFVRGFLTRVRVPRFEFIAPGL LVPHDREAFVSRQVFTTVDTSSNDDYYSDKAIVPPVLLFRATDLTANFDWDNKYRSLN PFCRPYTVAKGDHSVPAGLYSESVPRSVIDYAEEGFRLILPFSLWGEERGAKTSNGQG IEKGSVADLFQHGFKPFGGEWWRAQRLERLFGKWTELVERGVWDVDGRGVAGTILKFD DADKGAWRDYCIEPDW VE01_00586 MQSEIAPSDPSHPQPSASQPPHTTPNPSPKTSSSSTTGANISSI LETGRRRSEALGLESTSRLTEDDVQRLAQLDGIPTDAPMDGSSSTDEHTPDERTAIVA RERAARANGDGDYGATTEGTKKVRSRNSQLSLTTRTASRTESQSQSQSRRRRGSAVQT PVNDQETNGGPREEPWWKKQLEKYGSLELENKGSVARDHLALERTFLAWLRTSLAFAS IGIAITQLFRLNTTISAPAGTTPEPSASAIHFRSLGKPLGAAFLGISIVVLLIGFHRY FEAQHWVTKGKFPASRGSIALVAAVAGALMVTSLVVVVVVEPRAR VE01_00587 MSEERAQRAANNKSLRLIKTELEALAERGFISDDQYDTIINALP AEASLNAKPTPTPAANTSYSEANSSTDRNSPHAPPSYQQAQHHQPPPPPPPSNHREIA HAVALWAYVTPDARDLNLQPGDGISVTEFVNAEWWMGKNIRTGEEGVFPSNYIRRENF PSPLAQAQPQQNAYYGDQKQAQQYQPGPSNPYNNPVPPVAIAEQPQQQQEEPNKVGEM GKKFGSKLGNAAIFGAGATIGGNIVNSIF VE01_00588 MNPTPPEYLPWTASDFDFLAPHLPPPPPLSPSTTPTKPHLTLTY ATSLDSMLAARPGVRTTLSGPATKTMTHYLRSKHSAILIGAGTALADDPGLNCRLAGA ADGDDPLRWQPRPVILDPRGRWDVEGSKVLQLAQEGRARAPWVVTDLAFVDDAKAEAL EAVGGAVIALATEEEGGEGGGLRFGWGDLLGVLASRGVGSVMVEGGAGVINGLLEERG EGWVDAVVVTVAPRWLGKGGVAVAPVKDGGEGAAAELRGAKWVQMGEDVVVCGTLA VE01_00589 MAGKTPSYPRLSHPKECKYCYDAYLYYDDNDYSDEKTIPRTRPG MSRGEFYFEYSKIILSTIAIIIFFISANSCMLWITWNFLKTGNIDFLADKLRSIADFV QEVSSAQFH VE01_00590 MSERKSIESISHGRGGAGNIGADDTPYADGEIVREGVAGDHGDG PYSSGRGGVANIGSPGLAAAKRNDKEVIPAEALRDEEDTNHHVGRGGQGNVHVAKEGG KAHPTGLADKLKAKLFGKKVKGETA VE01_00591 MATANHTEAAASQFHSKWSDKYRGATVEDLDPPSALSCNPSDPI SYALLTAFERDYTHLTVVSQDTRALLGYLSIPRLRELIQQGTVKDTDPVSAAMIKFRR KANTYKVITMDTPLEELETFFMKGSDGQTPQDFAVVTDLSRRFVLGVATVHDLEEFAR RRPA VE01_00592 MPSLFPTSDALNSVFQSSGLKADAPAQPTRTPLQARAELFPTWS TIDDAKDKAKALSDEAAREFEKASAKAQEKTGRIELYSGKYYAACSFGGLLACGLTHT FITPLDLVKCRRQVDSKLYTGNFQAWGKIARAEGFRGIYTGWGPTFFGYSAQGMFKYG GYEYFKKTYSDVVGPEAAVKYKTAVYLAASASAEFIADIALCPFEAIKVRMQTTMPPF ATGTFNGMSIITAKEGFGGLYKGLYPLWGRQIPYTMMKFASFETIVEAIYGYLPGKKS DYGKAAQTGVSFTGGYLAGILCAIISHPADVMVSKLNANKQPGEAAGAATSRIYKEIG FRGLWNGLPVRIVMIGTLTGLQWMIYDYFKIVMGFPTTGGK VE01_00593 MNARQRERQGLRELGVVFVKAILPENFPTHLLKTFQKIRSFRTI DLATFHLSDPSVLPERVLWRSEITNRAKKIVKIAEVLIEDAPAEMGVRFDLEQKVLSR FKKIIECPSTACNRRLWRSEVEVEVEFPGPIAESLITRRQGRTPCQCSPGEKKSDFNK IFSSRADEMTSFDSDKNDSEKSIKRRRPDRILGFQETGSFSSRLMKYNLMAGRLEKEA GLETIWETVQSTVLNHKGNSLFFPFLIIEAKSRVGQSFDDCNIQTALPILKMLKIQEG LQRESQMTLEYGGPLVWYIAYRAEDWRLSACYISEKPDGPLYEIVTLWTGLLNDLEST LQLLLIIDYIFDWARDIYRPSLISQLERLAIGPSEDNDRTCDDDISRIERDSDILSLA YPKQTLASIKKSSVIKDWGAAVEHDTEIVPDEERDLKKWATHDSNFGVFRPACTVQNL FRCVFVTKANIDALFSTVTKQSAKNTLAKNALYALDRYTTVLVSEDILDTLEELWTNR ARPKTPQHTVETRLFASIVYHTVVTNYWALQRIIYCLVLDEEALNYVKSFTARKSPPM PFKTAKMFRKSNAEALIGSLQNQSIQQNLASALASTRQVLHEISTGTSLPNSYATFEF VDIHYCQAGWGHIETYEVIDKVYRRCEKNSEEPTEPFLRISHQWNSLISDATSPTVAS YFPNSVPSTNEQYVLVAQDMHGERQRLCIYVIPGPTEAIERLGKTLHNAAEIDVLYKY SLRSGSSNNWKEVYKKWWTTDSESCQQEIKQFRQWRHEVQDKLPQSLSAITEAESSLK RPCENGESGDALTIQKRVKSSIIIIDD VE01_00594 MFKPLVIVSSLLAVFGQSVSAYSNPGACTGACWAHDPSVIQRSS DGLYFKFNTGSGMEIVTSSSLSGPWTIKGSVLPGGTSITTAGQKTDMWAPDVHKVGDI YHLYYSVSTFGSQNSAIGLATSSTMDAGSWTDKGAIGVTSSSSKPYNAIDANLIQVGT SYLLSFGSFWGDIYQVAMNSAATKTAGTAAYQIEYNPSGSHACEGSFIFYNAGYYYLT WSQGICCGYDTSKPAAGAEYKIMMCRSSSATGGFVDKNGADCKNGGGSVLLESHGTVY GPGGQGVFTDSSLGLVLYYHYANTNVGLGDGAYLFGWNKLTWSNGWPVV VE01_00595 MASVDQFDSALDLLRRLDPKHTSTHLNSLIDLVPDLTEDLLSSV DQPLSTRWCSKTKRDYLVCDYNRDGDSYRSPWSGEFEPALEQSSEGGVDEGAGAGAVP SDRVRKMEIRANEAFDVYRELYYEGGLSSVYFWNLDDGFAGVVLLKKGSPQNSGSEGS WDSIHVFEAIDRARTAHYKLTSTVILHLSTGTDALGDMDLSGNMTRQIEADLTVDDDG SHISNIGKLVEDMELKMRNLLQEVYFGKAKDVVGDLRSVQSLAEANKEKNAHREMIDS MKR VE01_00596 MAAPAEYTIANLNGQWVLNKTLSDATEPVLTLQGVGWLKRKAIG LATITLSITEKVVDGLTIIDIESTGTGGIQGTAEHRELNWSEREHEDHVFGPLTGQTK WLDNKGADWDALDSFQKEGWLDERVGPNGEPHILTTAVSKTNGWTAIQVWGFTELNGV RYYTRKVVITKGSEVLKVRIFYDFAGPKA VE01_00597 MAEKPSVLIVGGMGYIGRFLALYIHENNLASDVRLVDKVLPQLA WLAPEFSEACAQSKFVQADACQAQSLPRIFDRADGKEFDYVFNCAGETRYSQDDEIYK LRVVTLAQSIGKEAAKRGVKAFVELSSGLVYKSDSSPRKETDKLKPAIKHAQYKLQAE EELAKIEGLNLIIMRLAFAYGKYDSKFVSKGLVLARVYKHLGEELKWLWTKDLKVNTV HVDDVTRALWAACEWQAKGKAGWDASTMGAVPTFNIVDHTNTNQGQLATHITEIYDIK TGFVGSLLSTFARMNMDHVLEDINEHVMGPWADLLSDAGITRPGPLGPYLEKEQLKDE DLYLDGSRFESLAGFTYSRPKLGKKELEEMIESYKTMNWWP VE01_00598 MKSSSPDSAPLARRTNGSASRAKVSKNIDNEMEKSTNGDYAPAG VSIRNGPVTDEMDIDLPALNGKRKARVSTGKPVNYNDAASSSEEDNKPLAKRQRTSVS KAKKDESDSDDAPIARRKSGGKLPPSIDGSDFEQEEKKLAKEKIHIEKKAEKEAKSIR AKESKGKKPVIKESDSEDEPISKSRAKKANGAKKEESDDDVPLTQKIAAKKANGKAPV KTPAKTPVKKPAKGKAAKKEESEEAEAEEDEEYRWWDAPNKDDDSIKWETLEHNGVVF PPDYEPLPKNVKLVYNGTPVSLHVEAEEVAGFFGAMLNSTLNVENPTFQKNFFNDFTE ILGKNGGAKDKDGNKVAIKEFSKLDFTRIFEYYQGKSEERKARSSAEKKADKAAKDEF EAPYLYCTWDGRKERVGNFRVEPPSLFRGRGEHPKTGKVKKRVMPEQITINIGPNATV PTPPPGHKWKEIKHDNKATWLAMWQENINGAYKYVMLAANSTVKGQSDFKKFEKAREL KKHIDRIRKDYQKELKSELMADRQRATAVYLIDKFALRAGNEKDSENEAETVGCCSLK FEHVTLKPPNTVIFDFLGKDSIRFYDEVTVDPQVFRNLKIFKKSPKTEGDDIFDRLNT GQLNKHLANYMPGLTAKVFRTYNASYTMSTLLQELKATNTSLHEKIKLYNDCNRKVAI LCNHKRTVGAGHEAQMGKLTDRIKGLKYQKWRTKQMILDLDPKQKKKKGAEWFELDDD LTQEWIEEHQAFLVEEQRTKITKKFEKDNEKLVAEGEKEMKAKELTERLGVADDLAKK LKKENKTKKVEAEGRTPSVEKLEAAIEKIDQRITTMNLQAEDREGNKEVALGTSKINY IDPRLTVVFAKKFDVPIEKFFSKTLREKFNWAIKSVEDDDWEF VE01_00599 MLSRAARPAVRAGAAASTHSVAPNAATFATLREIEDRLKSIRNI EKITNTMKIVASTKLNRATRAMQDSRAYGQTSNTVFKEAETKVAEEGDKKTLIVIASS DKGLCGGIHSGLGKHTRRMLAANPSADLVVIGEKAKAQMARSNSSNIKINFTGIGKAI PTFADASLIADQIAALPEKYDSVQIVYNKFVNAQSYEATVIDAYSVETILSSANFAAF EIDDAELPNLQEYALTNSLYWALAEGHACEQSARRNAMDNASKNAGDMITKYQILFNR TRQAVITNELVEIITGAAASEE VE01_00600 MPPNYHKHPDPAMDSSNLDEDSDLEDIIEKPEVVWTITYPKKPI SNSSHRIRKLWNDAQKHESNFHGKGQAKEALNLRYIVEPSASGPWLGMQNYSKCTIEN VHYRKNDFVYVRPPGLELDGDDDERKFYVAQILEIRANDPRQVFALVAWMYWPDQLVN AHVGAEKPMSLRRWYHGKHELIASNHLDIEDVTSLAGLAPVAQWLEEYDDKVQEGLYW RQTFNALDGNLSALRKHCICKKYYNPDVILVACPNKECGIWMHEECIVNDALTKAYEA LPADPETKKKKKKSVKRLSVNKLSEDLSYKDAGYRKRLTGKVVDGGNQIRIIDLVSKK ISTEKLCCLKCNTALG VE01_00601 MAPKKNTNKQVLDPHPFLLSVEDVASQLGTNIETGLSARRVAEL KNEYPPNELEGGEGPNWTTLLMKQISNAMILVLVFAMALSFGVGDYIEGGVLAAVIVL NVTIGFVQELKAEKKMDSLRALSSPSAAVLRDGKIDVIPSAECLPGDIVVLKTGDTVP ADLRVFEAMNLNCDEKSLTGEAEPVEKTVENNITIPGTDTLATREEEVGIGDRLNIAY STTTVIKGRGRGIIVFTGMLTEVGRIASATNKKTRKPGRSMSAKKYGKAQPIKGLTRR TYDGIGWFLGLTVGTPLQRKLAKLAYLLFGCAIFLAIIVFAVNKFNVTNEVAIYAIST GIAIIPESLIAVLTITMVVGMTVMRKANVVVRDLSALEALGGITNICSDKTGTLTQGA MIVKKAWLWKTGVYTVQRSMDPNDPTQGVVTQNISVQGIEEAQPEAKSVDYDQQRSAA ALTFDVPAEKAIKDQMNGENKNAEEEAAEMNAALEQFLQTVALCNLATVTKEKPEGSN EEIWQTTGEPTEIALQVLAWRFQMGKKSWVRQGFTQVAEFPFDSTIKRMSVVYNSGAE NSLIFTKGAVERVLDLCTTIGHGENQERLTEDIKEMVLKQMDVFANQGQRVLAVATRT WNGDYKKHAASVAQQHKGNKGADDELRGEVEQDLTLLGLVGIYDPPRDESKGAVQQCA EAGIKVHMLTGDHPATATAIAKEIGIIPHNMNVLSPEVAASIVKKATDFDGMTDEEID AMPELPLVIARCAPSTKTRMIEALRRRGLYMAMTGDGVNDAPSLSKADVGIAMGLAGS DVAKSAAKIVLTDDKFNSIVSAISQGRRMFDNIQKFVLHLLTSNVGEVILLIAGLGFQ DENGFSVFPLSPLQILWINMLTSSFPAFGLGREKASTEIMRRPPHDNKRGVFTVQIMV DMMVYGLLMGVCTLMTFVIIIYGVNDGNLGHDCNRSYSSSCDAVFRARAAVFAELTWL ILISAWEFKSIRRSMFRLDPSSESRFPFFKDIYENKFLFWAVVIGAVSVFPAVYIPGL NTNVFKHKGIGWEWALSFGAVFVFVIGVEAWKFVKRHYHIFERGFEDRSEDERQSRFG KVSLRQGFFSMTRARTMSFKRTLSVRSNRKKKSDGVSIVEVTTNDTTRGSMDVNNNGR EMVQVGQMRPEDQV VE01_00602 MATNYSGFDADKELEGLPSDAFSSSPEPDARPATPQSPPESQIL PRQRVVAPLTGLRQTTLFGGRAADNPLPASQVNKVHSYRVDLPPEAPTHHSLDTEALK TWVYPTNLGAIRDYQYSITKNGLFNNTLVALPTGLGKTFIAATIMLNYYRWTKDSQIV FMAPTKPLVAQQVDACFYIAGIPRSSTTMLTGEISPALRAEEWASKRVFFMTPQTLEN DLRTGLADPKKIVLLVVDEAHRATGNYSYVKVIEFMRRFTKSFRILALTATPGSSVEA VQEVINGLEISKVEIRTEESIDIQQYVHQRNIDQVILDPSEEIVKVQDLLSKTLKPLV DQLCGHNAYYNRDPLSLTPFGMLKAQETWLKSPAGKSANFGLKGMMRSLFTVLASVSH GIKLLNFHGVGPFFQTMKDFRSEAEERGAKLGKYKKQIMDSPHFKKMMDLVNMWINKD DFVGHPKLTYLCDTVLNHFLDAGDGRKEDGAPPSKTRIIVFCEYRGSAEEVARVLNRH APMVRASVFVGQAGTKHSDGMNQATQIETIRKFKEGIFNVIVATSIGEEGLDIGQVDL IVCYDASSSPIRMLQRMGRTGRKRAGSIVLLLMRGKEEDSFIKAKDNYEAMQRMISDG SRFNFRFDLSTRIVPRDIVPAVDKRDIEIPVENTQDPSLPEPKRRAKRAPKRPPKKFN MPDDVETGFQSVASMMSGKKTPRKGSALSQAAEEPVSELSEDQRMEKPLLESVFLSHS DKALLLETYQTIAGGFETLDVSVPELNKHTELQRSLRPTKRVKHGAASKRLVKMLQSM QDINDETLDGWERMQDDPLPLRSSQTSIDLSTSQSSAAPRDLFSLSAEESETLTPPRR NRAAPRAKYRLNPRSPPIDSINTMSSSSAAPRYDDDEEDSDLDDFIVRDDETPKAHSA RAHQTISSSVSPPAKARPFFEPTQFTATQESMDGDEELPDISTLVGKYGRSTGKAVEV RDESGGGGRRKRRRVVVEDSDDDSDE VE01_00603 MAHNSRYERIGQDDDAVSLQTVAQDYNYNSGVPNSPPPSFRSTT TPLTPSTLRSLNGACTDPTPPYPSTMAPSLAGVDLPETWSGTVVDAPPNPAATILSLH RRLANLEESLGRLLLEREEWQREAEHRSPRANCCASLPADDPVLSSRSNCCVSVVPML SAEAQERRQKKVMGFVIVLVFLGCLFVWLIVDSDRKGRRG VE01_00604 MSPTGKGIGAAPKIDGSDLRIGIVHARWNEQIIEPLLEGARKEL LAAGVKPANIVVQSVPGSWELPIAVRGMYTASQIQATQSSTSSAGDLLGSTSDLASDA AATHTPPFDALIAIGVLIKGETMHFEYISDAVSTGLMRVQLDAGVPLIFGLLTVLSEE QALVRAGLGGGEKGAKGYAAHNHGEDWGRAAVELGQRRREWGEGKIL VE01_00605 MSSSSHPPTKLTVLISGSGTNLQALIDATTSSPPTLPNTIITHV ISNKKNAYGLDRARSAGIKTSYHNLVAGHYLKSGEKDPEAIKAGREKYDADLAALILS DGPDLIVCAGWMHILSPPFLAPLEKEHVPVINLHPALPGAYDGANAIKRAYDDFVAGK SKGPTGVMVHYVVAEVDRGQPILVREIEFQEGETLEGLEERIHKVEHGIIVEGTRKAS EELWERREKKGGK VE01_00606 MNTTTPSGPLLSLTPYPLAHLPPSPQTNSSSDYPDLPTPTPSAP SATSLLTSLLAEALSLLSSAAPRDGSRPSWTQQRKPRHYPNSTAPVHLSSSPHNGAHW VCRRSSHRDAAQRGTASWTEFEAAFKTEHTLHEQEFTPSVMGFERVAWWEEGVKDMSL EIPREQWKGVSLELLEIAHSLPKPLNPRSFPVLQGIAERVDVGVEGGEFVVVTVPVDV KWKVWAQAVPARYAAVERFQRVGANVEWVMATASRAGGVLPGWAQDMSVPGVVAKDVD LYLKWEAEQRVRRAETETNVEESPENDGAD VE01_00607 MGITESLTQAVLIPINAQISERGLAVVAAVGFASFIALAVVLNV LSQLLPQNPNEPPLVFHWFPILGNTISYGMDPYPFFFKCREKYGDIFTFILLGKKTTV YLGTAGNEFILNGKLKDVNAEEIYTKLTTPVFGTGVVYDCPNAKLMEQKKFVKVSLTT ETFRSYVPLIVQEVTNFIKTSPAFKGPSGKTDLPAAMSEITIYTASRTLQGKEVRSRF NAVFANLFHDLDMGFTPINFMLHWAPLPQNRARDRAQRILSETYMEIIQERRAGNIPD ENEHDLIRHLMAAVYKDGTPIPDKEISHMMIAMLMAGQHSSSSTSSWMMLNLAARPDI IEELYQEQLRVLGPDLPPLTYENFNKLTLNNAVLKETLRLHTPIHSILRKVKSPMPVP GTNFVIPTTHTLLSSAGVTARMEEYFPEPLKWDPHRWDAGAAGVQMGDIKEEHEDYGY GMISKGATSPYLPFGAGRHRCIGEQFASLQLGTIIATMVREFKWRLPDGVNEVVGTDY SSLFSRPLTPAMIVWEKREKN VE01_00608 MSSPTSGFSRLSLSRMASTRPEDGATQPSNDSSDASSDEDSNDD SGEDSSPEDASILRSAHTKLRYDTSRLTRSTTRRARAGLNEPFTLGHCSVYNPSEGSE YFAFQIFETVSFSVRVGAPDSEYRSLTCHCDSDPGPCRHIFWLLDQIASHTLSTSDKS TPLPLSPRGFSRAPANADAYHHITASGPHLFQELDCDLRIAPSYVEPSPAAATAARIT DIRDILASFSPATRDDYRPDIFSALPADPSSPLLFPTDLEKTLAHAALANPDLFRHLR ALVPSSHCAASFFRKQRARAAAALAKLDAYAATRPRAAAAGGPSVVVHDVKFAANAVS EAVAAILARVHEARAPLSMAARQAAAESLALTLLDLSKRNIDVYATPTWKGTRQQTLP LTDRNIFARFFGSEPPAGGERFLVDGLADLGDAGRGVVGVLEEAKEAFGGRAAPAVYG EKLGWLVGSLRGGVEGGSAGREGKRGAGEGEGRGRKRMK VE01_00609 MTNHLDLTLSPTRANMLLSPSNPPTRMTLRRGPPSFDHGPLSAT TSRSTFARLLASPPPSPSLPALVPRHGKPPPSPTPRRLRRLTRFLIWVFGVSTIVYYA TALLRAHRHLPSMGAWSTDSGTSYELVGDDSLPDFPTPVVVTDSSGKPRWTISIPPHT AFPLHPSDYAELCAQTGEIATHVSSLLHKSAPPAAHKPYSHIDPNFLDPTLADRLGLL LSAAQAAGLTYRPSRPPPPPLLGESFSSLSLPPCTRSLTVTLETPSAGLAPTLMLLWT AYGLALSEDRAFFIDDTRWPYGSYTDFFLPPPPATCRPPPRHHITPCPHSAAHLLVSA ATTPHTFGGAFHDAFEDAHRAGNARQKPIFDLARKGYEALFHLKPEDATHVSDRLAEL RSMVAHPETPGKIVAMHIRHGDAHPLSFQYRDAYIPTPHYTSAAHSLLSTSFPSTTPS ASSHRARSVFVVASDDPDVYTDDDLIGSVRAQSVIKLASRPPLSASQEKGEPDERGMF RRFIEAPVGWEGGFFAGMFWGLGKGGEVGGEGWRLRGLVGRAYLLDLAVLAGAGGGEG EGGGIVCGVGSMGCKILAVMGGWEMVEKGGWVNVDGEFGWRGVSW VE01_00610 MTDDTPTPTPDHHRRRGSFSSATFSAIFGPRGAPTNGTNGTPPG RQNPSPPSSTTSTSTSTTAPLPTPSSRRRMSISTLGLSGSPLSSSAGAPNFFPRDRRA SIASSTASSSLPNSAVSESAIEDDSPDSGGSVPTTPFTRHMSFGGSSGLFPRGSGGGP TSPQTKSRSPSDAGGLNWSEQFRERAASAVHRPMMGSSPPVGGAGFGSMSGQGGQGPP LHGRARSEGGDQVVAQPQARTQPLPVPQRRERRKPDEVGERMLRGEFYMD VE01_00611 MSSTAPSAASNPSPSSPAPPNYSAFQTELYTSSILHGKKPLITT NPNKLEEQARAALPLRSYNYVAGGAGERATMDANRQAFRRWALVPRMLRDTSAKKVGV ELFGVKYDSPILMAPVGVQTIFHKDREVGLAKACADIGVPYIMSTAASSTIEEVAEAS GSGHRWFQLYWPSTDAITRSLLTRATTSGFSVLVVTLDTWSLAWRPADLDEGYIPFME GVGNAVGFSDPVFRAGFAAAMDGATPEEKIGHASAGWVREVCPGRSRTWEDLKMLRGW WGGKIVLKGIQHVDDARLAVEAGMDGIVVSNHGGRQVDGAIGSLEVLPEIAAAVGDKI TVLFDSGIRTGVDVIKALSLGAEAVLVGRPAVYGFAVGGAEGAKQVLRGLLADFEMSL GLAGIDGVEGCKPEILRRVEGGGSLRSSN VE01_00612 MAEAAAAPQTFDIPATYKAAVYDAPGTMSTKIETLDTPTPGPGE VLVRLTHSGVCHSDLGVMTNSWKGLPFPTQPGQVGGHEGVGVIHKLGPAAGPSNVKVG DRVGIKWISYACGSCAACSVGADGVCFNQKISGYYTPGTFQQYVLAPASYVTPIPAGL KSEAAAPLLCAGLTSYAALRKSGAQSGQFVVIAGAGGGLGHLAIQIGAKGMGLRMIGV DHGSKEELVKESGAEAFFDVTKFDDKGLAEEIKKVTGGLGAHAVIVCTAVNKAYAQSL GLLRFGGTVVCVGMPEGELVPIASADPGSLVARQLTIVGSAVGNQREAAEVLELAARG LVSTHMRVEKLDNLTEVFKEMEGGKLQGRVVIDLE VE01_00613 MLRPRIIRALPTLRRSIHRLPPLEKFQEGIPGLLTQDGFRIAWT EYQGLMLEKLNALTVGSGEDYSSSTKNLLIKYARQPSMASLFNHASMAHNNHFFFSTL STETTTMPASLQRGLEASFSSIDTLRKEFVATANAMFGPGFVWLVKTKEGKYALLTTY IAGSPYPGAHWRRQTKDMNTESAPVSADPANAAEYYRQQAIANMPIANTVGAHGPFSA SAKSAPGGVDVNPILCVSTWQHVYMPDWGVLQKKQFLEAWWDRIDWNVVADAAGDSKF QGPQFTR VE01_00614 MNEQVDLMREREYPMLKDEIYLDHAGTTLYAKSLMERFATEMIS NLYGNPHSASPSSQASTARIDDVRIRVLEFSNADPVDFDVVFVANATAGIKLVMDAFR SRDDGFTYGYHSDSHTSLVGVRETAVESLCLGSNDVEAMISGSLPFFNGGGDGRLALF AYPAQSNMNGRRLPLRWTGQLRTASEGTSKIYTLLDAAALVSTSKLDLGDVRNAPDFT VLSFNKIFGFPDLGALIVRRDSADVLQGRKYFGGGTVETVACIKEQWHEPKVENIHAS LEDGTLPMHNIMALGIAMDVHKELYASMDSISEHTLSLAQKLYSSLRLLKHANGADVC RLYVRDAHSFEDKSTQGPIVTFNLMDSHGAWVSNTEVEKLATVRKIHIRTGGLCNPGG IAKALDLSPWELRRNFSAGYRCGGDNDIISGKPTGVIRASLGAMSTLRDIDRFIEFIQ EFYVENAPSPSQIPLPPSTENTLYVESLTIFPIKSCGGLPIPPSTDWEVRPEGLAWDR EWCLIHKGTGQALSQKRYPRMALLRPSINFATGHLIVTYLDQCLLVPLSPDPSLFEPA QRALGARVCGDNVAAQTYAQPHISAFFSDALAVPCTLARFPAGGAGLTTRHSKAHMQR HQRPKRPPSWASIPGAFPPTPPDSDSECAMAPRPILLSNESPILLVHRASLDALNATI RSTGGKEADAAVFRGNVVVGSRGGGEAYAEDQWGAVRIGGVGFKMLGACRRCYMVCVD QVTGVKDEEPFVTLARTRRFEGKVFFGGHMCVDPGTRGRTIRVGDVVEVEGGGL VE01_00615 MRKQNLNPLGLALTLLATLTHLITTTSAACPANFDFCDGSGFPS NFCCPSGSSCITLASNTTLLCCPAGGDCTAINPILCGTPYQNATLHPESTVKTTDLTG TQLPACGDKCCPFGFTCSGTGKTAICVQDLDQKLLPPPSSPASSTSPSSTSTLPADST SAGDTSTTISALSINPTAIPATCPRFPTTAILTGLLPGILAGILLSLTTFCLLGARRR RADARAPKISSPTYQGSDFRTDFVRRYQPSSPGTSSGTPATGISRVRSLFRKSSSAAA GARQTRGLGMGLPSSPSPRRVAPPMPDRDLRAYQQEAPAVGGQLRREPSSESINIFAD PSTARSGGLGVEERRQSAQTTFTEMMERADLRGVGQGEPYVLPVMPAREGEGTRLSPS RFSPESGRGSPGLRR VE01_00616 MAVAQPKPKPASKASSTSTQQNKLKAQMHRRSRTGCYTCRLRRK KCDEGSPCCSACKNLGLECNYKRPMWWSNGDSRRHQKDTIKMIIKRKKLSEKTSQILP PGADTPPGLSHSLPTSATYSDSIDRTRSGSADSTFSLDFNFDVAPGIANYDSYNAQMH SSHAHYAPLYSEFAPYEVGVKTEREVLMEDGLTRRESTVSTFSTFHTPQEYHPSQDAS LSSYKGDGEYFDDMYFERRESMPEELTANFFDSPDGIPAPSHPLLPDLEQSDESLLGH FIQNVLPSIFPVLEANVSAPTLSDLILPALETNKSYLHCALNISAQHYKSTVNAQENS DAIDADIMRHRQAGIRELCASIGRNEPTEQILDTTLGMIFLQCAVGRVDEALPDVSWH QHFHAASDLVHKLDLPAQATSNTASAPFNMTLMSWIDILGAALVGRSPTFADTYREKN FSSTSLGLRELTGCDDRVMFLISEIACLEGLKHSGMGMDVLCSYITTLGEHITHTENG AMSLKNPFDANGVLDADQLSVNITAAFRIAARIYLCSLVPGFSPAQESCIRLVDNLTR VLAFIPAGAHGFDKSMVWVYLMGGAVSTAGSSFRAFFDARVADLGNAADCGSFGRVAC LLREVWARADAQPEVVVSWRDVMQSKGWDFLLI VE01_00617 MATRQQASSSRRASQLSQQRTAALPPLDTRGEMFQKGQHGSFFD MKALAPRPMSSSTEMFDTDFEDDGSEIEEGEYSPRISINSAGRRSQTTLSSFEDLPTP KSNSFHGFDFQLQQTQAPRKSVEGPKGPHLFRISQEVAPEEEYYLTMSPVTPRYAPSS RPETAFRVPSMPYPQPELKHRPISTLTAAVTKLNKMEVAMWTPRQVARWMYHAGFEPA IVEKFEENDISGEILTTLKFEDLRELDIQSFGQRHKLWAEIHTLRGDAVGAPTSPTEI DCGSSDEDERTHKKSKPRRKHKKASFEDIISPLESVSIVGIEQLMPKAHKCSKGENCS RWKKQQRLIQQFKMGHPVSPDGGMILIAGDPGNPFTAEAVRPFSEAQPSVVASSDLLG PGEIPTFSHLDPEQLAALASRDPQDNVKQFLNFQHIDQNWSSEEPPTPPYEMFPSLPV ALQHTAQPTHPLSNLRNLPKLAIPPPRPPRSASAMAFSPGPMDRAEALSPDLRSARER QPYRFGTPASDMDVPVTAINHGPISRDASQSVPPNMSYRNNVPAAGPPLSRSASRSSR RPSYQAGLPRLDEDPVVAPPRPQRSSVLGPISPPRQVVASNAYSPVRAPAERSTDDLG HQGWMRKRKTRMLRHEWHEHHFTLRGTRLAMHKDERAREVLESIDVDDYAVACSSLAS SKINTAFKAMNIKLGKKEEEGAFAFQLIPAVVEKAEKLRKNRESGIFGSSSVEKDKVK THHFAVKSRDERIDWMRELMLAKALKQKGEGFEINVNGNMI VE01_00618 MSGTSNTTEGLYAAAKNVVADLASKLSSNSKIVTEQDAEFKVLH ERWTNIGKKTPAAIVAVATEADVQETVKLCVAHGVEFVPKSGGHSLWSTIGAEGIIID LTAFNAVVVDKEARTVTVSGGSQIKDAVAPLYKAGLCAPFGTANTVGSVAQAVNGGLT IFSGILGQTSDAILSARLVTAAGDLITVSATSHPELLYAIKGAGTYFGLITSLTFRAS PLSVLNSPDGTIWKTAAPFPAARIGELISALAPLAASPEPRAAGAMVITKSPHGDGST IVLASLIFFGSSEDANAHFAPVKALGPFVWGEKRVSYANINDDFDPFCVTGGFKRHIV AGVPRVPGEPAVWEAEVKAYESFIEKEGAQAARSMACLMYVGKGEAGRWEESAYGHRN VAAWIEAVCWYTEEKSYEAAWEWQVEALRIVTERYEVGETETFQNSQRETPIESRFPG EGRLEKLKTLKREWDPKGVFTDVLL VE01_00619 MKLSGHLVSASIVSLAAAAIGNLPTACKSSNLSFDSSNADTLAG CAGLAYSCDGEVVQVNSTNEAITANCTPHPYKIFIDGTIKGPLAIPGMAEASSITVNG SIVLNSPAYLGAVYSSNITSLDLPGLENVASSFIISHVSSLTSLNVPKLKNVTESLVF DLSDGPAIKLSFPSLSYARNISLTGNIDAIEFPALNEALSLDIYTTGKLDCAAFMKKV DAVVHTGGQGRTCTSRISKGTTTSSSPAPTDTGDSVRIGVRGGLLALAVLLASVLAL VE01_00620 MSRKPAPTPSSSTAGFFQERPIVPNQLQDDRALQRVLALYLPSE VQQEIYPDLNRFGDVVLSRKVLDWVADSEKNLPYLKTWDTFGKRRDELITSEGWRNLQ DLGIEEGIVAIPFENKHKEFSRVHQFIKYHLWTASNSSVTCPSAMTDGAARFLSRQME SPTLSPELRPILQSAYSRLTSRSPSKAWTSGQWMTERPGGSDVSFTETLATPAASPIS AKSIDGSPLGSWSINGFKWFSSATDAQMTILLARTPEGKLSTFFAPMRRTTDGVETEL NGVTIQRLKNKLGTKSLPTAELVVKDMRAHLMGEAGNGIREISTILNITRVHNSVTAV GAWGRGLAIKRAFARVRKVAGGRRLIDMPSHIAVMARDEVEYRGWMHLSFFTVLLLGI SEQGSASSGKGVDAALVPDAKDVTKLLRVLTPVLKAGSAKACITGLQECVEGLGGVGY LENEEMDLNVAKIYRDSNVLSIWEGTTETLSWDLVKIVKGRSGNEVLEALARWIGGAK VGFDGQSRWEQWAANVREKSEEELIIDGREVLLELARVVGAVLLEIDARRDGDEVAKE VAKRWLAGPTDWKAQGSGSLKERVRMNSKISFGEAPSDAASKL VE01_00621 MAPKRGSGQAVPAELSLVHLKNCLVNLPSSLESLLVNTNTVAQN VIVELSYKEMPPPSATGDKAEPTQKSIYVGWTGMPSRRKLAPIVSKDGISGTRGNASG REQEIPLVEIDATFGQTLGLSDGQKVTASLHLDPPLSHTVNIEPLTSADWEIIELHAT FLELNFLSQIRALPNPTYVSANGQPTKPQALTVHLSPTSTANIIVTGLTPALPSTSPF AKIAPDAEVFVAPKARTSTRATSRENRSSAGASRRSAGGRSGASTARQKAEREAEARP SILFRGLDRSRCDDWFQENEKQNPQSLTVWVDREVIQSKNLRGVSYVCVTVIKPAGLD APVDPQKQQQDAEAAGEAGKPTHKVVAKLAEWDDPPDSRHIALSSTLCASLGCAGFVG GLVKIEPAPQQMPLPQHIRRESGSEQNSSTQKLMIYPFISPNTKRSDGIRFGGESKAE REEAAKRILNAYGRLVPGGGLLDGPLTDGLVLPPHTDLTRSSSWEGGLIRFDPSVQPG EAGTQPWGWIIGSERKFSIDIQNPIPQPASLSGSDAIGEPLSAEAPQLVGIDALQSQL QSHLTHLSGVLLTGGLGAGKSSVAQLLAHKLQAENLFHTTYFPCRKLVTDETRVATIK ETLTRVFMDAGWGARLGGQSLVILDDLDRLCPAETELEVGSENGRSKQISEIICSIVK QYCGQNTGVVLLATAQAKESLNNVIVGGHVVREILSLKAPDKEGRRRVMEMVVNQNIV DSTKDCDDDENTGSRPPTADGETDEEDAGGWMNVASSSKKSGLASEDTEGFVLGREVD FLDLAGQTDGYMPGDLVLLVSRARNDALIRSVSETSSKIQLGKVDFQSALKGFTPASL RNVTLQTSTTTFDSIGGLHETRKVLLETLQYPTTYAPIFAQCPLRLRSGLLLYGYPGC GKTMLASAVAGECGLNFISVKGPEILNKYIGASEKSVRDLFDRAEAARPCVLFFDEFD SIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDK SLICDLPTYDDRIDILRALGKKLKLSNEVIDGPEGGLREIAHRTEGYSGADLQALVSN AQLEAIHDVLGDQDHTTPSKANNKRGAKTGVSPTSAKSFIQFRYGEAENEAEVEARAT AGSNRSKALAEQASITAKLDALKLAKKKQKLAQRGDFGKDKADGEPEEKEHKEVMIGW KHIVKALEGTRASISKDERGRLEKIYREFVVGRNGEMPNGQGPTEVGGRSSLM VE01_00622 MALLTYRKDVDYTKQQSEFEGFLESFKSATHELENAALNMRIDD GDTSDEYDFADSDEEAAERRHANKQQPQKFANKYMDLLQKVANRKANEITIDLDDLAQ YEKSLEDDDIFSDLVKAIERNTHHYIEIMSRAVDKVMPEPTEEINYKDNVLDIIMSQR SQRNAENRYNEENMDDPSVLPAPYPAELTRRYTLNFKPRTANELTRSRALAVREVKGE HLGHLITVRGIATRVSDVKPSILVSAYTCGICGCEVFQPVTTKSYGPLTECPSEDCKV NNAKGNLFSSMRASKFVPFQEVKIQEVPDQVPVGHIPRQLTILCHGALVRQISPGDLI DCGGIFLPTPYTGFKAMRAGLLTDTYLEAQHVIQHKKAYEHMESDPKIFKRLDAYGAS GQMYEYLAKSIAPEIFGHLDVKKALLLLLVGGVTKAMGDGMRIRGDINICLMGDPGVA KSQLLKYITKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGVCCI DEFDKMDDSDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPQYGRYNPRISPV ENINLPAALLSRFDVLFLILDKPTRETDAMLAKHVTYVHMHNKHPETDGIVLSQDEVR QYVAKARSFRPVITNKVSEYMVRAYVDMRAQQARDEKTAKQFTHTSARTLLGVVRLSQ ALARLRFDNEVILPDVDEALRLIDASKQSLYDEQTNRGRDGTPSSKIYNLILALAEAG TCDVDDGSDSGELSIRKVQERVIAKGFTIDQFQQAIDEYTQLDIWQTSGNGTRLAFLQ NVDKDADVEDMF VE01_00623 MAEVQERTRLPVVVDKPTPYTFDLGYLLANDPNPLELNSENIED DLSATARDGAQALINQLLGTCPITSAPAGVLLTLPTPSTHLPREKPLPPPKAETTWER FARKKGIKAKTADVRQKMQYDEATGEWVPKWGYKGANKAGENDWIVEVDMKKERERKE GTTQQGDGRRDRKEKVKRNERLQRANERKGRKAGGK VE01_00624 MASTNCWKCLIRPSTAASPYALSNAQRAAAFSTTTTVGAAANAK QKPKVPNMKAKGAAQSLRIRKKAPVKTGRPPAPGERKAMRKRIVLSNTNALEVQGLQE LSPETMVDAAMVGKVVTIPGPVIDQLRVVEAFKKNQSWDLFRTPSILVRDETVTLSKK LLDAAAAKKTASIILSGSRATGKSMLLLQAMATAFAKGWIVISIPDAKEVATAVTAYT PLPGTTPLQFSQNVYTATLLSQIGKANDAILSAHTVKQTHTLPIPIPPNTTLTRLAEL GARDPEAAWPIFQALWKEITAAGFPPILLATDGLNHMMTFSAYRAPDFSQVHAHDLVL VKHFVDHISGAKTLPNGGAVVAATTSGNIPKTEAMNLAFQQIQEKAAGEQVTLPSPWV VTDARVMESLKNVDLMSLKGLTKAEARGLMEYWAASGVLREAVDERSVAEKWSVAGNG VVGEIARGALKMRIVA VE01_00625 MPGLQRIKTELTDLLGIKHPILLAGMARTSSGLLAAAVSNAGGC GVVGGLNYTPEQLFSILNDLKANLNDPSLPFGVDLALPKIGAGARKTNHDYTHGQLDA LIDVTINSGAKLFVCAVGVPPKHIVDKLHANGILVMNMVGAPKHARYALEAGADMVCA QGGEGGGHTGAIATSILIPAVVDAVQGYKSPMTGCTPLVIAAGGIRDGRSLAAALMMG AAGVWVGTRFVASVEAGCSEVHKVEVTTATFDDTVRTLVVSGRPMRVKANEYIKAWER QPEKILELTQAGIVPYEKDVEDGVEADRPFLMGQVAAVIGEIEPAGKIVEDMVDGAVK MLSKGSKFIGLGARL VE01_00626 MAAEILVPNLRSTDDPSDAPSAAPSAVSAAVRLPSTEENQQPRF RRPFRRQRESRACNACRTRKTKCDTSRTGQCSSCVSAGIVCEVADASRSTGPSAISEM RLRELEQTLKKVSERVENIPGAARVNGTGSQSNHTRQGSQTRVPTPSCSITGTKRTAD VLSDSGSASEIIDTDRLRRRRRSTHGTSSLQSYVTGDGKKAHHFFGATSEMVFLDNVR EYVQQLGYELPSSRALWSPSLETIRQDAVQSNLSAELRSQLPPQPLGAKLLEVYRNSV QAHTPMLYWPSILIKFQRIYTGSPVHYEHDQIVADFSILMMVWAVGAQMSDLAEVRHN SGRQMKNGWEFFEAARKYHGRGLIKARYTLDDAIISLLMSVYLMGASLPSPCWVITGI TSRIIQDLGLHKRPSPHQLFNVEIESRNRLFWGAYIVDRVVALTCGRPVSLLDEDCDV DLPNEIDNKGTTASESFDFFRASISVVINLDAIIQFGALSHYEDSDMETMKRIDAQLF ACWQKYPGGLCDPSETSAIEPSTLKLVFVGQQARLALFSRHFTDSTLSGAMRSFCLKK SIEIATLTASIMQRVARTENWEDVFSHRCNDMVCTHIVRASIVLLLAYRLRSTLPEDV MHNADGNNATDSQTATLAQHIIILWRGLRAAAKGHISAEKSLEVLHVLADTLSFNLEA PWEGTDHAPSPPLSAHQPDAYSSTPEHQLLLTNLTPFVHSTAVPLLHLSPPTVCVNSS MYDLSHNNDIAGINTDAPAVCEEGGPSPDYLNFDSSDIRWDMLKGLLNVESQFGMYLG GSILESGEGEDMRAGF VE01_00627 MVSETEDVEKTSSATHKEDIEKTLSATHIAADQAVINSLKITSA DTVYNDEAIKVLAQEHGDDAWSPEEEKRLLRKLDWQLLPILCFTYGLQYYDKAMLSQA AIFGIRTDLDLNVGNRYSFSSAILYIGFLFGSYPCSLLAQKYPIERVAAGLIFVWGIC LMATAACTNHQGLWAQRFLLGLLEGGVSPIFMIVVGGWYRKQEQALRMGAWFCCTGYA GVVSPLINYGLGQIKGSLSPWKYMYLVAGAITIIWAVVVYFFLPPDPIRARGFTPRER YIAVARMQENNTGVRNTHWKGEQVMETLLDVRFWLHFFIALLMMVGNGPYSTFTPIIV QNFGLNPLNTLLLTIPAGFITGCIELAAAFAAYKFKNKRCIIMLCCQVPTVVSCILQW QLPRSNKGGLLFAAYIIASLGGTYAVLLGVVMANSAGYTKRTLNAAGVFIGYCVGNLI GPLLFKPQDAPAYAPAFIGVLATALATMALIAIYWYLAVRDNDIRDEAGIPEGFDHAY EDDLTDRKNPQFRYTT VE01_00628 MGDYSDFEVNSSTFVRPEGLRIGSNTTEAHPSSKQRPAYHPSYD AVSENGYRINELMINEPPAEPFKVVVIGAGAAGIDFLHHAVQTLPQLNVEFAVFEKNS DVGGTWFENRYPGCACDIPSASYQFAWCPNPNWTSYYSGSKEIWEYMKMIATKEDMYK YITLRTEVKEAVWKEERSRWIISLAQKDQAGNVVKEWHEDADLLLNGTGFLNAWKWPT IPGLNTFKGKMFHTARYETGYDLKGKRVAVIGSGSSGVQVVASIYKDVSKLYTWVRSP TWITAGFGQKFAGPNGANFQYTDEQKAEWAHDPEKYREYRKMIELELNQRFKLVLRNT EESDEANEFSYKEMCIKLSNNPGLIDKIIPKDFNVSCRRPTPGNGYLECLVGEKTTCY TDTIGGITPNGFITADGAEVEVDVIICATGFDTSFRPKFPIIGLDGKSISEKWTGLPL SYAAVGVPNVPNYFMYSGPFSPVAQGSVLPLLTLGTKHFLQVIRKMRKEHIRRLSPKE GATKDFGEHAAMYLKRTAWVDPCSSWFKQGTTDGPLVMWPGSRLAFFDHMAEPKYEDY EIEYVSGNRWGYLGNGFTKEEFSPEDDVTFYLDDEYLRDYVQKKKSSTEKVLRKSPPQ ERVVVAAVAAEGEAGRPYEGKNGRLEEL VE01_00629 MASPVKLPGAGFKFPSVPCSWNKRDSLLFSTSIGCKAYESQFLN ELHPDFQSFPTYPVILQFKGSYQSIIDYYTTTRTPAIPGVPPVDQTRVLDGQRHIQIF QPLPASSTDHAFELQITCLGVADKGPAGMITETEAVLVDTLTGTKYCRILRQSFAVGQ GGWGGPKKEKETVYVPPKRQSDAVYTQVTTKETAHLYRLNGDYNPLHCDGVVAKKAGF KGIILHGLCTWNMSAHAVVSTFAGGDGRRLREFQARFKKVVYPGDTLLVEMWKMGREN GLQEVLFRTSVEGQEVLNNGRALLSIESAATKL VE01_00630 MTVNRTRVFYLVGVTPPSTREVDVDSILDLKTLKTNLGLEFHII EPTGICFQTDQHGAIEDLGAIFDATTPIGITINGQPVREVLGPKGFPGVGSYYEIFPD HLGNIIRLLRKYGSVVKAVNMGKVNYHTDDPVIAGYLFSESIYFTKKITSDHPLWGIK DNTAIFIGDTETKAWQQNHKFLPPSLSPNAIRHYTPLMEQTVRGSFKVFDAIDATGET LNVYQYMHKLAAQTIGKFCLGLDFGHFNEPDSPVDPIIHDIADMLLLNKKVTARGDWY GALPFGDPKRLKDMQQRTYSRLQGAMDTVDSRDKPDLPMADAALKATCVVDYLNRAID ENGRKLPKDLILPNMVIMTAAGFTTTSALLSWMIYSIITYPGEQERLLQELIDSDINN DITWTPEYAANLKYLDKFVKETQRLHNPSFQPARTTKTDVILPGGWHLPANAVLVPAL YAIHTNPDIWENPLRFDTARWDTEAVKKRHRCAYVPFAAGPRGCIGFNFALQEVKILF SELLYRYEFSKESHGVVDYDPDFQLIRPMNLYVRARRRTTWPTKTA VE01_00631 MTTSYTLEEVGKHVTDKDCWIVIHNKVYDVTSYFELHPGGAEVL QEYGGLDATEPFEDASHSDSAWETMNSLIVGELAEEYRQADHVYYKTTHEHVEAAPIL AKTSTAKRIKSLFTKALALSLTGSLVYFGRPLLSTRWLSTGLLTNQLLRIRIGKTSTD FWYGYLSSVATTSLLVMSAGYLAVNKLSSGGGHGGPSLPARSKPTFRTLKKPSTITPP EAPILATRSYRKFPLVHKKELSPNVYLLVFSLPSPTAVLPLPTGQHVSVMAEINGQSV SRSYTPISNYKDPGVIELVVKVYPTGLLTPHLGNLNVGDAVGFRGPIGAMKYKSGLSK RILMIAGGTGVTPMYQVIRAICEDEADDTTVTLLYANNNLEDILMKEKLDAWAAAHPG KFSVSYVLVNPPNGWKDGTGFVSKEMIQQKLEGGLDTKVMLCGPPGMVKIMKTHLSDM GFPVPGAISKASDRVFVF VE01_00632 MAALQKVYVVGVGLTKFVKPRGLVDYPDLGFEAGVKALLDAGIN YDEVQQGIACYVYGDSTCGQRVFYRFGMTQIPIYNVNNNCSTGSSGIYLARQLISHNA ADCILVVGFEKMFPGSLKTNFPDRTPPNDRTVAMMRATRGFSDTTPRAPQTFGNAGRE YMEKYGATADDFAEIARINHAHSAKNPYSQFRDVYSLEQIKNSPMVHAPLTKLQCCPT SDGGAAAVIVSEKWLEAHPHLKSQAILIAGQHMATDSPALYSRSAIDLVGMEMTRTAA RVAMSEAGITAADVKVCELHDCFSANEMITIDGLGLSAPGKAHELVRAGGITFGGQVV VNPSGGLISKGHPLGATGLAQCAELCWHLRGWATNRHVEGARYALQHNLGLGGAAVVT VYKRADGKLNGLVEDVAGVSKSKYNPAVEAREPTQEDVATVISRTKSSEWMVTEKKIQ AQL VE01_00633 MTATEAVFATFELLETILLSLPPLDILHNLAISRTWHQTILSSP PLKQRLFLAPAPLDTPWTQNPILAAKFWPIFHDINRTGDLAEKFHDECSGPSLNFHIA PPLRYLTGGTPPEETMEAFTPADWEAYCRPEASWRRMLAMQPPVPILRILQYGFHQES EEETRQRGGPRKVTQLSQGRKADGTAPGVMQWDVWDAVDAIGGEDDGSQWDEGIYLID GEEDTERYTLVYVDWWMDRNAPAINNGRQGDGS VE01_00634 MAQMRFSISISRFAPFIGYHHVLMILIVVAIILLSLLLAGCSSS SPVIPNIFLISLFYTPYTPTPSTAQTNFGVHSQIAQIVGRAQLEARVGFFGICINPDG GAWLCSNNATSLANQISIDQDPLNLIWLASQFKDMIVFPYLIIIAIIFAFICFILLAT FPGWHTAENEDGSEVDVKPFPSRPVSQVSLAIIFIGSIFVLVSVLWQHTASVAASIIA QDLANGSVKSGVGVTAMVLGWFGFALLIIVTIGLLVMILSIQVLQDLSD VE01_00635 MEKAETTGTGSNNSSDFVRKLYKMLEDPSYESVVRWGNEGDSFV VLENEKFTKSILPKHFKHSNFASFVRQLNKYDFHKVRQNNEDNGQNPYGQGAWEFKHP EFQANKKDSLDNIRRKGPAPRKTNTSVDESFPSQQIDLVNTQLGAVQHQLQNLQDRYD DLTTNHAVLLTQVVGLQKVVKNHDGAMHRVMSFLHTMDAQRRNSRTIGYANGHGIGIS DLVGSGPDDHPASPLQQASHLLQEFSAENLFSKDLEQMQVGGPFSFRSEYSTPSNDQS GSGGVVPTPTTASLPNNLPYANRNYDLDSMVYPVGQVNGIDPINSAHINNIPYAIPPG GPLAENQTDMEQSKSAAGRKKSFTDPGWGMHKPRILLVEDDKTCARVGSKFLQSFQCG VDVARHGLEAVDKMNAAPNSFDLILMDIIMPHLDGISATVCIREVAPRIPIIAMTSNI RADDIDMYFRYGMNDVLPKPFTKEGMFRSLEKHLMQFKKDYAHPAQPQPAVYPAYQPV MANAQQPINMNISNIAAAQPIKDENSPGKSPGPWPSPNQMPGQSPNSAAQANFAQMQN NAAYAITPTHPAYQQAQAQAQAQAQAQAQAQAAQAAAMPAPNQVGNRRQLADMPDMAT QSEHPDNKRQRMYPAQPSGFLQ VE01_00636 MNSYAARRLALNATRRLTARSFHTTPRAFVKVGDAIPDLNVLVE GSPGNKVNMADELRIGKSLIIGVPAAFSPGCSNSHIPGFMKHAKIKDAGNVFVVTVND AFVTKAWADNLDPDGSTGFRFIGDPACTFTKALNLDFDGTAIFGNERSKRYALLVEDG KVKQAFVEPDNTGIDVSAAEKVLG VE01_00637 MASDKAITNAILETVRELFKTDIDALSVNNVRKIVEKQLDLSAG FLKGDAWKAKSKEIITGEVEKLDEGASAPESPAPAPKPKSKPAPEPKVAKKPEPVKKG TKRAAPTKAAAPKKRQKKEATPSSSDELSEPESEPEESEEEAEFSDSDVSEAPNTKKR AGGGRGKKGKKEETESEEESEAEETPSEGDSDASEAPKKKSGKPKAKAKAAPRRASTA SRKSSEKVVSEDEDEEAASPVKPNPVVVAKSKPLPTVEKPEAEDDEKPDTTKQAAEDS DSDLSVLIDEPPKRSKSNSKSAKPSTSKTPKPAAAVSPADAEIKTLQSQLVKCGIRKI WQFELKDYGDDSKAKIKHLQNMLKEMGMEGRFSEARAREIKEMRELAQDVEAVTEGAK LWGKRGRRSEGKKAVSQEVESGSEEESEEEVRPARRAARKDDLAFLGDESESD VE01_00638 MAPKKGSGGSYGGGSSGGDEDYDYGTGTGSGSGDTDYDYSTGSG SGSGSGSGDNDYDYGTGTGSGSGSGYGDYDYGTGSGSGGSDDYNYDYGSGGPKNSNYN TVGLATSSRWKDATVNALFAMNIIVLLVLVLCMILTRRFKWIREKGTDKLRNSGYLFA AFCMFLHLLIVAIRTAMVESGYHTDKNFVIAFIFERLFSLIGDIMIVAIIARTILEDM SHGSGKLAFFSNVLIGLLWVLALVAFSFYAALYGQFIAGNASQITNDGSKYTAVFFSA YIFGISMYLAILSCVAVFKRPSKGTFLMIFIVIPALFLRFLFALVNQSIINFQSRSMT ATATAAVGHADSYMYTLTTISIFLVVALIGGLRQRHSEGGMSQEQKIGLQGHHYVPLG PYGQPPAPYGAQAPVGHYLQPVQPAVPEQYAASGQPAPQPLQVPASCPAQGQYVVPDQ PSSTGQYAPPGQYAAPVQPVSYAPPGQYAASGQYVHQGMTTPSTIARSVSPMHDPVAV SAAFAYNGASSTVSPPPPHDLYQMHMPPHH VE01_00639 MANTSAPAPPTEEQPWHAAFPSPKSVATPVSREQMRSWLTEGKV PGKDFVLVDLRRNDYKGGTIRGSINLPAQSLYPNIPQLFNLFSAAGVKTIVWYCGSSV GRGGRAAGWFQDYIKEQGKESEMESSTLTGGIKGWVAAGEDA VE01_00640 MSLNDTEPASQETTKRHSKVDELPSDNEKTPPITTDKDAEEQPT ADFENSRHAPKESAFKSLGLLDRFLAVWIFLAMAIGIILGNFVDNVGPALQKGTFVGV SIPIAVGLLVMMYPILCKVQFETLHHVFREREVWIQILFSIVLNWIVAPLVMLALSWA FLPDKPSLREGLILVGIARCIAMVLIWTSLVGGSTSYCAILVAINSLLQMVLFAPLAI LYITIISRGTASPISYATAAQSVAVFLGIPLGTAILTRLVLRRVCGAKWYEDVFVRFI SPLSLLGLLFTILVLFASQGRNVIHQIVSVVRVAAPLIVYFALIFFLTLLACYKLGFG YKLATTQAFTAASNNFELAIAVAVAAFGPDSEQALAATVGPLVEVPVLLGLVYVVKWV GRRSGWKD VE01_00641 MAAHTDTAPSTSSSSMKENDKAIEAGDLGPVYNGSVTGTDAVAR VQSKSGPIDTDAATIHSNADLEKNARALSHNPLPPGGPNPANFPDGGRAAWLVVLGAW CAMFCSFGWINCIGIFQDYYQGHQLKEYTPSTIAWIPSMEVFLMFAGGPLFGYIFDCY GPRYLLFFGSVCHVFGLMMVSLSSEYYQFFLSQGVCSAIGASAIFYPAMNVLPTWFLR NRAAAFGIAASGSSLGGVVLPIMVTQLIPKVGFAWTMRITAFVFLGMLIVANLTIRSH IKPIKRERSIMDFVRPLSEPAYLLLCLGCFFFFFGTFIPFNFIILQGIHYGMSPSLAV YLIPIVNAVSIFGRIIPGIFADRYGRFNALIATSALSSILVLALWLPSRGAIPIILFG AFYGFSSGAFVSISPSCVAQISDVREIGVRMGTLYFIISLAGLTGNPIAGALLTRMEG EYTGVQVFCGVAMVIGTTLFVASRWIQTGFKMKII VE01_00642 MRGHTSLAGAARHCRPARRLAPHIQRRAIQITAAPTSDTPITGE DPFSSPSESSSTADARFEVIGAPYSLLSVSLSASQRLYTRRGTLVGVSGKVENAQSTL SLLEPFRRAALGIPFLYQRITSTSPITALISTKSPITSLVVVHLNGTVDWMVTQRNAL LAWTGHTLSVTPRANTSMNIAHWGNSQIRGRGLVAFSGSGQIYQVTLKAGEEYVAHPG NVVAYTMSEHPPLPYRFKSSNFRFQVPDLGFTRLLQNVKFFRVMSETKTWRTVANLAY TLRTSARRTIWGDRLFLQFHGPTTLLLSSRASRISDVMTTRDVNEVADSPAGSVQDAV TLAREPLVEAEVAPLPKVDAPTGFHVASVGKDGKVKFGEAASSADDVKR VE01_00643 MSVRVVARIRPTLGKELEKDTIVRAEASEDGKPMNIVRIPNPRN EAEEFSFAFNGVYDQSTSQEELFTNEVSPHLKALFQGLDVTIFAYGVTGTGKTHTMRG GLKLSERGVIPRLLSGIYRRGRKVVKDSGGETSVSVSLSYYEIYNDKVFDLLESPDKR LPAGLPLREKDGKTIVVGLSERECNDLKDFEGLYIEANNNRSTAGTKLNAQSSRSHAI LMVKVIQTTGDESRISTASAIDLAGSEDNRRTENGKERMVESASINKSLFVLSQCIDA ISRGDKRVPYRESKMTRILSLGQNSGITIMVLNLAPLRSYHLDTLSSLNVSSRAKRIE VREIENEVVFKQHPKSMSSLSGSTINRQPLRPLANAHNTNIAPAAATTKAGDKPMKAF SVYSDRTKPTKPATTVTRTNSKRLSDGARPSRTARPALSSRAPAPELSTAKIEEMVER KVSEILASRAAQTAPAPIPAAVSDEVQRRLDALERKIEESNGAAEDARNEGLRFLLMA KQHKEHGQDSSALKMYEMALPYFPGQVKLERKIEALRARIRAKKEEEQHHLMAQKHQS LPTSGHLATRPIQQLPTPAPSFEAVSEAPASKKRKMKVRPDNDDDDFSGSIVADEDSY DDDDNLSHKPLKVRKSKAPKPFVFTFGDEAAALTPRKRFILDAVNSRDIGQIKGLNGI GAKKARDLLEFLDLQAEEEGGRIESLGQLVGVPGMGGKTVERMYEGVAVGV VE01_00644 MRPDIPRPKQIPTVGKLHSLVSSQKPGDELYAHFKANFGNVVGA TTAGTASGPSSLDAVVDPSNLMGESPGRTQAEMKDLDPTPRAQNEPWRFTPSLLDPNS YAFSAFANQMPAYYTPGANGNPLYHSQAGDLHTPSMGIGMGVGTPLSMQTGEGGVVHP GQVYFQQPYQQQQALPPQSFQNNYTGYPPTTGQEQQQQQSYAPAAFMHHNTGYETMDQ DGSPMQANGRQMEVTTMQPPMMTYQPRQFEINAAAPLPSSAEKFRFHVVLNAPTAMIS NTDEIPITYLNKGQAYSVSIVDTQPMLPVPVGTRYRTFVRISFEDEQQRQRPASCWQL WKEGRGTNEAHQRGGKLQAVEYVEATQQADSDDKRTRVELESASFDGFSVVWTPGAAG LAECTLAVRFNFLSTDFSHSKGVKGIPVRCCAKTEVLDQGSPHAVPGSTEVAYCKVKL FRDHGAERKLSNDVQHVKKTIDKLKQQITQAEAGMKDFGKRKRTGSISKATASQRAGK VPKHKRTWSMSSASSAGGHIPVEEDLHYKLQQQQDMFTSTRPVSILYLRGAEQDDPDL HPVALPGEQWDLTKVDSRDTAAWQQRTSVRSASTAGTSSLVSPSPSSTSLHSQAMLGS SAIPVAAQWGDYHGILGADVSSNPQHLASPPDQLTKIPKTDDAGSLSGWIEALGVDSS YRPPAEPAGKAVACFYVQYRDASPTTKKPYYRAVYLPKRTLSDFLAGIGSKWGLDPTT IRRSLRVLPSGLEVEMDDEVVREMREGQDVVFELKNLAAVKREWEMTIDDDGAEGEET EAEGCEIRLLF VE01_00645 MASTSSPSALEASQEPPPALTPNSELAAAEAATEKPPDDSSKLR MFLGILRKFIGVKDIASVRFSLPAQLLEPTPNLEYWTYLDRPETFTAIGDSDEPLDRM LEVLRFWFTKDLKYVKGKPCKPYNSTLGEFFRCNWEVAEAAPSIASLRASTPSSSKSS VKSTASAKSTSPAPKSTDEPIRISFLTEQTSHHPPVSAFYVECPAKGLSARGFDQLSA KFTGTSIKVTPGEHNLGIFITLHKRDDEQYQLTHPAAHLGGLLRGGLSVSVGDQCYTT CPKTRIKTILHYLEEGWLGKAQNRVEGVVFKYDPENDDKMRIADVPEKDVLARITGNW KEKVFVSFAPNFKTQIPIIDLTPLTLTPKILPPETSQLPNESLRYWSGVTEAITSRQF GRATVLKTEIEERQRRKAREREEGGIEWSPRFFVGSVTPLGRPELTADGEEALRRLGR AEWAIEESKVTAS VE01_00646 MHFFSVVSVCLLCSSLAAAGPLPRDSFRKLQLRQVAPFKNTTSI ADSAAATIDVVPVALTQTPVDTTTATTSSSTELSTASTATALSTSGFASTTLISSSTT ATTAQDAPIITSNSLTATAAGDGANAAQTAVGASQTAIISNLSGFIPGGSIVAGTGNF SRTTLVSANSNTDASADSKPTTGTQVFPFEESLTNTPGAISTTAEAPFTFSSDPVTPT TLSTQTTNAPVAITVTGAGVVVPVTPSFFTSASPLPTTTEAPTATDAASMSAENLRLA HEFNDMYATLTPESICAPNKLACIDGKFATCVDGRYTLKGCSSDSKLCYAMPLTESPG VVVKCTDIETAESILGLPNSLSVTIGSVPVVVPSTTAEVVQATSIADAVPTTTAAEAL VTAAAAQPSDSNEGQADEVTTVLHRTIVSTITIAVSDFAPSTVAPAADTPTAVAIADG SGSIISVVPVAAAAAGGSGGKEEGPVTVTVTRSVTVTEQFTITRAGVAATVTVG VE01_00647 MAQRPPVVGGSSEWTETPRSVPSSALDGSVTPGIVPGTYSAGRR SSYFPAVDNSRSETPSPSAQLVDPSSQPSALKDGVPPRKASLTDIDPKSAFPSLELTG SVISAAFCVPYSLKYQKGSDWDLKQRRGTSALFESFAYLSSNETPWNHTLVGWTGEID QSDELSPPTTPPATTTSTRVPPLNKASAPIPLDDNRPVTPPPTEGLWIGKDDRARLEW QLAHDSRGKTVPVWLYDDIEASSDGDLLLKDQRRWRRFAQNELYPLFHYKQHVPSDGR AERKSWKDYQRLNERFAAKILEIYKPGDIVMIHDFHLLLVPAILRRKIPHMHISFFLH IPFPSSEFLRCLPRRNEVLLGMLGANMLGFQSFSYSRHFVSCCTRVLGLPSDIAGVEA HGSKVSVGVFPIGIDAAGVEKLAFGRPEIEKNFLGLNTLYQGKKIIVGRDRLDSVRGV AQKLMAFERFLEDYPEWRDLVVLIQVTSPTSIEEERQDSENKVTIKVSELVAKINGLY GSLGFSPVQHYPQYLSQDEYLALLRAADIGLITSVRDGMNTTSLEYVVCQRDNHGPVI LSEFSGTAGSLKEAIHINPWDLTGVADAINNALTMSPEKKKETHEDLYKHVTTHNVQA WTTGYIKRLLTVLSSHSTSVTTPILDREKLLAQFTKAKKRLFMIDYDGTLTPIVKDPA AATPSELLIKTLHTLAADTKNEIWIISGRDQEFLTEHLGNIPGVGFSAEHGSFMRPPG SDTWENLAETTDMGWQKEVIDVFQKYTERTQGSFIERKRCALTWHYRRADQELAAYMA RECQTELEQTVAKKWDVEVMTGKMNLEVRPTFINKGEIVKRLISSHTTKDGEPTGGEA PEFMISLGDDFTDEDMFRALNASGVPEETMFTVTVGSSSRMTLAHWHLPEPADVIHSL ATLAGLKQPSEPLPCNTLWNTPAGKL VE01_00648 MAENACEANEKGVPAMDATTTSNYANDAPDLSDGDDVALAIVGL HAQPIDPLVEARVVRKIDLFLIPAMIVGYGMVYYDKAILGSAVLFGMTGDLSLAVVNK TTTPPTTDTTRLSWATSIFYFGMLAGLYPMTFALQRFSLSKVLGPIVILWALICMLTA AVTSFKGLFVQRFFLGFVESVIPTAFMTIVAGYYTQQEQTLRQSWWFSSTGLFTILGG AVNYGFGQITGGALKRWQYIYLLAGCLTILFGIWCFFVPSSPATAWFLTPEEKVVATE RLRRGQTGLRCEKFKAGQIREALLDPKTYLIFLMMASAYTVNGAVSGFGPLIVSTFGY STLQSILFQFPLGALCFVLILLTGWLSARYPNIRIILLVLCCFPVIAGCAMIWKSEWS HHAVAPVAGYSIIGSFGAVVSLVIAIGMSNVAGHTKKSFMAATIFVAYCVGNIVGPLL IKSQTKSRHYPMLWLGLIICYCITIVSSVVLYFVLGRENRRRDAMELDVVEGDKLAFK DLTDVENPYFRYML VE01_00649 MIIRSLHRATCSIARVELRQRPWPRPETLSKRVFTSTSRVFKDV PTTNKTQPTPDGKQTPRAVPTGAKPDAGSKPLLPATPAKRKDPLALEDKSNKEQRQAD WAIIKEMSQYLWPKHGLSTKVRVGLALGLLVGAKVLNVQVPFYFKNIVDAMNVDFIGV GGTAATVAGSMILAYGLTRFGATIFQELRNAVFASVAQKAIRKVAGNVFDHLLRLDLN FHLTKQTGGLTRAIDRGTKGISFLLTSMVFHVIPTALEISMVCGILTWQYGAKFAAIT AVTMVAYTAFTIWTTAWRTKFRRQANAADNKASTVAVDSLINYEAVKYFNNEKYEVGR YDKALLGYERSSIKVATSLAFLNAGQNLIFSSALTGMMYLAANGVAEGTLTVGDLVMV NQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVAIKEVPNAKPLELTGGGEI VFENVTFAYNKERPILQNLNLTIPAGKKVAIVGPSGCGKSTLLKLLFRFYDVQEGRIL IDGQDIRHVSLASLRKAIGVVPQDTPLFNDTIEHNIRYGDLSAPPEAVRAAAARARID HIISAFPDGYQTMVGERGMMISGGEKQRLAVSRLLLKDPPLLFFDEATSALDTHTEQA LMQNINGILKEKARTSVFVAHRLRTIYDSDKIIVLKEGKVAESGSHSELIDTGGVYSE LWSAQETLFQHGTSEHEVAVEDVLEKAEGDGSGKGR VE01_00650 MDELHPMTKEGIAPASSWLHAPSQPEKLSQYPGTPHSSTSLSDD KDDLDLQKLGYKSVLARGWGSFDNFACSFSALYCIGGIRVLFYIALSNGGPAALWSSW ISGSILSIITSATLAEACSAYPAAGSIYYWAFQSWGGGKLGRFMSFMVAAWTLVAWTA FLAADSFGVANYLVSEVVVFNPNTTFPHDTADVKARAVAWAFSLLFLAIATLMNFLPP RSYAWVFRAGVMVIAIDILLNFIWLPIGVSKTYGFQSAEFVFTSTYNGGQTSPGLNWV LSWFLVSSCLVGEDASGHVAEETVSAKKAAANGVFWATVASAICGFPIILVFLFCMPP IDTFYDTAAPQPFINMYALALGPRAHVVATIISMIGAILNTSISMVAASRLVFAVARD SIFPFSESLCRVSKSKQPHNAVIFIATIAALLLCTQLPSQVAFMSLTSTSAAGSIAAY GLVGFGRAFITRKTFKPSVFHLGRFGVMMAVVTFLWNGFAFAVLCSPQYGNSSINADA SLFNYAIVIMAGVTVIGLEEWWRKSSRVWFHNIKDIDTTSDVEVHGEELRSTSS VE01_00651 MSLSVREPNDGYQYATILATLVAPLSRGNITLTSTDTQDLPIIN IPALQSPTDQQVAVASYKRVRQACASSFMQKIIIGDEYYPGASVQTDAQILEAIKGTL QAVWHASSTCKMGLSADSMAVVDSHARVYGVKGVRVVDASAFPFLPPGHPRSTIYALA EKIPDLIKNGQ VE01_00652 MESTTPDDGDSRRKRRRQFSVRASDAATPPGEFGSMRPGVAKGS SSFVGSGSGIYFVRAVQSAFARNYSQSSHAIDDDLVPGEDDHLHVQNPSGSLWNSEEV CFIPASQPIVSVSFDDLVRWSQSFFNNWHPLFPFLHAPSILGLFERIATHGVQSLCTV EAITVRSVLSMSVADRRQMPRDDLTLIPSNLVFSTIDEAISSLTPVIMQPSTLSGLQA LVAIQVFLISMLRLNAASRIGGLIVRITFHLGLHRCPSRFKQFTLADADMRRRLFWAI YSLERYLSQSLGLPLDLKDDDVDVCYPDNELHDIQVNEEGNGQPLHDPRLLLLPSFLA RHGKIKGLILELRHKCANNRTASPDEVSYVDAEISKWWNEAQDLLEPDYINDAAGEDP DGGQAPCLLKETHKLLVIVQKHESRILLNRPVITSGYDTSLFAAAMQNGLILLYAASE GYYSIEIAQREAGRCIKILETLSLRGNFWPGACASALIDLQKALGNKLSNGTFEQHAG LQSRTKEMRTSTDALNSIHRQKVRLRNSETGTAQDTGGASVSPRAPNPDFQPHGSPRA YQGNGETPSTNNSIPPHLRSPQGAMAFSFQQNETSTMEPNSAPGGVAWPMLSGPTYQM GDQFNGLDDIFQLMDASYQISEQMYEPGDMAQF VE01_00653 MSPSLADPRPSYRIASIPADGIGPEVIDAGVTVLKKFAETLNTF DLDFTNFDWSSDTYKATGKYMPDDALTTLKTFDAILFGAVGAPDVPDHISLWQLRLAI CQPLQQYANVRPTKVFRGTQSPLRNCKNGELDWVIIRENSEGEYAGHGGRSHRGEPWE TATEVSIFTRHAVERIMRFAFETAKNRPKKHITVVTKSNAQRNGMVMWDEVASDVAKD FPDVLVDKMLVDAMTTRMVLKPETLDTIVATNLHADILSDLAAALAGSIGIAPTSNLD PTRKNPSMFEPIHGSAFDITGMGIANPVATFWTACEMLTWLGEKEAADQLLDIVEAVC EKGIMTKDLGGTATTAEVTNAVCEEIQNRLGKTKAEHLEGSN VE01_00654 MSRDSRAQLVVESVLPFIEAHRKLEHQGNAKKPFILGVTGLQGS GKSHLASALVVALSKDHNYNTIEVSLDDFYLRHDEQISLRTQNPSNNLFKARGQPGTH DPVLLKSFFSQFSDPLAKHVWIPSFDKGLFQGEGDRLPLSEWKTIDCHCPIDVVIFEG WCVGFQPCPDIEVERKRVEALQIRHLNEPEKSIMDLPRSQFCTTTLGDHKLVDLLHVN DCLRRYSEDFMGPQHFDFMVHLDTNDLGNVYTWRIQQEHALHRRKGTGMTDEAVVKFG TVFFICPVVDN VE01_00655 MSEKRPQTPDDKVNTASHLEDPESLMKEPLEDVDYSGAVAKSDP AEIALVRKLDMRIMPTLWAMYLLMQIPSNMLMSSRKIRPSIYMAVCMAGWAIVAALTA LVKNYTGLVLVRFFLGVLEAPFYPGALYLLSAFYTRKEVATRIAILYSGNIFATSFAG LIAAATFASIDGAHGFKGWQWLFIIEGVVTFAVAIAAAYLLPDFPLTTKWLTEEERIL ADARIKRDTVGLTPDKGAREGFKQAIRDPRLYLLCFMQNMHLSACSFNNFFPTVVGSL GYDRTITLALTCPPYLVSGFFGYAIGITSGKYNERTWHITGCMGAALVGFVISCLTLN TPARYISCFLFASGAYAVNSVILGWVSATLGQTTEKKAVSLSIVNVVANASYIYTAYL YPKSDGPKYLTAMGSNAGFAFATIASAWAFRFWLMRTNTKIRRSGDESKVFYAY VE01_00656 MCPNGKCGDVEQNKSNDCTSLETASLCTMVVSSFVPAGTTGWST TTRTHCEITEACTVTDSTTTTTVTTSVSYPEEMAAFVDSSFQLADDAALKAASSLIVS LQNEWDATRWGSMTALSTTDDSLRNALQAAW VE01_00657 MLKYESHGFRHYRIHTHIHLAFATITLDWNVDITSIEDQFLAFV QMTGFKKILSFGGWTFSTDPSTYFIFRQVVEEPNRDTFIANVVAFVKKYNLDGVDFDW EYPAEPDMEGIPHGTAEDASNYYLFLSELRNSLPDGTSLSIAAPAGFWYLKGFPIQAI GHVVDYIIFMTCVLHG VE01_00658 MWLTLGTTVAKALAAYVAFAGHSTAANSRLESYLAAHPVSTSTL VSISSIQSPSIDSVPAQVKKPSATSNTARVCCPTSCNGSKPNNWTVYQNVDRLALCNQ TMLLDFALYNPLGDHRTHASIRSCTADYKSSFSAPSRLTNESCRSSKNLKQGEASLQI AWLGSSNTEAAGDVVAAAQQMERYISQSEVGCNSTTAFAYSGQTALGLYAGLGIQSQG IVSTVLQQFSAHVETNGISENLFVQLCATNGQSSRLAFGVMANTNSNLSSVQHAVKTW RDGKCVTEYDEATV VE01_00659 MTCTSIATTYGITIAKFEAMNPILGPSCQLIDSFAFCIEENFGG AAPTSASGCTTLVTITTPTTITTATTTSAGNGISTPTPYQSGMTTSCNSFRLVIADDQ CGTIATEAGVSL VE01_00660 MPPDTRIGPAVDGIIFYRNDPIRHTCIVERQSSNSQKYVLSMIW LPLKPGYDVLNCQSAAARLWHASLDYIRCIRGCVELCWGQDIEASEPALVLLIQWAAV WAWKSFQQSPGVSLISGMLRGNPLNRTVNLHPEYLYGPEKIMEIVFLSIDPGTETTVI EGDLIHLQAAAVKNTKVDYYTNIAERYASFNPLSSVGQERARKLPDVLTSLAIWNETD YYKASSNQDLTNAAQLLLSKSVCLTRFSAKLSRVDLDPVYNFRPSPVIECTARSTADL LALPHFRRQGSFPGGLRTKSYSFAPRMGEMNEFHESVPMYAPIKTSDIRKAEKLWVGE DTRPLALDMFEIRIVPTSGMVKNEDMIMLSSDLFDQVEQLRREIESYEGCQAVLVAHV DSLTSTPSVDIKTDIFQLLVFWAVNSLALPTQREKSRKRVADAMEFTSKVQPPVLVHE MPDVSHIFKTESPKMPAYEITHFFVPTGDKDLFQQAFSDYTKINKLEYGPHIVGGYGP KPMQNYGCGWSVGTFSRDGHSQQMACYTSVRWWRCASEAAGWYAHFVSQVKEGGYERM GFQLDALRLIASGGAESRFLTIFEPVKKMD VE01_00661 MSPFSVFRISLLTWMHWAILLGSLIEKTWGSPMNTSSRLAARGL FGPANCNSQEFLSLMASLNDAEDMLKVTIDRTADLYNWLNTRPSSFSGRNSLQLSTLR TFEAIFGEVYYGSTNPQGNSAGLARIKKVGNTATGLVSQFDDLLSLKVDIFCDDSWLL DTDPQGNPPNRDKGNFQYFNPHENT VE01_00662 MPATLDGRESLLPLVPQEIIKVGINGWGRIGRVAFRNSLSRKDI RIVAINHTCASIADIIHQILFDSTHGPLSRSVDVKQNAVFAVDANTLSVCGEHVHLTS ERDPKFLDWTISGAEYIIESTGKFTTSSLASLHIEHAGAKRVLISAPSKDAPTFVYGV NTSQYPIINPPSIVSCASCTTNCLAPLAKTLNDKFGIVQALMTTVHASTRSQPVLDGY SKRDRRAGRAVLGNVIPTTTGAAKAVSTVLPELAGKMTGISLRVPTNNVSLVDLTINF EHETSLAEILTQLELASMGPLQGVLTVEHSELVSCDFQGNPHSCVVDAKACVELNPKF FKILAWYDNEWAYSSRLLDMLTMMAKFDRL VE01_00663 MPRGKRNRAEETTPSVAKRLRSSSNGEAGQETSSVEPQNGHQKK QILLNAFDMSTVGHLSPGQWKNPNDRSANKRDLQYWIDLAKILERGGINALFLADTYG GYDTYEGSLDNCIRRAAQWPVTDPTIPISAMAAVTKNLAFGITASTSFEPPFLLAKRF STLDHLTRGRIGWNIVTSWKKSAFKAIGIDTPIDHDQRYAQADEYLRVLYKLWEGSWS KDAIIKDVANDSYIDPDKVRQINHHGQFFNLESRHIVDPSPQRTPFLFQAGTSPAGSA FASKHAEAIFVTGHDPSVIAPKIANIRRLAKDEGRDPASLKFFCTFTPIIGRTDEEAQ EKLAEVKKYASTIGGLVLVSGWTGIDLSKLPLGKEITKEDSLEAHKVTSALSAFTTTS KNIPKWTPEVVAEMASIGGLGPVSIGSPSTVADELERWVAEADVDGFNLGYVTTPGTF EDVVDLLVPELRRRGIYPQESNDGLTAREKVYGSGQAHLRSDHTGSAYKYDVYKEDPP YVKDASEKAGLNGNGNGRSKAV VE01_00664 MASDLGDDDLTKAKIPGLASVVTLGSSQSDAAADPRAFLASFSA EEDKAIRRKVDRRFLWLIGLMYIIKNIDYQNAANVKVLQVGQPSNVLIELGMTADQYN WVQSIYFISYIIFEVPSNLLLKKVSPRNWQFRIFLSWGIVLACHAAVKNKEGMYAARF FLGMMEAGMFPGIAAQLCSWYRSDEMGKPIMWMFGFQNCSGIVGAVLAYGISYMDGLG GLSAWKWVYLLEGIATILFSFVVYFVLPDYPKSQRSNSWLTPREQDYLETRLSENAPK TNDAEFDKSEIFASLRNPRTYSFMLSQILLNFGGYGLSWQLPTVTTNLGFAGLPKNQL LNIPPAAASVLAIIFSGWFLSRAYLTRPAYIMWICGGALTFFIILAATKNKELYVSPH YRSIAVVFGRSSTLAGTTGTAFTLAFQSSVGQVGGVIGPQLFQSRYAYNGYKVPFAIC ATAIGLGWVANVWTWWLTRNVEFDLKRIRRLRIKAEKSGRVFAEDDVKVFEEREFYGK GLIKKTDQLDVV VE01_00665 MHTKRQGRAFWHWYAKDDTPEERKLIVKLDLLIVPYAVLAYWIK YIDQSNLNNAYVSGLKEDLNFQSNQLVNLNAMYTAGAVIGQLPFTILFPMFPMNYTIP ALEAGWGIFTLLQYRAQGYAELMTYRFFAAFFPGVHFVLGAWYRGDELGRRGGVFYVG QMLGTLTAGLIQSGASSNLDGVRGLAGWRWMFIISALMTIPIAIAGVVIWPGTPAKPN MLILTDQDLALAIKRLKETKADTTEEIKQGKWQLVRNIAMDWKIYILTLWAVFFWNSG STSSGAYLLWLKSLDRFSTPEVNQLGTTAPALGIFYVLFVNFSSDLLWGPSGAIAFAH AWNFTAMTILAVWKVPESAKWFALNSSYIQVAMSSVLYGWANDMLRHNATERSIVIVF MNLIAQSTTAWTSVLVFPTVEAPRFLKGFTFCAANSVLLVLFTYFVVRPYSQALERKY ESDADSEVSAPEFEESGSRKGMERGSKSGTAATETTV VE01_00666 MATASQKAKIRLENLSQHLTSSPAANPKFELEDHPIDSVPVLRV AVIGAGISGITGGILLPAKVPGIDLTIYEKNSDVGGTWLENIYPGVRCDVPANVYQST FAPNSQWTEEFAQGHEIRAYWQSVAAKYDVYRYIKFSNNIQHAQWNPEEAKWLLKVKD LRSQETVTEKFDILITAIGRFNAWKLPDYPGINEYQGHLRHSSNWDPKFDPTGKSVAV IGNGASGIQVVPELQKVVKHLDHYARSRTWIAGSFGGHDRKAEPMYFSPDQLKEFEDP EKYLAYRKSLEDAYWRRFAGLFKNSKENLTAKGDFKLIMAKRLENNPELLDALVPDFS PHCRRLTPGPGYLEALGKENVTFIQTPIKRFTKDGIETTDGVHRPVDAVICSTGANID YATPFPIVSDGVDLSSAWKPEGKYGFPFSYLGVATPGFPNLFFIHGPNAAGHSGTLPH SVETQVTYIARVLRKVSTEGIRTIVPSKAAADDFVEFSDAFFPKTVLSEGCSSWTNGG RPGGRIHGHWPGSAAHANFVRRSPRWEDFEYELRSENKSKNRFAYWGNGWTKKELVPG SDLTPYLKPPEKVDLREWHESWWDL VE01_00667 MAPHAVAAQADSALTLDPQLAKASLKPSIVNQAPLRTLFPPLVI EEHPIDEVPRLRVVVVGAGIAGINAGILLPRKVPNIDLVILERHADLGGTWHTNVYPG VKCDIPSDVYQSTFAPQTTWSENYSPGAEIQAYWKKVAQKYDTEKHIRYGTSVTRADW SDEKSKWVLKVVTNGLETVEEADFLITATGHFSDPRLPNYPGRDEYEGHLVHSSSWDP AFDPAGKRVAVIGNGASGLQIVPQLQKLSSHVDHYARSKTWIAGSFTGEELHRGPGRT PPPQDPEAYLKHRKKLEEASFSRFSTIIKGGPTNAKSKETFTKLMSNRLGDRTDLLEK ITPDFSPNCRRLTPGPGYLEAITQPNVSYISTPISKFTKTGIALQDGTTHDYDAIICS TGADTTFAPHFPIVANGINLQTAWRPGVGPEHQPGFPDSYLGIGAPQFPNFLQILGPN STGLGGTIPHAIETQVTYAAKILRKVSTQGIRTITPSVQATADFRAYCESFFPRTVMS ENCSSWYNGGIAGGRIHGIWPGSGTHAVLSRRDPRWEDFDYTYRNEQGNRFAWFGNGW TTKDVKAADGQEDLNFTPYLKVESVTGDIDLKALHEDGFEL VE01_00668 MSEKSIESVAVDAEIATREPGRSADRSHPVKWYRSTFYNALILG VCNFLAPGIWGAMNSLGAGGQQQPYLVNTANALTFCLMVLSCFFSSVVVRAIGIKWTL IVGTMGYAPYAAGLYANNRFGNEWLVILGAALCGLSAGVFWMAEAAIALSYPEPYNQG KFLGFWLSFRLGGQVLGGAINLGLNVNNNKAGKVSYNVYLAFIALQALGPFAGLLLNK PSKVQRRDGIPVSLKITNSIWDEIKATTSLFFSRNYLLIVPLIAQGVYTEAVMFTFQS LWFSVRARALGSFLSGIVAIISGNLLGAFLDHNKLSLVTRARGAFVIILGLQGAWWIW ATILVTDFHRSNPTYDWVDPGFGKGFALFLFWVAGFQLNYMYLYFVVGNLASTEAEVV RIAGLLRGTESAAQAVSYGLNSIPIMGSIGSVYLNFGLWAIAIVPAWFVIKEIGVTIV DKKLQREIGEVGLANRLDAEKKEKPLS VE01_00669 MSSPERKQWILNAFAMQSPSHLNPGLWKAPGDNGPRYTDLSAWI ALAQKLEAAKFHAIFFADVLGGYDVYRGPANLTPTIPAGAQFPINDPLYSIPAMAAAT KTLGFGVTSSTTYEAPYSLARKFSTVDHLTNGRVAWNIVTSYLDSAARNFPGNSQVQV PHDTRYAIAHEYLDVCYKLWEGSWRDDATDARYKLAGSYADPAGVRQIEHVGTHFKVP GPHICEPSPQRTPFLFQAGTSGAGRTFAAQHAEAVFLNGHAPHLVRPSVDSIRDQAES LGRPRDAIKIVAGLLVIVDETDEKAHAKYKAILSHGDREGALALFGGWSGIDLSTYSD DEDFRFVESKAVKSMVNHWSSTVPGLGDVPWTKSRISEFLLVGGNGVKVVGSATTVAD ELERWVAEADVDGFNLSYATNPGTFDDIIKYLLPELRKRGVFWEDYKVPGGTLRETYT GSEGQTRLPASHPGARFVWRSGEELPKYIKEQHEKANGETNGNTSTKRKISETEGQGL DAENGVKRVDR VE01_00670 MPTASRHPKLTPEEVNQYYDRLHIPQEQRIYDVSVHSPQDAFDN LASLQRQHLAWVPFENLLIHYSRSRQISLHPEDLFKKIVQTPGRGGYCMENNALFAML LRTLGYKIRSCGARTHNGEAFGAWSHQVILVTIGDEVFVTDVGYGANNIVVPLSLQKA GEVVNAIHQESIRIIRTSLDGNEDPDPNQKVWAFQHRLNPDNTWRNCYCFTELEFWPE DFEVMNISTSQSPRRFFTHRAFCTKMILDESGKKIVGRLTLQTEIKRSVNGKSEVIKE FKSEDDRLAALKEFFDIEFSKSDAGSIIGTVAEIKAP VE01_00671 MGLIDRIQAKLELFRLEQRYTNRKNRRTAFSSDAIYVDGEYVYA SSNNTGSSNRSEQSMGSAASRSTTASTAFDAASASAKAAKRRSMMAFSGESAADIETR VEAGNDMGAPQRRWSRNWGKGNRAEEKRRSMAVVREARWEDGQ VE01_00672 MSDLYAKVNDHYSSLAREDTAANEEHIRKVALSFGYNPADLSSI PDGANLGVSCGNPLAIAGLKEGETVIDLGSGGGFDVFQAARKVGPTGKSIGVDISDDM LDLANKNLSKSDLKNVSFVKAPITAITLPSGDADCIISNCVINLVPGADKALVFSEIW RLLRPGGRVAVSDILARKELSPELKGHLGLYVGCISGASLVGEYEAWLRGVGFQDVLI VDKKSDLNIYKERTDAEEKGCGAPAVGSSSCCGTKIPITEKDDVANIDFNEWVGSFEV YAVKPADA VE01_00673 MIICTFSSGSGLTLCRCHRLSKECVFRQARRRHNGSKKDVRIEA LEAKVNQLLGATSQGATSQPAKSTPPGQVTFDFGLEGPTPAVSSGMGRDVIDDGWLDM EAASRYLEMFRTVMVHRFPFVVISPSVTAQGLRETKPFLFLTVLAAASYENMPLQRRL GGEIKHVVSSRMIFGGEASIELLQGLLIYLAWNHYYSRPRVYSQFLQLAISIVVDLRL NRPPPSGPPKVGLTIDLDVGAKPNYPISWSQDERRAVAGCFYLTSSIATMLQKTSTFP FSAHIDDFCKTLRDEAACPTDKYVLYVVRLQAIAEKIDRLYSQRVSELNPESTIELFV KQLQTELELFRERLPFDMAESYLLAMQYHAVELNLYQIALLDRGAESEIPRFMSSSTW RLDILCAGLISAKSLLSYFISLPGRTQLAMSNSEWIQIGVAMVVASKLSVAARGPTAS RDTLALRDSLDMLRFVKEAVAVVSQLVTQVVDADGRRDIFYDYWKRGKLIQSWYEKHS PRPASLPLPPAGFNGYYGDGSQPSSASYTPQIPQQMDDFFGIDMGAANLDNLLQAEME DIQLEGYVPEMSIDGIMADWMSYPLLPF VE01_00674 MSQHQVITFNGTILDDAEQCTIETCSLAYANFEYIPNLAGNIIF LAIFGVLIVPQIFFGVKYKTWGYMAGMLGGLLLEIVGYVGRVQMHYNPFTFNPFLEYL ICLTIGPAFLTASIYLCLARIVVMYGEGASRIKPRTYTLVFISCDFLSLVLQGGGGGL TATANDMSGKQTGINIMIAGLIFQVVSLTFFMLLCTDFAFRLRRYPNKVNSSTISVRS TFKWKAFLICLAIATVTIFVRSIFRAAELYQGFNGALANNEVTFMILEGAMVIIACIC LSVFHPGVAFGGKWDQGNFKFRALKQQGLEQTTVPAWEMTSDQRSAGRETAYESDTSL KDRHNQV VE01_00675 MGILNKVTGVFINLFVFAWDFNLFILNILTPSYKPGHVVPAHAA GHALSWPEYTPPKDGDSRSACPMLNAMANHGILPHDGKNITFKDLNIKIRQTFNFAPT FCFFVPKFAADFLNRSYWTDRFDLAELSLHNAIEHDGSLTRQDAALVPDQAKPDLGLV KELLEEATGTMADGSPRLTIPDLSRALSKRRVAAKKTNKDYTEDFFHNIFGSSNSSTM LTLFGGSIADLTPMLTEERFSENWEPRVRSRFGLTMAKFNATVIPVERGVNTKSIVQA EGEA VE01_00676 MSTAREASFLKMCGHKLSVAQIFLIAAPAFFLFGYNQSGVGGLL SLQDWTKHFPQIDTVHTKGEVKSKNSTLQGFVVATFTIGTLFGALSCSRIGDIFGRRK MIFGAATLSLIGEVLQCTSFGLAQFIVGRVILGLGIRVLSATVPVWQSECSPAKNRGK HVVLDGLFISFGYMTAAWVNLGFFQLKPGPIQWRLLLAIPTLLSILLMSTTFFLPESP RWLVRQNRLQEAAYALSALQGLPSDSDSVRHEIDAISYTLESSRDTAKFQDIFTMGHE KLFYRFMLCFFLQFAQQMSGGNLISVYSTIIFQENLGLSSQVSRILSGGTLTWKFLSC FVAFFTVDRFGRRALLMVSGGGMALCMMSLCIATSFPETNKSASVAAVFFIFMFNFFI PIGFLGANFLYCTEVAPIRLRMAMTSVSTANHWPWYLVVTLLTPVAINSIGFRY VE01_00677 MGIAYYGRSFTLADPSCNTPNGICRFTGGGIAGECSNASEILDD QEIQSIITANKLTPIHDKVAGVKWITWDNDQWVSYDDADTFTEKRAFANSRCLGGLMV WALDLTDQTKSNGFSAAGTVTSSQQAIANQGSADAAAQTACYTSACAAGCRTGYNEVS LMNGQPGQLSTIARCNFGEYESLCCADSTKMGTCTWRGFRGVGLSCIGTGSQAVCHVA GNAMPGLATPDDFVAATSHTAELHTLNSATLADQVGISQGPQNVTMFPDLTGYSLGDL SSTGLEDLNEMNNNMSLFLWQIEGLDIDFPVK VE01_00678 MLVCADLEIEVARNTNHHDDTGDYTCHGGLQSYCCANFSTPPTK SQLAAKAAAAASSAAAAAASNAAEIAKEAAEALAEQAAIDLAAKAFCRIAVPALLAPL ELLEDLIPIVGEILDLIEIAATPALITACTDAIEKEGSAEFKIFGKEHTISGFEKPTE KPKNTRASESEHSTASDKASSSCALNARVALRDATSSNIHETSTVVVNRRVCDGSGPY AQACLHYSFVISRNAPHLNTIQCLGKNGNVGRPIVPIYNAQHNEGWINGWMQAPNLNC QRDEYPPDIIWQGRDNSLNFVRLIPSSANIVGAALFAGICDNYADFETSIKNRQAYRV EVNRCRTTHWYTVTRESTVPALSLDFVGLAGYAGAGMAENLCYPSVLVDDPGFALLTN DKYYNAHLDDKAYNREYADEPIGIVTAGHVNNPGWNKRWTDPQIDPEDIVLNEGNSTR KPTDEELLKQFGIIKCKSQGCEDEKSFFGIESARTMGPGSTAIARATPGDITAAVEST ALTVTSSPQFQQISPQLARITEKPVLSPADELAS VE01_00679 MPRATRSSQAAGVRAIVEPIASFYALLSTFPYLPASDILTPPAA GWPLADIVNFRKLGKTDLVVEVLKHLPYIRTDGDRGWRLGYDDTKPITYVKVPGTTTE EGSGGCKLAGLEDGVDHAVLWDMGLEPHGQKLDSHVVALTNGWQYGAWLLLDTEAGTI TDFSLLGGISSSIRCPQSDRDAGLVWKHFPSKPTKEFFKDWEKKYTSLEWILIQDKNG RETGEIFSQKRVGTASKDLAEIQRIFMDYGWGSTDFRKEECRKALSEWGKARGQRIVA EHDKLVRANRSPSPPDPLDEFSD VE01_00680 MFLCSWSVLCLNVPGPTDSRFKVFRRKVYITALAFLGPEFIFQI ALGQFVSARHSVQDFHAAGYTQWTMMHAFYADMGGFILHSTDWVPFPIDAKQLHYLVT EGYIKFPEIKRARISDKNKVDGILRIITVCQILWFAADMAGRVSQHLAITCGELTTAA FIVCSLGTTLCWLHKPADVAIPEIIHMEARISDILLKAGDLACEPYSRTPLDFISRKE WPWSLYWSNWLNILRNLGLVVGQQERPINRFENTRVHELPGACHYIFFAMTAIYCGIF FCGWNYSFPTNTELILWRASVGTILAT VE01_00681 MVSPDTKLVINPNGSLQNYYASLESRIGYWLVLGGTRHFGYYNA GKYWPFPISASLKAMENHLYNTLGLGNGAQVLDAGCGHGYVAIYMAKRGLRVHGIDVV ERHVAKAQRNVKAAGLERAVSVRRMDFHHLDGFEDESLDGAYTMETFVHATDPETALA AFYRVLKPGASIAFYEYDHSNLESAPLATQQAWERINRYASMPSNARFGQGILQKLLV DAGFVDVEVRDLTPNVMPMVRLFFLIAYIPYLIVTFLGMQTWFINTVSGVEGYRSRKY VRYVSISAKKPAGKSKNVSGARGRSAAP VE01_00682 MTTEFQMPAPATHYNPHPPQYPSQQFSLYSSQPVSPASSNPTTP QGSSPTSPRSSTHLSTHTRQLRPPKSPLYVPAVLRPSQPPRRKTGTGTLTPPSTNDGL DAPALLRSDSDNGKWGLGRLMDSEWRGKPLGRVTDLPSRDHWKPDADATVCDAPSCTR TFSYFTRRHHCRRCGNIFCDTHSPHLVPLDQNASFHPLGHRSRACEFCWSEYKGWEVS RSSRTGSRNGSDSIHNGGGSVSGSGSEDGSEGMPATPVISCRGNGAFGATDVGKAMPE SVPASVPRDWNWSTF VE01_00683 MTNPPPNLADILRTLSALAPQSQQEGQQPASNPSNPYHFSAPIP QPRPHFEVPSRPQPPPAAAAAPIQDASKITDWPTALRCVMRSVASNERVVGEIRKLIQ TQHEHETQWCAGRRELAMKIEARKVGQKKVDEVLRAVGGQVTETPTSDGTEELRAFDG KVYRAQCQMVGEMGGKLQRLGIPFFGTRAELIRYDGGVDGAADDEGVGGGITESELLA MQRRMLGILEDLCAT VE01_00684 MARSPSDATRFTSTTPHATKPFYPTSSNPGPSTLPPNEAAAAPA GETPQQKVRRLREAANRAREGRLTGFDKVVMRGRVWADRAHRVTTLGLIGITCIAGAV TVYALGDMMIYNRRRRREYFAEQKALTEAATQDAAVALRSGTATAEQLKLLEQQRGQA AVAAEMRQKAAEMREARANAPAAPLEKVEGKGIMASATGWLFKGLKTEDRPEVGYENL VDERGVKGGVTRAVEERRAEREANGGPLDRLGTEENEDEKKGGWTSFMTRK VE01_00685 MYHLAKSVYLHVTSKEEFSILLLGLDNAGKTTLLSQIKSHYHPS RPAPATKTVPTVGQNVATIDLPDMYLKVWDIGGQESLRRLWQSYYASCHAIIFLVDST DIGSGVEGGLDGGDIGGSSSAGGEEDGRLAECRAVLEAVLAHEDTSGVPLLVLANKQD REDCVEVVRIKEGLVKRVFEGERGAGIRDSRVLPCSALTGAGVKEAVEWVRSRVVWNK EARPGVLR VE01_00686 MSPNIYFSFPPRSLIQFPPSFDPTTLPPPPAGSASFAPPFTIPA SIYNSAYDARVPLTIASVYAVTVITLNKVNAARGNKPWAISKTAAFRWLVVLHNVFLA VYSAWTFVGMYKGLSRTIPSVYGPNGVIGVVDSLCKLHGPAGLENAIAYSPGASSWQD APGLEGGRLWNEGLAFYGYFFYLSKFYEVVDTAIILAKGKRSSTLQTYHHAGAMLCMW AGMRFMTPAIWMFCFFNSGVHALMYTYYTITSFSVRVPKAIKQTLTTLQISQFIIGVY YAAAHSFISYDIPSTSASASSPSAFPAPSPNRRVLYTGPASGAADEKYETVSCINTSG QTFAVWLNVFYLGPLMYLFMRFFYRSYIRRAAPRVAGGKAAAAGVVVGAGKGGKRV VE01_00687 MPGPPRGRRHTDPPPFIPDLDQIYRTNAPGDSAQDFPGPAPKKY YYDGSSSPDKNKEKEKETRRFRTFSRRKRAMDAEIEAEQQSMERATAKIAADYPVVAE LVTSSTVSDKYTIHNLAHLLSNLYNRPQSAITISQFYTHNLLHDHTRDPSYILTITIP PALSEGYVNDVNAVALAQFLDEALAVPPRRGTIKFMPIHLGCLAVGGRTLLGLFVEQI QADRAARDMLGVGVAEERGRRRRRSISSLRGLGLGRSRSRPIEPIFETAQDEGEQADD EGKDEDSGEADDGSGSGSGKTGKGKRSLRSIFGRGWFFVML VE01_00688 MGSDIKQASFSPEYHESSQFDHNERHPGPSRALEAGRMGLSILA LASAVGILAISAHNLKIYNDTHVSNEFLLPLWPFEFNLGPSIALVVCGTIMIVAATGS LLAGKIPSFRYNTSLHTLLTLLSAFAGLVSAIVATSFFYGINASDVTATIQSWSCHWS DVAMNSEPDFHRICKQAEAGLYLTILMIPLFALIGAVGIMAVVQDKKVNLMAARKGSP ALS VE01_00689 MADPNNLPALCSVDEFLKHDYDFIVIGGGTAGLVVAARLTENAD VNVGVLEAGAANIEDPMIMMPAMYTKAIGDPKYDWLHKSVVQKSAGNVAMDQPRGKGL GGSSTINYQMYVRGHKVDYDDWEKLGNKGWGFQDLLPYFTKHEHFDDPSGYTTKPNIP LETTYDASLHGTNGPIHTSFSTWRLPQEREWIAASSTLGENMGSPLEAWSGDHMGTHH SLSTIDRSNGELTGTRSYATTGYLLPNAGRPNLNVLVEALVTKLVISDAGEVTGVEFL HEDKPHTVLAKKEVVLSAGTMKSPQILELSGIGNPSILSRAGVKCVVDNPRVGENFQD HPATAIGYELVEGEKTLDMLQQESELQAAMTSYTTDKSGPLSSGGSAVGFASYADLAT PAEVKSLQELILSGKYPGHNEATKKLIAESLGDHNYGSLQLVLLPATLDLRYPGNQKA LLQPPTEQLGKHGLALAACLARPLSVGTIHISSSDPKIDPEIDPGYLTHPADIEVFVK GLELLEKMAATSPFKEKIKRKYHPVELDLGDRKSVEEYLRHTCATEYHPLGTVAMGKK GVGAVDDRLKVWGAKGVRVVDASVMPLHVSGNIVSSVYAIAEKASDMIKEDWEL VE01_00690 MLYSAITITLILFAAQTYAACYDPSPAFLPPKSSTYRDSPILND AFKTITASLDRLIAQPEFDTSSFSIEVTTSTHSLWELHHTARDKDPERLGAEKVTGES VYRVASITKAFTALAIIQQHVAGNLSIDDTIDQYLDLGGDIQWSDITLRTVASQLSGI PRDFAMSDIFYGFPDAVSLGLPPVSDEGVPKCDSPCSGDDLLENLAIRQPMWPPKHKS SYSNINFDLLGLVVENVTGLGYAEYVEQAILRPLGMDSSSFIKPDDSVGVLPSGFNYW DYEGGVQRPTGGLYSTTSDMSKFLRHVLATHNTLSPQLNWFSPGSYSESLSSYYGMPW EIYRTSSMLPSTLRPVTFITKGGSLPGYFTYVIMAPDYDLGISIFTAGPADLLDLIRE AVTVTLLRAAEETAQRDLNDRYTGIFAAAAPLNSTLVLTQSDTKALYIDSFISNSTVI LEAWQGPLSSLTQGGPFRIQLVPSLSSRGDDAGRKGEVWRGILVPEKRGTGAWDDQCM TNYDPLSYANKPLLEVVFWGGEGAVMKEIEMVGFRVVLERVEGGGEGRETLDVNKDGF TKENGQKVLG VE01_00691 MTDAATPRKRAKSVTFATPPPSRENTAFRSRTALVLGALTLSGA YVHFYQSAGNGLFDTLGELVKGETFPGSNGEFKRVFTGIKPLDTYLTTFTPFFGILTH KGDDSSYLFWLWMIGQFGVQWILFVMESLREGNKGSIASYIGLVGFMFQNFGLATVIP AFLLISTLTSTISRASSPTGLMNLIKVHGIDLNILPFSFFLAYFAPTVCMMLPYPAIN SHSSWQGWIATWQFFPLYTVTFQWLLASFFKAVDQGKGFKIKSDEGKMVAYFWHARPL YIGAIFICAVFHVNVLAICLLPEWLVEIFPIAGTYRNVSFASVFLPPVPLPPFESVSV VRSLHTFLIWDMAVSGAAALVWAALQTRNVSSKTFSAKWVLRMIGFTIITGPSGAFVM AMWERDSAVVELLIEQAMKDK VE01_00692 MGGETPIEGHLLVVWGVPEPKQDIAALRVKYPNLKITYKQASVA FSDPATIARLSEEDVSDDEWLSATHLATMSYLPPARLVPAFTKNVKFIQLTSAGVNHL AAHPIFTSTSIPITTTSGIHGPPISEWVALQILADSHLQRTMYKWQSERRWVRAAGDS TLGFRDSVGRRVGILGYGSIGRQSARVLTAMGMEVIAYTANPRTTPESKRDTGFIVPG TLGDREGTLPVAWHSGTDKASLHAFLGADLDYVLLALPLTGSTKQILGAEEFEILGRT GAYVLNIGRGESVDQDALIAALKKPLGEGGLRGAALDVATPEPLPESSELWGLENVVI TPHVSAWNGRYLERTIGVLEENLERGARGEGLVNAVDRERGY VE01_00693 MAPLKYVALGSSVAAGPGIPPITNRFAQRSANNYPNILAKKLGA ELTDLSVSGATLKNILSVPQTYFYLISFEPQIKGIPADADIVTISAGGNDIGYITGIM RDTWSTTFSGRLLTGLLPTAEDLSSQDVADRFTAIIDEIRKIAPKALIVLVEYPTVFG SDEPIQDIPLDKERIRHHRSVADSLERAYQLAAKARPGVKLISVGELSREHAVGSKEP WVSRNVGTFGFHPNLEGMQAVADMVYQELKTENLV VE01_00694 MWFSTFRLVVYVILFGIGQVFAQFFVWEPPIYNSTFEQYIYHTN PSLGTFQQRYWYNSEFWGGQGSLVIFINGPELDASITASDVGNGSFWFVYAQEVKAAI ILVEHRYWGESSPYEVLDAEAFKYLTVEQTIADYTHFARTVKLPFDTDASSNAPQAPW VSVGCSYPGMLAAWTSRLDPGTFWAYHAMSAPSQANIEYWEYYDLIRTAMPQNCSRDL VRIAQHVGDTITTGDPIKVADLKDMFSTGALNYDDFARALVFGLDNWQIEMFSNVGTT SLFLHMCDAIEGAVDGVPGSAIPEEGVGLEKALPNFASWFKTDFIANRE VE01_00695 MRSIAFDTLPCNQSLIVPGFLSCSAPPNNRPSLISRTITTDYAA GICSRLFPGDKGYKYGLANGRTTETRIGSVGNERCIQQILAGWSPSVNRGGARIRHSW WASLLRYVLSQSMNPGVKAVQEAEIAYVKKWVAEFYEQKSCT VE01_00696 MAIEKVYLTYNEIHQLCQEAAPKILNEFKPQLMIAIGGGGYVPA RMLRSFLKKSGSPNIPIQAIGLSLYEELPSTTPGTSTPGDVEQLGTKVTRTQWLDLSS LGEMSNLIGKRILIVDEVDDTRTTLEYAVKELEKDVEAARQRLGIEGETTFSIFVLHN KEKEKKGKLPEELLKDDRYLAARTVGDVWICYPWEATDIIEHDAMAAKQSGM VE01_00697 MASRAANKRLTKEYKTISENPPPYIVAHPSESNILEWHYIITGP EKTPYYNGQYWGTLIFPPDYPFAPPAIRMHTPSGRFQSSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVAATEAERKWTTARTRWWNSTGGGSSTRLLPGMPVP KGNGAIKAGDGGAKFRSEWPELDKENWKWMEENRINPATGDRMPDPNAPVCSPQAAAF GRRPSGSAATIGAAVHGGQVAREVGQSWIARNKLLVAGAVIFTYVLIARLLGDGTGDV VE01_00698 MAYPDSEGEVFAALNRDIDPKVLEEREKAVQERVQAQYAKAQQR LQDLISSNSTLPVTISSIRVIGATNTRKSFLDRLFAPILSANNDRPYTLQEALQLAGT SADKLRRFDIYHEGVSMFIDKPDSTDPLSTTSDIDIFLAVKEKGRIALKTGTDLGNTE GSAYGNLLLRNIFGGAESLNINASAGTRTRSSYTATFDTPILSDPDIRFSLDGFASST QKQWASHEEVLKGGNARVRWADGQSRHEVAYTGAWRQITALAANASPSVRHDAGDSVK SSITHTWFKERRNHPFLPTSGYFMRTVSELAGWGPLQGDVAFLKSEVETSGAVAIPLP GKEDSGITLTGGLRAGLLYPLPIGTGSTPQASRINDRFQLGGPTDVRGFKIGGLGPRD GPDSVGGDVFAAGSVNLLFPFPRVGKDTPLRLQVFANGGRLLALQQTKGSTSNSVTST IAKLAEGLPSLAAGVGIVYAHPAARFELNFSLPLVLRRGEEGRKGLQFGVGINFL VE01_00699 MASGIISNLTNPLITDHPYYPIEVEIASYLANEWSVPVLLAAFG GVCATVLLIAQIVVDKLHPNLRTSEKITIWWFILSGCIHLFFEGYFSVNHTLMGPKQD LFGQLWKEYSLSDSRYLTSDPFVLCMETITAFLWGPLCFIIAGFITTSHPLRHPLQII VSTGQIYGCILYYATSMFDHYYKGVVYSRPEFLYFWCYYFLMNFFWIMIPSTLVVSSV NQIANAFRTLDTISAKANKGKKGQ VE01_00700 MQFSRPLKSSAHCLPSPDGAYIATIIQAKLIIRTTRSLQATRAI PLPAAFSTSISNFLWSPSSQRILLSSDNTIRVFSAVTPQYSATIASPTSETTKAVYIS FGASHDEVLVFSDFGLKLTIFNLATSTSIDIPAPKLFLPGNAAKGYGYRPRTQQLALL SRGSGKDVISLHSKETYKVFRSWNPDTIDAQALSWSPDGKWLAVIESAAQGHRILFYT ADGHLFKAWTGPRPTADEKDIDCGAGVKTIEWSVDGRQLAVGDYSQRVTILSMANFSE AMRLDHSTTIRPDGIHIWQEKLKATPSGLDRSYNVQTQVTCPPTAASSTPVPETKSGI VSIAIDASGTLIASRCENLPTTVFIWDSSSKILKAVLIQHSPIAKATWHPTINEVLII RCEGEESKGVAYIWEPSWEEPKIVNFGTQLPEGKIMGKAVIRWLRTSDSGSPALFFSD THDCILASISETGATDVDLPWKDAKEKAVDIYGQLEESPLVLVPAEKGKATARQIMDN EPTITTFGALEDMDDTFHFKR VE01_00701 MAVEKYARRSGVALMRSSWRPHLQPPFYSITAASYHKSAPQRES AAPILGSPTPERIPTHPVDAPESPQKFKPSRFKRIQEAAPFSSFLTDTFYRQHDYLRI SITEKCNLRCLYCMPEEGVPQSPPSHLLTTPEIVLLSELFVSQGVTKIRLTGGEPTVR KDIVPMMQQIGQLRGARAGREGLKDLCLTTNGISLWRKLDPMVEAGLTGINLSLDTLD PYQFQIMTRRKGFDAVQRSIDRILEMNALGAGIKLKINCVVMRGLNDREILDFVELGR EKDIEVRFIEYMPFDGNKWSEGKMLPFQEMLDRIKEKYPGVEKVQDHKNDTSKTYRVS GFVGKFGFITSMTHNFCGTCNRLRITSDGNLKVCLFGNDEVSLRDILRENNSGNPIDE EAFEAMKKIEMDRREGRVDGALGMLNNESKLLDVIGIAVKGKKEKHAGMGELKDMKNR PMILIGG VE01_00702 MVDISAKDPTSRSATAVCSLYFSNATAAQLIAANQMKKGDVLSV ARIAGIMGAKRTSDLVPLCHPLFITRVQLDLELVPGEVSAGDGVGQENYGRIDIKAVV DCEGKTGVEMEALTAASVASLTVYDMCKAVDKGMRVEGLRVVRKEGGKSGTWVEGKGV VE01_00703 MAPNDQHSSRSKPVRSKSGKYRIKSSLFRQPPLVPDAHSADPNF TNIFSNRDRPSTSNPSGPVPTNSTYLSSDSSISFRRERLGLYVQNYVPPPGPQYTALQ AAHREPQPKPGPKPIDWGGWDIPKPKQDNADENAEPVLWGGAPAGDNSVKWAPTSGKG KKKNKSKASRFQGHFLEPPVARIKVDIQEKGVKWRTSPIDGGPGTGLGDTAVDSQTQF MPWEAPDMDGSLNCMETEMADANASSVAKNRNDRNARGSYHRSGFYFLEPRPAPFVLE VSDDEEENSEDDEDEDEDVK VE01_00704 MSMAPPGTSTGRFHIILGYIPRKRTWQELKDFVHQAGFQVAHVQ IHAGLHSGWICVDGEDNFWRLGEWLKQAEWDGNRFQVDMRNYDQETPLGPSSYIPLES PRSARSSYSVAPIAPTTSSMNENSQFAYAYKPIMQIATTQAYSCGTSALGYSVASPHQ YSFGPVSPTYTTSFVQPLMYGQGGIQQPNATPTYQYAYAPYPTATSALTSPPFSQPAG PPSPPTTIRLENRRLHLTRTSGASSEKEIRKRVLAACPAHTTNPIEAIEIPSHADGRQ RGHALILLQTEEQARLVRERLDGMSVKGSDGRKHALKVKFAQEGAPERAEELAVKMAA FSMGGQGGVEQELGSPQGVEGNGKGIVETGEMSPPAEPDYERERRRSSLPIANGSSLV LPGAAGLSTSAVAKDRSEHRESARKNEVKGGEEKHSHKDREKGQERKHHVSSSAKGTG KNKKQ VE01_00705 MATPTPFQINVSESSIKSLHDKLSLATFPDELIDANWELGAPLS HVKRLSEYWHNGFDWRAQEAKLNKLPQFKTKIATEKFGELDVHFVHQKSKVDGAIPLL FVHGWPGSFIEVTKLLPILTAGSETQPAFHVVAPSLPGFGFSDGPKERGFGLKQHAET VHNLMLSLGYDEYVTQGGDWGAFITRSQGLLYPQSVKASHLNFFPTVPSLTNAIYHPI TTLQVMFNFVTNYAESRRRLAKTSTFLQAGSGYNVIQTSRPQTIGYAQADSPVALLAW IYEKLHDWTDAYPWTDDEVLTWVSIYWFSAAGPAASSRIYHEARATDVKPKNGDIHLI QAARDYSSGPKFGLSHFPKELMPMPYSWGSMVGAVVQEVEHESGGHFAAWEKPEELCG DVRKMFSKGGPCYGIVKGRDGYVSGTM VE01_00706 MSSEQTAASTAVIASCAGRGTLTTLVSTSTVFTTLPTVTNTFYN DFTYSDTQSNGSGVYTTHVLITVRLPSIPTETKFVPVTHTACIDTALIASTASAASAT LSTQPTVVAAPTTTTTSPESRPDPQPGNRKTLLITATVISVSVILILATALGFWIWRR TRKKKLELASRNELMEAESSSYSPGRGLHKKFSLGTTINDTASTSPTVVDYVGLMPSV SQRNLVGPASDVQSAVDTDWSKIRPSSIALRPGRVHGVNSQYRRPNRVSTRRPSQSRH PYISNYDPSYSRHRSEVVPQIPTAESYTSRGTSAFLRPTEAIRGQNGEFSYGPSQEHI LLPTVYSPDSARLAVPMHERDDDRPPCQPDHSQPDPDYHFPSSPSRERVWAEALQRLE GIHRRRPVDFTRDPTGDSQVTETRDDFNRHHIEGSAMSDERDYETIMYESEGESRARS LREPGRDNTDESVFSLDAVVRRIANEPPRERGRR VE01_00707 MRSNVMLATRTCMRQVQRSRTLPAQLTLSSRRWMSSGEDRKWST PLAKQLSEAITATGPVPLASFMRMCLTADLGGYYMEKQEGRDPFGQKGDFVTSPEISQ VFGELIGIWFVAEWMAQGKKSAGIELVEIGPGRGTLMDDMLRTIQNFKPMVAAIEAVY MVEASPALRETQRQVLCGDCPMEDHEIGFRSISKYANIPIIWTENIRFVPSSPSKSPF IIAHEFFDALPIHAFQSVAPSEVSQTKIETPTGVHDLAPQVAKSSAAKEPQWRELVVS PAPPNSTHEDLGTPISERDLYPPPEFELTLSKASTPHSLYLPEISDRYKALRKSPDSL IEISPESHAYMEQIAKRIGGSAAEPKAEPSGAALILDYGPADTIPVNSLRGIKGHQRV SPLSSPGLVDLSADVDFMALAETALKASLGVEVHGPVEQGFFLLGMGIKERAEMLLKG NNIDEGKKQRVEGAWKRLIDRGPSGMGKVYKAMAVVPETGGKRPPVGFGGGISP VE01_00708 MAGPTKSRWADDEEDAALDTQRKREKEEKKRAKAEKQRKADEAA AAAAEQQRLEALRRAQETQPEHAELANRPAKRRRLSPELAGETKSGQAKLLRFHGSQW KKCRSVEDFEKLNDIEEGAYGWVSRAKDSITGKVVALKRLKMENAQDGVPVTGLREIQ TLMDCSHPNIVRLQEIVVGEDTSKIENIFLVLDFLEHDLKTLLEDLSEPFLPSETKTL FHQLVSGVAYLHSNWILHRDLKTSNLLLNNRGILKIADFGMARYFGDPSPKLTQLVVT LWYRAPELLLGADKYGTDVDMWSVGCIFGELLTREPLLQGKNEVDELSKIFELCGIPT EDSWPGFRRLPNARSLRLPKNPATHGSVIRSKFPFLTTAGSNLLSSLLSLNPGGRPSA QEVLDSPYFREDPKMKSTAMFPTFPSKAGMEKRRRHTPNAPIRGDAPGLQSIDFSGIF AGREEEEKGGGFSLKLI VE01_00709 MRSTTSFFIYATFFVLLSTFTAAWPWPSFFPEVDSLVVRANEDQ SNTQSTSQTSSKPTETPSSGQHKSSSVSESKGSSTASKTTKPAVHTTYDPRLPVGGIT VVSPTATAGMPIFKIGDKATFGFNFTDLLATPTALNIMATCTLNQAMYTIAMNQTING TAPTQQAVWDSNGYETSSAPLVVATYTLIIYDSEGSESDVPVAGYLSPFKSFTFGMYN GQNYADDSGPVPCISCSGAFGSLERNALGFMFGMSIITVMTFTWFVNGLNVIW VE01_00710 MNIQNSRKRNRQEDDDATLHGFSEHRRILKARDNTKRSSIALEF ISQNQITSSLAIRPLTNSPPRPRSNAMSQPRGAEITPNGSDSEDRPNIGWNNPYHTSP AIPHLYGQVLPQESSLSDWHNSQQAASQRLQGNDSMDIDEDMDDSPQLSQPSQMDPSI KHAGRIPTPITGHFSSNVRTSNRGLPIQEATSRLKYDRRLPSPISEDEFSHKMPTSFM ADMQMDVETRTPARDSLKRYGSTKLGVRNMGHVPTGMGDGFGGNAKKLSMGYKSDCEK CQQRVPGHYMHLVA VE01_00711 MTLAAILGQDPLIQPELLISEIPITKAALQTVIKGRKEAVDIIM GTNDRLLVICGPCSIHDPATAVEYCQRLKALSDKLSGDLCIIMRAYLEKPRTTVGWKG LINDPDIDESFKINKGLRISRQLFCDLTSSGMPIASEMLDTISPQFLADLISLGAIGA RTTESQLHRELASGLSFPVGFKNGTDGSLTVAIDAIGSAAAKHHFMGVTKQGLAAITR TAGNQHGFIILRGGTRGTNFDAENVKLTKEGLEKKGQKQAIMIDCSHGNSNKDHRNQP GVAKVVGDQLRTGEKSIIGVMIESNINEGNQKVPAEGPAGLKKGVSITDACIDWDSTV TTLEDLAEAVRARRKLNSAAQ VE01_00712 MRFQALLAAFFALFITVSCASPPSFCKCTCFTNSTIIELTPKTT AQKSANLLNRFSPDASSSLERRAASSADCSQCNRAFCIAQNLPICKNAEEKDIFTTCF QRDSRKDQIIVLAFICTTLGLLGWAAGSKIVEKRRALGPGLFRRSDSRQDDQGVYAPV NSE VE01_00713 MRRVVRAERAIGRILSTPSSTQFTCAACRQHAAPFSTRASLKAV DMEKWRKKIWGTDKPPGAADPYGGPGAAEIYEAERKAKKGETAVEETSTTPAADWDMS AYEPADNWAGLEEVGGRGVWWSGREEPNYAGYIPQQKVTDPYELTAALHRAVIEVFAL RDAEQPLSKLANSDVGPDLTTEVQIVMADGGAKLSLPQNIGLQEIADSLAPRVQETVE EAVVEDETAVKTDPTESEEDVAADRSAVDPLHQAQVQSAVESWDPTWLSVSLNDPEVK FTVVKRVLQLTGIRMSDSNINASKNASDILGFMATPPKPKKVIEALAQRHTLFDLPNV KVHARRVTPVDKEKSVGRWKVIEKELEARGLPVLGHSRN VE01_00714 MSTIARRGLKNILAKNADDIVILSSLRTSITRSNRGAFKDAYPE ELLSAVLRATLAANPNLDPALINDVAVGTVLSELGGSKAGRMAMNASGYPSSTSFYTV NRACASGLTAITTAANGIQSGMTDVAIGGGMESMTRNYGSRAIPEDLWPQLRDTDQKD AKDCIMPMGLTSENVAERYGVSRADQDALAVESHRRALAAQEAGKFDGQIVPVTTRFQ EVDKQGNKIGEEQTITVTKDDGIRANASLEGMAKLKPAFKTDGTSTAGNSSQISDGAA ATLMMRRSTATALGLSGSIIGKWAGSSIVGCKPDEMGIGPAIAIPKLLAQTGLTTDDV GLWEINEAFASQAIHCVRELGLEKKYEEGKVNPNGGAIALGHPLGATGARMVADLLPE MQRQGLQTGVVSMCIGTGMGMAGLFVRE VE01_00715 MSGPAGQDHIGYTISRTRVTRSAARLVRLAATKDGMITTSLQLP HIPPQKRDEGEAVRAETEIKVDASQAWYLAMLRAWFDASCPALDTTSIKPQSAQRGN VE01_00716 MNLLQSGLRKTRGDKQYNGPPRRSGARFVSKASLTLETIHEQNR LNLQKRDNGKGSIKIPDPAPGPAAKGKPRLLLMGQRRSGKSSISSVVFHKMPPNETLF LESTARIHKDSMHSFMDFQVWDFPGQLEFMDPSFDADAIFGEIGALIWVIDAQDDYLE AISRLNITIMNLAQSYPAINIEVFIHKVDGLSDDYKFDLQRDIIQRIQDELSDHGFDN APITFHLTSIYNHSIFEAFSKVIQKLIPHLPTLEALLNDLCRSCRFEKVYLFDVLSKI YVATDSSPLDMSSYEICSDYIDVIVDISEIYGWERPAAYLEQLEGPPWNRPLEDQMGC RDAESAIVLKDMKRPIMLREVNKYLALVAVMKEGSYERMPLVTMNVETVVAGLLEVFE VTGARR VE01_00717 MRTTASILALVASASAVHQGFNYGSTNSDGSIRDQQRFQDEFNA AKSLKGVTGFNSARLYTMIQGGTANDPISAIPAAIASDTTLLLGIWASAGQEGINNEI AALKRAIEQYGSDFTSRVTGLSVGSEDLYRLSPTGIAAKSGYGAEPADLVSYIGQVRQ AIAGTALSGTPIGHVDTWNDWVNGSNSAVIQAVDWLGVDAYPYFQTSMANGIDNGPQL FFDAYDATVGAAGGKDVWITETGWPVSGPVSGQAEASLANAKFFWDKVACPSLGKINT YWYTLQDSYPNTPSPSFGVVGTTLSTTPLFDLSCPSVDTTTPATSAKDVASATEAAPS SIVASGGTLTPTEAADAGAGGVGAGSGSGDASSTLIAVPSSAAGNASTAVGGGAGSAS PTGGAGAGSASPSGGASGGAGSASPSGGSSTGAGSGSGSGSGSGSTGNGTVVSPAPTA GSTTGVATGAAPTAAAGAGLAFGAAIMAVVAAF VE01_00718 MPTAGTAPQVSSPSPAHYQPALSQLPASLSISRPNYPSISPQQS HQEAPYMSAPAPLLRPPIPGSRNTTGRPPRLGLAIPPSPNARPVNSMPDLSSLSLKTP ALSLQTSSPQPPTARPTGRPGLPKLQLATPKGSLQTQSYDVSLKNGRPSAIQGASASG GSESSAAHSRSGSFGPLDGKASGPTSAGSQYSALSFASQYGMLNQPKGTPDPASAAGS SYSNASEGGVGMERDGSMGGLPEYDQATLERARTLDVESLEDEGWRIAHMEKRVIELG SLGEGAGGAVTRCILKGGKTVFALKIITTNPDPDVKKQILRELSFNKECASQHICAYY GAFVEPSTATICIAMEFCEGGSLDSIYREMRRLGGRTGEKVLGKIAEGVLNGLTYLHG KKIIHRDIKPSNILLCRNGMVKLCDFGVSGEFGTKGVADTFIGTSYYMAPERITGQSY TITSDVWSTGVTLLEVAQHRFPFPADAPEGAEMQPRAGPIDLLTYIVSQAIPKLRDEP ARGIEWSDNMKYFIECCLEKDPNRRASPWRMLEHPWMIDMKSKRVNMGHFLATVWGWG EK VE01_00719 MGAKAVTDVHESDASVEGDVLESLSDHSEDSDSLDSTSSRKRRR VAPVDSDGEEEVLLSTVAVPSRIKSGKPASVASKAAPLPILAAPVDQNTTFDSLGLKP WLVNSLGSMAIRRPTGIQKGCIPEILNGRDCIGGSRTGSGKTVAFTAPILQKWAEDPI GIYALILTPTRELALQIYEQVKAISSPQSLKPILITGGVDMRPQALALSARPHIVIAT PGRLADHIRTSGEDTIIGLRRVKVVVLDEADRLLASGNAGSMLPAVSECLSALPPASD RQTLLFTATVTPEVRALKDLPRAPGKLPLFICEIDAANPLALPASLQQTHLSVPVTHR EHYLHMLLLTEANVNKSVIIFCNRTVTADYLTHLLRLLAHRVTALHSKLPQRQRTDNL ARFRASAARILVATDVAARGLDIPEVGLVVNYDVPRDADDYIHRVGRTARAGRKGQAV TLVGQRDVGLIKAIEERVGRDMEAWEEEGVNLETRVLKDALKIVGEKRREAWVEIEEG REVGGKRKTGMRRLA VE01_00720 MVYIGTTLVALLAATANAASLIRRDTWGGALSLGPSKSTIIHAV TTLTPGAAPPVQNGVLFLWPGMSNGTGDLVQTTLESWPDNSWCGAKTGQWCVRASVFG WFGQLDGTAGVVSGSDQVKIEYKLESNQQTWTQTVTNAVTGALLSTYAYDSGPFMRGY GTGTECNDGCSGTIAPQTYKNTVITLAEADPTFGNTLGVSGGTTYTGFASSEGGKVWT IATISIPAMT VE01_00721 MANEPSISIRKSIRWGDDAAFEDTSTLVLTTGPRRYVDLRVYLP ENPEDGLPNADDVPALDRLEWGFAGQSKGTPAKWDGPRLVTPAHGTWTHWVDSQKPDG YEDDGFMYDQHDGLTWEKGVMEYPKTGELTPYEEVWEDFAPAKDAAGNMIAVTLVYTE TGNSAKGMVIRVGDWIQGILRDAAGGITVERWHYEEASKATEGWKRVVRIGSGELPCQ RLFALDNSTAVEGSGWTILKDDNVL VE01_00722 MNESIQFEDAAKIEVPTTPQPHHATLPSDSDIAKATTGSPTTSM LVANPSSPPTSFNASSVPVPAPSVERPKYSSPPPQSSITPPPSSQPPKRRSPSSTFDR DTTPTHAIFSSPPPTVSYDSKRGAGRPLPIPASHVANASVTELRDSLEAALNENSRLD GEVHEARMAAAHYKLQHSLLVIETEEATKRMEVEHEMTRREVEILQATELARREASSQ PPEQPSANARYIAEMKAYCESMEKENALINRRLNRAKAIIAEKEEDISDLLSENHRYV GRIRENREHMRLLRSPGGLYAPATTPRTQSQNFPTTPQYTRPTPKHTPHSIHQHDSQD SFATLLLADRVLNDQNSAPSTPTSTRFTHKGNSHVKHSRNVQSLSSLPSTPVRNLNQS QHLLPSVQFVPQSEPRYRTNQDFFSPAQPPRTALPHGRTEKRRKSRDSTISASDAEEL ASYGHPQPHPSQETRHSDDTEEQESDDVQESQASAAASAMLRRDPRESFEVAASPGLN EATEKGALLQAKIFGSVTKGASVPKRKRLPDDEEVRAKKLRMAAGEGVGLGIYGA VE01_00723 MGDVNNSPVASTRSSIPRPSRLPLPSQSLRQSTSRSSLRSSTNT IPVARLRPKQSRDVLASTQATNAANDRDVDAGVRTQKAPVLRSKPSNSSFKELQSRYE PALASTAQTEISEDPSQADPRSRKPRPSLSERVQETLAQIPPSPVVNRRKSSFFNPES PMRSPSRSTNGSRPGSSYENDGKMRPPSRQTASRPGSSAEYSSQAVPIDFRASTNTFK PSLHTPVKRQSIQTLKTPKSVSSLRLAASSKTPGSPNPITPYKAPTPALAVKSGSKTV GRAVRPRASVTSLFDEQPFEPIRAAESKALRTVRPRASIGSFSKPALSELPNVGSPRA SFGMKKVSPTFSNASSTSASTTSHASKESVTTVGSTQNKETTPKKSSIALREQIAKAK AAKRAVMTKTAPVAQPEGFAEEAPVIPSGTFDFGLEDPFNQNTQDPSKGLLRKRIDGA RTDGRLNIAAMGLKEIPDEVMNMYNLENINGHASSWAESVDLTRFVAADNELETIGDD IFPDIDPRDSADDDDARGNQFGGLETLDLHGNTLISIPRGLRRLEMLTTLNLSNNKLQ NDCFEIISQIPSLRDLKIANNLLCSEASSGLLSLVNLEVLDLHNNQLSSLPAGFGDLV RLRVLNLSENTFTSLPFNAFQRLPLTELTAANNKLCGTLIQSNAAEFPKLRTLDISGN SIKAISSGSLSLPSLQLLTVSANRLTHLPNMSTWVSLLTLSASDNSISALPEGFVSLP ILKTADLQGNDLRILDDNIALMDSLDTLLVSGNPLREKKFSGMNTADLKKVLKGRLEP EPEPEPETIIEIQNNGFGAAIARDDDSDTGTEYLDAPSTPTLPRSPSASEWSINTSLG ILDRSYSQSYSLNPLVAAQIASENKIKTMELHHNSFTEIPTSIAFFGLTLTTLNMSHN SLTSDTFMKDEIELPALKELNLSSNTFSSLSPILRLLSAPRLEKLDVSFNRLTTLPFL RGAFPLLTAVLASNNTIREVSAQNVTGLRILDVGSNELERLDARLGLVESLQRLEVSG NRFRVPKHTILEKGTEAVLAWLRDRIPVSELEELS VE01_00724 MHTSFILTAIVAIGAATGASASTMAPRAALPKANELTSPNCAPG TGSYEHHSAFLGDVTMNDGSHSVYFAAGPWDFFSGKTKEGIMRASILICGLSACATGL AGIVPRVSTGHADGSFASPGVAIKPKFRYWLPDASIDPAGFSDDIAQLAKRGADGTEL LNYFGLPTSVTPSNWDIYGYGAPGYSKIVKVALEAHRDAGILFDYTHSANGCVPAEKG NPGLSWQLNYYGTTNIGNFNGTVPGWGKGEFIGTVTFAVTNVGTHPADFHGAFGWGKS YPAYTISNASLTDVTSLVDSKGFIETNPIQTLDAQYKSSCSFQGQDNLSGPIKPYQCT PGSWVYDATICTEKTHETRIVKFTKKTQLLRHATTSSDSVLGFNVQLLGSLIQAKVAY STSLSTVSTSFGLPQTFGTTGVQPAYTLNDWSLVIEHWGPPDNLYNLDLDARKENLTV PIDGPSLKSWKDLGFPDVSGIGFYRTTFEWSPSLLYSTGGAYLILPPVSDGIVGTLNG KRLPAFDITNPTTHIKSYLKKGENVLEFKVSSTLKTSLKPIWNNLQTAGGGVASSWDA TAKLGFGLQHYGLIGEVQIIPYGLVPIL VE01_00725 MAARKVLITGATGKQGGAVIDALLSSGSSFQILALTRNASSKGA QSLASKPNVTVVEGDSSSPASIFKAHSPIHSVFSLTIPGKAGAEEQQAKPLIDESIKN GVDHFVFTSVDRGGPGTSEKNPTNIEHFASKHRIEEYLKEKSGNGSKMAFTILRPVCF MDNLTPDFIGRSFASMWRGVGDKPLQLISVHDIGIFAAKALSNPEYKGKAISLAGDEL TVEQGKKVFKETMGYDMPESFGFVGAGLKFAMKELGTMFKWFKTDGYGADIPALRKEE PALQNFGTWLKESSKFSKQ VE01_00726 MTLASQAEFKAAGTQLSHILDSVINDKIEAGFSVENTSFSISIT TLDDRHGNPAWQYHHRGANNVKGTKNVDGDTQYLIGSISKVFTDLLLLKSGLNLDDPI TKYLPELISNDSLINWKDISLRALGAHLGGIQGFYGYPEMSYTVPDFEQLGFPKLSDD DFPQCGVLGMSKGCNKKQLLAGLRDTAPVTTPGLRPAYSSISFVLLSYAMEAATGKNF TQYLGEQVIKPLHLPGTGASPGNDKKAAIPPIENSWGSDYGDNVPGGGLYSTTNDMSQ FIRSILTHKILSQTEVNTWLKPLSTTPQLNTLVGMPWEIYRSNILTPDHPHTVDVYSK RGSAMGYEAYMGIIDQYGLGFTILTAGGFSEAATNLADTLIAILLPAAEKATRSEAQE YVGNFTSSKEHESAIITTMDNGPGLILSNLTRNGSNIVGAIKSLWASQPVPLGGLSET LRLYPAGVSRSIRVTECVNGKEQTKTQVEEEWRLQFDIVSGNEAPGKLPSTYLVAGAC GTFQTPGLLVYGGEALDRIVFVKEHGKVVGVKVPSLRVEFDVRE VE01_00727 MGRSKNHNKNPRHVHHEPSQPSYGGVQKSRLEVKMRRKDGDKYV DDIRAEVIKERRAAEEAAAEGPSPGQRLRRGELLAEKENYLKRFTAANARIAAAKKAV DTAAAQYEEAKEEKRVMEAAYRDILTELGRIPADLSSSRGGTLRSGVDIVTKEEGENV AKQEVEHVVKQEGEYVVNQEKENVVKQEGENVMKQEEGGVVEEE VE01_00728 MRRRTPTRIVCLILASVLLTTTLVFLRDGKAPASIPKIFSPQSS SRDTEYKLATPTPPTPTTGSGDHPIRTLIANANADFEALKARQSTSLSQVIAEYKRRY GLSPPPNFDKWYAFAKARKVVLVDEFDTIHDLLLPFRGLPPAVLRARVTEALGHDNML IALQIRQGNVTHTEGGQSWVRDALKGMTKEFTQYLPDMDLAFNVHDEPRVAVPHDDLQ RLVANGKESIETAMLNEKPRNAWSSHADLVKGIKEVPTSRFNYFSHQSTWAHSKLSCP PDSPSRSLLEVGEVPDVNNKSITTPLGFITNQTAYSDICNSPSFSKNYGLFASANSFN VAQDLIPIFSQSKISSFQDIIYPSPWYWYDMVPYNATKDIPWAEKQETLYWRGGTTGG YSRFGAWRRHHRQRFVLKANSNDQTPILAKEDSATEWTEKSTAVQDHKDLFDIKFTEV GQCDTGDCTAQREAFHVLPKVDPNDAWRSRYLLDMDGNAFSGRFYSFLQSGSAVLKMG ISREWHAEWLREWVHYVPLSLHGRDWVEAMRWITDGGAGGGAATEEGKALAMRSTEWA GRALRNADLEVWFFRLLLEYGRVVDDARESIGFAE VE01_00729 MVKTSVLNDALNAINNAEKAGKRQVLIRPSSKVIVKFLAVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKTGVISPRYNVGLGDLEKWVVKLLPARQFGYI VLTTSAGIMDHEEARRKHVAGKIIGFFY VE01_00730 MSDVEEDTPAVEVQDEVEVSGEAPKGQMSVLDALKGVLKLALMH DGLARGLREASKALDRRQAHMCVLNEACEEEAYKKLVVALCSEHKIPLIKVPDGKQLG EWAGLCVLDREGNARKVVNCSCVVVKDWGEESQERSILLNYFQTEQ VE01_00731 MEKDKSIAGETPVYVDMSGSNEVIDEKAEHVSHHDALDSKAANV TAYQVEPIQGETHSLKDELLADEEIDLFKPFPIDPSHPVEEHILTIRALVTGIALGAL VNASNLYLGLKTGFTFSASMFGAIFGYGFIKFLSRTTGNMPILGGSFGPQENTIVQAA ATGAGGIAGLFVAALPAMYQLDLMSSLPIDDIGRIFTITLICSFFGLFFVTPLRRFFI IQVAKELRLIFPTATATALTIRSMHAAGSGGLDAVRKLKALGYTFIAAVIHRVASYYA IGILYDWHVFTWFYIWSGHTNWALNIENWGWYIEITPAFIGSGMLIGLNSALSMFGGA VLAWGIIGPLLVHYGECIGKAYDPEDPIWHDYTSFSSLKNLGKETPSPRYWLLWPGVM IMVCASLAELFIQYKVIWIAFKSVFRQVNTSIDEAARKRGKVIPFCARHAGTMDSSDL VEDPFPAEQQVKDWMWMLGLTVTLVISFIICHFQWQMNVGLTILACVLGFIFAFLCIQ IGAVTDTTPLTAASKASQLVFGGATSGHGYSIKDAQRLNLIAGGIASGAADVATNLTA DFRTGFLLRTPPLKQWIAQAIGTLVSVFLAPGMFVLFTSAYPCIIHPDDYDHCPFQAP SVAAWAAVAQAVTDPSIGIPIKAGIFACVMGAVSVIQVIFRHYYLVGEREKYRAYLPN WGAIALAFVLPVPVFTNAALLGAIIAAVWRKYRYASWDIYGYAVAAGMIAGEGMGGVV GAALQLGGVSGDVYGTNIGCPMLSC VE01_00732 MAQIPIDDGAQRPLLSEYDETTYSAVAVEHSGTFRRNLGALEAF AIVISIVIGSGVFTSTGSIDANVSSPGVALAVWLVGGVLAWTGASTLAELGTAIPGEG GVQPYLQRVFGDVAGFLAAWTWVVAVMPATLAILSIVFVESIFTAAGVTDQAGRWEHK LLSVLVLVAINGANSISTRASTRLNNFFVVTKFVSIAGVVLAGAVVVVLGAADPEKDV GGRDWATKPWFRFRDSIAPDGSTIHWGELSTWETLGHYSTALYGALWAYSGWDKASSR QLPLAINTAIPVIIACFIATNTAYYILLPWDIIATTDSVAVTAITILLGPWFGIVAAT LICLVVAGSLLGNSFVASRMAVAAANKNWLPQPLALVGRIGATHLRGTRPDSSKPSTS DAPINALLLSTVLSTLHILLGDFRSLITLNGIGEFSFFFLTVVAAIILRFREPDLHRP YKTFLIVPVTFAMVSGLVVIRGAAFAPVQAAVLIGVWVVGVVFYWARKAWARAVEE VE01_00733 MKVFNLVGAGAFVLTLAPLPAIADFPAFPTVLKVWCRSLPGDDS WPTVSQWKNLNSSVGGRLIKTYPLGSVCHDPAYDAAKCASLQTAWTDAQLHMESSSSV MAPFFANQSCDPWTPTLRPCTLGNYVSYSVNATSADDIIEAVNFAQEHNIRLVIRNTG HDYSGKSIGAGALAVWTHHLKSTQVLDWKDSYYDGKAIKMGAGVQGFEAMAAAKAEGL VSIGGECPTVGVAGGYTQGGGHSALSTSFGLGADQTLSFEVVTSKGHLVTASRTQNSD LYWALSGGGGGTYGIVVSMTAKAYPDAIVGGATLIFQSSSTTADNFYAGVEKFHSLLP AMVDSGSMIVYFFDDTFFQIGPLTAYNKTSTEVKSVLSDFVEALDELQIPYNVSYSQS ATYTDHYNTYFGPLPYGNIKVGIAQYGGRLIPRATIQNNNAAFMKMARNITQDGVLFI GVGTDVSAPAEMVSNSVLPAWRDTLIHATITTPWNFTAPWAGMIALQDKMTDSIQPQI EAVTPGSGAYMNEADFRQPDYKEAFFGSNYPKLLKIKDKWDGDYLFYAIKSVGSDHWN VAADGRMCRA VE01_00734 MEEILYISNDIPTQALRSPPSELLQSCLEQILQTLPPKESYNEE QASGFFMGYTGLAFLFFQISALRPGLEILGHDLIYWAKRYMEGKRTGIECFIVNKEQG CGLLNERLCFQALWACLSKEHGDVLAFLSDMPAVLGPYPTDQGDPYETELLYGRTGAL YLLRMLRHWVPASASSLEEPIAKLAGKIMDTDSDGKGNWEWNGDRRYGPPHGDIGIIT QLVLTLPSLAPKLSAKVEELLSLQGPDGNWPSSRDTMETEKGWERVQYCHGAPGFVCA LQTLRPFYPELHGRIDQAIARGRETTWSRGLLKKEPNLCHGILGNAFAFPIGPKREHF LALCTPDEIEKAKECDPKVFGAAAYGMEVMVALQYLPSAAWTWAVCDMPIPPMLMFND V VE01_00735 MKFLSLLALPLALALPVEDMPQGPAPPMLGARVEPAPELQARYA TPTVVIPFPQATIVGLGGADVEQFPGIPFAKPPVGDLRLKSPQPLTEPLGTYQATKNQ AACPQFFFSDALDDSIPTSVIGQILNSPFVQKITQQSEDCLYLNVHRPAGVAANAKLP VLFWMFGGGFELGWNTMYDGSPWVKSSIEQGKPMIFVTVNYRIGGFGFLPGAEILKDG SSNLGLKDQRLGLQWVADNIASFGGDPDKVTIWGESAGAISVFDQMVINDGDHTYKGK PLFRAGIMNSGSVVPADPVDCPKGQVVYDTVVEAAGCKGKADTLACLRSVPYQTYLNA ANSVPGLLSHTSVALSYLPRPDGVFLTQSPDVLVLNGKYAKVPFIVGDQEDEGTLFAL FTSYIKTPADLVKHLTTVFFHHSTAAQMSELVQTYPIGLLDGSPFRTGIFNNWYPEFK RLAAILGDLTFTLSRRVMLLGTSTIAPDVPSWSYLSSYDYGTPVMGTFHGSDLIQVFF GIYPNYAAASFRAYYLSFVATMDPNNGTDPKYRKWPQWKDGNQLLNTYATYSQLIKDD FRSKTYDFIVKNIKSLYI VE01_00736 MIKAESSSTYDTTLGGVPTTKDQVLNAGAATIQNFAPVNSICAH LNAFHVYASDTSRNVEANHYCAHLSANVRQCLIYDSPKNPARLIGVEYLITRQLYDAL TKEEKKLWHSHDYEVRSGIVIMPNPLVPEGVWEIAETAEMREVVGLYGKNFHFWQVDR GDELPLGKPELMMSFTKDEQVPWDKVKDRDERFGIDSTKKRHARNDIPPVPPHQDADS CWK VE01_00737 MATAPSPLLQKIPSRTAPTRWPTQEEGMCGSNGSNAETRKKAAA GEAAMKRASDSQYARKKEFMRKKAEYKRRFPATNDGVMRAKRNLDAAIKWYQ VE01_00738 MATSDPTIKLVPVLPGDFPALARLEAAVFGETDVGEVGFGPDRN SDAAMDQRAIILGAPPKLGETVRNMKLVRVGPAGDEEIVGYAGWVICIGRTGSEEEKK KLGTRESWAVQQDPGPEPFGPGADVKFCVDVFVRADEHMARATEGKDYAKLRMLAVLP KYQRMGLGAMMLEEGLREADKLGLQSILGASPYGIGLYRRHGYIDFEAMEFKLWEYER GKGMGIAKHVVMHRPAVAHQGI VE01_00739 MPYTARIAIIGAGPSGLALAALLQAQSIHPTIYDLRAEPTPAFF AAPCGMLDLHEDSGLKIIRAAGLWDAFQAELGGCSEECRVLSPAAETLHTDQGQLSSR PEIARNALTKLLLGAVTPGSVKWGHKVSSVHSARTETGATEVTLDLGADGKATYDFVV GADGAWSRVRAMLTDIVPVYTGAQYVNATLRDATAKFPQLVDLVGSGTTMALGGGRGI MTHRGPQDSIRLYIAVKTPLENWAEEIGIAGKTTAEAKEVLLGEGGGFEKWAPELREL LSTACDEETSDHPGTVVDVLPLYMLPAGSRWETQVGATLVGDAAHLMTPWGGEGVNQA LLDSLELSNVVGGVGQVESVVEWQEKLEAPMKGFEEAMVVRAKEVAEQSSKNGEMMLG EHGGQAIADIFKMFADIAANGGEFPEGLL VE01_00740 MTGIPQKSRLCAFRTLELCMEFYEAYDGCCQLLQGDSPDADLMA YMAKEGFSPAVGVTDKSRFHSMMSKQLDINSKTLLKKVLSGFFLYHLVSSFGIGVLLL LLDNALRLYVYAHA VE01_00741 MKVTASLIALFAVLNAVAAAPPSGAGNNGNGNGNGNGNGNGNGN GNGNGNGHGHGKGPMVNSKALRGLIKEKALMDKAQTLQEFAALSGTPITRVIGSPGHN ATVNWYYDTIKKLDDYYSVYIQPFPVVTANGNLTVNDALIESATMSFTGAGHPVAELV PVANVACEASDFPAEVSGKIALLSRGTCTFGSKALLAKAAGAVGVVVYNNVDGVVQGT LGEEGDYPPTVGISKAQGLEFLAAIAAGNAQTANLVVEQQTVITYNVIAETLTGDHNN VIHVGGHSDSVTAGPGINDNGSGGIGILEVALHLTKFKINNAVRFSWWAAEEEGLLGA HAYVNAQPQSELDKIKIYGNFDMIASPNYIIGIYDGDGSAFNVTGPAGSAQAEHLFID YFRDIEKVDSVPSDFNGRSDYGPFLDAGIACAGLFTGAEGLKTVEEAAMFGGEAGKAY DPNYHAAGDTVANCDGTAFLINTRAIAHMVAVLAKSTAILEEPLVRRGGYRDFTRATG VPKKLVGGCGTTVM VE01_00742 MFFSAALALAGANVALAASSASRPAESTIEPAATAISALAAAAT PVVLTSDVKGKGFDRIVQIWLENTDYALAANDPSMKELAKQAITLTNYHAVTHPSEPN YAAVIGGDHFGMDNDDFLTFPKNVSTVIDLLDTKGISFGTYQEHLPYTGFLGFNYSNQ ANFANDYVRKHNPLALYESVTANSTRLGTIKSFVDFETELKNKKLPQWSFITPNMTND GHDTTITYASTWSKTFLTPLLANEYFMNNTLIILSFDEVDTYTTPNKVFTLLLGGAVP KSLHGTTDNTFYNHFSTISSVSANWDLPSLGRWDCGANVLQTVANITGYQNVVVDTEG LYFNSSYPGPMSDDLYDPTWPVPNTDAKCANGLGVLASVKKTWGASKSTYNYTAVYNY DAVSGNNVNGKAVPQGGSVNGTAKSTGTAGAPKATSTGGAAVNAATMVPVLAGFVMAA ML VE01_00743 MSPAPATMAPPEMTGAVNPKDTFYNDQLPPPPPPPARRCHVFFW AVLSVVLFVVLGLGLGLGLGLGLHKPARGFSSPVTGPDCPCSACPCVVEGPRPDIPTD LPPWRLPDEEYHLSMDWDIYAPPTTRVYNFTVEEIQAAPDGVLRKMITINGKFPGPMI RANMGDRIKVHVTNELSEPTSIHWHGMFQYGSNWMDGTSGISQCPIPPGRSFTYDFLI DGQYGSYWYHSHYSTQYTDGMVGPLIIHAPEEAEVRKLYDHDEVIMLQDWYHDMSKDL LPAYLASGNENKEPTPDNALIQGTNIFDCSKYDASSNRTCEGNSTRAVFGVTKGSSYR YRLINVGAFAGFYFSVDNHTITVIEADATLVEPVETHRISINIAQRYSVIVHANQPEA NYFIRADMITTCFAQPNPVLDSLALAVLSYTETTDTPTSVDWDPSEATVCQDFNSTLL IPTEVVSAPPADVLYYFMASFQIGAYALDRAFFNDTTWTTANIPTINQAVDGINNAST AHLFSADGVSSGFSDNQLVVSVPKGRVVDFLVQSLDDGAHPFHLHGHEFWVMAQSPVP ATTGYFPWETYGSLNTTNPLRRDTLTIGPFGWALLRFEADHEGLWPFHCHIIWHMEAG LLMQFMTGAHELAKIGIPDDVRDLCDV VE01_00744 MKIILSALVAFLYAMPIFGQQYSNPVLWEDLADLDIFRVDDVYY YSASTMHYSPGAPILRSYDLVNWEFIGHSVPTLDWGTKYNLVSGQSAYVKGIYASTMR YHPTRKLYYWIGCIEYAATYVYTSPSITGPWTKAASISTCYYDAGLLIDDDGTMYVAY GNTQLSVAQLSADGLSQAKTQVVYNTPSSIGTLEGSRMYKINGAYYIFVTRPANGQYV LRSTSGPFGPYTVKELLLNIGTPVSGSGVPHQGGLIQTPSGAWHYMAFIDNYPGGRTP VLAPITWGTDGFPAITKVNGGWGASYPLPLPAHALKSPAGTDTFSGTSLGPEWEWNHN PDTTKFTVNNGLTLYTTTVTSDLYAARNTLTHRILGPTSSGTILLDYSTTKDGDRAGL ALLRDTSAWIGVVNNGGTFRVSMYSGLTMTSTWATSSTGSEVAGATVSGGKIWLRIYA DIHPGASQQGSFYYSTDGTTFTKLGSLTMNNAWQFFMGYRYAIFNHATKALGGYVKVN SFAMDSPGYTTSSPAQSTPPTNTNPVSTPTSSGGGTTTTIPGGTVAQYGQCGGIGYTG ATACQSPFTCKYSNDWA VE01_00745 MSIVKLTALALATAACLISAEGYQAKPVPKEDVLTVGVIGDFGW TGWEPAPVNFCNNVMPRLIANNITIPREIQNDCDAGDRGNIKNATADQMATASYIEKV CAKKDCDAFVSVGDNFYSSAIDFSTNGIIRFEEAWSNMYTGKIFNNTPWYQCLGNHDV VKGTSGVEFQTKIAPLYDSRWYFGTENLPYYTYDLSGKDWKATFAVVDSDCFIENYQK SNSVYQNDYTKACYETKQEQVDFVEEAFSKSDAQWKFLQIHHGYVSSSSNYTELAPFV EIVSKYNGIVVNGHDHCLAHYYANNTNFILTGGAGYPEAGDCNNGVKLGPFVKYLGAN EQAAANGFVTMDISKKSVNVEYYTRDMTYEGGNLFPVKNDLEPAYSFTIEAKKN VE01_00746 MADIIKNVVIIGASGNIGASIFKALVASNKFTVSVLTRPESTHI YAGDIKILQSDYSEVSLVEAFQGQDAVVSALGAAGLADEIKIIDACVKARVKRFIPSE YGSNSKNAKATALIPFFGLKAQINARLEAQEANGLTWTGIAAGPMFDWGINMGLVGFN IHTKEALIFDGGDRRFSTSNLSQLGNAIVAILSKPTATVNQYLYIDSFTASQNEILAA LESTTGEKWTVTKSTTEAAVTEGQALFAKGDFSGLLLLLKANFLGEGYGSDFTKDAKL SNEILGLPSQDLTSTVRALVKGETI VE01_00747 MSNAHISVSINPLMVPLKRAHKAEGSYVKHGTGRQVHREVEAME FVRQHTSIPIPSVFETYVDENDESRSSWFSMSVIPGSPLTDAWPSMNEEARTATQADL RSYLDELRTVPSPTPASIGSCSGGSAYDHRLNNGHPCGPFASVSDFHDFLVVPVARCP RKELVKHYRQQPADDQGVVFTHADLCGDHIFVEPTTGKVTGIIDWEMAGWWPAYWEYT KSRFGSRYQTWWKTLVAHVLDPYEREFQIEDDLQQF VE01_00748 MPLPSDEQILATSRGLIDQLQALFGKHPGFRPAHAKGHLLTGTF TPTENAAKLSSAPHFNLPSTPLLARFSTSTGLPAIPDTAPPAVPHGLAVRFQLPPRDG RRVHTDLVTHSTPTFPTRTGEEFLELLTAIGASSSSTESPNPVEKFLSSHPAAHYHVT NPPPETGSYATDTFYGVNAFHLVAEDGKSTAIRYRIIPSSSPTTLSAEELKAKPDNFL RTELESRIGAGPLVFSLVAQIAASGDPTDDATSLWPEDRDIVELGKIELDTLLDEEEG GKEQKRVIFDPIPRIEGVEASDDPLLEMRAAVYLISGRERREA VE01_00749 MAEPKAVAPLVEAIDNNEAGYNPDRVNLNSNISGRIENPLAGIP RDTLLLEVEKFAEEKALTEHVDILKKGALVAQDPLNYEDITGEHSLTDAEVEDLRNEI LHKWRHPMTLYLTILLCSVGAAVQGWDQTGSNGANLSFPKFFNIGTESTRDQLLVGLV NSATYLGSSLFGCWISDPLNFYFGRRGTIFFSAVFCFLPVIGSAFTQTWQQLFACRIL LGFGMGAKGSTIPIFAAENAPASIRGALVMSWQLWTAFGIFLGYSANLAVAHVGKNAW RLQLGSAMLPAIPLLIGVYFCPESPRWCMKKNRYPQAMGSLLRLRNSPLQAARDLYYI HKQLEVEASIIGKSNYITRFIELFTIPRVRRATLASFTVMIAQQMCGINIIAFYSSTI FVSSGFDQYQALWASWGFGLINFLFAFPALWTIDTFGRRALLLFTFPNMAWTLLTAGL CALIPVHFKIHLGLVALFIYLFAMFYSPGEGPVPFAYSAEVFPLSHREVGMGWAVATC FFWAAILSIFLPLMLVAMSPTGVFCFYAGTNLIALVMIFLWVPETKQRTLEELDYIFA VPTRTHMHYQINKALPYFIQRWVFFNKNAVLEPLYKFDTAGATDKSRIADMHANDLRR AELRNDNSASDENVEKTEKQNEASDEIVEKVRE VE01_00750 MVLRPKCPATVEKFNAHGSCRARSHSFLTLVFLAAPSISPLSIW TWACAGNKLYYLVVLKGTVATCTTGREGFCKHNYYSAPAGIDKLDGKLWGGVKLDDFV VGGVNGYHANGDKNGCKLADPNDSKTASSLYDMGIRSPGVVGIPVCDTNTALQNWIDE ERFGSHENYPCVPLDVVVPP VE01_00751 MAEVKKTTPKMRQRDIVSSFLCAPPVEEGGQHRGKWAVCSGTIE ATDASPLAAAYREIAEETQLTPPSISLLRRGRPFTLRDETISTDWTIHPFAFLLASPD TPLTIDFEHTEYTFVTPSQLSEYDTVPALADTTLRVLPGAEVEDALRTLRSDHTSGAT ALATLALLTLRRALLPPLSTAPTTAVQWRATRLLAWTLAKSARPAMAPAIEASVFGAL ERISLSIGGVGKNDDLKVAMEALPIEEFRQVATKAIEDVMEAREEAVLKLASNFTACV QHSAGYQAAFTEQRPVTLVILSASASVAACVADLARAAAATKMGVRVLVLESRPGFEG VDIARAVLDLVGSDAEVEMQILTDAGVGWAVGEADFVMLGADRVTGEGDVSNKTGSLA AAGLAPTVGNGCKVVVVCCEEKIMGDDGGGEGLAEAEADGGEENESSEVTAAWPARAK EVGELEGVKVRNPYFEWVPSRFVDVYVTEAGEVGVEGLKKVARERRELEERLFDGL VE01_00752 MATWQESAARYRSIMAEKIPKEWRLPASITDNISQTSEQNVLDI PRTCDILTKEELDITENYDAVAMAELLAQGKFTSVAVTTAFCKRAAIAQQLTNCLTET FFDIALKRAKFCDDYLAREKKTLGPFHGLPISIKECFAVEGVRTTLGFISFVERPVEE SHSAVAQILIGQGAVLYVKTNIPQTMMTAESDNNLFGRVLNPHSLLLTAGGSSGGEGA LLAMKGSIIGVGTDIGGSIRIPAYVNGTIGFRPTARRFPVAGQESAARPGGWGILSTG GPLTRSVRDVEYFLKNFLSAENDIWSLDETAMYAPWRSVSPSISEVAGKKLRIGFVTE SNKFPLHPSVLRTFKDAISKLEIAGHIVVNMEQHLPSQFLDKAVTTAYYLFSMDPTNY ILSQLKVSGEPPIPSISNTSLPELKHYQPTVDDAFRVNLERREVCNQFKNAWVKEGLD VLIMPVYQGTAVGHDTYGPAFYTLLANLVDYPSVSIPHGNANAELDVPFRRDVSYVPA YLPKDVEGAPSGFQILGRPGRDEELVVSLKIVENAIRA VE01_00753 MITLATSAPPSDEEKIQRELPQAQNATIPQTRPAILSEFTAALN DEEEFQLELQQSQPRSIALSFPEGGLSAWLVVFSGFCLIAATFGLGSCIGLFQSYWQS HQLSTYSSRDIGWISSTQIFLTLFLGVQIALSVVSHWFEARRGLATGVAFMGTSLGGI MFPLALNPILERLSWAWAMRLLSLIVFVFVVFGNIFIKGRLPTGKQGGVISLKCFRDL RFAWATVGIACFEFVLYTAIGLLPTFALEQGFGHQASFNAIAVFNAGSGLGRYTAGLV ADYYGRFNCMALFVLISIFATFALWLPVGHNVALFYVMVSIFGFGSGSVISLAPVCIG DLCKVSEYGQWYGTSYSAVAFATLISIPIAAELQSAAGTTAYVAFSGGILVLALLSIL IARWACLDYKWIWKAKI VE01_00754 MAEKNTEADLPGFRHLEMSSADLRRDSTSDNLATLKTDIRDIAI IEVTDEQNRRVLRKIDRCLLPIMGIAFMLQFLDKAALAASTLLGLLDPTTGGIALVGS QFAWCSSIFYFGYLVFSFPSAYLIVRLPIGKYLASVVILWGGILMCTSACKTFSALMA QRFFLGVAEAAITPGFSLVTGMFYTRKEQPLRQGAWFIGNCLALILGGLITYGVKNIP NPPLPHWKLLFLFEGAATCAYGVFMIFVLPDSVMTAWFLNHEERTIALARTLKNKTGV LDSGVFKWEQFNEAFRDPQTWLIALYNVAINLPNGALNTFQPLIVNGLGFNTLTSILL QMPTGGNEIVFLLITSSLASLLPHARVLIMIFNCVVAMVGMVLVYSLKSQAGQMTGVV FAATYAINIPIFLSLITSNVSGFTKRSTVSAIVFVMYCVGNIAGPQFYLERQKPRYQM GLISSLVGYAVGITSLICLYAYYRYENRRRDRVYGSESEVNTNQELTDELSNETDRTI TSFRYMM VE01_00755 MEAALACAATSISYMVSSDRRTVMRMRQRALTHQTAAIRSIRGC IELGSVNGTEDWLLGAVILLTILANRDLSCPTWSRGTHIRAIMQLLKCRQATRMTEAE CDPEALHVIFERKCYESLLYHGTIMMTYDPDFDVLVSSEAWQMIDEYFQFSLLPSDEN WESWPVLGVPYKLFRLIVIISNLARRRRPLGEEDLAIAAFAITELHQWVNFLASNASS PGRLYILAAKVLLEDVLSQQPEGISLKDSAQADINRFVNEITAVAVTPLFSKYNLWPL SIIQHIATDVGAKRIIKDRIAETLRVIDGCGVMEVSQERLDRFVGMPGLQ VE01_00756 MAPKGKDKAAAGGAGKGKGKGKDASKADDDKGGAKVKGAQQINV RHILCEKHARKEEVVAKLRDGGKFDEVAREMSEDKARAGGALGWKRRGDLDPIFEAVA FELEASTVGNPKWGEAKTGFGYHVMMVEGRK VE01_00757 MAPGLTLHDSPPLSSTTSKLASPPTTSLSPTPSPASSPNTTSST TSAADTTKPAPRPSNAFEDLVDSLTAILGPTSGLTSEGVDVDALTRLMREYESKEEDW GRYALGDASRGYTRNLVDVGNGKSNLLILVWSPNKGSLIHDHADAHCLMRILSGTLRE TRYATPPSPAAAAPPTVLKETTYSAGQVTYMSDSLGVHKISNPDPERMAVSLHLYTPP NAAKEGCHVWDERHGGRSHVKQNNYFSVMGVRGGRVEDLM VE01_00758 MWPLSKNHSSRTNGSSKANGSFKNSIAQPSSKQTAPSQNPMPPY QPSARPGSSSGIPIPQTTTTPTQLTPGSTPPPSTPPHFSQRHQYPPQLPPLQQQQQDE QLLLQQQQQQQQQQQQQLLLLQKQQQQQQQQQQQLPAQPHTPPKKRSSGGASTLSRRT SGAKTPTTPGRGHSYTSSVGSAGSAGSTAGFGRWSHRSLPSPPDSLAGNGEPSTVTQL GERRSWGTAEPRERRSWGTAAERKSWGAAGTGGLGAAGDAGQPSEQRRSWGAGNGERG IGRVAAKIKAAVLGREEEEGGGRWSKEGSLGGSLGGSLGGSLGASASGSKVASQVGSK VGSQVGSVNGGLGGEVEGKRKSGVSSAAVSPTRSPSDSDGEAPEPKRGWADRVKARRK RSSRWRAKKVDENVMPPGMVPGTGGLANRPWGRRAIWGRRTGDKGEEGEEKKKKVGGF VTMLDASPAPIRLPGYEKVSRKSDELGEVKEEVKEEAKGKEVEEEELEEGEEEDEWDE ESLVQLSDWWRQMLGKGKDPTWQELDMISAFLTDLYDEETPSLEMILTTRLHKLLEAL IKAAGEQGLRYEGKRYRKIARKATEIRDKWMDDMDGHLYDMRTERRKMLKGEKGRLTR VHMRPVGEHSSRWVVEGHLVEEGIEFEPGMWWLNDACAERDGMLTDTRGNVSVSPQSV PVVTLAFGEEMPTQKPTITRFTHSGRIETMMLPLLRALDKRVQVLRRYTLKSRLAPEA GLRYDGFYDIVLYSFQRLSWDESVFRVVVEFERCMGQRPMDTVLAVPTPSMLDDWDIY QEIKDDSVRRSVGEAWYRESLSKEREEERDRVSWHQVRADEDRRRAEEDARREKEEER RAEEDARRAVEDGKREAQMKKAERELQYLEEQERIEAEGGEEEESE VE01_00759 MDHGAGAANGGGEEHARKRVRREEGVSTTPTPTIDSSAAYLNGK EDMEKSKIAELTSNASHSREREVGILRWVNEENVGFEGVLKQRYTDFLVNEITPDGKV IHLTNLYPSKPSKQQQAKPAQSPAPQEPVKAAEPVESVPAPTTTVATEVAQAPAPTAV EPPKTSTAPAAPGDAAAAAESFALAPEDEVSLDNYFGADIREQIVKMYKKILAKPNEK AATYGSVTSEPILDRELRTKLHHDMRRIFDSRLETNTDKDGFIRISAAPKAGPNRGPR GAPNQQTPRNQQKGKLGWAELGGEHLHFSLLKENKDTMEVISFISSRLGMKPRSFAFA GTKDRRGVTVQRVSVFRKNAEQICHLNRDMWGSKIGDFTYEKHALELGDLTGNEFHIT LRDCQFPGADNLDDEGKLALANKVVGGAVQSIQSHGFLNYYGLQRFGTYLIGTDEIGK LILKNDYKGAVDAILSYSPECLSAGQDPDFVTSDGKPLSRDDIARAKAIDIFKATGRA KEALDKLPRKFSAESTIIRHLERGDRKTDYMGAILQINRNLRLMYVHAYQSLVWNHAV SERWAQSGDKVVEGDLVLVEANADKPEVEELDQNGEVVVLPAADDTAVSTDDMFQRAR PLSAEEAASGKFSIFDIVLPTPGYDIEYPLNAIGDFYKTFMGSERGGGLDPANMRRAQ KDFSLSGSYRKIMGTVGNDVTYEVKAYTEDNEQMVETDLDRINKSRGQPNRNSEPKHR YAGKEVFGNVDAAAGQNAWADSAKTITEGDKALEATAASVDKSSVPAVRETFIQKDGG NGEQTGVKETVLLPGSAASGEAATTIPEAATEDKKRAADDITGDDDEAVEKRRKIAVV VKFQLGSSQYATMALRELMKEGGVVTYKPDFSSGR VE01_00760 MCKQVAIRYKGCNCVIVNRRKCAIAKQQTFEAGHSIWCKGGVRN LEEFARCERCRTYAAEQKQKFRKKVRVKPTTQCFCI VE01_00761 MPSSISLVAVSALCASFGFAQGAKVPTPTTPGALKFHDHPGQST KVYNVSAAKTTLSYKYTNEELAMLWNQVGKIEVGPITTTVSPTPEPTSYARPGLFHPQ VPTYEPALNGSKLPNDFMWGVSASSYQIEGAARDEGKGPSIWDLISHRAYGSVADNTT GDIVAQHYYLYKQDLARIANLGIPYFSPSFSWPRFFPFGAAGSPVNQEGVAHYDDVIA TMVDLGITPAVTLFHWDTPLALFNAYGAWTSPEIVDDFFNYAKFVISRYDDYVPVWFT FNEPQYCNWQYASYPAGNEKGNYPAYHGIEGGLKARIACSHYTILAHAKVAKWYHEEF KGKGRITFKNSGNYYAPLDEASEGDIDAVARQYAFSLGWFGGPWSDGDYPDMLKKTLG SLLPTFTKKEKDMVKGSCDFYAIDGYTAFFGTELKGGSAACAANNSDPSFPECAGSTQ LDPSGFPIGPMADAGVSWLTSTPKAMRAFLNVLTKELFPSAPDIVVSEFGFAEPDEGL SSNLGSILWDLRRADYMQSTLDNILAAKVQDGVNVTGAFGWSIIDNYEWFAGSSVKFG LQYLNYETLERVPKASAFQFVDWFKKYGGARLPDKA VE01_00762 MAASSPKFDSDEEFQNAIMESAGIKGTSSSPGPASSKNDCHIAL IKTSDSPVPWCDEFENMISGMDFDARNAPAMMEHKFRIMQLLRKFNDPARLDEPGISL AKLRSNGAEILKQALGKIGVNSVVETPLYAIFGCNTFIGSTVYANHGLAIHDSAPVTI GDRCHIAPNVTIITESHGVDVAPRRAGLLYARPVSIGDDCWIGTNVVILPGVTIGKGC TIGSCAVVSKNIPDFSVAAGVPARVIKKLEDPDALVNGFEE VE01_00763 MSNSTTMPKFPTSNIQPAWQATVEAYSPQFLEICGTLIVQLAFF WIPCAFYQSIDLFFPAFSHRHKIQPAPKATPPELWYCFYIVVRNQVMSTVLHVALLFG VSYLGETSSYRIDTSLPGVIEIIRDLVVCIAIREVMFYYSHRLLHQPRFYVPIHKFHH RFVAPVAMAAEFAHPFEHLVSNMLPVSLPPQLINAHIITAWLFIAYVLLETVTVHSGY DFGGNMASMHDLHHEKFLVNFGTVGWLDRFHGTYKSQATKVEKKKQ VE01_00764 MGATTEVKTLERAKLTLPPPWTSARIGALLLVAVSVFTFSRFSP FLGQRQTPELKFSLNGCRILPSATGLDDNWDDIPPSRNITWRKCFGDFDCAKLDVPLD WLDPSESTRAIIAVIRLRATGLNDYRGPVFFNPGGPGGSGVAAMRDRGKHLQEIIGTN HDLISFDPRGVGVSTPEIQCWPTEQSAKMWQLLDIGAVDAHPGVLYDAYARATARSGA CARSMGGTLDPTGAEHGVLSYVGTTSVARDMLEILTQMGEEKLKYWGFSYGTMLGGMF AALWPDKVERMVNDGNVNYQEWMANNSTTFSRDSDKVMAGFYKYCHLAGPSGCAFYRS TPEAIEDRLDELLERLKRQPIVAPLTLHIADLPEVIYYSSVRQLISASLYQPVLMFPT LARILESLDKGDGAEFINYYSSSQKPLSCDCPLSSPIVSPVFKESTPDAFGAVLCADG GETDDSIEAVEAYSKILLDISKAAGPVDVVTRMSCVGWRVRAKWRFAGPFQGNTSHPI LFIGNIADNTTPLRSAYLDAEGFTNASVLVSDSFGHTTLSTPSTCIANHIREYFQTGA VPVNGTICKPDTVPFQPLPGADAMGGLAQSKLTEAVWELAKKKRSPLVF VE01_00765 MKLINLAFFILPFFTAVSAVAVAEPAAPAFEFKVKKDADVASTV EVRREFAEEGNLQKRACTNNGCTCAKGSAQGQYCGYCNAVTGKGSASLWELEFAVAST HLNNNDPHPDHPGRIHFARSDQCRLTPDSEQRARVHEAIYVALHSAGLPMGPQPICDY MSWFVTDDLTIDPPHRNAPCNSPVYRWHSAEVILPALYFRPESLTQIRVACATISTEF RVAATRTTGLHVHVGDGADGFSHSTVRNLITLLWGFEPQLQTLFPPHRHSQTWCMPLR VDSMYAWRHPDWTIATFLEDMFSSKFGTVGALVRAFEVFGGDRCAVEFSNLDDPMVAI KQTIEFRAHPGTLDGDDVIMWVKTVVGLVQWAREADSSQLMSLMAYAEAGNGEFSITS LLKMLGLPEQVEFYKGKLHPLIVAAENAGREYEIQDLARPRTDVLEEFGIGADGVATR DNWTEDLIFDPYC VE01_00766 MKIKIFAVLSALAAITIAAPQYHQIKISGTTADDASTPPSIPST PNKEIDIKIQISDTTSAASSSLFEDECWKLCFSEAIFKCLDKWHPKKLGSCWTCCKDT SSPSISSTTNGEEIEVKIQISDATSGTSASSIFDEFCSRVFSPVEIDILNKLAKAIGP VAKKSK VE01_00767 MAIKHNQQIPHNHFRKDWQRRVRVHFDQPGRKLRRRNARLSKAA AVAPRPVDKLRPIVRCPTVKYNRRVRAGRGFSLEELKEAAIPRKLARTIGISVDTRRQ NLSVESLTLNVERLKAYKARLILFPRKAGQAKAGDASKEEVSAAQKEYTKSTKAAFPH AAAVAVTEIKKSDVPAAVEGGAYRKLRDSRSEQRYAGAREKRAKDKADEAAASKK VE01_00768 MPVGIKTPSNQIKLTNVSLVRLKKGKKRFEIACYKNKVLEWRNG IEKNLDEVLQIPNVFVNVSKGETAKKEDLAKAFGKDVKQDDIILEILNKGELQVGEKE RAAQLERVHNEIVDIVAGKLVDPKTKKVYTTGMIEKALDMVSAAGSQERQEKNAAGAE APAEGEEKKHLPTWTGVNATKSAKSQALEAIKALVARQPIPVERARMSLRITCPMKEL KNTLKGQKVGGEDGERKMTVKDKILELVEQIERQEVTGSEWELVGFVEPGAYKLLGDL IGSETKGAGRIEVLDMAVKHED VE01_00769 MKFLATTALIGAATAAVAPQQHVFKNPFHQEPIVGDSKASPSWS SLLGPLAESMNNMGAEAKAVWDEVTMMFPEQMSKANFLSSPKPSKKRPDSTWDYIVKG ADVQGIKIMNENGEEERAIDGHLDSYNLRAKHVDPKKLGVDTVKQYSGYLDDEENDKH LFYWFFESRNDPVNDPVVLWLNGGPGCSSLTGLFLELGPSSIDKDLKLHNNPYSWNAN ASVIFLDQPVNVGYSYSGGSVSNTVAAGKDVYALLTLFFQQFPQYAKQDFHIAGESYA GHYIPVFTSEILSHKKRNINLKSILIGNGLTDGLTQYEYYRPMACGDGGWPAVLGESD CKAMDNSLARCQSLIESCYQSESVWSCVPASIYCNNAMMGPYQRTGQNVYDVRSKCED SSNLCYSALGWISEFLNKKEVQAELGVEVSSYDSCNFDINRNFLFQGDWMKPFHRLVP SILEQIPVLIYAGDTDFICNWLGNRAWTDKLEWNGRKDYKSAETKDLTMGEEKTGTVK SSGNLTFMRIFAAGHMVPMNQPEPSLDFFNRWIGGEWFNN VE01_00770 MSLVSAEKSNFQFILRLLNTNVEGKQKVMYALTKIKGVGRRYSN LVCKKADVDLNKRAGELTSEELERIVTIIQNPTQYKIPAWFLNRQRDIVDGKDYQVLA NNVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHSKTTGRRGRTVGVSKKKGG VE01_00771 MLFNSAAALLSLAALVSGAPRPESGLSARSPGQHLHSRHVQSLD ARAVVVVAGNGNNNNNNGNGRNNDNNRNNQNNRNNNDINNVIISTTVIQLSDTQRQQE VALIVVVQDQVRQRSGRDFELRQQKDRIRQNHYRNKNRNANTVIVIVTEVVDSRTANR QSRFMSRQIRANNNQPSDVTVIVADSAITLLPVGVNQNQAQGGARPTAVLEGQAAASA AALAAIQTVDPNAPFLQTNRTVMAPAGQAFPSFAGVELDPARIVEEGAQGVAVSVV VE01_00772 MAPLDAACNVVYMDRSARRERMVRRGEAIAEEARTGDDEEANEG ARRLQVNVQTLLETFSTVYVCPTGLSCLARIARLNNVPTAGLVPTVVLIDIPEDDDEN ELRMSLPQHDAGILPEADGPPNIYGSQLLRQIVADVTEIGVASMVLPVALIRRRIEAP TSTPRPITPIRTIENTQASHPQPLSEDVALMELQRDQVSYMKYIDLGAVDVLENPIRR ETLPSLAIHIYRVHKEFLQRGEHLTAPTQKHQSPWPGVATPEPFAYMREVMVCDLAGQ ICGTIVDHPIDPVKIEISNSRKQAIVKAISEWGFSAHDLTEDELLLATVTMLEHALSI DGMEELRLPKDKLLRFVIASRNGYNDFVPYHNFKHVVDVLQAVFHFLVRIGTLPPFPQ GTRVETEPTTRLVGMLRPFEALTLLIAAIGHDIGHPGVNNMFLTRINSPLARLYNDKS ILESYHAAFYCNMLSKLWPAVVENEEMRELLTSCILSTDMGIHKEYMEKLTFLKKTMD ELPDNKEVGEMELKTYREIACNMLIKCADISNVARPYACAAVWTDILTSEFSRQSDME THLNIPSALFSPPARDMVALAKSQTGFMSFFALPLFRGVEELMPTLDFCALEIDRNFA HWTAKSEAARHELARASLGARDRQSGNDRPDSRSPPAAESSAAGEARRPRRWGGLRGG SGAALGDLSTGSSASTDPSDVDGIDMPPPLSRGQQPQSNNSRPNSRPVTWDEASASSR PATSSSAPRATALGMPDAAGGQVMTHRRSETTDGSAASVPDSGGWEGSARTADSGKSE EKAECMSTARTSVESSSGMRGVNGVVNGGGAKRKSLVGSVSTPDLRSDSKGRMRRGDE AGRRERDQQQRRKGEKSGGDNNNGVFASMRELTRRPSLGKFKFWKKREAGGGGGFGGG GMHQVQPPPMPNVMGRRGREGGVSVG VE01_00773 MKSFVAIAAFAASMPAVLAAPSGQDLKEVNVVIQRLKGTAETDV AIVDKESSEVLGYACSDKLDSGAFAKFPVSADINEYGAGTITLGTTKYTVHEDPNVSG GVSCMKMYDEQEIFVTCAATIPASLQLAPLSARDKTDCFASGSSPILQSLANSMSAQI ATPSTKPRSVEDAPRSLEERQGACGTWSSYTALEGDGDPHQNYYLNQLSENIECGSSP SCAVGHEESKSYTIGFSVSGSIDSWIGGGFDVSESWTTGNEYTCYGAAGDTVCVWYKT ALTAYTVRNYDYNQCTGASPRGTAVIKSPNNNNKGGNYYCVMGSACRSQGEGYFDLNG RAGGP VE01_00774 MAGLLGNLTNSLDNTLTGGDEAQGKGGLLGSVTGAVGQTVDGAG QAVGQTTRGVGNIAGGATNTVGGLVGGATGQGGQAAQGAAGQGGQAAQGAVGQGQQAA QGVAGQTRNA VE01_00775 MANDGTPDQALRNLKINEPALPAQNQAIPPTTRVGEVSDDASIG SSRRHRRGGRKKNSRMAPAQEARLPWSERLDEGMSGLEDLPAGQVNGQKEKKEMSKPG EMSDNASVASSSRPRSYKRERRKKKKGGMAAVAKAQVPWSERVGRLGEEMAGPPEGKA ASPQREAPHRQSPPPEKSDKGKAVAEDHNSTQENKGKAPDTGLRAFNIRRLRGEGPPI GISIDRGEEAEGAKKKNADEKGGGEGEKTEKPKPISIRLDINLEIEVFFKAAIKGDVT ITFLE VE01_00776 MSENEKQNADYASDDVHSETEEEPQQQQQQQQQQPVPAQPKGQR TMQRARQNRSQNEPPAPQYQNQQMIPANDQQRLTGNMGGPTGAIRESRIKDRPVSKET RDSSLKIKIELDLEVEVDLYARVKGD VE01_00777 MVLGIITSIAACPAIIGTTEAIRQGQRQNAREQHRGRKSNLLVS CSDPSRKARDINGGTIVLRNNKLYVTTVSPRGRAAKERREYENEKDPGRLNERGHLFA GYFFGYPDTKWGRRGDGLVSTISDDPPQLNWIYVDKDTYEVKYGLRVQAEGNLVGPWN CTPIDKRLTLEGWEGFTVVEEEEDVWALYFDKDDDGLEEKVEPNRRIMEIELIRKEMR IGKEEVDMAEQEEKLRNGNEVKNKRKGGENEEKNEKDKNSTRAA VE01_00778 MDWARQKCSSYYDSSWRWIEDTYLAYFGENRTSYGIKESLKKTE VTGDENIDGIQRGVGGAVGDVFSSKGPAGVVGDGIDKGLLRGNV VE01_00779 MSSPKAPRTPLKPTDKANGTPKSPDSIKKMTSTQPDAGNVVKRR VPSQKVKADTTTPNNAVSPQTPRPKPPKSLEDQLPQTPTPDRSSKRQPTTDAPPPKFK AATSGQGDAAKTAVKKVKKTSPPVDVETAKRDHVDGTQSEVKRVKKQAPQPLQEPNHF QNDVEKRTPKFKALDLDFGETPKSVKRKKPAPPPPELEQEPEREYEAVSDHDEVEHKD NEDEENKNNYHEESGNEEEPELGYSEEEGHNEAGSDWDGEHEPHDDDIDEDYEGHSEG GHGYVTDGEQDMQNEHSDSFSAPLGSTNDEANNPAPISTNNASKPTKGSKHKEQKITP VAGGTTGEKVPGLLEDLVAKPDAVFGDDTDTLDVSQVDVRNGDGDTKGAGSSRVAGNS TVLQSGGGGGIGITANGIEINVQTTKEGTTVTIKIPGASQQ VE01_00780 MTDVKQHSFNPSQKSGFDGSVKNIQKKTSETQEQAPSEVDDVES RAGTESVGGESQAIQAGSVDNEGHVIDADGHIIGNVTGENASQLEGSIVDQQGDVIDT EGNVIGSAEPQEAGEAETPEKDLPDVSILEGKKVNKGGNVVDDSGKLYGRIVDGDISK LVGKKVDSRGKIWNDSGKVIGSAEVIPIDERDESSGAPFEDFPNAVVNKDGTVASDGQ VVGKLVDGDAKKLSGKKVDKDGEVIDNVGNVVGKAERWEEEDAPEPEAADLSSLAGKR VNKLGNVVDGTGAIYGRLVEGDPKKLAGRMCDKNGDVRGEGGDVVGRAELVPESEREG MKQGPFADFNGATVKKDGKVEASGTVVGRLIEGDAKKLFGKPVDADGDVLDSNGNQLG KAERWEEPEKEKSKHAASGLKVNREGNVVGENGDTIAKLTDGDVSRCAGKEIDDDGDV VDGKNQRIGSVTLLADIPAPEEPKESPEEAEKRKQTEQDKKLAAQMATCVQQSLDKIQ PILKMITEAIELAERQPKEELDEQKLVDTVKPLLEQGGEILQEANGVIRGLDPDGRIQ ANAKHKSASREATPEEHRLAEVLKELTGSVSQTIEGAKKKVAGMPHAKKELNPLWGLL AEPLGQILAAVGLLLSGVLGLVGKLLSGLGLGGLLDNLLGGLGIKGILDGLGLGSLTK SLTGKK VE01_00781 MVGGIHDDIIPDSDDKSIVDADADSNYGLDAIDGELGTVDKTFS GVPVQIPHVETDVTDSRPVSELKVTTSATFTSSPSRNNSKKINDSEKSNDTKKINGSE KSNYSKKNQATARKNQGVPLARSIDPSTQVFKAHSTVLQLEGSTVY VE01_00782 MFQHFWKKLSPKQLSIFIQTFSLIAIFFEGYDQGVMGGVNASPN YVAEVGIGLPDGTVTDTTHQGGIVSIYYLGAIVGAFVGGWIADRIGRINGLLIAAVFA LVGGALQAATQSSDFIVVARVVTGLGTGALTAIVPVYIAEVSSAGHRGGFLGYVFIAN YLGISVAYWLDFGLSFVDGGYSEVRWRFLLAFQCLPAITLMVGIKFLPDSPRFLASVG RLDEAKEVLEHIRGNKGPDVEREFAEICAVAEESKPSSPVQFAKVLLGRATNGAHLGR RAWLCLFLQIMASWTGITAVTAYSPVLLKQAGYSSVKQSGLAGGLNTIGIVGTIISAQ IVDRLGRRTCLIGGAFGLFLVNLVAAALYEGSRADPSKAAQIAPAAVTMLFLFNLIYA STWGTVAFLIPTEIFPSEMRAQGNGFGITGWAIGVGMTVLVNPIMFGVLESRTYFLFA GLNLLWCPIVYFLYPETAGRSLESIEAMFESSSPLYTKMEATYKAAGNGDVLANHRLS VNRMSENGEKFTVDEDSVLDHEQV VE01_00783 MPSSTTNGASNGYGNGSTNGINVPSDAAFDLTVLGLNSGTSMDG IDCALCRFRQETPSSPMQFELLKYSEIPLEPVIKKRVMNMILHNKTTPEELSEVNVLL GETFASAVKEFTKEHGLQLTDIDVVGSHGQTIWLLSMPEEGQVKSALTMAEGSFIAAR TGITTVTDFRVSDQAAGRQGAPLIAFFDALVLHHPTKLRACQNIGGIANVCFIPPDHQ GGVDACYDFDTGPGNVFIDAVVRHYTNGEQEYDRDGKMGKAGQVDQELVDDFMKHKYF GLEPPKTTGREVFRDTLAFEFIKKAEQKGLSPNDVVASITRVTAQAIVDHYQRYAPSQ NIDEIFMCGGGAYNPNIAAFIQKNYPNTKIMMLDAAGIPGSAKEAITFAWQAMEAVVG RSIPVPTRVETRQEYVLGKVSPGKNYREVMRKGILFGGKADHLSPVKELVNYVEGKVF DNKW VE01_00784 MEHFFQVNGSRPISAIPLQSPSTQLREMSLRISISYLGLYHTLA AIDGLHDEKNWPKDISIIEIEERRRLRSILPLSGLELPAADNRNVGCFILVKWMTNAF LTRIFQTYYHKDLRIKELKVAPSQSTVLDKVMWMHQQLPDRFKEIQSVTSDTGEDRLS FQAANIAATLQLVRMVLFTAEEATVDQKCAIARELLDGFAQVPVFFLRAISSPLLYHL AGIGSILGSVMEGPLSKSSYLQARTVLLSMADLLASLEIGMTRTSGATQRLRSQVTRI DEYMAAQQQQNFAQSPSALPSCVPSMPHSNLREHVDMFQEEQQQSRRGVQQVGYEFPS NSQGTGHLGNRQDYVQIQMPTETYNFKGMPSGIDGLDETGQQLQFQLPPGLLDDWPWL FDMA VE01_00785 MGSDESPASTFKYNQQPTYTTSSGAPVHHAEAYQRAGGPNGPLL LQDFHLIDQLAHFDRERIPERVVHAKGAGAYGEFEVTHDISDITVVDMLKTVGKKTKA VTRFSTVGGEKGSADSARDPRGFSIKFYTEEGNWDWVYNNTPIFFLRDPGKFPLFIHT QKRNPQTNLKDATMFWDYLSTHQEAIHQVMHLFSDRGTPYSYRHMNGYSGHTHKWHKP DGTFNYVQVHLKTDQGSKTFNNAEAGKLASENPDWHTQDLFESIEKGDYPSWTVYVQV LSPEQAEKFRWNVFDLTKVWPQKDVPLRPFGKFTLNKNPENYFAEIEQVAFSPSHLVP GVEPSADPVLQSRLFSYPDTHRHRLGVNYQQIPVNAPLHAFNPFQRDGAMAVNGNYGA NPNYPSSYRTLTYKQHSPITNDPHQKWSAQAVMHLNEVVAEDYVQAKGLWDVLGRTEG QQANFIGNVSGHLSAAREDTRQRTYEMFSRVDKALGEAIKTATEKNAAPQKESRL VE01_00786 MNTVKCMRPRVSQFRPSSLPRVTRPFSASAKQQYELILTSEPRA GVAQITLNRPKALNALSTPLITELNHALREFQASPSIRAIVLTGSERAFAAGADIKEM APLTFSKAYTEKFIESWSDLTTFLSKPLITAVSGHALGGGCELALMGDILYCTETANF GQPEIKLGTIPGAGGSQRLTAAVGKARAMELILTGKSFSGVEAEKWGVAARSFKDYEA LVTAALDTAETIAGYSKVAVQAAKEVVNKSQDLTLRDGIDYERRVFHSLFGSEDQKIG MKAFAEKAKAQWVDQ VE01_00787 MDSMEPEQTPFGAVSEQTSRLQRIYQTYLDKSTPFTAYRWLGTG SLLVIFFLRIFLEQGWYIVAYSLGIYLLNLFLAFLQPKFDPSNEAIDNEMEDGEAGGL PTKQGDEFKPFIRRLPEFKFWHSATRAITIGFVCTFFSIFDVPVFWPVLVIYWFILFT LTMRRQIQHMIKYRYVPFSFGKAKYNKNSS VE01_00788 MATSSSRGPDRSIHQDYIARIRYSNTLPPPPNPPKLLEIPNTGL SSGQYTTPGFASRLAREQPLNIEADAELGMHIDLVGMPGVFDGDESSIQAAIHAPAPH PHDRALLRPLNSIGKPKVVDSGSSFLRRTEYISSTKGRMDEHTPQKPVVKRAKPADRF QPLPKDLDKEDPEYILAAVQRSFEIAAANVANPLRLRHPSKRNVKLVSSHPMVPDLDA FNDAGGYITIKFQNNPVPPSTTYDKRLESGMLRPFVSSEAANAAHEKAVAAHEHDPSR NPHPGPNQDYEFFLPETTVDAVKFKRKSDVYDADAKNDDGLYTYTNQTGDKCFRFKRI RAYETSEEVQIQGVDRWNSEVVIAVNDGKDGVHQRAAYVYPLVLRTKIRPQRSKNIDR QRFGGEAEEEDTVDFLDVMVREPDESEVASRKKWAEFPNGDGEESEEEVVEEPKGVNG NGNGSASHNDHEVDEDAEAESE VE01_00789 MGNSMSWLSGLLFSKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLGT AAEELAAMLNEDELKDAALLVFANKQDQPGAKGAGDISEALKLGELRDRNWSIVACSA VDGSGVSEGMDWLVQTVQAES VE01_00790 MVGKKSAKALQVEEGLERTDNGMKLTSFPEVSQINQKNYYTEYM KRDDQILPLRLQAEASRDKMIRTAKDKDRALARTGDTDVPAPNPDETEFGEEDANTGA DGFDASKIIAIHLGSQNMRIGLASDALPRTVPMCTAWKMPKCESDIKPPMPKRQKMTG TPEDQFGDEWAKKYTKMCDDLKKDMRTNKRKVLPNSKELVVNYNRRTPPEKIPEHNDP ARIEWTDIGLSSTFNGLEAQRIPDNSDPAYRLFWPIQHGRLNEEDFPSKEQLKMAIDS IITGALKTELKITKTGQWKDYSCVLVIPDLYDKKFVEMMLEMFMNDYSFAKVAFIQES LAASFGAGYTSACIVDVGAQKTSICCVEDGLCIEDSRINLKYGGYDVTETFVKMMLFD HFPYADINLARRYDFLLAEELKMKFCTMNQAEISVQLYNFHLRAPSEYTRKYNFKTYD EVILAPMGFCDPSIFDNSAKLNGRRKLIDRSYNIYDPEIPDDPVSAAQISILAAIKPS AAAAPKAPANPATNGEGTAAVSTPMKEKPNPFSFLGVDTQGTPGTSHAGSPAPEGSNT PAANGGSPAPFQFGGSGSGAPQGIFVPDPAHCSQRALAAERDSVLPIAPLDTAILTSI TTAARGDEKKLRDLLGGIMIIGGGAKTPGFGPFLEERLKRLRPDLGDRILVGTSPREM DGQVVTWKGASVFAKLVGTNDTWIGGTEWERLGSRVLHHKLAFYY VE01_00791 MAPFSFLSRFGARKASDPEGHASLLKDAQKASSGPGGIANKQQS NPLPPLSKAESDKLSDAKPRPATPTILPLLFVLTSSSVSSLDLGLPLCPAPKTVSSPR ISVAEGVWVGHPARESKMTPSDENGVEVKEAGLHLEVMGPGEVATGGRDGMVGELVMN AKKAFQDVNLRKASDPNLRRRYENVDPPKRGESNKSTDLDSFLERLRLGDKPGKDARS HEAYTALGSDDGEDDNDRHLAPSDPEDELTTALDREDYKIALDVEYKKARAMLVDNDD EEQRAKMAFRKAYYKKNALAHRKKAALVGEDGRANVPFGATSSKTKPLSQQENAAEYV DMDDDWKPYVPEKDDDEWEFQYYMSLKPVEPWSPIDEY VE01_00792 MAPISDKKGGPKAGVDEGYSRLHITPFNASLMAAIVPPSLAPKA RNISFHTLQAFPEKEYGFVDLPTEEAGKIKRKLKGALLRGKKIAIEEARGEKAWGEAI DGGEGAEEEGKKKKEKSSKKRKRHEEPMKGAEVLDRQIKRGWTDPKATKKAAKENRMK EGKDKKKEREKSKYTTEAECLFRTTLPPTIAAALPAKGIEGVVVDKERRKRKAGKDVT LHEFAKTEKFATFLRQKSTGGNVKVAVEFVEGKGWVDENGEVVEGEPKKSNHNVDVLK KIAKENKVRLPPAEPVEKSESEEDADTSMQDAAAEVSDTSSSGSSSEDEDDDASEQES ENEAEAQSSNSPDQPATSAAPSIPPPSHGLTISIPPPPGLKSKETASIHPLEALYGRR DQGANASEAPAASSFTFFGDGNNSDVDEDDEVDDFVAPMTPFTTQEFSSRGQRSAAPT PDTAHPHRKHFSWPAEEEDEGDYNTADSPTRKAGASGKADPNAKEETDPNQDFQKWFY ENRGETNRAWKKRQKEVKKEVRQRENRRRGNQGAA VE01_00793 MGWFWADQAPATPPTHHNASSIDKSRSPPPECPMHQKSADALKP APAPVTQESACPYTPPSGSAAVPSEPRKPTTTEKLSKYNPLNFMFSDLSQERAEKQTV ALDIEREPSTIPKGTGGNWEYPSPQQMYNALLRKGYHDTDPEHVTSMVSVHNFLNEGA WNEIRVWEGLFAKGLGDGWRKCMKGEQGIVESGVMDEADPKLMRFQGRPKEMTPKAAM VQFLGGIYPSRFKTAPPFDRHDWYVERKIGDKTSEVRYVIDYYEAPDDEAGEPVFYLD VRPAIDSPTLAIARAMRWGGDIYYRASGKEVRDAAKEASDSN VE01_00794 MRPILSFSRLCLRQGLSQIRPSIARQARTYGTTANRFATARAQF RRPPNNGGKLLLASVAALTPAFFVQLSEQDNDGTDNTGEERMLEASREEIEKKLSDDD EGIFRIFKGIILFLDLNIWEPLCTGFRFLHLVIIFVPVIAAVPLIWIGQRQAEQDHER SGTLLWYRFLVNSMERAGPAFIKLGQWAASRSDIFPTQMCEMMSQLHSNAPAHSLHDT KRIIRRAFDHRPFEDIFEEFEEQPLGVGAIAQVYKAKLKPDLAVPGDPDLADHIEFRK TVRHNVDTLIKKTPQRVPSNYVAIKVLHPGVERLVRRDLWIMGFFASVLNAIPTIEWL SLPDEVSQFASMMRLQLDLRIEATNLLLFRRHFADRTTAWFPYPHTAFTTRQVLVEEF AQGIPLADFMENGGGVFQEAIANEGLDAFLRMLLIDNFVHADLHPGNIMVRFYQSSKP HLPFSSNGPDPATATDVTETVLERLRPYRKRRDKTGWEDELARIDAAGFRPQLIFIDT GLVTELNATNRRNFLDLFKAVAEFDGYRAGHLMCSRSRQPEAVLDQEIFALKMQHLVL SVKSRTLALGSMRIGDILHEVLQMVRAHHVRMEGDFINVVLSILLLEGSGRQLNPDID LLSSALPILRQLGAQSGGGMLRQGDFSMLKVWAGLEARKFMQASVEDVERAVKYDQLS PNV VE01_00795 MPVKRKLEHFDPNKSDSNDDDYESGPERRTSRKSVGRSKSKGGA SAKKKKRAKYRGSDVDDDDDDLTDDSLLDQSFDEEEEEPERTETGRPLRGVVKKSVKY EESESDVEDVGTNNDDEDEEEEDIAPKKNRSPKKMMITLKVKTPVPSSKRTTRAGSVR ASAEPTTAANRPRRNTRAHTEEVDEGLVALSNSGRHAVVQGESPTPETSKALRPMRGG KGLKMPVGETILEASHESTVPEEGEEDAEGEAMEVDEETKGDIEIGDSHATKDDTQAF SEKDEGTGDLLAELPAAAAGDGANDEEEDDEDDDLPVSRTRVNRTSVSQTATNVEQTV EETVEGEPSTPPGGRRLRKRTQPQKAGLEQTSDFEPNEESEEDISASDASPRKRKGSD NDTDSTPRRGRGAHGKSKSSRSRRRDDSDGDSEALDADELLEEAAELKANGRRRPRAR RDPEILYESMGRRERKRVDYTIKPMDQIYAADEDLEDAAPAPSGRSKRNTGSQAKWER TLHTTYGPFGGGGGPTPVTGPGPWGTGAAGGADSDSSDDENLARPTGVAGNVGMTPTT GMPAGLLPGLGQTNPSEPMAAVGGTPNNLGKIKSQKALADADPLGVDQNVDFSKVGGL AGHIDQLKEMVQMPLLYPELFLKFHVTPPRGVLFHGPPGTGKTLLARALAASVGSGGR KITFYMRKGADALSKWVGEAERQLRLLFEEARKTQPSIIFFDEIDGLAPVRSSKQEQI HASIVSTLLALMDGMDGRGQVIVIGATNRPDNIDPALRRPGRFDREFYFPLPDIEGRR SIIDIHTRDWGVDDKFKDSLSHVTKGYGGADLRALCTEAALNAIQRTYPQIYSSNDKL IVDPDKIKITAKDFMLSIKKMVPSSERATSSGAAPLPKAVEPLLRDQLKSIEALLDGL IPIKKKTTALQEALYEQYEDADAGFGRETLAQDFEKARVFRPRLLIGGDPGMGQGYLG SALLNHFEGLHVQSFDLPTIYSDSARSAETAIVQLFAEVKRHKPSVIFLPGVDTWYHT LSDSAITTFLGLLRGIPPTDPVLVLGICDAEPDQASQEMIRDLFGFTKKNRFLITKPT RHNREDFFKNIIDYIKKQPTDFPDPVNRKLRKLDTLAIAPPPPPRVPTKEETKALKQR DRQTLNTLKVMIQPIMDQIQKKYRKFRNPAVPQSVIQYLYDEQDPNYVRPDVVQFRPY ELDVDKEGVSGLRDSATGKFFYNLETTTIEERLSNGFYARPKDFVADIRRLAKDMKNT GDQERALRGKELLANVEVDLALIEANPVVADCENVYQRQLQRVKEKAEKHRKRAEEEA ILIGSDIPAEGSREEPIVLGMPTPKSRAPGLFSTPTSLSNGTATHSSLSSEQRLSNGS SLPSTRHSGGDDVAMGGTDEASQLDSQNMPPPPATTGGSLFRSRTSMPSALNTQGSQF SQRSAFQSLPHDMSPTALFNDASTTTSGRKTEQSSGDKSTQLTNGHGGSQQYSPHLHG HGDGDSQLPDTQSQDWVHSQVHGRPPTASSASVSHSTSAPSQPGGASAPTPAYPSMHA GSDQDLASTAGEPSQVVSVAASSQKEVIIDEVYLAQLLEMFVNRTDGWGVEDLEMAYR EMMECLWKGRGEWNRSRVGVEVADVFGEVVGEVEGRM VE01_00796 MAAMVQSFPQQSAPAIMLQSRPASASGILQSTAQSQVHQYGQSS TNVHRNSYHSLGSGSAGSNTYRGHTSSMAPIAPYAFTSTPALTNPRQQYRTASAPTVP TVSTGHLSARHSRYPAPASVSTTTSSSSSNLSGSSASDATSNSGGAVTTQAWETTLTR PLPTVITSTPSSSVGSSGPSTPTKAAPDRYRRPAHRRADTVPTLPSPPPLANSNAAPV HQQGQAPQILAPNFSSGNTLLNGSRGAIDDMYLRRHAKEDRSRRRSIHTVEGFNNEAQ KLENFSQQGQLRNDPYQKPSYPTIRPSSSPGRTERYEAIPATRHSEPRAPGPKRDSSV ITAGINPASSTVAGSGSLKHDQPQVVNIPARGSSSDATKRTANPSPLSKPTMSTESAV TTKDTFASAVTAALNTNTPEKTNVSRPQSPATKQLAALNAKGGKKPSRLRRAFSFGSA AELRKASAETNPNHTEDAARLQTYQDDQEAEQARIARKQEEGGIGSGIYSGQGNFFSG STDNLSISSTASSASIMIRKMGKGMKKSTRSLVGLFRPKSVIGVPAADSALPQVTTGE VSMVNVEAERPQSNGNISVRDQSGVTSRQEPDTSSLEAPINLGRASGSTDNVSIGSDS AHRRSIRGGDKERAEVLASVKKGILKRSGTDSTSSSPVVLPVDHKTPNFGLPQIPSVD DSPPSSAPSTPNSDVHGHRRTESVTLNGEDYFMSALRFTGTASKSSPATPAAKRNISW KNAANYHDTWASTEYDRRGEIATCNRLTPMLAQQIKEELNTFKMEMEVHETSKIYTHF F VE01_00797 MAPPIIDEIVEDENYASSEDEDFVPDEAPAAVASASESESEAEG EGEGEAPTEAKKGKPATKRKRAKQPAGEDAEDIGFENSGDEAIIGKGLKKQRRRRRRG EEEEEDEGGEGGFVKTRRMAAAAEEERAPLADTKNATVDVDALWASMVSGSPKQPTEH TPLPPSDTQALDTVGPVSKTAAAAASALTTLPGPNGDDTVTIQRTYNFAGKVHTETKI VPRDSAEARLYLASNPSPTTDAPTSTSPPRRPTKLARRSMFEPVIDTGPPRSDLKLGV GMIRERLVAQQEVEKGRKLNTVEKSKMDWAGFVDKEGIREELEVAEKGKGSYLQRKEF LERVEGKREEVRRATVGKL VE01_00798 MATATTNGTRGDATPAESMQTPTETTTAAESPKPTKLHGRAFYE SIGSPKFVLAPMVDQSEFAWRMLTRTYMTASSTKDLLAYTPMLHARLFTTTEKFRFNH FQPTRSGLPTVPTSAPPVSSTDTYLDGHPSIDRPLFVQFCANTPEDLLAAAQYVAPFC DAVDLNLGCPQGIARKGGYGAFLQEDQELIYKLINTLHTGLDVPVTAKIRVLETREET LAYAEKVLSAGASILTVHGRLREAKGHKTGLADWAAIRHLRDNLPKETVIFANGNILS RGDIERCLEATGADAVMSAEGNLYDPAIFAEAPEVGEEGCMYWRGRDGKGGFRMDEAL RRYLDILYKYVLEQAPPARKPLYIPGQAAAGARDPPTPEASDEPPRKRAKTGAEPPAE TTAVEAATEAQPTAAPTTQTPNKKQKNANKKQKREKTSSPNLLAMQPHCFHLLRALVS KHHSVRDALARSRPGDMEAYEGVLAMVERVVEEGIREYEATGGASYHDALDKEGEGDG NVLSAVGYEGLETEGEVEGGKAVEYETSVKAVRECRRPFWVCQPYVRPLPMEAMAKGS LTMSKKELAKLKEGEKEVVMGMGARRNDGRQNGGVKQGEEKKEEKLEEKKEL VE01_00799 MAKDTAPGDVISPSAGSSDGHIVRHRTRSIGHLSTNNGPDGARS LSNDSQDGADSDDAQLQAEFGYKPVFKREFGYLSTFSFAVSISGLFATTMTTYSYPLA AGGAAAAVWCWLIAGSGCMCLAFSVAELVSAYPTSGGLYYTVSRLAPKKYVPVISWIT GWINLLGQIAGVASSEYGAAQMLLAAVAMGTDFKYEITENTTVGVMAALTVLTGLVNS LSTYWIEKMTKGYVIFHIAVLVSCCIALLVKTENKNTASFVFTEIESSSGWQPKGFSF LFGFLSVAWTMTDYDATAHITEEISNPAKKAPWAISAAMTFTYIGGFLFNIVLGYCMG PSSADILSAYQPVAMIFYNSLGKAGGIFYTVCGFIIIKFVCFTALQATARTFFAFSRD RLIPFSPVWTKVSSISGTPLTSVWLSVVLCILINLIGLGSYTAISGVFNVCAISLDIS YCIPIACKLIFGQFKPGPWHMGRYSRYINIWACIWTAFVSVIFVLPTAYPVAADTMNY ACVFLVAIFFFAYLYWIMRGKQFYTGPIVEGYEAPQVAGEGEERESGLSGELAGEKVG EKVA VE01_00800 MTSSILLQGGTVLYHDDEDYVTALSDTDVLVTGNLIAKIATGIE VPQGTTIIDCKGKIVSPGFIDTHHHLWQTQLKGRHMDETLLDYMVAGNMQSYNFTPED MFWGQLAGCLEAIDAGTTYVLDHSHGNYTPEHATQCLSATTASGLRSTYAYATPSVPL TSWTVSTVVPSSDILSSWSLDQLESLAKSQPHANGRLTIGLGFDLYFLPQEVVIGIFE RFRRAGVKVITSHVAKNPVFGKASTVELLDSYGLLGPDIVLSHATNLTFAEHAVLHAA KVHVSSTPSSEAQTALGWPVALRSDVHGSLGVDSHAFCGSSILSEARAALLLARQETN AALLDKGEFPQKLVGGAREAFNLATVGGARAVGMGDKIGRIREGYLADLVVVDGRTPG MAGVSSWDPVVAVVGHSGVRDVETVIVDGVVRKRGGKLVGVELEGGERLEWEGVAEKV ERSREEVQRRIEGVNLEKARELVVGMWHVDESKIKAVEY VE01_00801 MGEVEETPSPSPSQRKADLSQPAYDDSKLEAGVLSVTESDTFRN AADEAVAAIPKGTIDPVYEAKARVLNHAIQEIGMGWYQWQLFVVVGFGWANDNLWPIV TSLIFTPIKNEFNPSKPPLLSLAQNIGLLAGAMFWGFGCDVFGRKWAFNLTLGITAVF GLISAGSPNFASIGIFAALWSFGVGGNLPVDSAVFLEFLPGSHQYLLTILSIYWAIAQ VVATLVAWPLLGSHTCEEKTVCHRSDNMGWRYFVIVMGAISMVEFFVRFGFFTVFESP KYHMGKGNDEEAVRIVHEVAKRNGKVSNLTLADLQACDPTGAGAQTDAAAALKRKLEK FNLTHVRALFATPKLALSTSLIILIWAFIGLGYPLYNAFLPYLQAIRGAEFGDGSTYL TYRNSLIVAVLGIPGALIGGALVEVRGFGRKGTLSASTVLTGVFLYASTTAVTSNALL GWNCAFNFFSNIMFAVLYSYTPEVFPTKDRGTGNALTATANRIFGIMAPIIAMYANLE TSAPVYTSGALFIAAGLLVIILPFESQGKSSL VE01_00802 MKGLGELLGKARLSETATTPDTSSLPTFTPFPRLPTELRTAIWR AALPCNLHITELLIYNKSIAPHLIAHTIFSVNRESRAEAFRVLLNAPPPYSLPADPGQ DIISLDPRIWNDLPHMSVGKAPIRAAVSDVDRAYRFVASTLVDSEGGRFDSLPVDMRF YSAYGHNNLPAGPRDFQGRPLRMDFFCVARKLGRGRGGLGGGFYVNSADGGDGLVGIS GRKDQGSKKPVLRVVYVL VE01_00803 MFWKKPDNVAGTTAPAILIGLFVTFGGLLYGYDTGIISGIIATP WWLNQFATQVDPKNPSRKALTPAQTAEVVSILSAGTFVGALGAAPLADQLGRRRALMI AVGVFAIGVTLQVASMALPLYVAGRFVAGVGVGMISVIVPLYQSEMAPKWVRGVLVCT YQLAITVGLLIAAIVEYFSNRIDTAASFQIPVALQYVWAAILVLGMIVLPETPRYLIK RGLHAEAAASLSRIRRLDITHPALVDEIAEIEANHAYEVSLGPSTYRDVFFGTPHLGR RLLTGCGLFMLQQLSGCNFIFYFGNSFFDKVIGSGFLFQVIANSVNVIGTLPGIVFVE SLGRRRLLMVGAVSMAVCQLIVASVGSVHSLSTDTSNKLYAAFICIYLFCFASTWGPV CWVVTAEIFPLKVRAKSMSISTSSNWLLNFIIAYTTPYLLGSGPGALDLGPQIFYVWG VCCIFAFFFVWIMVYETSKLTLEQIDEMYERVGHAWKSQSFEPTWSFQNIRQGQANPT VANVTVIDTASGEELRQRTNATATSSNGTGHNTTRTSGEVIESSPSTTQSATHVTDED KIVASLGNVDFSL VE01_00804 MSEQTPELKTYSGNCHCGAFKFNIQIPELKSFIECNCNTCFKNG YKWIFTDTSHFNIVRGGGILKAYDFGAGSMIHKFCPTCGTNVLGLPHGKIQGIDVGIN ARTLMGVDIWALENKPYDGTASEPAYKPQEFAGPLPLAGIENSTIFTGSCHCGNVTVA VKAKPLPSKGQTLPEIRGPGSPFAEHTEYVQECNCSICMRNGTIFFYPLRPQVSISDP TNSLTAYMMGRKFQQHKFCSICGVSVHIGKKGLPEEAANWPDTIQTIWPEILPVNLRI LDGVDWDQVVVKRSCKAEEIEPKYVVD VE01_00805 MSQISLNIPLIRNATPKELVSYLDELRQSHPDVSVCQSLFEAVD HEYLSPLIFNAFIPRVSCLDAIVLCIHRGPSRVIRKQGIKQFGKELAKLEQWESAWRA IGGTNGLIDLFARVSVAEVKELARAIGSCHRPHHKAAARERAIEGLLHALLPSHYPGS KLQTHDKRPITHHYARIVPACSVEFVKELLDAKDQSNPLYEHLSSGRLIKTHGELLQK RVFDGIFGPGKEDAHIRQYLEAFVYSQPPKPSPNSNVSVSMAFATKILQSRLEDIKND KHWPSQVSEADVLFSLLNRSLKKRLSEAKLRDVIMLGLKLLEAKPGLKSTFQSKGMWL KLSTRWKRAPELYEDSITLALRLQLGGTQKTIGKDFLSTSNTIRLKQELRWPLLRLYC LHVPKKAVDLNADSDFTPLAEQPWPSDVFYQLSKDQAVRLLNGLYRANPGYSFLQTPA KTTILDNHGIAPQKNFNAVLLLTLLQRSSEEIQMRAKSAVDELRKKSAIAREQPDRAK LAISASAYAIASGSLDLYGETITWQQRFVRDPLSVKMIFAHDAVMTAEGIELLSGIPK PLPDGITLGEVALSVEKANEILKTFHEIMLIAKREPSFQKRDWGDVTSLFGASISLRV ERAAALQKHLRDSESDVYTAIWDGTLTMLGNVDVAFLKQSYQLIKRLLSALPPTSLAA TSKAMLEAGNEARMRKERQSGDDTIELLSYELLLQLTKSEKPELAQQLVLRTILDRPD ASSWHRLFLSVPFMKSLSAKDAHQMLLAFATAIGEKLEEQSYVKVGEAQPPKSAPPTS LVKVTTVKHLAQLLDNAEFISADAAVEVLVELFKAGTHRDIRLATLGSLLSLLNNLCS GADENWRSNSLVEKIMEALETVIPIVGSINERRPLREEDWLEARETGSLPDVSDISDG LPPLLSATLTAACSPQYPGLKKLRAEFVTRYLLPALRLSQREHQKWVALFLAKHKANL TVDDLPPTPITPKVWEVLVGNYLELIPTMVLDDFNKHIVMTIAPSADLRDFNKSLRKN IDLCNTPEVQHWLSVFGQSMDQYRTSGTQTLVSMIHNAKPVPLVRNGITFDKVLDKVL MHASLFLTEYENYTDIWSGFVKDLRPPTWFTYRHQGADSMRAASSAWTTTSRLVLEKV TALVSDKRKKNTRERKLSLLPSTTKLRLWLLPYPCFPDATKVDHQCKAFANEMVELLN DLLAPEANLMRWHVIAEDACTVSDFLNTAEEQLRVALYIGKLMGSSDRAGDLRSSALN FVRIAVAMKLIGQGREILEKPNKGALSEDVVRGVVNMVEEWQNHFDEGIREKVAEWRR AQSGLWKILMSRD VE01_00806 MSPQMIWNRIFNDVLFKIEAPKPLYIIIDALDEADSISTIVGFF QNIERSRMPVRLLVTSRKLPDITTAFDRIAPASLLTRLSLSDNLDDIRLCAESEMEYM HGSLKFRQEVLEAIISRAEGNFLWVTLAIKEVLQCHSLEDISQVLEEMPSGMESGAET NAYVNTPTTMAFNTDATLMAIGYRGSALEVWDMVDVGPNAGWTGVIRAVWHPSGEILL GIYTDGTVFKWNPVEESDHQELEADIYYCPSEIQCSPDGVLFLLSDQDGSVRLYSYEH FSLLYKLSAEDVITDLCFGMDSRRFYDLRGSYCNIWEPSALVRLPYSDYGSSKSDPES MSVVISNHPSEEFVDAPVQITALAARPLGGLFCP VE01_00807 MEDTLTKVIPRLIGILERDGRSIKPCLIHGDLWESNIGTDSTNG NIYIFDAAAYYAHNEMEIGIWRVDHHKMVENEAYRQEYAEQFKKSEPADEWDDRLKLY GVKTKLMYSAGVPNGADVRQKALDDLQDLIEKYGGEHTGLTRS VE01_00808 MATPAETDIAVKSISPYGKARSTVSGAPLAPDELKKIDDYMRAS LYLCLGMIHLKQNPLLKEPLKVEHLKARLLGHWGSDAGQIFTYIHVNRMIKKYDLDAF FVSGPGHGAPAVLSQAYLEGTYSEVYPDKSEDEDGMKRFFKQFSFPGGIGSHATPETP GSIHEGGELGYSISHSFGAVFDHPNLISITMVGDGESETGPLATSWHSTKFLNPITDG AVLPILHLNGYKINNPTILARISHRELEALFIGYGWQPYFVEGSDHETMHQAMAATVE KCITEIRGYQKKARDSGKAFRPFWPMIILRTPKGWTAPREIDGHFLEGFWRAHQIPIP DIAKNKKHFAIFEKWMRSYEPERLFQDGRLISELRELPPKGNSRMSANPVGNGGILRK PLHIPDFRDYAIKVARNGHALGPSMENMALFLRDIIAKNQTNFRVFGPDETQSNKLDG IYAAGKKVWMAETFEEDADGGNLAFAGRVMEMLSEHTVEGWLEGYLLSGRHGLLNSYE PFIHIIDSMVNQHCKWIEKALETEWRVKIASLNILLTATVWRQDHNGFTHQDPGFLAV VAQKSPEVVRIYLPPDGNCLLSVMDHCFQSENYVNVIVADKQEHLQFLSMPDAVIHCT KGIGIWEWASNDKDAEPDVVMAACGDISTQEALAAVALLRQHVPDLKIRFVNVVDLFK LISAADHPHGLTDSAYSAIFTADKPIIFNFHSYPFLIHRLTYKRPGNTNMHVRGYREK GNIDTPLELAIRNQTDRYSLAMDALQRLEGELHNRGAATREALLDEQIRMRNWAFEHG TDPDEITNWVWPY VE01_00809 MLASVSNILVASLFATTVVGSSVHRPENGNHGGDAVDAISAKGL AKLKAWTKSNPPAGNCTLENAVIRREWSAFSRQERLDYIDAVLCLQKTPPTTPLSIIP GVRSRYDDFVGTHINQTLFIHSTGNFLGWHRYYVWTYEQALRNECNYQGHQPYWNWGK YALDPVNSLLFDGSDESLSGDGSYFEHTPVAVAGAPPPFDVIIPGNGGGCVTSGPFKD MVVSLGPVAGTLDGVTPNPTPDGYSYNPRCLRRDISENAAVSTRTNATVSLLTTRDNI SDFQDYMQGVFAEGILGVHAGGHFTVGGDPGGDFFASAGDPAFWLHHASVDRIWWIWQ NQDLATRQNAIAGQTHMAGDGVPTTLEDDQFFQVNGKVPKLKDLLATLGGADGEFCYI YQ VE01_00810 MSPLHLASSSNTRTSSNRLDTNNATKQHGIATQRPRTKQYRPST LHDLPLSANVLRARSNHNHTCAIHGRRRAAVSDPPALTIPLTASTRSRRLPTPPGVVG ATSIGAAEATRRYNSRRSPRESLEEAFYDCQEASVGFLTPSLNQSHSDPPSRDDDNPT GDMIRILCCYLPKVHLPQLLPISCLGFSIAKGEPMIVSGSDATRANLPARAWGKKSAS VSNDEAMPSGGNTNTVTTLVKKSMDLIRGTKVGSLGRSDSTITVRRNALFSHGRRTDT VTEMATKQNIQGNIPHQMELPTIVTLRRAIAVLNTLAQPPTHNVSADMNDAAMLREYR RSIRSMALPAEYLITSEEIRSLKNQMKSQFIGPDPKETALVKKKKSLVGLGWKGRVKK SSSGSMVWFGAPRKNLESRVALSVSNIHTASVSVLNRGRKGYFISQQTSIIPMLMTGK SIHEIVWEDDGNPQNLFSSTWSVATSLAQVDLVTRSPNSCPREPDPAPIEDIESYGGG TAIMGINRTFKTVWEHPSEEVSDTPYDIDKSLSLSEVMIPSYVTQQVSICTPKPIADG VWLPPISTFNAPLVEIPAANLRTSALDLGPAYDIWRDYYVSLITQSKHPLLGKQGLAA PVTISQPKPSPWRHSTHSLVRVPVGSRPKPSLWSSDVAASGQISATRDGRESTAENTL RLWKRASARRLRGALGMGSGESWRRVMGVENIKDAEIDGALSSAADDRAPWGAEALDD VSIISDDAESDGSWKRDAISRKGKRRLLRRISQRAARARQKLMAAREEKARQGTENGK GEEGVDGGGYPSERTLDHRGMLEEKSKHEELEEWMRSLEATPVLRPRSGRNYMNLYGS SRATDRKTGDHRPFKLKGESRRSVSITTIV VE01_00811 MIPDGNLSFRCRSAGRVTAGLLLSFLALHTPVSARQDNGAQIHL GGQELPAIPPSFPGQPGDEPQGGAERFSLRHLFHHGGSRYPTLHRRQDVLNPEAQLYT TSEDGRPQELPPLMIRSVPLKMQRLADRRPSVIDPMIAAARDHGEVVSLSASAWTVDE IEGPDISDKATVVSMAEMAAAAYVLEPNLRGWENISHFHNSTDFGWEGDGLRGHIWAN DKNSTIIISLKGTSMAVFDGQETTKDDKENDNLFFSCCCGQQGQLTWRKVCDCATSTY SCNNTCVVKNLRQENRYYQAARELYSNVTALYPTSNVWVSGHSLGGAVSSLLGMTYGL PTVTFESPPDNLAAKRLGLPLPPSMGGDELRRRGYTGTYHIGHTADPVFLGTCNGVTS LCSFAGYAMETACHSGQECAYDVVGDLGWRVSLSSHSIRSVIDTVLVKYDTGPKCVTT PECVDCPLWKFYESNSSETTTTTKSKPTSTRTRTRTETCKTPGWWGCLDETTTATGTA TSKTTSTATTTTCKTPGWFGCNDRTTTTTPATPSKTAVPTPTPTSSTTTTSTVSTTCT SPGFFGGCNDPTDPVTTSPPTSSTTCTSPGFFGGCNDSTEPVITSPPSTTCTSPGYFG GCNDPSPTGPPPTTTCATPGWWGCRDPTSPPPLTSPPSVPTAMPNPTGPTTTIKCHTY KWFGFVCADPKPTSPAAPTPKERCKKRAWFGFGTCKEWERVQEL VE01_00812 MAPKVSKTPNNGDEPRRRPLQAYNSFPRIDSDPKDSSRRTRAST SDGSSPNVNYENDAEIANQPSESDSTPSTIDRARKGSDKSGELDTSELPSDFDALPVE LISLTDTFVDSLSAKVHATPPTVDRLSMLLQDFYVLAATHINTHISILSSRQNRRASP SASISSKSSAASRIRARAVSIGTKDRPKALESQDSEQQLLTVEEIAEKKKARKVLEHK RLALEEAVERRVCDKVYTKIWRHRSTQDEAQDEKLRSKTAALAVVGIGLTDLGIDLGE ETSSNDASKEEEVKSWLEGARQELLLMNSDTSPLGKLQHLKAAHKCIVETLSHFHPSS SADEIMPMLIYTLITSPTEDLNVISNLYFIQRFRCESKIDGEAAYCLTNLEAAITFLE TVDLTQLRADEAPSGPPKSSSRPTTPRFESPHPISASTPAQGVSTPSLSPAVISPPST DSRSGTVRKSDLSPSILRPPPTNRRLSELFHPAQALGAAAQDAVLNTADQGIKTIGNS LGESYNFLLGKLKERQEAKHEVVVPKTLDEARKLVEPNQPDDDDVDAASASLNSMAPP APKSDDKAIHLIAGRPPRDRSIDSASSSASTKRATPGVTAPSLQVADGIRMLSNTFNP MSKFAGGIGMMRGFGRAAPPAAGKEEMDGAVEELTTAFPDLAAVLPPGKAGVKVDPPI QRFMEVRDPGELRLNEVVELLRDYRRLAGSLKELGAL VE01_00813 MQVSLYSITVGLCLFHTVLGGSVTLVGPGGTAGDVMTCVNDNYD DKQLPDILQYGGGLHCGNEKFDDFQIQCRAFGGAGTCKPALS VE01_00814 MPFFWQKSAGAVRHASDDMLAVDEKLVGEPIHKLRLTDEEEEEA HIIHNLVELHLIDPGEEECFLRRKEREAGLCLDERNEGRVAAAPEGWTVLSLLVREPA GSLWTLLLHFVAVLLAVTGRRFLYQTKELLKANKLGGDQWAGYEYKRFWSNGAADVLP LLVVPIVWLVMQFSVRVCRRSIVPMLSSIYEITMIVLLIYFPTVSIAMDGYKWQEVLD TFGSHDQLEQRINTLSELARQYKLAGLLCVYPIAAIYLVIITTRILTAIATLARGTAS PGTVLYWLHRRGHTAASGLYLITAIMSAVGASMNIWNGMWYSWHSEMEHITATWSASG FPLQTVFLAAQVLAFLGLCVCAVAGDAPTGKACRRFSSTMAVVLAYSVFTCTMGIIAM DEENNKWAAQTTKPRHMVAVLMAAITFLIHTFIALCPALTHQAAY VE01_00815 MASLLRIALWGLYALGSNALRYEAEYVGYNLNEDDPTLGAVNNK WAGHTYHPSPTNWRFPFYTLFLDKFVNGDPSNDNINGTLFEHDSMQTMLRHGGDIQGL IDSLDYIAGMGVKGLYIAGTPWLNFPWGADSYSPLDFTLLDQHYGDIAKYREMVDEIH RRGMYIIVDTTMSTMGDLHGFEGHLNDSAPFKPTEYKVVLRDKTRQYHDFHPQTEDKY NETCAYPTFWDDTGHEVGQDVLSQLGGCFDSEFDQAGDIPGVGFFPDWQNQLGKYQSV QDRLREWMPSVREKLENFACLTIQKLDIDGYRFDKGTQITLDALAEINLSIRECAREV GKDNFFIAGEISGGNTFGSLYLGRGRTPEAYGNITLDEAVKLNNASNATLFMRDEDHG AYDAAAFHYSVYRSLTRFLGMQGFDASFYDVPADWVETWNVLLRSNDLVNPNTNKLDP RHMYGTANQDVFRWPAIQDGVEKQLLGSFITTIHMPGIPLMYYGEEQGLYLLDNTADN YLFGRQAMSASVAWQAHGCYNLSSSQYSHFGDVNAQKGCEDDKVSLDHRDPSHPIRNI IKGMHQMRLNYPVLNDGLFLQALSNQTQQIPIGEETREVGIWSAFRSGLPKIQDLSTA GGQGEQEVWLLYHNDNVTVNYAFNCLVDDLALVAPFPPGTVLRNLFYPYDEVTLLSGK VVPGFGSLDFTAAVEARAEPVNAVEAAAQTVAETVAETAAETATDTAASDLPTATKAS TAEDKSSTETGTGKKASTTGKANISSTKTTTKPKATTTAPPPKFTSGCLKTVSLKPYE FRAYVPKAKWVAPNPMITRFVPGHDARLNATVPLGQQEDIEIALYFSNEMNCTSVTEG ITITSTTEDATTASILEGSIKCANVPRQVELDVPGNIPSIWKFSATLTGVGHGVHAIT VKDVAMETGTKSTGSTDKFLFRTGAQDNPIAFPRSANYSSSLLQRHDNGTLFISHKAA GANSWRYTLDWTNYSEWFLYQGGEVNIPPKNWTGTAKQKWKGEHVIVEYYNRDSGSSD YVQHGDLDSPPRRIPNLFALGSFNGFGADSGILNTFRLDKDGWWKYDLISEWPGQLQL NQWGINPDGLPDQTAVFGDIDNDQILDRTPPPTLRLASFDLKDAPAMPYLGYRLSVND GTLAYTILPTGNMWFQIVVFVVSTVAPVLTGLFAVWGFMGAFYSVKFNKVGVRVKGMG ALVPAFIGKRFAGKQKSRALIPGSAAASRLSLVPGAAGAAGAAADRRTILIATMEYDI EDWAIKIKIGGLGVMAQLMGKNLGHQDLIWVVPCVGGVEYPEAERAEPMSVTILGSTY EVEVQYHILRNITYVLLDAPVFRKQTKTDPYPARMDDLESAVYYSTWNQCIALAIARF PIDLYHINDYHGAAAPLYMLPDRTIPVCLSLHNAEFQGLWPLRNAKEFNEVCSVFNLP SEIVERYVQFGDVFNLLHAGASYIRLHQKGFGAVGVSAKYGARSHARYPIFWGLKKIG QLPNPDPTDLGEWSGKQDDSKVVIDEAFESARPGLKGQAQEWAGLNQDPDAELFVFVG RWSMQKGIDLIADVFPAVLESNPKVQLLTVGPVIDLYGKFAALKLDVMMKKYPGRVYS KPEFTALPPFIFSGAEFALIPSRDEPFGLVAVEFGRKGALGVGARVGGLGQMPGWWFT VESTTTAHMLSQFKGSIKEALASKLETRRKMRARSAKQRFPVAAWVEQLENLQSSAIN THVKEVERGGPRSGLSLPLPGRRSRGNSGTYSRPVSGMYSRSASMDATPAGGNSPPLH PVYFNPDNSIPSTATTSQITLNQSDFDRRRSPLDLGDDDNDLGDGRDRDSLISDPSKP VSLLSRDIPTPPEAEDGPSRDEGDGLLGNQARTRDGLGIHYQSRSRPVSMLSLNTVVG DKKDFLLQKVDPDFTDSKGEYYKAFEGKLADLSAGNSEDALCIEDYLVKSEREWYSDF KNAKLGRSSDRSRSGSRSRSRSRSRNRSDSGSSVLTKKNRASPTPVLYDGEHEAYNMG DDDDEWLLGQDYKPPTGVRYLMQRRIGDWPVYSFVLAFGQIMAANSYQVTLLSGTASS ASIIYATGAIYIVFSVIWWILFRKAASVYVLSIPFIFYGSAFFLLGLVPVANSSKVAQ TWLENIATGLYAAASPSGSIFFALNFGDEGGSPIKSWVFRACVIQGTQQIYVVALWAW GNYQNNILGTGAALSDSMITTTSLKFTGIMIPIACLIWGIGALCYFGLPSYYRQAPGH VPSFYKAIMRRNIVIWFFIVIIIQNYFLSASTGRNWQYLWSSNAAKIYQIALLAVFFF IIVWALLLLLFAKLSQGHSWILPLFAIGLIAPRWAQILWATSNIGILLPWTGSPLASA LVSRSLWLWLGVLDAFQGVGFGMILLQTLTRLHIAYTLVGAQIFGSVATMVARATSPN REGQGDLFPDFSVGPLPGLSKPWFWVGLIFQLIITLGFLRFFRKEQLSKP VE01_00816 MIILISVICILVTPLYIIYKPPNVLIRYFQYRWPDVLWRVPTSS KMIALTIDDAPSKHTAEIMEILKENDARATFFVIGSQVEGREERLRDLVRNGNELGNH AMHDEPSRALSDQTLVAEIKAVEEMVLEAYTAASVDTPPKYFRPGSGFFSERMRETLG GLGYRLVLGSIYPHDPQISSWRINARHILSMLRPGGIIICHDRRSWTVPMLRKVLPEM RRRGYQIVTVSELLEARI VE01_00817 MATDHEEGLLSIIEGIRSSKEKDCGYLIHTSNISTLIDRDFPPG ECDDRLGDDVADLNAIKAKLSKNARGSEIMIMNAAAQNTENHRPVRSAIVCTPAVYGF GRGPIRKRGGKLTDLMRAIILHKSAFEVGNGRNFWSLVDIDNLAKAYLLLVEKALGPI GVGPGDWDSKGYYIVETEELCWGLFARGIGDRVQQRFFIDEPSIVKKLTDNEVNAIYA GGSTYWGTNARLLGTRLRKLGWNPYRGDLVEYVDKRLGVEIQELYGITIEEQEFETDE RRPEASLDNPSVLQANSGNVMDENADAVSTDEEVSMQLRREAAAETREPTAEARERTA ETREPTAETREETEENEGGGGEEGQKGRKREKGRKREKGKKREKGKKREKGKKEEEEE EEAEEVEEAEEAEEAEEGEEVGEREEGEEEEEV VE01_00818 MPFKSVHPYLEIPQTNLVDYLFPPGHEPSNEPLWIDAQDPSNYL SEAQVLKWSKSFSRGLSNAGLRQGEVVMLFTPNHVFVPVAYLGIVGGGYRFSAANPAF TLRELVYQIEDVQPSVILVHPNFVSLAVEAVAKIGLSNSKIFQLSDVECTPVLGVPDW RLLIREYGADNYAWPSFTPEESLRTIASINYSSGTTGHPKGVMVSHANIIANAAQNIF NLVHKRQLPLLDDRWLGYLPLYHAYGQLYLIIISLKRRIPVYVMKQFVFEDFLHAIQT YRITTLQLVPPILVMMSKHPVTSKYDLSSLTLALCAAAPLSKELQNHCSERFGFNIVQ GYGMTETTCGGMGMLAIDVDDTGSIGKLLSNTECKLIDDYGQEVSYGQPGELYLRGPQ IALGYWRNAAATNETIDTEGWLRTGDIAVCNADGNFWIIDRKKELIKVNGFQVAPAEL EAVLLENEHIADAGVVGIKFGEEELPRAYVAIQEASKGKVTPQDIEHWIKSRVAKYKY LVGGVVFVQQVPKLESGKIQRRVLREWAKKDGELMQRRVQSRL VE01_00819 MGSISATQTGLLVAPFENSILPTGSVLVPVMYQDKTYKCKKSEG PKGGFREFLRHDLDVSRLTDVEQHLWWAGMRKAARPLHRQVMMGRGVVITEQADLHLT WRGSRIYIKPLPGYLLDADFWDRNICPDSGLFESAKGFLLSYIWLVFNESDFQMAMDN SDHPRLLPEGITYQEWCSFIVDFMKGYDFEDIEQINPRYRFGELRLNRLNSIYRIKFG TQHLIRGYFYDYHEFGTFLEENFAWILTFFGYVAIVLTAMQVGLATKQLVDNDAFHDA SYGFTVFSIASPLVAVGVIGLILFITAIDNIIKASNHERDNIRPEKQATNDLGVAQRR DSLGV VE01_00820 MTKDIVAEAVPETVTDMAPTAVEQDKETTPVVPEAAPEKVEAPV EEKPVVEVAPVKEAAPVEEVAPVEAAPPAEEEKAPVVEETTPAKEEAPAPTPEAPVAE TPAAEAPKEVAPEVLTPAEPTPAEDAAPVKEAAPVEEEAPAKEAAPVKEDTPATEAAP AEEGAKEVAPVDKEATPAPDAAKDGAAKETPAPAVDAAVVQTTGGSVAAGVAAVATEL QAKTAEDAKDIYNNLAKEVASTITDKDDETLMEKIADAVQVVTKEVIEGVQDVGEAIK EHPEMIAEGAIAIAVGAATMVAPGILVPALAMVGKMASDHAMSTAKSIAVKASGDVMK DISEQLKKDVADNKEHAEAKVSDVIVATPQGDVKVDVTVDASKGKDGEDVILVQATGT EIVKEAPEADAREVTEKSTAEETPVAAAAATDDKSVTVTEVPKEVLAEAHATREKAAE AEASEAALATAETAETTREVEPEAEVAETEAPASEAVAETAEPAAAAGKKGKNKKSKA KAAKAKAGASKTEETAAALAIPAIAAAAVAAVAVGSKYKEEEAKSEEAPKAEAEATKE IKETETNGAAAVPDVEAKEAEADAAATEPEVAEKAVDAEEKNDTPVVAEEPATETKEV EAEPEVAEKVVIDAEEKNDTPVVAEEAAAETKEVEAEPVAVVEDKKEEATAAVAEPEA EAKEVVAEPAAAVEETKEIASVDVSEPEVETKEAEPVAAVEEAKEEAPAAVTETETTS EPAAVVEEKKDDTPEAVAEPTPEAAAVEAEPVVAATEEDKSATIVAAATEAVAEPVAA TEEAKEETPVAAAEPEAEAKEVEAEPAAAATGKKGKNKKSKAKAAKGKAGEVVAAGAA ALGVSAIAAGVVAATSSKEKAEEVAPAAVEEKEVAPAAVEEEKEAAPAVTKAAEEVVA PAAAEEEKEVAPAVVEAAEEKEVAPAAVEEEAAPAAVEEEVAPAVVEEKEVAPAAVEE EKEAAPAVAEAAEEEVAPAAVEEKEVAPAVVEAVEEKEVAPAAVEEEAAPAVVEEVAE EEVAPAVVEEKEVAPAAVEEKEAAPAAVAEAAEEEVAPVAVEEKEAAPAVTETAEEVV PAATEDKEAAPAAAETTEEKEVAPAAVEATETPEVVAPVEEVAEKTAEVPAEAETTPA EEVADKEVAATEAPAAAEATEATESVETTEKAAEEVVTEKSPVTEEVAASEDAKVAEE VPAAVETKEVVEEAQPVEAVAATEEVPVPAEEVIEEVKAVETAVATEEVSAPVEEVKE AVKEEQPAEVAAPVEAAAEEEAAVAKAPEVAEEVVETKETAPVEETKVEEPAAVEEVA ETKVEDPAAVEETKAEEPTAVEETKMEEPAAVEEPAVVEEPTKTAEEPAAVEETKAEE VTPVEETKVEEVAAVEEPAKVEEPVAVAEAAEAPSAAEETKVEEPVVAAEPAATEEPA VAEKATVEETKVEEPVAVAETAVKAPAVVEEAKVEEPVVVAEAATTDEPAVAEKSVVE EPKVEEVAAVAEPKVEEPVVASEVTEAKEVAPAEEATKVEEPKVEEPVIANAPAAVEE PAKVEEAVAVEEPAKVEETAVVEEPTKVEEPAAVESVKAEAPVVAEEATKVEEPVAVE EPKVQEEPKVAEQPKAEEAAPVAPAVVAEAAPVEAPVAVKEAISEPVTKSIDVAEPVT AAESSQASGSFKTAETSDADQKPAATEAAAPVAETAEAKEPVSAAPSTPAEKAADEPT TPTTPKFKKGHVKRKSMLGRLGSWFWPGSSSKEATPPIAESAAAPASPAAKTPVAAK VE01_00821 MVSLLKGSAFLTGAGSGIGRATALAFAKYGITRLSLADHDSSSL AATSKLIKAVYPSIQILQHSLDVTNESKVQAAIAKAAIEFGRIDVAVNIAGISHSGLT HDCKTEEWREVLGVNLDGLWFCQREEIRQMLAQEDRGPREGRGSIVNISSVAGLVGGG ISPAYTASKHAVIGLTRNDAATYAPKGIRVNAICPGWTATPMVERFQSQFPDEVKTSV ATVPMRRMAEMEEVADAIVFLGSRMESFVCGAAVPVDGGFTAI VE01_00822 MTNQILRAAGLFQALLTTPIALTLGFLAFVQLWDNYETVYRFLT YTVNGLLATIILFILLIQDRMPSLSAKISFVLEAAKSLLATAMWLWLVLDSAYADHSG RYREPSNDRFLRVVRAFIAGFALLVLFYPTAIYATYVACEEDKVAARDAAVEEGERTP LLSQEA VE01_00823 MSHGTVHDIILPPGLTRAEYDAQTRVPLILAGNITPFLVATIFV VARFWSRQILKGSWAQDDTWVFTSWIGSLAHTLLNCSITFYGIGRHFTVQLPQDAVRV LVISYATRLLYQCILATTKIAILTLYLRIFTDARSQCMVYGMISFVVLYTIPCLLLLI FECTPFYDSWNPYKFPQTCINLTIQVYMSASFNIFLDLLMVAFAVPRVLPLKLPRLQK TGLIAIASLSSLVVISSVVRLIRISTLNTSKDLPWDSYDFTTWSSIEVNIGLFCATAP AIRPAVRAMKDALRMNSSPTTSQGARFPTSMSGGLELEDGEAFNGTPPAVPANRYWIT KKGRVTDRELSDADSSKCVLDSVSTTRSPESGRTRSATESDGEMGQRRAKQGGGTLFV VE01_00824 MTSPLSTTIPNTGIPLNILVIGAGLAGLSAAITLSQTGHDVTVL EQSESKREAGYMIVMGSNAMRVINKIGVDAKKAGTVDVDFIERRDYKTLELLSSLDAA KDPKAPAKSYYRPDLHNELKRVALLGLGCKAAKLVLDAKVVSVDINNASATLADGRVF KGDVLIGADGERSIVKSSFSEPGSIQQAPFKIFRSLVPTSVFLSDERTRRVLDLSHNR FLMFCHPTNSLVSVLIGRNSDLIDIECGYRRRKGERAIDVSDQAAIRARLLETFKEYH PDIRCAIETATVTTDWEVWKCKPQKHLAQGKAVLTGDAAHSMEPTTGQGGSQGLEDAG ALAVFLSNIGSKDEIPHRLELLAKMRGERTAKVVALSGVRPGEEEIIRKNYPRHAINR SSIKNGVEHIVFLYDYDVIEESKKTLQAAATPRASL VE01_00825 MELFDWENHAVITLLIILVTLYTIYGAIYRLFLSPLSKFPGPKI AALTSWYELYYDLILGGKYTFKLIELHKQYGPIIRITPFELHISDPEFYDEVYASSAS NRKRNKYPLFYESFGMDYSMFATIDHDLHKYRRAALNPFFSQQNVRKLQPVIQERVST MLERIRDFKESEEVLNVSWLYAAFTSDVVMQYAFARSDHRLERPDFDRANRDGCFFGS TAANFMKHAPWLNTIFQSLPDQLTRLAHPLLAAFLAQRRHIVKQITDIKTGANSSNQH LSHATIFHEILDSKLPESEKSVRRLSDEAEVLVLAGTLTTAWTLDLSTFYLLRTPSAL RRLKAELRAAIPDRNAPTPLVTLEQLPYLNAVIKESLRLTYGVAGRLARIAEEPLFFT DRRTGREWVIPRNTPVGMSVAQLHHDESIFPDSHKWIPERWLNSNGELNQGLDKYLLS FTRGSRQCLGMHLGWSELYLVISSLWVRFGSVKGETEEGVLYEGVRHEGDEGVMGLYD TWIGDVVLDGDSFLPLVRKGSHGIRVQVFE VE01_00826 MSSTQAASKADFDVIIIGAGISGINAAYRVQTELPNATYTILEA RDTIGGTWDLFRFPGIRSDSDLHTFGFEWRPWQAKKAYADGQMILDYMKDAAAAYGID EKIKYHHHLTAADWSSADQLWNLEVTANGEPKTLTAHFCIMSTGYYDYSKPLDADIPG LENFKGTVVHPQFWPSDLDYSGKNMVVIGSGATAVTLLPVVAETAKHVTMLQRSPSYI LVRSSNTLQERVMHAILPRWVALKLVRWTWIILPWIFFRFCKACPAASKSLMIALTKM HLPKGTKMEPDWVPSYNPWEQRLCTSPDADFFLGLKTGKSDVVTGTISQVSADAINLD DGRTLHPDIIVTATGLRICFAGAVTISVDGEKVDPSSKYIWKGMMVQDLPNIAFPSGY LHSSWTLGADASAIMTCRLIKHMQTQNISAAIPRLSLGGEEDMEDSSWKMSSTYMRRG KSALPRGGDRGAWWSRNNLWKELVAARWGNLTNGLEFVGGSRWGVGGN VE01_00827 MAALEVEAVNQCKGLLGDMLAQASEVKRTNGIEPPILKADIEEG FRRLQELPDFVERRDTKKSTLYAVIEIAVRDAFIDLLATYSIEQPQFVQVWNLLDIVS TLSDDGQCDPALLFWLIEELLDSQTIAGCRKILDYLESRRERIIAKDFAGKKLAILRS CNELLRRLSRAEDTAFCGRVFIFMFQSFPLGDKSSVNLRGEYHVENVTTFDQIPAKGE IQGDQMEVDAAVKPTPTGPAADTPMSEEAKKVTFNAASTAQSEKPLSSDDLYPIFWSL QQSFSQPKGLFDTQRFKEFKEGLTSTITAFRSVQNESNNRGPSKPVDDENRGTKRKRR QDDDDLAHIFNPKYLTSRDLFELEIKDLSFRRHILVQALIIMDFLISLGSKAKEKQAT TTKQNLSVMYQDQILSDDDVKWAVDTKKSIADYLKQGYDGPFFYRMVETVLSRDKHWV RWKIESCPSIERPAVTPEEYLAAKATAKKITTNKRLRPKPMGSLELGFLSEADGIIGM ERLKDPSRYSLPPLASFKDKIALDDMEIDMPTNDDTKEAAIYGKASKTWRALRVASKT KLAAFDKIDDAEKIDYIFEDKPPVAEIEIEKDTSSPIDNMDGTSDISPVDRRPVVISG PGGVGKGTLISMLIDKHPKLFGRKASHTTRAPRVGEVDGTHYNFITPETYAMMRDGDQ FLEFNNFNGNDYGTSKKIVEGIVASGKVPVMEMDFHGIQQLKDQSYPARFIFLNARSM EELEKRLRARGTDDDETVKQRLETAAEEIKHAEIEGFHDKILINDDLTGTFEELEKYI FQTDAAETEEAPVAEEAEGATEAVSAVAKDEGAPETVSAVAEGDDTTMAEGDVAKDEG ATEAVSAVAEGDDTTMVEGDAAAVEKE VE01_00828 MAPRTRGPVEPRKEVDDGRPTVADLQGESPFAQLAKKHWLKPGK KAAKVKIKPQVLRKEIWDVLEQEGFSYKTLLVLENLQILESYLWPGFSDDASNHHVLL IVLISNVRSREHLPVWNIYSDNPADFSLLFRRILSMTLDNTLSPNIRTHLLSFIISAF QSLDSGIVRKECAPLVSIAVWHNLSSEKKRNNKLDESVQLRKVWRASGKRYEAADDTT KARLRFERSWLYAMLLDFFNQLHDTKNKSDNLLYCERFLELLSDFQSQLPTRRFVNTL LQDLNTLPLIRLSPLFNDEGSGLLRDLYGLLKHYTYFSLDDHTGIQYTGEETYERHCT QLGRLQRTALKHYKDKLTILALSNYGSIEKREDLEGHLETLTDDELKAYCELLDLRVS YPDSANVAGGRPFLLEVLVSTFERRKTFQDTARDLSILPTEETLFEPTLLRNESYNGS QPLALPKLNLQYLTVGDFLWRSFILHRCESFFEIRKDIEDTLKRLRPQTSRTGETKFD GFSKMALPISKPAILEVVPPLVGETKPAAVKAEIALDVSRLAENIRREWESLRPDDVV FLLAINLSNRSNLISNGESKISDQQKLGIRHIRAAEVTQVLDDNGRPMRDFNGQTNGR GQARLRRLHIKLDAAMYKQDLDSASAGKPDVYESINVIVRRRGRENNFKSVLESIQSL TLSDVPLAPWLHEVFLGYGDPAGASYPQLANKIKKVDYRDTFLNWHHLIESLPGKTVE PSEEASGSFGPPYVLNTTESVSAPTPTKPSKKRRRGQEDVPANVAPPVVEVSTYKPPN TGPYPMDEVKLNHVRFTPAQVDAILSGTQPGLTVIIGPPGTGKTDVATQIINNIYHNF PKQRTLLIAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELDTESNFSKHGRVESFL ENRDRYLLEVDRLAANFGAPGAHGSSAETAGYFNSVYVQPAWARFQEALKDPEVTKET VIELFPYHHYFSNAPQPLFPAEADKAGVIEIASGCNYHVTKIFTELADVRPFEILRRD RDKANYLLTNEARIIAMTSTHAAMRRREIADLGFHYDNVIVEEAAQITEIENFIPLAL QTPKNGMMPLQRVVLCGDHFQNSPIVQNLAFRQYANLEQSLFSRLVRLGVPTVILDKQ GRARPSIAELYQWRYPHLGNLPLVETGPEFQKSNAGFRYDYQFINVPDYKGNGESEPT PHFIQNLGEAEYAVAIFQYMRLLGYPASKISILTTYAGQRALIKDVLTHRCAKNPIFG LPKIVTTVDKYQGEQNDHVILSLTRTSRVGYLRDVRRLTVALSRARLGLYILGRREVF ESCFELQQAFEILFRRPDKLMLVTGELWPSQRTIADEADDVEVVGVTPMEGVEHLGQY VFEMTNAKVQQLRAERGVGEDVDVLAAVEEMDEGEEVALDGEVEEEATVDGFEAEEAE VE01_00829 MTSVSQPTQPPSSASNNNGASQSAQSYASATRKAVSSPPVATGS SSQSPAVAVGNVAPVQHGKSSSISPVNGRSSIPPAVPVVSTPAIASSNGNAMEHSRKS SVTINGGYVANGSSKAIQFGSLAESPAATHSTPQISQSTSSSAPIAIPSNPRVTSPAQ SPSPIPQPTASGGGRPPAGLQSAGMTFGSHPGGDGDRHMRHASVPQAPLAPGAQPGHD RRLSGEGGMGGPNRGGFNPQGGRGRGYSNTYQNQQMGYPQGNHQFRGAPNQGRGGMPG PFPGRGGPMPQYPNSPHQANRSPALTNSVPSTPNMGQVMPMQNPQQYGQYHPQYMPQP QVLHPSSSLHPPPNSFKSGKNGKKFSKNGQQAAGKNNQQHFQSGDNFKAPSGDLPCSF FSNQLEQILESSERSAAAKPFFPNPVPSVPLFPPRTEKIDLSPESGNFDQILTRMQNY GYQAPPYDRMSGMPVPSPYAMYPQMQYMQGMPGQPQSPQPGYQQPFIPGQYQQQPQPQ AMSRTPSQMSERPNSSMGQPQTPSMPASVIHQTPQAKAAASPSTFQRTTRRSAAIVIK NPDGDIVKLPSAKPPASPVPSIQSSKTPPVVVSTPTPPPKAATPQHARTDSKRDETVM QEFKDRVRRAAEGEDAKASDAEGTDSEKPKAGEVKVTEEKKADTSVADAAAAAAKEKQ DAEDELERQIKEMEEAEEAREKAEAEVLAKRAAEKAAADLVNAEKNKLLAEENDRKLK EQEREMERIEDEREQKRKDAEAKAAGVEVKSADSKADAAPLTPSTLASKLGALKLGGD SGASTPASDDSMGPPPKVLGGEKKTKPAALNLAPLNTKPVEPPQPSAALQSLKSARFL SVLDSSIYPPNISSPNPALNTAVTSKGKSFKYDKEFLLQFQKVFTEKPSLEFESQIKA LIGDGDGGSSRAGSSRTPGGMGPRQGSNRAPGAFTMGTFGVGKTLPPGTTSQQRFEMS QGTMPRPAGANPMSGNFRSTGTFPGGMGPGRQPSGMGQPQSPRNTNPSRGGRQGSKRD NTSKHNVEAEKKMPLTAGMVLKPIEVTSTGWKPRSLAAQSATGAAGPAPGAAGGSTHM EPDMVQRKVKAALNKMTPEKFDKIADQILTIAAQSKDEADGRTLRQVIQLTFEKATDE AHWASMYAKFCKRMLETMSPEIKDESILDKNGNVVSGGNLFRKYLLNRCQEEFERGWK MDLGDKPEGERGEEKTEEAVMLSDAYYIAAAAKRRGLGLVQFIGELYKLGMLTERIMH ECVKKLVDYTGIPDEAEIESLTKLLKTIGGNLDSTEKGKPMMDIYFSRIVAMTDTPEL PSRLKFMLMDIVDLRKKRWVSKEDNKGPKTLEEVRAEAEAAAAQKAAENARGPRGGGG GRMQPGRGDSRNFSNQYGNQPPIDYSKNTVATDDLRRLTNKGASRASGAPMSFAPTSM FSSRSNSGRKMGPGGSLSRTGDDSGASSRTGTPPQQKEKEPVATINAFSLLGDLNDHE PENPTSPPPADSPVLTKSDLASGEKKGE VE01_00830 MPQSYTTFLSTSSSLPPDRSDIFDHKNHIHVPPHVILDCPAYTV DDRFGTCFRLKEVEGEWGRERIGGGGVTKGDVLGIGVVLGMVVVAWAVVGILGWVLGR RRGRRDREGRGWKRRKGEKGGRRGGMWRAMEEGGVRGVVVGAGGDIFVVKS VE01_00831 MDQWQAYSSTGGGARRFDSNSQAGQPQQAREYGSSGAQPNYRLD QYQGATNPASQGQSMVASTPNTPQTRDGNGDVAMQDIGDPYSGVKYPMRPHHQQHLSS SSRSGLHPQSPQEQSSAAQRYSPMEAVSGATPYITSTQTSQNPYTNRQSPTRSSYSSP NSYYSSRQQVQQLPPITPYSSNNSQDSYPPSATTQLNAVFGNDPKSPRRPHPAAAPPV ERGPIPQFVKLRSVSDLQPQINAQPAFRRANPEGGFISPLQALTTHLPSTYRICNPMF KYESSRNPRRVLTKPSKGTKNDGFDNEDSDYILYVNDILGSEETGHKNRYLILDVLGQ GTFGQVVKCQNLKTQEVVAVKVIKNKTAYFNQSMMEVSVLDLINSKLDKNDDHHLLRL RDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQQLLTGLALLNKARLI HCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSRFYRSPEVLLGLPYSSAI DMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEMLGNPPNWMLEMGKQSGEFFEKRHD VDDFGRRTYHLKSMEQYSREHGSKEQPSKKYFQATTLPEIIRSYAMPRKNMKPNEVER EMNNRVAFIDFIRGLLNINPLERWSPQQAKLHPFITQQKYTGPFVPPMNLKTSSSNRS PAPGTQQQQQAEALSKQRAQAAQAQLAQQHSAAQAQAHAAQAAAQAHAAQQYPTQSQQ VGGLPSNQYPPQSHPQAHPQAAQLYNNSAMYPPTQAHQAGPPPYPAQPGGYPQGGMMQ QQPPPQMPTGQYGQPAQQGLYPQSQARRQRATTMEVQQGGIPPAIQRVASHLDPTQPI RLQPSPAYYPPPPDGMPDGNPGSQRRRGSRAAQGAQGAQQGARSNRDFIRNLEDRTLE EGFMGAQNQWH VE01_00832 MPLNSTAEADVEPNHPSHDRPSEGVANIDDDAKNTTAEADTTTT PPHAPPPDADETASPGDNMDAILGGLTEGIRNQEDIQRDITARANIALVAQEDERDEK RIERATTNRDRLMNQKQKQLRRLDLVTTTSTQKKAIRDEIADIDEKVAGLNNDIVQSQ ERIQLRHMEQDGADKNDGEVSTANRRMPNESQRDFLIRTGKITPFSRMEARPTEGPTG ELTNILMEAEEAAELPGVTDDQSAEPRSHQFLRKPGFEDISEVSSPTDEDAGPSRPRK KRRVGDGSAITSAEASEGEFIPDSARPRKSPGADSAYTPDIIDGDFIVGDEEEEEDDE GIVDIGRAVKKAAKGKAKAKDVIEKVVDDGNEVDYQKRLKSWVAKRSRARRMKLGEEA DVDDGEEEWFKRSPDAPDHHLPNDLRLPGDIYPALYDYQKTGVQWLGELYASQVGGIV GDEMGLGKTIQVVSFIAALHFSKQLNKPVIVVAPATVLQQWVNEFHRWWPALRVSILH TSGSGMMNVRQESRVEDEEEDVTRTRGGKKEPKAKKAAKRIVDRVVKQGHVLVTTYAG LQTYGDLLVPVEWGYAVLDEGHKIRNPNTTVTIYCKELQTPNRIILSGTPLQNNLIEL WSLFDFIYPMRLGTLVNFRNQFEIPIKLGGYANATNLQILTATKCAETLKEAIGPYLI QRLKADVASDLPKKTEQVLFCKLTKPQRQAYEEFLKSEEMVSILNRTRQSLYGIDILR KVCNHPDLLEPQLRNRAGYKWGNPNKSGKMQVVKALIMMWKAKGDKILLFSQGVQMLN IIEEFVKSLGGFTYLRMDGGTPIKERQTMVTNFNENPDINIFLLTTKVGGLGVNLTGA NRVIIFDPDWNPSTDVQARERAWRLGQKKEVTIYRLMTAGTIEEKIYHRQIFKQFLAD KILKDPKQRQTFHMKDLYDLFTLGSSEDSTTETGEIFKGTEVKFKRDVEPVLDYDGTS RMPSQDIPPRNAVSQAVNGETAKDLIAGKVTDPLASTDGKVLPSNLERDEVGNFIGIA KMEDFHDEDEGKTSTEESRIMEGIFARSGVHSVLQHDKIINGKRVVQADRGMIEREAK RIAAEAAAELRRSGEEARNVPIGTVTWTGQVGEAGRPAPARRRGPGASGVLQGLNERQ GPGSSLSSPGSSRSGTPTSGTRGPRGKDFLKLIRDYIRIQGGSVPSQMLVVHFGNMCR TPQQTADFKAMLDEVAEIKRPNGANARGRWELRDEFK VE01_00833 MSEQSDDAVPPSSVPLPPSADSSADPNATALIATPANEADEQPP LSGFEDARRIVRLLQCAQCSLLLREPMTLPCGNSLCKQCLPEIHTRQNITYPATAARM QGIDCPFPDCMRSHALGDCSMDVTLSKVVAQAKISMDEFRPVIGEAPILLEEKDEWAA AGIASLSGRSPRSRALPGGRLIATYKMVELGELAHDAEVTYKPLSGALGDSKDLDATT LEAVKEKIRSEFDCQICYAIYLDPLTTTCGHTFCRKCLQRVLDHSSYCPICRRLLDLS HTISPTQYPSNARLVSLLTGLWPALLTARRTLLATEESPTPADLDLPLFVCTISFPTM PTFLHIFEPRYRLMMRRVLESDRRFGMLLHNPEQIPQPGLAATPPFFEYGTLLHIVSA QLLPDGRSLIEAVGMSLFRVLRHGVRDGYLVGSVERVEDLPLADEEALEIADTAIAVD ADADADADADADADADVDANPFAALSTQDLMALCTAFVRRMRAASAPWMHARTLRVYG ECPTSPAQFPWWFASVLPLPEGDKYALLQTTSVRERLKICAAWVERIERMPE VE01_00834 MAGRKVAVDFDKIIQTDRTRRKNEALADDIFNRGRRQSAPGAAA FRKPGTGPSLASRVGISKERSRSITPKPIGSAKFPKRQVPAGNVNSDWTHDLHGVNNP SPLAPSSFYNKNMKPGRNAQFRTLLSNSASSPNLNAQFNVVSKAGPGLSIRGIAGPTT VQAQNFAPGTTGADIESAMTPIGGKILKCIIVATRPNVIAEIVFENREGANAIIDTFH NQTADGRVLNLFIKPDVVPAPKSAAPASAPTGPAIPVGPRADRNNLRPARPADSDRYN QRNRDSGRNEVVDGSYGFEDDRMDTDQYEAPKPLYSDNMVGGRSDRGDNRDGGRGYSR GGGGGRGGARGRGYR VE01_00835 MALNTSSDEPTPSSQNNYAKPGSWNIFGSLRGTWTGASPGANSQ RPVGHYASQSLKPSNSYHEEGAPSSLLRHRKSLQNLFGTVGKRSSMKGRRPDQTVQPN VLRKRSGGANTLQIPDNLGVQSIEDADRVRPPQTYAAGSHATIGRRKVTFKDEIVEPV ARPDSGVSVDAIYAPWCDYQGSTSGPRSPDQMPKKAALLQPHKAPIEPVCLPKKPSSG IYSGGYAAPFPPTCFGRRAATQDMVPRSESCLTGPYPGTISQSLRRLKENLDFGGPDY NILLASPGMPSKIDINPSFASRAQALDQKYRNLLVQSRLQQTLNTEHVPQSILESIDQ NAARMSKILNISEGRSSQSRPSCHLNDTAPLGSLPQDSSINAGFLGHECGMFTQNDKV DKTNASLDKNVVLDTMLKNNKATPSRENTICDERPNKSPGNTLRETLSASPLLVPKRT ETLASKKKRRAMRSTTRKSSIGPFHIDFPKTEFGEARHETYQSKSDAIGSLFQNPVEY SNYILFDRGLSTFYPQPKGYVFKYLDEQSNPKLECIWLPMQFGNFKGMGLSQELSLNC CAGNPRCGKHQLSDIGECEGEAPGTSTTQPEELQFITNTPSNDSLLDLYLGTPEGKQQ RTEAGKLKQPEATLATTLSIHLEKPLGEPASPPKLVYPWGDIDDGEITEPLAAEYSIG KTKTWADLGVEFIDKYAPNKNADPQKRAVDAARNFLKAQGKLTSDYDSGTRPQRKTWS RGRDGSEDISFLHIEDLARYETLRAEYRRHMAMFSESSSDTNSTDALAEANYTAKTRE VSRASSRDSGYAGIDATLAELHLSSWEMYTKSEAASVSSQSSSSTAVEEVAASKATRK ITLSPSHSPDPSVREEHAQSSDLQSNRAMSDSTPSRIVRTCSLEKFEFELENSFNTQV GNGNITGNIDLEGFKTPRRGPLQGVSSNFIRQGRMIQQQPASQVEAAYHSPTDEPPQK RNHDKEDEKENEERNWRDMMLYG VE01_00836 MLHYRPYVKRYVTNVAANSRYASSRICAPVVRSSTSLSHRTFGS QAESAIEDERSGRRNREPQQRFRISRDSEPSQPTSFSKRETSGRNRIGSDDNAVAAPE RRRYDLKYRDPLKLADDTLAKLKKDRFEEAEDLVRRSSAAIDCTVGWNHLIDWQCKKG KINSAVKSYNEMKKRGQTPDAYTYTLIFRGLAQQAHQHPSAVAHALSIYHSMDAENAP VQPNIIHTNSVLKVCAQAGDMDALFGIAAKLRDKGIRAPNNLTFTIIFNAIRDNTIKR LTTEISAEELRPLRDKSVMDARRMWDDIASRWRHGDLWIDEELVCSLGRILLMGGDRD RDDVFALLRQTMKIPQLVIPHGSRVEVIEKPQESESLEEWENVKLDQAKVDTGPSLAS HGQFKRIVPATRKDTYGRGLSSFPRPGRNTLSLIMVALQKANLGKQATLYWEIFTMHY NVEPDSANYHDYLRILRQARLSDEAAAVLEKMPQHMLEKKTFRLAIAACARDKHNPNS FRNATKVVDLMQETMDTIDLPTLHIYLDCAIESDTDPNRFLESKTRQLSPGSIQQMKQ ALMEADLGELIPSLDAKAQPVRSLEGGRPDDIRLAKFEKGKRIVAALDRVSPSILNIK ATLTYGTTNKARSWSQSRKDSLSTDASYQQDALKLAQRMISAYDKLMDAGMVPREDYR TLTRERSKLTALVTKFKAKYEPDTWNRFKASSFRTWNKERPEIPATEKVAEQAAENVA EQTTETTGTENQPK VE01_00837 MSKSARSSKLAELRALRESGKKRLDTYEVHQEEDLYEEVDEDGY KKVIRDRLNQDDFVIDDNGEGYADDGREDWDRRQGYDSESEEDARPKAKNGKAAKRKR EEDKTKRENMDRGINNYFTKGPVVAQPKAKPVKTQADDDFLGDLLAEVDTNVLPRASH HPPKQLTRRTRALSPPIQSAKSLSAKRMRLGGANDAPLAHDDDDGNFIGGMDDDDVPM SDPAPSSPVQKAVERKAQVAIKAEEEDDYDAMEVAQADGIAAASVNMSGSRPVPKLLK PDPYPTPGSSSPTRPPPEEVDASAWNNVTSRLNVISSSPPTDTRTYGKMDYKDAIEGD GSLNMFWTDYTEVHGSLCLFGKVKNKKTGEYVSCFLKVDNILRKVFFLPRDNLYKHGR ETSEEVSMEDVYKEVDSIMTRMKVGMHKIKPCSRKYAFELEDVPKEGEYLKLLYPYNK PALPIDLTGDTFSHTFGTNTSIFEQFVLWKNVMGPCWLKIEDADFTFLNNASHCKLEV QVTTPNLITPLTESDSMEPPPLTLMSLAMRTTLNAKDNKQEILAISARIYENISLNDT TPAEQIPSRTITIIRPVGSSFPLGFEDLIKKKSRGLVKLCRQEPEILSFFLAQLDRVD PDVILGHQLEGVDYSILLSRLQTRKTPGWSRIGRFKRSDWPGSMGKIGGNFFAERSLI SGRLLCDLANDAGKSTMTKCQSWSLTEMCNLYLSSGNNVRREVDNEVALKTWACTRDG LVDYIGHCEADTYFIAALALKVQMLPLTKVLTNLAGNSWARTLTGTRAERNEYILLHE FHRNKYIVPDKAPFKGRPKTEQQDQDEEGGDAKKKDKYKGGLVFEPEKGLYDKFVLVM DFNSLYPSIIQEYNICFTTVDRTTISDDEDKVPEVPVDQDQGILPKLIATLVGRRRQV KSLMKDKSATSEQLATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTYKGREI LRSTKELAESKSLQVIYGDTDSVMINANVDNVADALKVGHEFKRAVNDRYKLLEIDID NVFRRILLQAKKKYAAINLLEVDGKFVEKMEVKGLDMKRREYCALSKEVSSKLLNEIL SGEETEIVITRIHDYLREISGKMREDLIPLQKYTIYTKLGKDPKDYPNADSMPQVQVA LRELARGKTIRKDDVIAYIVTGDGKSANEPAAKRSYTPADVMKADSDLKADAEWYLYK QIFPPVERLCANIVGTDSMRLADCLGLDVRKYSINNNVSSGGTETEIHPLESQIEDEV RFKDAARLQLSCRVCKGTFDFEGLLGSLDSVSPNGITCRCGATLRNLAVLAQLEHRIR LETARYYEGWLVCDDQACGARTRQMSVYGHRCLGPRGLGQGCLGRMGYEYSEKAMYNQ LLYFSSLFDVEKAKEKCGGTDRDQVLALGEHNRARFDTLKGVVERYLDKCGRQWVAMD SLFGKLGC VE01_00838 MARHKQATPLRREVSSEYTSSADRVGTPTKISRTLDSPAAAAAP MKVNGRVNGAVVAPAVAVKVEKKKQAGLVELVIGVAGIYASFLTWALLQERLTTTPYG PPTAPEVFKFPIVLNTIQSLFAVLTGLLYLHLSRPAGQPTPAIFPTRAITLPLAAVAL TASLASPFGYASLAHIDYITFILAKSCKLLPVMFLHVTLFGRRYPWYKYLVVFTVTAG VAVFTLHASSAKKHASKAGAEEKNRAWGLLMLGVNLLLDGLTNTTQDYIFKTFQPYSG PQMMCATNILASALTISYLLISPLIATTPLGIYLGLDLTSAATGGEVLTALSFLNRNP AAWVDVLGFAACGAVGQLFIFYTLSVFDSVLLVTVTVTRKMCTMVLSVLWFGHRLSAG QWVGVGLVFGGVGGEGWMARREKRMKFEGAKEGEGKKEL VE01_00839 MPVAAGPQAYHSQQLPSFMSVYSCNAPNMDSTVFVDSPQQQSQV ADAAASPQSPREDDSATASPAALEPELQHKHRDSTSTQASESTDSSPTTTISTADSSA LTDPSPSSSPESPVIILPLSSFSSKGFGIHSLDDLTMSDNPIIIRDPFDRPMTSPSPR KPRNAKGLALKLGSLDPLVQVSAPASPMAFVKPPLPKPRKKPSNLSLQTSSNFAGNTL ALPSLSLEPPPTPAGLRVTTLHHAASTSDMGMFSPDLRSSMAGPQGGMRLPGFERSQI SGLSNAFRKPTPILESRATGGQQHKIEEISPIRTQLATRSGGDIGGDTFDCAANEDVK SPGYPDGPIAIYEPYVFLYLEPSAEEAAEFDVVMNVASEVKNPFKAKQALEEAKSQVA AEPLKSFDAMDIDRDSPPMTGASMATFTTAFEVQPLDSSTPTETSPTTPKPLSKEPEY IHIPWEHNTDISKDLLALCETIDNRVTHGKKVLIHCQQGASRSASLIIAYGMYRNPSL TVDAAYNAAQAKSKYVSPNISLMYALQDFRKTLQARQNSKMGRSPNKHRMALSVDEID FNGGGSNKESPRTAPLPSDPSSRSSPTIGTPPHVRGNSTPDLRGLSPGPSSAPSFTWP EGDPKSMLPAGPLSDSGARPRVFGFGDYRGGSLAPPTLAPPPAVVAKIAPPVVAAPPQ PAMVEESVVKQTQQHHSTPSDLPAPTFPTRYSSIPHHPQTPLDTPPNSQPASPPTLKG FAAHRDEHTAKRKAAPSLTFKPAHPLRAAPSLPNFGRVAQPTYPPPAIPSPTLWSPRL PEAPVVEAALWSPRLPASSFQLPTLSAAAPLPSFFEPQQLQPQPFSFTAHQRPKTAIA NNITAVPPPFHHTLPTLHTIQTDNTTPPPPTLMSPTVGDFGIQPFAEVYEADPGMERL QREPGFRKKKSAVFVKGGMGEKGLPPIPGMVNVGGFGSLGGMLGGGREEKEREEVIVD PRSPGVGSWGEGVVRSIDDIL VE01_00840 MRFSGTSLTIAGCLLASISEASKSSSASSSCQLHNVETYPPPPV PEPISIIKLPLPPSIVSDEVGACTKDINPNGTGCLRIVLTGDDSLPQGGTFTPDGTAI VVTVNFTGAPAQPDPASIYSGEHIILLKTDNTTFSSGDPWKCITCGVPAASQLGRNKD LLDYPRVFKDGKRFLVGTNIVETGGYPVDSELVTPNNTYIYPIYWPVTGDGSGSTGAM RELRLHPGDHHLSWSSFDGNGQNSFYGRLTFNPVPTTGEPRVPRYDLSNVSILQPATG NGVLYAEGNELKINQSVPEIGELRGFSGSGKEFTYIGYPMEACNFDGFAVNLLTGAVR RLTSHPEYVDPMDISADDEWTAVLDTRGTDRQMFMSGMRGIPPLSDLVTSGICASVRN NGMRRFFRPILIDKYGDRGDYFGQTINAGGDGSPGSINDPNWNARADPQFSLDGTRLM YYETLVKTPACGGENPLPCPVSTEPDGRTTRIMIATFTSRKPYPVPKFDIGPDVIPWA IPYVPGMELPVRQSISPGKYTYKGKHCGYADVAIYANANSTRFNRVRVDLHNLSEDGE NILNGFEDISLEYLDTWTVLNDWRSNIVQRGKVKGVKETSPGGFQIQMNLRKNLLEAN GTLTTTLDGKTYYQPKNNA VE01_00841 MPSFAQQLSGLSFLNTYASLFFKQSGFKNAFLITTILCCIQLLA AICLVLGSDIIGRRRLTLVALAFCMITLFIVGILGLVHQMQPLMNFLIFVACVWSFFN SITGSLGGAFIGEVASQRLRARTAGVAMAMSVVIGLIFNTTVPLMLDVNGAN VE01_00842 MDNKTEHTVTDEVQHIEDDHNSLEMSNEKPTLVLKSEQDKLSQW QTAWKFRKAVLICNLMCIAAAADGYQINLNGGIIANQGFTQKLGFPNSEGVYALKAQY TSLWGAMQSLGQLLGQLLLNPISDRIGRKMTLYVLWVILAGSLVIETLVRDWSDWTGA KILAGAGVGAIQATLPVYIMEWAPANIRGAMTVTYGFWNTIGKFLANLVLVLVQKNNP MDYKLPILTQWALLGIMLPIFIYLPETAAYFAARGQDEKGKATLRRVNGNVEGYDVDA EYAIIKNTILHERAIDEEIGGARIS VE01_00843 MQDRTNRARLRASKACSRCNQRKVKCDAAQIGVPCSRCQMDHVN DCTLIVSKRGIYDRKNAQNRHQSFDHPSAVGRSTPPTDPQDMPQANFGTITSTRDTPI RARNRLRGDPQPENFSGFSSHVSPNSIQVNDSTPSESPGAEEASSRAPRILTNSKASS LTEMFEEFLKQQNESTLERHGIVLPGEPSPLTFALEEFQRGKNQRLYDVHDHIGESAS MTVIMQDVHPPHLDINDITYLKAKGAFEYPKNDTADTFLSSYLERFHPLYAIVKKSEF QTAYRERKLPWLLLHAVHFIGATFCDSSSIHQLGFKSRAEVRSLYYERAKVLFTVGYE KDKIILLQAAIMLSFIGPQLKHYWNPCSWIGFGVTIAVSLGMHRSAVSANAHSNDKGL LRRLWWTLSVRDAYLCTLLGRSFRLNMSLCDTEPLSCADFDDDTACSHQDSDCSCKHS IYYQIQTAKLSLLLRNVVYYRIGPVRGLTTLDDLQSQLKNWQSELQPAVNWSQHNGPL PTFAVILKILYNYHLILLHMAEPKQPVYSTSQTALDKIQSSLVAESAASAIASTAVTI MTKSVIFALPHELFPAFFLAGIILYRQTRQPDPAVAEVGQASLDNCQIVLNEARESWD PWNWAMRIFEFLLSSSSISTGDTQNRVSRQGIDVNDELPTVSCTAATETVHLPLTDQL NTFIPSFDWNAAFDANGTDHLEDFMLLPNCWLSAAEDWQNVHL VE01_00844 MEKDFDAIVVGAGFGGIYMSKKLIDQGLSVKVIEAASDVGGTWY WNRYPGAMSDTGSHLYRYSWDLDDLRQYPWERTYVRQAEVLAYLQHVVTRHRLRQYME FDTEVISADWDDSSDLWVIQTSTGQKLAAKYLVTAVGLLSRQNFPNYPGIGQFKGELY HTGSWPKTHDFKGKRVGVIGSGSTGVQVITALADEVKHLLCFQRTPQYVVPSGDVVAT PEYRKEINDSYEDIWKQAKNSQFAFGFEESKNPTMSVSSEEREKIFEEAWQQGNGFRF MFGTFCDISYDEEANKEAADFIRRKIRATVRDPVKASKLIPTDYYARRPLCDTGYYQK FNRDNVDIVDIKEVPITHFTEKGIVTSDGTEHELDVVICATGFEAVDGNYTRIPIRGR KGVTLKERWAGGPSSYLGVSVPDYPNLFMILGPNGPFTNLPPTIETQVEFISDLISHA NNQAPALINGTKRPVVEATVEAEEKWTDLCDKMSANSLFRKGDSWIFGANVPGKKHSV LFYFGGLGNYRMVLDDVIRAGYKGFLGY VE01_00845 MASAPSKILRIASASGSVTDRRHGFAALAKEEVVSFIVGDWMSE YNMTTRGAAKVSGAASSAEFEECFLESITPALPDLERRGIKVAVNAGASDTKKLYDTL YKIVQEKGLKLKIAWVEGDEVSDLVQQGLESGDSFQSLTTGKGISDWAFEPIYAQCYL GSWGIVEAFKEGADIVLCGRVADACPTIACAAYTYQWGREDLSQLAHAFVAGHFIECS TYVTGGNFTGFKSLPGKSLNLSFPVVEIDADGTFIVTKQLKGDGIVSVDTCKAQLLYE IQGPFYYNSDVVAELSNIKIEHAGENRVRVTNVGFKKAPPTTKVGITAKGGFQAEVHY FGCGLDIEEKAALLERQIRHHLNESSYHTLKFRVNGRCPSNPSSQDSATVDFRIFAQS KDESALSIENFLRPCTDVIMESYPGATFAVDNRQALPKPYYEYWVALLPQSAIRHVAH MPFANKSVAIPPPTDTEDFLYQQPSYETENPLPFGSWGPTTLAPLGYVVHSRSGDKGS DANVGFFVRNTDEWDWLRSILTLEKIKNLLENDYEGKPIDRFELPHIQGKAKLFSNSY MQNLLKYVFSSGTFSPEGPSGSWRIFKLNI VE01_00846 MASQTDLAALLVHASVPAFKCPVGTKMHILDLGTLQADESWLLR GANAATLTNKNPQNKCRDLILLSALIEYPGVGLILFETGCAEDIDVEWGPPLTDVFPR TEYSEKQKLPAAIKATGNDIKDVKAIIMGHLHLDHAGGLEHFVGTDVPIYVHEEEFKH ACWAVATGADLGVYLGHYMLLEKLNWSTFTESQLDLFQGITLHHAPGHTPGLCIMQVN LEQDGTFIWTTDQFHIVENYELGHPHGGLARDHNAWYRSLNMIRRLQRLYNAKLIFGH DKVVAMKYIKEKEFYI VE01_00847 MDDERKSTAVDSLECGQVDKEKGGSDVLPPIVHDEAETKLILRK VDKRLLPVLTLLYLLSFLDRGNVGNARTLGMQTDVGLSNAQWNMCLTIFFFPYAAFEV PSNIVLKMLRPNLWLTILILAWGTTMTLMGLVQNYSGLLAARFFLGVTEAGFFPAATY LLTCWYRRYEMQGRLAIFFSAGSMAGAFSGLLAFAIQKMDGVGNLAGWRWILILEGLC TVIVGMACPFLLPNGPASAKFLSMEEKTFLSQRLENDSGGSGRLQTAETFQMRYVIAA LTDWKIYLSVLIYWGNSICTYGFIYTLPSVIKELGYSASNAQLLTIPVYAFALIATVS AAFLSDRYKNRSNFIIYPFIIAAIGYIGLLALPHPGWPGATYGMLFVVAGGLYPTICG VISWNANNLAGTWKRSVGMAIQISIGNLGGAIGSNIYLAKEAPHYWTGYGFSLAIILT GLASAVILKVTLNRINKKRDSMSIDDIRAKYSEQELLDMGDYSPLFRYTL VE01_00848 MVNPPLSGTGGIYLGNQILSPFDQPGPVTRSRSRSRNETLLTGT DDKTPHRLPFSSIDSNSCASSSSTTSSARSDTSGYNQGREGTPITPPSDYGEDVKGLE APSYAADSRGVPTFLLPRRGLIWDSSGDSNRSREVPRPSTPQSTEEDEDPWYIGSTSA VTQGLGFVEKHDESPTVRRVRTFNLKKLADAAKAAKSAEAAEAAEEAGEIDEDERIAG ISEALGALCLDSENNNTESTEEPEGIDEAVQEPKETEEAVVSGASHGPQPEQQQQTSF VAVDSSSSSGEDRVSSFPNSETAVLPREVDEQLDIAITLTTRSLMPTLIPNNTIELAL DDGLDAIASLDVN VE01_00849 MLDQIFSMYAFCKVSTIALVLAAALPCAAHPQNTHYTNPIIPGW HSDPSCIYVRKESTYFCTTSTFLLYPGIPIYASKDLVSWKLVSNVLNRPSQVPELNNV AWQQGGMYAATLRYREDKFHLVVADLGGVGSNYLFTSSDPYNDKAWNDPVKFALDPGS DADLFWDDDGTAYITVARNGLINQFAFDMETGAMGPLSELWNGTGGVYPEGPHMYKKD GYYYLMIAEGGTEQNHTETIARSRNRLGPWEAYPRNPLLTNRDTDQYFQTVGHADLFQ DEDYNWWAVALSTRSGKEWLNYPMGRETVLVPATWGKGEWPVLQPVRGTMQGPLPRVN KNVAGDGAFVNEPDKFNFRPGSSIPKHLSFWRFPNTYSYTVSPRGHPNTLRLTPSSMN ITGTADIQPSDPITLIMRRQTDTLFTYGVDFSFDPKLENEETGVTLFLTQLQHIDLGI VNLSSPNSKESSISLRFRVEGVGNYVGPAIEPTTVPVPKAWHGKSIRLEIKAVNTTHY SFSAALSAKPSQSQIIAYAKALLVSGGTGIFTGALVGAYATSNGGNGTTNSYLSNWRY EGQGQEIDYDTIVSSNFF VE01_00850 MKSSIFTLLATALLVTADTASDTLLAARHVIHSYPGLTPPASLL TLTRQGKVGGIILFGENVDANLPTVVASFQTAFAQSPAYDGHPLLIVTDQEGGQVRRL PGGPVSSAKQIGASANPAAAATQAGKDAASALKASGANGNLAPVLDVFRVAGDFTDAY GRSFGNTPALVNTCGSAFIASQQAAGVLAAAKHFPGLGSAAKDANTDVKPVTITLTLD ELRNVDEAPYKGAIAAGVDMVMTSWALYPALDAKLPAGLSIPWVQGELRQRLGFKGVT ITDAIEAGSLKAFGNDAERGVLAAVAGMDIILASGRNATQGEAIVNALVVALANGKLS PYDFSKGTERILAMRKKL VE01_00851 MGDVDGDVDGDVDDDGRTCIGAAVFDDSLSLSSLGAEQKCGSST FTGITGDIYLIPIAISGSALTYIRALRPHCRAFNGQFIFNEGVLERNSTAEASTSGDK MAFGLRVSPSIYAFPTNVNRIR VE01_00852 MTVTPADRGPQVSAVAGLFFALSTIGIILRCYCRAVVVRAFGMD DWFAVIAWIFFTFFCAFAITGVHYGTGQHAADLPPEDIPVGLKWWWACEPVYVLSNMA LKLSISIFLLRLAVTRASRIIIYVIIGVVEAYSAFYFFLFVLQCRPSSYFWTQYTGGT GTCVNPKITVDATYAYSAISCVADWGLGLMPIFLIWHIQMDMRTKMSVAAILSVGAIA STATIVRIPFVKDLANVQDFLYATTDVAIWSTVETGIGIAASAAATLRPLFKAYFGSS HLAGNTSSNNWPRSGNSGYVQNKASGGEEFGMRNNAGRAPGTGVTTLIEADADERGEA GRVKFEGGGWDSQSKLRGGSDDEAEWRSGIMKTTGTTRTEL VE01_00853 MSTTVDKIKHIEEEMAKTQKNKNTSFHLGQLKAKLAKLKRELLT PSSSGGGGGAGFDVARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTVP GQVEYNGAKIQMLDLPGIIEGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLTDKKVI EAELEGFGIRVNKSPPNIVFKKKDKGGLSITNTVPLSHIDHDEIRAVMQEYKISSADI AIRCDATIDDLIDVLEAKSRSYIPVIYALNKIDAISIEELDLLYRIPNACPISSEHGW NVDELMEQMWEKLKLVRVYTKPKGKLPDYSSPVVLRSTRCTVEDFCNAIHKTIVDDFR SAIVYGKSVKHQPQRVGLSHELGDEDIITIVKR VE01_00854 MDPVQVLRNFYLLASTLLVSFNVVPSLQQRFLKYGARATKVKDE HGKPIQEPESNSRLSKLMDLVASFGVPHSWFTHFYIASVASSVFWAIQVARKGLFFRF LAELHLDNSVNEPSMTIDQVVLVWTLMAIQGCRRLYECITLTKPTTSKMGAVAYLLGL VFYLAMGVVVWVEGIPSILANDISFQSLATMIQPSVKTAIGVPLFLLGSIAQNTCHTY LGSLKKYSLPEEGLFRGIISPHYTSECVIYLGLAIAGAPPGQPLNKTIATVVFFEAIN LGITAESTRAWYSEKFGAKSIEGRWRIFPYAY VE01_00855 MSSLNSPLTGLPISLEEATVKSLPGTVCYVTDFITKAEEAAILE KIATAPKPRWKTLTHRRLQTWPSDLANNTLLESPLPPWLINPIIPRILSLPVNADDPS LNMFSDSPHKAPNHVLINEYLPGQGIMPHKDGSAYHPVVCTVSLGASLCLDIYGSNEH GGTESGPRWKILQEPRSLLITTGEMYTNYLHGIADVTADTNLNSETVANWDLLGHPEL LKDGVNERQSRTSLTYRDVLKVSKLGRRLFGTKA VE01_00856 MEPAEPTKSPPGVDAPDTTESATPIGIKSSPPPLPVPAQAQVST PNVENEGDATPASGSDSEAETVVLPGADGYSPSKIRKKRKIKLEDKTNENGGDINMDI DVDIDKGTHIAQVDVKAEEGQTWNEGAASLLGKRKRSKHGSATRPDDNHTGNSSGLSS VPTSPAARSLPGPPKRTGSEPARSRSPSPSAPRKEKRHLEQVNNESGSERDKDNCRSH KRRNSNANNSGKHSKNSATDGTPQHHRTESPSPTRHNNTSAANGLSHKKKAIPAPLRT TKDHSIDYQSDASSTGESPYTRRSRTRNLGTPPTGDLAHSAKMPPHRRRPDRYGRTPL TDACEDGDYDKAKHLLAEYPEDLDQPDAARNTPLQCASLNGHEDIVQMLLQNGCNVHC KNDLGDSPLLDAVENGHLQVIRLLLGAGVDPRARNKLGEEPITKINEDDEDADAIREA LLAARLTYAQARPSATSQTPQAETSDSRNRRTMSHGRSNRTGQHQLYTPLTVTTLRTA AAKGDLQTVGLVASVLEEGLDDAESLIAAAKGGHDEVLQILLAVGNANPDPSPIPGSW EYSTPILAAIGGENMKVIELLLANIDDGRFDPTKTYRGRTYYEIAKERAGPLWEDEEK LLKGAFDNYKSSARSGRSGHSSNNQPTRSHRKKPSDASDRPNGSKEKHQAGDNGVDHR RDRLGSPGRKGVSRSKEGGEALDRESVPSPSQERKRSELDRTVSPSESEPTKPRRKLI SGRDLKGGREKQKSNTSITSPPGATSAGADDDKLDAAGRKKNLQRSDSKAASDSVTER SRSAKREKSSDRMSTIRAQSPTKRPRTSSTPPSESQEASNKRRRLESESKGLRRSESV RDTSPNHRKPMPPRSDSSTQKPSSSKEQRLEPGRKENQKLSSKPTLSGEKSSSHKEAR PRPTEGVPSARSSQEADQKTNSAEEAAALIKKKAQKEAREAMDAEREKAEREKAEREK AEQEKAKREKTEREMKIKKEEDDRIEKERAEEAKRRVEEARVAREAAEKRKLEEQAKR AEEDARRAKEEAELQEQQRREEAEAQRRAEEERKHKLEEQQRIQREEAERRRAAHIAE QRAERLRVMKEKEAARLAMLPPLLRWFDGLAQPAMAEVAVKFKFMQGVRYDTIVPEAT GKPDGREQWVLNTHVALLLGEKDLQLSRYTAWERVSASFLAKQGLWRLNKDMYSMTRP DLYPYNPQFAANPFQRVSPMEANDMRKATLPLFLGLDLFFVKVADLMFIAPSIPHLRN LQLKVEYYEALPDESWLDRWAAPDKWKQDPDCEKYLGFAPGTKTYVNGDLVSQGGAQL AVVSHRPFPSTRVPRAGFMEVKKGEPEYEDLAKQQDLGDGEVNGQVAKGGANGHAEAE KSGGEAPKKGESDAGVTDGVGANGVL VE01_00857 MFDLFAMLLSSIATFLFPVFASYKALNAGDPALLKPWLMYWVVL ACGLLAESWLYFILYWIPFYAWFRLFFLLYLIAPQTQGARLLYETRLHPFLRTHELAI DDFITTTHHRLRTSFWIYLRRAFNLAKSQLLGLPTQQEPLPPPPAQRSYTASLLERFN LPSARPAFAAAAGGGWSAADISGLLANAVSAATSSSPSVQATNLVPESIQGPERASFI SAQRERLMFLLSALDREATASPSEEAGRGVLGGLLGGDGEVPRRVSEAALSELSKSRS EGDFEKIDPLEGIGEAGAGGRREPGTWIPWGWGGSPAAEGEARSSGVDKGDKGL VE01_00858 MHGMMGHFGMDDDPNHQQSSEQHGNYSNDVWAGIPANSYSSPQQ TSPMYEYQNYQLMNHGLPLSLPEHPTFPRMPPPPAHQQTLLPLLPAPAWMNNNPAMSN FPATMPSMLTNPGGHPTRQVRMAPNSARVRASKPSPQHGGGRKCLTDEAKRRICQIHM DNPSMKQAQIGAQFNVERSTVSKVLRQKEKFLSLEEPSVSPAKLPKGRAQDIDLALHS WWHNEWKKGVLRNREEIREEAIKFCSILNNEQGLKKAHDDQWLDEFVRNHNASTKKEK HHNVSTKKQKYNGRMKLSRRSSEANISDIATWGQGSAGSSIANTPSGHSPGSPYDFTS PLSGVKDEDDYLPFGSIGYRHSNSRSTASLSSEFTDTTVGSSYSGGPSSPATPFSFSP ETTQGPFPPPQYVRAIAPSYPQRPRSQTFPMLAIDPDTATTATFPHHSTSPPALDASS DPIATTPSTTASTASTSPTPDDARIALETFLNYMEVAAPQGLVDEAEYQTVVKLTERM RQHSLGSIRAIAEGDTGSGNMECSIV VE01_00859 MAPSRTTALLPQPSSNTSIKPTRATPSPALRAPQPTQAQLLAAL SPAATSALIRRTLHPTASKDTPLSALLKPLTGDKDVDFEIYALLAVVMREFVVKWYGN ITGDPGFVGEIGELVEGVVRKGWGRLGERKRENGGWGGVVVEIGGVVGEVGRGHVDAY RTAHTTHLPSPFVASPRNIYHALHPHPALSPVPDPTDPASIELQSANDAAYRELLARR LLHTLLPPTERDNPALMALVGSILADLVIEKAVERACESGVIWEGIAKVVEGVAARGK SARRVDRGDDGSTRKGGWQATFWMVIQFAFLAFTAVRALVIAVATSSSLPRRGVRLSK STTSPTTATGPTPVLGMKMWSFLARQVELEERMPWAYGALALGQWVMLYGPGRVGAVD GVVDRLLTHFLLGLLPPAAPTLRALRGLLFPLNAPSPPGAPMPVGEEAARMRRRAAEG VVGLVPRWMGGVYFGSGKAKVKGGREEEFEGVERMLGVWGDAWMNRCFVYSLLEAGVV GLLPELAGGKGIVGGADVVEIAV VE01_00860 MNRFRTKKKAKAADEAPARPSNDSAAPTLTPLKPAMTFRRKKEK NEPPPRMELDLVNALPASDDFRTSLLMTGLSARFSMLREQDDPKSKIGKASDDSVLFP KRQSRLNDFGFTPQGLSDIAEVGSIREATRRPFALDRMDSFQSSAGSSETDAQESIMS RGKPAEGNNLFGGRQKIYKIPMGGSASMKSLNGTGESSGLGGRALYGDDVSQSAFQKL KEREREERERESERRSNEEAYRSESPPLGYNRNRETSSTTSSGPNGTRMSTAATSVTS QRTPSVTGQSSAPTTPAVPGPERSFTKTKRLYETGLDQHLHTQQFSAANRLDNLSRQG RNLGARSPSPAGSPVPSPIEGPSSNAGRQQRVPLSSPTSPNNGGFDFGTKPTTKDALK PFVTTPPLSSPISETEDKSALPIQPNDKGKATALGAFAKPAQPYDERKYTQRQLQMQQ GRETPPLRKHAPPQSFVPNAAGQDTAPRNRAGSNATYQSDVSRSSSTQREFQPRERMF PPKREPPPEPQPQSASMGSFLTSPGDSSAASSPRGSGEATGAANAWEQAAAYDEFEVR APAPAIAPAPLAARRVETEHPAFRQDRLKLRDLDEQRGMQKMEAPLRSPPQQQAKVPS KTPEDVKVEKTKVASPLDSPTLPASGLSGIIRQHLRSESNTSSIGGPPSPVLGPQYQA ADYTMNGNPWDDTPATNATDNDWAPHPNISAFQQPAAISSPAATPSHLTPRAASPAPS DTPSWEKAINHHHSRDASTESQREREDFASELAARRKRVQDNLRSFAESDSRSQSPVR GGMETPPPNSAQRTASGSGGGAGPTPRSNHLNFMKGMTSRESLVPRSRDSSAGPQSKA RKMLGMSGGERTTPPGTHYDDHPHPHNQQGPPPRSHYDSDSAGGGGYASSNYENSAPP PPRSAPRGPPTGGGGAGGAAPQGLKSFRDKRRDAQRERERQVMNRHRAGTGGSDSDDY FGAAGRQQQQQQGMQQQPRQRAAPHGQGGNDRHHPAMRTRSPSRDAPPVSYRAPRAGS VESGAGSGGSRPGTRERSGSNASASGYGGRGGGGPGPQLRGGEGGLSTINSPTFPPPA VPFAGNNGVGNGAPSPRSRSASRSQAQQQQQFQGMQLNLDSLGTAYSAASGSNNSPRP SPVVPFAVNSTPALVPTPNGLPGGSGPTTPLTPSSSSGSGSAAAAAAAIAAASAASAA AGVGGGGKGRKRSVNKRDISDPVFVSSTNKVSTVELPTSPVNSQPVATTFAGMGVGEG EGKPEVPAMDPRRRTRTGMFWKRRDVSAEGSDSASGSGGGGGAGEVLSSSVFEGDDAA AAAVGPRARQRLRKTSSEGGNLAARARSAAGGAGGGNGGLTVERVPMPVSAGAGGFPG REQGEGGGMGGMF VE01_00861 MANPVQLRVIAEKTLHSPTNPDLLAYSPSMELLAIGGADHNVLI YRLNGQRVFSASQKVKGVVLDLQKLVWKPNGQLIAIAWSDGTVRLIGAESNKTVHQIT VSEEEDTEVTCLAWTTYSATSKSLGDILSEAGSLWKDATEGGLKGKSAQLLDLPRDLA AIDIESSLPKLSVLPSGGTTEDIFSSRASLDALFRPFDPKDNDSISIMVVGTSTGHIH LTIFDSFVIGTFPSPLKSSKTSRHQLIRHASHPHHAVHGLLMKSTSTLVGGLYFVPMH LGFLTSERSDLSLLASRSSALQNLLRYIYQVQTLMTAEYQATQDLPSRFLGNIDETLA EHGDWTIAPALYHQVVTGHTLPEVKEWLVDELAERGHKRWDKTLTTAHTTLLKLTHTH LLPALERASLLLSRLHGLASYHHPSHSPLGFSPTQIKNLLDTVAALNLAATRVLATTV GEVEGWAAFGGWVRGEIDRLAAGESAAGADDDKEAATVPTAKILEYIQGSLTASPLAQ FFTPLDSTVAESHTEALSTTTPLFPIMAKALNSPDPTNAFQKALPNIAALTAHLATQA AAVSTGIAEAEKRNVRFGDAVEVGGVGKEVLGEGGRVDMRMCSGTTAEGGRGEGVVYI ATSAAGSDALSIQRIALPQGEEGTSSTSRVNVEVGGLVKDIAFLDEEVLLVLIDGSES KEGVYILALPFLPLSYTAKPTTVQGMGRRFRVQEGAERVRVGGGRVVVVEKGGVKVLK VKGEVWGDGEGGVEEVRGMGGVGKEEVKGKEGGGGDEMEVEG VE01_00862 MVVLAASICTRGGKAIVSRQFREMQRSRVEALLAGFPKLADRGT QHTTVEQDNVRFVYQPLDELYMVLITNRQSNILQDIDSLHLFAQVVTSICRSLDEREI LRNAYELLSAFDEIVTLGYRENLSISQIKTFLDMESHEERIQEIISRNKELEATEERK RKAKQLEMQRKEVSRSGRNAPPRAPNYPTYTPAARTPTTETYDSYEAEKNKTYKAAAP KGKGMQLGKKSKTTDMFERVRGEMGVEAEDTSPLVPVQTPTAPAGHARTSSLQSGLDR DAIHVTIAETINAKLTREGSVTSIEVKGDLQLRISDESFTKVKLDLTANASHGAQFKT HPNVDRPLFNSSKAIQMSNASKGFPVNNSVGVLRWRATPKADDSSAIPITFTVWVNKG SDSNYTVTVEYELTGGDALKDVSVIIPFATSEPVVASFDATYEVSGDSLEWTIGMVDD DNGSGSFEFEAQAHDENEFFPMHIKFSKTTPYIDVDVTAVSLVEMDEEVTFSKEIKSI TESYVIE VE01_00863 MVVDEMEISYDGQDVDDDIDINIDIEPGNHDEDFIIEDARSEAG TNNDDVMLDEDNASYNMEDADYVPEEELQDVIDTETIEVSEQEMLRPHDTEEHTFPSN AAPSPLQSEDRYTDIKPADDTISFADAVQAEELQPEEVSKIVEEFAAQPTPSPTIHKE SPPVSNEKPQEVENINPPSPPQVPEEQEQEQENGEASNVDENGQKVNVEQDLISEERN ITVGYQGSEYALVASSESDDPDSYFLKDSSVLKEPLSALFAVLRDILHDEIPAGDELV FTIDDLGLETCETSTANSEISFSQIADLHTTLVRNDGADILPSLFVTLATKSEFGRFF ANLAEDARQGKGLQEVAKSWNEDNGLELWGESEAYDINGVDADGSEHLENFELGEDWT EVGSVQDISPGSPALEAPTEEEKPTTSDVAEPDTTEVPTVVEENEPSEPTNEKHDAEL TKPEANGNHEEEEGGGDLIDYDDEDYAQGGNVVETAPKQEQTDYEQPAPAAEHQVADE IPDKSRRSSSQTIDGANETDATAQPSIDVPGGVNGAVDSTNDVASTNENIGQEAEVGE DLEAAAGDEYDHQAEVDESFEAGVDENENQAEAGETFETGAEESEHQVEVGEDFEAGA DEHEHQDNGEYEREVPYEDEVDASYYVENEDGHVQETNGETEHGEESGHINIDTEATA SDTQNNKNAENEGGEISYEDDDVDGSLSALVENDEADDKPAVTGSNQNHKEETDEIDY EDDDEVSLFVGSGPTTPAKSLVPGKRSHEEDGEANGIDGQEAKRPRS VE01_00864 MIEDKYIGLALAVASTLMIGTSFVITKMGLMHAEEHLGFEGEGF TYLKSPIWWAGIITMILGEIANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLREELG TLGKLGCAICLIGSVIIVLHAPPDAEIETVDEILHYAIQPGFMLYCLIVGVFTAVMIY KVAPRYGRKNPLVFISICSTVGSISVMSVKAFGIALKLTFAGKNQFSHPSTYVFMIVT AVCILTQMNYFNKALSQFPTSIVNPVYYVTFTTATLCASFILFGGFNTTNAVNTISLL CGFLTIFTGVYLLNLSRDDPNGHRMLSGQGTDGIATDMISSIQTRRSMQARRSVGDPA RHSIGSGYGRSDRDGLIRSYDDEENVGLNDLTEESENDAPLRLNGKSKAKANGNFIKP IDVSRNSSRSSRGGGPRSPMRSPMRSPRL VE01_00865 MASGVPGAAISKRRKFIADGVFYAELNEFFQRELAEEGYSGVEV RVTPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLS AVAQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDG FMIHSGQPAKDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKSGPTKSLPDSVTIIEP KNEDPVVQPMSQDYGAKAQAAQAAAEAQRATEQGEGEAAATEEQ VE01_00866 MEKIKIPKVEGVQALSNGGQQFKGTLHLTNHHLIYSCKRINLKE GNPLAQKTSEFWITYHIISRCTFRPTAPGSAIPSNIRIRGRDFSSITFNFNDPAQAKD VFETIKGLTCRLKRINKLYAYRYKGNPREDPIKGWEIYDARAEWKRQGISEKGIDRGW RISTINSDYVFSPTYPALLVVPTSISDNVLNYAAKFRSKARIPALTYLHPVNNCSITR SAQPGVGIRSARSVQDERLVGACFSASDNLSAGSTPENRSSPTASQLDIVEITPEDAN MAETDIEKLEDMMIATADDDGDQPRIYGAQRRNLIVDARPTVNALAQHAAGFGSENMD NYKFAAKAYLGIDNIHVMRNSLRKVVEALKDGDLTPLPPNRELLAKSNWLKHIANILD GSALIARQVGIHHSHVLIHCSDGWDRTSQLSALSQIMLDPYYRTLDGFIVLIEKDWLA FGHMFQHRNGYLNSEKWFTTEYDGLAGSSVQPGLNETPRNETIENALAKTKRFFTKRT PTDEVDSDGEPIYEETPGLFRAPAKGTPEEEEATKENETSPVFHQFLDAVYQLLRQHP KRFEFNQHFLRRLLYHTYSCQYGTFLHNNEKARLDAQVSKLTRSVWDYILADRAKFLN PDWDGGVLDDHVRGKERLLFPRLEEVKWWFELFGKTDEEMNGPSIPPVEQFHQKSTSR DASTGVLTEVETANSDVVSGDLKPDTAVNSSPRQLNSGNAVGLSGLRSSLSNLSIGGI AQSQGGLGSRIPASRNQEESDVEMQ VE01_00867 MPTISVDKAELFKALGREYTTDEFEELCFEFGIELDEDTSNSPR PIVNGKEEAPQLKIEIPANRYDMLCFEGIALMLQIFLGKFPLPNYKLVTPASGELQTL TVSKETAEVRPYVSAAILRNVTFTQASYDSFIALQDKLHANLARNRTLASIGTHDLDT LQGPFTYEALPPKDISFIPLNQTKKLNGEELMQFYETDKHLGKFLHIIRDKPVYPVVY DSKRTVCSLPPIINGEHSKITLKSRNVFIECTATDKTKVEIVNNIVVSMFSQYCAEPF TIEPVKVISEHNGETRITPDLTYRRFEAEVDYINNCCGLSETPERLCELLTKMCYKAT PSSTDKNILDVSIPQTRADVLHQCDIMEDVAVAYGFNRLPRSNPNKSATIAKPLPINK LGDIVRLESAMAGWTEVMPLILCARDENFSWLNRKDNNTAITLANPKTAEYQIVRTSL LPGLLKTIRENKKHSLPIKVFEVSDVAFKDETLERKARNERHFAAAWYGKTSGFEMVH GLLDRVLLMLKQDFLIAADVTSKSLDYNVSENPTKQNGYWIEEINEETFFAGHAAAIW LRIDGKEARVGEFGILHPTVLEKFELRYPVSTLEINLEVFL VE01_00868 MAEGIDKTEELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG PERTRDELIPFLDESVEDEDEVLTALSAELGNFVEYVGGPEWGHVLLSPLENLAAIEE PLVRDKAVESLNKICEELSPNQVEEYFIPLTIRLSKADWFTSKISATGLYNVPYKKAS PPMQEQLRQQFGLLVHDETPMVRRQSSNNLSKFVKEMPATIVVEEMIPLFQHLANDDQ DSVRLLTVEILIAIAEVVPKEQQSSHGVLLTSLRSLIEDKSWRVRYMVADRFEKIAKA VDDEVVTRDLVPAFVKLLKDSEAEVRTAIAGQIPGFCLLLDRATLLNDIMATVEDLVS DSSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPDVRLHIISKLELVNQ VIGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSSLCMAWL GDTVFSIREAATHNLKKLTEVFGVEWANEAIIPKVMAMGAHPNYLYRMTTCFAISTLS SVVSLDVISQSILPMMDKLVEDEIPNIRFNVAKSYGQLIDVLKRLPNEGTIYSIEKSG LSPTPSPKGLETIQERILPNLEKLQKDDDVDVRYFATTAASAVSSDEMQISQ VE01_00869 MADQTPATPAPLEASELGTKEYWDELYTRESTNHAADPTDEGTI WFDDSSAEDKLVALLRSSSLTGFDPATASFLDLGTGNGHLLFRLRDEGVRGDDDSEDE EEEGEEEEGKLFKGRMMGTDYSATSITFARAVATERGLVDGAVEFVEWDILASPSDAV LSGPNADGWDVVLDKGTFDAVSLMGDAEAGKRYVERVREVVRRGGWAVITSCNWTEGE VRGWFEGEGLEWGGRVEYRRFRFGGGEGQSICTCYFRRV VE01_00870 MPNPDPSSTAATSSRGGSGAATPDHYDDDHHAAATPQWHPLHDQ TSPDPTALPRATAPLQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTFDQPSN RRRNPAPQYIEALETRLQRAEVLLRSFVPNIDLSDPNFEAAVAAARKGAGTAGQAHLG AERAMSETSRPGTSEPGGEEGDDAQLSSMIETTGQLEIDERGHWDFHGGSSGAVFLQQ MREQFDGLLGNDTKAPFLPRLPARPSTFSQLESPRSTAESPFEGGLPNTLDLPDREVA LNLCSNALDCACALLRIVHTPSFYEMFDRIYDTPPENFGDEENRFLPLLYSILALGSM FMDHPGAHKGQDVSYKEGIDQGLKYFTAARQMMEITDCRDMPSLQAVLFMILFLQSSA NLSTCYSYIGIALRSALRMGLHRNLTYNFNPIERETRRRAFWIIRKMDTYVSALLGFP KMLNDEDVDQELPVEVDDEYITREAILPMPPGKLSIFAASNAHTKLMFILAKVIRDVY PLKSADQCMPGSERPTYVVSHAKIQAIEYDLQAWLEKLPMGLRPGGDAPEDVVRVQQL LRMAYAHVQMMLYRPFLHYVSSRPPPHGSKPVDERSYACAAACVSVSRNIVHITAEMQ RRGFLIGAYWFTMYTTFFAILSLAFFVLENPGKPGAEEIMENASVGRDTLKALARRSM AADRCSQSLTVLFEQLRLRLNLNPPTQTKSNKRPQPSHHLATPSTTSTTTKSTATTSS TPDLAAPQRARTFPTPPSQFPLNPQRRFAPSTSDPNLRASFDMRASTPSGGTSAGAST PDTPASMASMGMGGLGLGQQQQGGQQGQQYYGGLGAGGGMGEELPDLSAVMFPSSDPF AYPAQPMLNTYHTGKGGMDSSGETEMDDSTTSGGGDVPATTTYLDPSMTGNSGLFSDP SQGMYGDLEGQIFGPLPGFLMEGGMGGMGMGMGMNGFGGDGMGGTAGEGVVQQVGMVG LGMGGGMGGMGNFGGMGGYDDGYGGEGWGGGGSGGGNGGGGGGYGGGGV VE01_00871 MHFPTTTTLLAAAALAPLAAAVPATPPIWAPRLNAVAVHKSGGF KDMILTEIRTNTLRTSNGTDDNRQISFHLADANFNTTTTCTKTWYETYNTSTTRPGSY MACKANAGVKESYRWFFDSYTSLGEFGLQLAHAYSDPVNYPPPWDVVGLFATVNITLG CAEGKGGKECALKEGESVRAPINGAVN VE01_00872 MPLGFTRHSNRSQQLAPLPPQVLPQQQQLQLPPQLSQADQLQQQ QQQQQAHLQQQQLLQQQQLQQQQQQQQQQHIPQQPPTASENKGYDPSVVAQPQPQQQQ QQQQQPYPNPSDDVASYQAPPADQQSGGNAYHELTAHPSAAPTRSRSHRFSTSYLHIT SPSAQQQQQLQQQQQQVSPQNSGDPAPFADAAANAKVPAPGELKKSSTAGPAAPTLVP EPHKKSKSRNFFGQFSSKSHRESSSSSSSRQQQQQQQQAPPAASLKSPAVGRKISKKN GDSLPQTPQQQQNNSVEGLQQTGWQQSNQSSNNLPSPQEQQDDGLDPYLIRDKEAEHQ ASAYDPRLGLTVRVVADGQGQVAPQQYHQFQEQQVHSPQQYSPQQYQLAQQQHNAPIE DPSVDYSNQAPQQPGQYQQQHAQQQSLGSFVSNQQYRNPEVVSQLSHDSPLDPNEEQR PPSVQSSQGYTAQQQYPSRTASANQDPPRLTHQSSSNIMAPPAAQGSQSRKSTDKSLQ QQGDNRAPPPGYTQGGQFPPPNQTPTTAQSPLPPIPNPGQQQQQQPPTNYRNSQLRGE YGPDGRSTPPLQETRELTEMDKLLIKYKKVKGLYFDKQAQVEQLQNTLANQRLSQSKT SLDDSEYITRFQRLDGAIKEVAFSIRKDWKALPHWLVPFVNPSALQTGTKEMTAVGRA TIARWLNDEIFGKCFHPALDLGFSAELKRVEQNVRFFAAPPPNQDEADALTAKITQWR LTTMEGLAYRLNSPHAAQAKADFIQMAVSNLTAHLMNHLHDAADHGFQGNATSIIELA VGIASHLPCESRDIAICYPLPGDLVAPYMKLEPALPPLEVDTKAEEGDGKDGKDEESG KEGKGKKDAKVKKLAPAAAAAAAAAAAAAAAEPEIKEGANNIRFAGFMGVEVRGRQWL YNPPVWTIS VE01_00873 MSPTAPSSNHDTISPAIFYWGTPVVLITTENEDSTFNIAPMSSA FWLGNRCMLGLGGISQTTINLLRTKQCVLNLPSDDMTDAVNALARTTGSKEILTAQPG EGYKYFKRMNGYEYVPDKFGRAGLTPIQSDIVRPARIAECPAQMEAELVGVYEMARDA DTKGFFTLEVRVVRTHVHREIRMEGSKNRIDPDKWRPMIMSFQELYGLKGKVVDESVL ARIGEEEYRGFSNSVEVEERN VE01_00874 MKPTRIRNPRHVDSGPDLFANIYIRSSSSGASQHSRPDAYTAAA GGVVSSSSVMMESSTANGVLIGLLSSFGSALFIALVFLVVYFFRYTQGGRILLDRIGR PGEYDDEQSFLREEAEALETMEEGQRIDYLRAKAFIESNPPDSLPTDISLSQYLAIQE KGVSAWEFEPELEIANCFVEARTEIEFFDSECCVLSNLPVPKQNEVYYWESKIYDKPE QTMISIGMATKPYPLFRLPGWHKYSVAYTSTGQRRYNQPFTPTSYGPPYVQGDVIGVG YRPRTGTLFFTRNGKKLDDVAHGLKSQNLFPAVGANGPCTVHVNFGQSGFVFIEANVK KWGLAPMTGSLAPPPPYGSEQGSILLEAGGAGGGATETAHNHQPSRHGRTRSGNIFRG HPTSPGPVRSPTDISLAHLVHIPPPTDDGGEGSAGRIAGLHHDAALHAAGLGIQLPPP APTTQVVQGVVVEDHTAHPPPEYSSPPASRRGSDSDGEGERRRLLGGSGAGVGRRGGR SPPIPSYSDAVAEGRRGEERGRSGTV VE01_00875 MSGGWNTIESDAGVFTFLLDNLGVTGVQFEELLSLDPTALTSLS PVYGVIFLFKYPTTALPSPASGTPLPAAAESLFFAKQTIQNACGTQALLSVLLNVDTT APSGEGVALGAELEGFKEFTKQFPAEFRGEALSNSDRIREVHNSFAKSSPFSMEEQHR AAGEDDELYHFIAYTPIDGILYELDGLQDAPLSHGSCTFEEFPEKVVPVLQARIARYP AEEIRFNLLAMVRDLRIRARETGDEGLLAQEEGKRQEWVFENALRRHNFVGFGAEILK AVMREKVKEGKYEEWVEGAKKAYKGRVEERRKGGEDVEMAE VE01_00876 MAGPGGGPPRRSHTKSRKGCDTCKRRHIRCDENFPQCKNCTKHN VRCPYMDMPPPEERLPTPERPDLLWTPAIEDEINRWQQTGVFPFPELAIYPQPNPQAF SIEDLRLMHHVASISTELGMLDAGSFTIWANQIPLFLKIGSTYGFVMHALLAFSATHI AWLTECPIVRQMAYEHRGIALKGLHEDIGTFSRNNSDAVLAASLLLSWQATEWRGWTQ LMHGTSSVIDAMQPWKNESQFGDFIAEQSTFPTAPPSPIPNRKLSEPLKQDIEALGEA HAQLQMVEKFLVESGEDTQAIVQLASFVRGIRKVTPAHTAAQRFEMLNPLRTWLFWLP VMYLQQPHVSPYALVVLAYYYTVALVVEPIFPEVGAAYFGSLSLGPIEQIARRLFSFN VSQSDDELQTPLALMEYPIDMVTNFRRRMGWEQPERTASFPTFENNMFHGSPYDIHDS FEPSQFSLGSNPAFSYSQEHLTPYIKSEPGSAISPLSMDPFAGSSGQYLGIPSPIGFG GYNSPGGSSYGDVAYSDQGEDFYYDIQGRGPGAFGGFVPHTVWT VE01_00877 MLQVSTLNRTESPLGSGVYNEGNLLGKYRNQILSTETSSKAQRR AFKRLQQFLNSLFGKAAPANEIDGPYESCLSPLVKAERGGFVPICDVIRCFYETCGHI ETKTSCHNYMGNIMKQPDRGINPRWIPCNRDVCDQSVIVTRCMPGSCVQCETQDDPTD PLRISFDADPTITRSDFNRNTIPSKEIERRTLDYRKRAADTQTRHRELSLRYDPNNLL YSEFSTKRFDRTARDFIEHYRQLRRHPGVVDPEQFYTEVRKQRAEEDKKGIKVEHRQY FSGGKFDETDLLCRLDPTDTRLAEEQCLICQDGFVPEARDPRMMPCEHIFHWACISQW YYRTSRTCPYCRRGYHVMTLPRFLPPP VE01_00878 MPASFLTLPRELRDKIYKLCLLIEGPVRPLGGSGYGWDLSPGLL RVNKIIYNEARLFLYQNRFDFTMTLAFCISRFLEKIGRDNADCIRHIYVGFPIFCNLE LGNITFKDQNDDEILPTIQNGCANITTLSTSRYITYPIHDLFNSLDDPEIVAEALAVI DTHFRDFFPSLKDIIIEVYENEPSDFMRKQIENYGWIIVTKDPIRDWNSDVSLDE VE01_00879 MKVILTGATGFIGTEVVRQALLHPSITTLVVLSRRALSPPITHP KLQIIILADFAVYPPDVLTQLDGAEACIWTLGIKTASVPDQRLVSITYSLALATALTQ LPTPPSHPIRFLFTGGALSISDPNSSALFMGPARKVKGEAEMEILAFAVRAENREKIE VVVTRPAMVHAPGSVVGGLMSGLPAGLRGVGVKELAAVTLDIVLKWRDEGKVLDNAEL VKRGVLLVKAGLEGEK VE01_00880 MVPKPAVTPLTHDEFRKKCDCQVVTIYAGRNCHSSFTLPKDLLG YYSRTFAHYFNGTSAQALSGFLILPAVDPKLLAVLIDYIKHGSASFPYGFVWSWGREG DGVTAAAYQVISDCVRTLTAFLGLCAAYDVHEAGVAIYEPLTICIYLAQSYGIDIKTV LTDGFIDTVLGTLPDLPGGNPVLVLLAQATGADTVINHRLVSMGE VE01_00881 MAAAAETVLNDFPSLFSLKGKVVVVTGGSRGLGLHAASGFLQAG AAKVYITSRKAKACDEAVAALNKLPNLQPGAHAISVPADSAKFSGVEHLVREVSKTTD HVDILFANAGATWGEKFDTHPDEAFAKVMDLNVKSVFNTVRLFAPLLQKNASVQDTSR VIITASVAGIGVGSLGENATFGYSASKAAAIHLAKNLAVELGPRGILVNAIAPGFFPS KMANGLLDLAGGAEKVARRNPNRRLGSPEDLAGAVVFLSSRAASHINGATLVIDGGEV ISRGAMGELEDEKAKL VE01_00882 MSYNIDESSSSSASSDADEGWGGVAEVMGDEEEQKVLFQALDSF HQYTKIAHYNTTHMRRQSFYALPQKHWELLAAPPFSYLDTLNAVDDAIDKNGELAAAI LQSGLESFESSMDFDKLKSSELWKGVAKSGDLDKARSTIRQFYRDWSAEGAVERKACY GPVMNDLKAERVKRGGKTMNVLVPGAGLGRLVFDLCYEGFNVEGNEMSYHQLLASSYI LNYCSGAQVHTIFPWVHNFSNHQSRSRQLQSFRIPDIHPGMALHEKSSVGNGTHKAGE MSMSASDFICLYNDEEHKDTYDAIATVFFIDTAPNLIRYIETIKNCLKAGGIWSNIGP LLWHFENNAPGSFGTKDAGKKIMETSGIADPGSIELTNDEVIALVEHFGFKIESKESG IKAGYIQDDESMLQNTYQVSHWVARKL VE01_00883 MAETLDRTGRRAGKPIELAIPLPNAPQTKIYIRLTISATSILVL LTTVFGGENAIKPALGSFVYALPDRVNPGQTLCTQLYTYESSIDFTTRLAKLLARKSK IPVYVGNSMEFSSAGMGGTVEEEMAGFKAVVEVVMAEVDHLSQPSDDGIKNPS VE01_00884 MAWQPTDEALGQLAGCLRDSTDGQDKTKQKQAELMLAQAQNSPD INNYLTYIFTSPDVPPGLPYTEVQYHTIRAVASITLKNNIRTGYKTIPESSLALIRST LPLALQDKNALIRSYAGIVITEIVKRGGILAWPELLPHLIDLVSNGSGNVTQVAQEGA MSALSKICEDNKQLLDSDYQGQRPLNVLIPKLIEFTQSPLPKVRTLALMSINEFIHQK PQAILVNLDVLLGHLFQLANDPVTDVRRQVCRAFVSIVEVRPDKVLPYIGGLVDYIIA QQKQTDEEDLACDAAEFWLSVGEHQELWKALAPYLDRIIPVLLDSMVYSEDDIADLGG LIDDAEEDDRAEDIKPTFARGKDARNFEGEAAEGQNGDAYKKVPGGSDSDDLDEGEID ESDDDDDYNPEDQWNLRKCSAAALDVFATDFRGPVFESILPYLMTNLKHEDWPHREAA VLALGAVAEGCMDVVTPHLPELVPYLISLLNDTEPLVRQITCWTLGRYSAWGVGLTDE ADRARYFVPMMEGLLMKMLDRNKKVQEAGASAFAHLEEKAGSQLIPYCNPIIRQFVRC FDRYKEKNMFILYDCVQTLAEHVGPSLATPELIDLLMPALISRWRKVSDQSRELFPLL ECLSYVAAAFGTAFTPYSPDIFARCIKIIHQNLEDHALAATNPIYDSPDKDFLVTSLD LLSAIIQVLDAAESAQLVSTAQPNFFELLTFCMEDPTSDVRQSSYALLGDCAKCVAPQ LGPFLPSIMRVLLKQLNLAEVLDEQIENGFSVTNNACWSLGEIAIRHGKVLAPYIDQL LQRLVEILGNNKVPTSVNENAGIALGRLGLDNSEAMAPHLALYAAYFLNSMDSVDYTE EKVSAFIGFTMIVGRNPQAMESVLVHFFTSIAHYKHEFEFTTEHKANLHTLFAQALTA YRSLIPDFDGFLNQLPAAEQQALRATYNL VE01_00885 MAPKRKAAAPVGEETALKKTRATPAARKIAVPKAAESKKATVAK KAAVEKKPVKKAVPEKKTEAAKPKKAAAKPKKAEPKKVEEEESSEEEEASEDEAVAEP KVAPKAKAAPKPKAAPKPAPAPAPKVVKFGPTINKAPTQKLDVYVFGEGTSGELGLGN KTIDNKKPIDVKRPRLNENLSASKVGVVQISVGGMHCAALTHDNKILTWGVNDQGALG RDTKWEGGLKDMDDGSDSGSESDDEDAMNPRESTPAAVDPKHFPEGIVFTQVCASDSA TFAVTADGSVWGWGTFRSNDGILGFTPEIMVQNTPMKIPELKKITNLSAGANHILALD AKNNVWAWGSGQQNQLGRRVVERTRTGGLIPREFGLPRGKIEQVATGAYHSFAVAKDG RVFAWGLNNFGECGIVEGAGEDNAVIPKPTEVTELKPYNIKEITGGGHHSIACTDKGE LLAWGRSDGGQLGVDISKVPKEHITFDERENPRMVTVPVVVPGIKAATVAAGGDNSFA VDTDGKAYSWGFSANYQTGQGSDDDVDIATHIDNTAVRGKKLNFAGAGGQFSILTAPA GN VE01_00886 MVNHPISISPFPPQIPTRSPPAPLLPRPPPDPNSQANHSLSQQA HRIITQVVITGTRVLGRAFTEAYKQAAASSQYQKAQAKANGGAGGAGYAHSGLTLEEA CKILNVKPPKGGKTDMEDVTERFKKLFDVNDPKKGGSFYLQSKVLRARERIESEARKA EEAESREEELKKGWKPKS VE01_00887 MGNSATKEQRPSSAVGYHSRQDASSSQAGSANPSTNPYSARSGR GSRHDLSFLGIGSASHTVDGPEVRRETKQEREARRLEKERVAREKERTRSMKEEHVDG GYLVTQGVYTGTEDFSKPVVRQFMIERRIAPFWYGLNDFHHEWTEHQLVAAAKGLPIP APDEIPLELDRPPTVESPHTSSNNIHNLTVPIAGRSDSYASDNSSHLSPSSPVPNQPL HLQATSPFRPRSKTLASLTSKNPPQSDIVPREVQLPVDPYVNGLRMEAFLYKDATECP ICFIYYPPYLNKTRCCDQPICSECFVQIKRPDPHPPEHEHNDPSNPSPPPPPVQENPE TLVSEPANCPYCQQAEFGVTYETPPFRRGLAYANLGPTSYGSAMSSSSSLNSAVSPSL KPIAGRRRTTSVSASDATVITTDKVRPDWATKLANARNHAARRSAAATALHTAAYMMG SGGSETRSFGFSSRFRNRGSPADSSAGTPTEQGPDTAARLAAFSTLAEQQAERQREAQ ADPGSRRRRSRVEDLEEMMMMEAIRQSLVAEEERKRKDEKEAAKDAKKEAKKKEKAEK KAEKAAKKGVYGSGATSISGSALSLVLPGVGRRRGNSGASAMAREAAANKNDKGKDVD RGAVAPSTSSSSDNPSHSDEAPTAVPTTLPTGAPTGAPTFRHHVEEPTPELLHHEFPP HSPTTTVPERPSHLRQMSNASSPASSIVESVHGSIKGDFPGSSSSVAYVL VE01_00888 MSANTVYVKNISSSTSKKEVTDFFSFCGKIKSIEITSGADSQSA EVVFEKETAAKTALLLDNTQLGKSQVSVTGAEGAKEFDEAHREKDSDEITQEEKPRSR IIAEYLAHGYVIGDQASQRALDLDHKHGISSRFLTTLQNLDNKYKATEKAKSADQSYG ISTKAQGLFQGLASYYEKAAETPTGQKVVQFYSQTQKQVEDIHREARRLADLKKEEAG TTCKCGSDSSICTCAPGTCDCAGCAKSGKSKKAVPGTDKTTCSCGGDNEICSCEVGQC ACASCPKASRTEAASQAASSGKTTCNCGGDSKNCSCAKGKCACTGCGKTS VE01_00889 MSSQNQGRESPPAEKQSDAQKAAPSSGQGVSDKPNTEQSAKDQL KGLESNPKGPMENYLEEKFAKGFPQKKY VE01_00890 MAPDLNSVPPSPRPISQQSPRVTGTPSSRRASQQMPSPPTVPLS PPVPNILPSNTNAFMAASQPAGAQATTAFPTFTTDNTGVGTGPGPLRHPRPLTAADIH LQLEKEQEAVVNRLTRELSLLRAAQNASVVSNTSSTSERAGLPDASDHHSNHLLSGPS HPLPSTRRHHRSSSAASARSIAAASAASTTAGVAGSMASVYAPSSSERTRGTLPRHDS TTQSLSLSRQNSITSSRRSGASSPVPPLTSSSSYQHSEFSHTYPMRPSFSSQHRELSG GQIPQLSNGDGQPITSVQTTGRYEEAVYHRHELEAVKRENDALKQKIRDLERMVREGR RAREDESRTRSESVSTTASVMRGRDEERVAGTIEVDDVRVGESASGGGLA VE01_00891 MSRPAGLPPRPVTAAYDLRSSNSYRPLPPPPKLSYDNQSRGDSS HRNDDTYRPRDSGHHRHDENRAPGTSAYRGDPPSFPRGGADSYRPPSSDFSFRRDAPE TIDVARTHDSYRPSGATRHGQDRGPRHRDARQDSSRQGARGRGGYRGRGGFSKKAAER PFLQTNRAPTPELMPGMDETVGTAPKYLAVEDMSDSDEADMDVSDDEDYKPEDDEEEQ PKKKQVRTEVKASADGDSVPRWSNPDPYTALPPPDESSVKKKDVVKLIRKARVSAGLN DAAKTTAETDDFISFDFGDDNLGGDGDESDDDSVVEVLGAPTGPRNGNYSHREEVLGP RDSAKSQEVTTPTGKSRPIDTSTDPDLGNRKRTYDDKIKGPSLVPKPTPKGPATGSIV KEWKAKAGIDDTPWLANHYPSANMGSWLHMEIMDFFHRFKPAEVEENMRGALISDLRR AVQKVWHDADILPFGSYPAGLYLPTADMDLVFVSRGYMDGGYGKYTNKNALFRFRDFL DREKIAAPYSIEVISKAKVPLVKYIDYYTGLRVDVSFENDTGLIANKTFQNWKDTFPA MPILVTIVKQFLAMRGLNEPVNGGIGGFTVTCLVVSLLQHMPQIQSGNMIPEHHLGEI LMEFFDLYGNEFNVTSTAIQLKPPAYVSKASIYHSNALVIHKSNTSQNNARQIVYRAG NLDRFSIIDPNNSSNDISGGSHNTQAIRKAFSRAFDDLFKHMSDLSSKSIEERRGQSV LGVILGGNYSSFEVQRNHLERIWQKEHDRR VE01_00892 MAAFDTPWLDLMSSSPAPSGRDDESIHHANDQRPTTTAAFSPVS LSHASSANRHRSTILVHQKSPLLIATPPQITRALAYSHAFLLPLNKFVGLLTWTTNDS WESFILVAFFWATVLYGDVILRFAGPVVVVLGLILGMYSRRYSPLSSTGVTGEKQKKG HKREDSEATNIKHQKSLDEIVETLKEFTARCNMLLDPLIQLTDFLSTQRTATSATTRP ALTTLFIRIILITPLWLLLTLPPLRIITTKRIALTTGTLIITWHSKPTRVSREILWRS SFIRRVCSAITGLHFATRNAPPNLPTRDKHPKPKLPGQKSNDYHEEASLAASAASKRR PNASGVKFTFIIYENQRRWVGLGWTTSLFAYERSNWTDEHLNPAPSKAEFELPDVDGG NARWRWVKGSKWLVEGAGNGDEGGANATSEAKGGGNGWIYYDNKWQNGRRGQDGWGKY TRRRKWYRDAELVEVTASTEITPCSTPPPELETEVESKRQRSRSETVSSVKSGRPPSE LTLDDSSSTKSAGFRPGSLKRTSTTGKGSVDLDEGEALPGQLPHRERDGDWGIGDDVK MGLE VE01_00893 MATPDRDSGKPGRDRLSAKSTTDPVLRNTLRYTISAKEYQVLHK YIISRSKVLKRNTPTVSQVEKLVDRPGRDDYNAAAVRASLRVFLATGAALKAWAVIKE TLFKGKADTAKTTLWRSPTLRLSLSLSSILLLHRLLFRFFTRLRAHLLTPDAQPFRRR NPRTSQTLTSRFAPAVGASLAGFMLGVYPGEQLRLSLAIYVLSQAAEATYNLAEEQGW IWGKKGSRWERPWWFGSWMLMPLVSGQLLHAFIFDRDCFPEALNGFMMRFSPPYIQGR PVDYPSNLPWPAPGEVVDSLAEMGRLRFPPFISPILFPNKKTLPTTLQVTAPITDVAH PLITSLSCALLHPNDPSCARTYLTYWLRAFPRFARLFAVVFAVFSLPKYKAFYNAPIA SLDQLGRSVLRTSAFATGSIGTAWAAICFFQNFLPSKALPTQRFFLGGFLAGLWSFLE RETGRGNFLYMSRLSMDSVWKVGVKRGWWKSLKGGDVWLFVAALAVVNAVYTKDHNAI KGGAVRRVLAGLRGESAALTSIEIDGDDVDEEDKKER VE01_00894 MPKTTPPLADVIPPLIFGTAVFNHQFNSDPFSLPSTTLVHHALS SGVSGFDTSPYYGPSEEILGAALIAPIAETKAPFPRDQYTILTKVGRIAADEFDYSPE WIRKSIARSLQRLHTDYLDVVYCHDVEFVTPAEALGAIKELRRIRDEDGSIKYVGISG FPVETLCDLAEMILRETGEPLDIVQSYGNYTVQNQTLKNKGVQRFKAAGVDVVPNASI LGMGLLRTQGVPTGSMGNWHPAPDGLRKVCQDAVRVCAAKNETLENVATRWSLEHWLV DGAEVGTSSSVVDGQKIGVSVIGVSNLVELEGALKVWQSVKDGVQSGVEEGKKTESLN RRRHIDEIVLGIYEVLGKWRDFAWDSPGAEFVNKAAK VE01_00895 MSQQAVDVLNRLVGELRSRNEDVRKRAAIELQELVVVTARDFPQ ERFIEFYNVVNGKITQLISHGNDPIDRLGGVMALDALIDFNGVDAAQKTTRFAQSLRS VLRSKDLVAMQPAAVALGRLCRPGGSLISELVESEVKTALEWLQSDRVEERRYSAVLI LRELGRNAQTLMYAYVQHVFDLIWVGLRDIRLLIRETAAEAISAYFQIIREREQARRL QWQSMIYEESRVGIKMGTVESIHGSLLVIKELLQQGGMFMHEHYQEACEIVFKMKDHR EPVIRRTVVLLIPELANYSPTDFAQTYLHKFMIFLSGMLKKDKERNDAFLAIGNIANA VKSAIAPYLDGVLIYVREGLSLKSRRSGSVDPVFDCISRLAAAVGQTLSKYMEALLDP IFACELTPKLTQALVDMAFHIPPVTSIIQERLLDMLSNILCGEPFKPLGAPTPNSIAA VPIVSKDSKDPQAYEHRQAEIKLALNTLGSFDFSGHVLNEFVRDVAIKYVEDESPEIR EAAALTCCQLYIRDPIVNQTSYHAIQVVNEVIEKLLTVGVADPDPQIRRTVLAALDER FDRHLAKSENIRTLFFALNDEVFAIREVAITIIGRLTLVNPAYVVPSLRKVLIQMLTE LEFSDVARNKEESAKLLSLLVQNSQRLIKPYVDPMISVLLPKARDPSPAVAATILKAI GELATVGGEDMIPYIDQLMPIILEALQDQSSSQKREAALKTLGQLASNSGYVIKPYMD YPQLLEILQGVIRGESQRGPLRQETIKLIGILGALDPYKQQQVEEKSPEMQLRSESNQ MTDISLMMTGLTPSNKEYYPTVVINALLQILKDQSLVQHHAVVIEAIMNIFRTLGLEC ISFLDKIIPAFISVIRASPANRLESYFNQLAILVTIVRQHIRNYLPDIVEVLQEYWNV SPSLQATILQLVEAIARSLEGEFKIYLASLLPLMLGVLEKDTSTRRLPSEKVLHAFLV FGSSSEEYMHLIIPVIVKVFEKPQQPSFIRKSAIDAIGKISRQVNLNDYASKIIHPLA RVLGGSDPSLRLAALDTLCALIFQLGRDYLHFVSTIKKVLIAHQITHQNYDLLVTKLQ KGEPLPQDLSPEERYYNQIDEAPFSDISNKKLDSNPVHLKSAWDAQGKSTKEDWQEWM RRFSVTVLMESPNHALRACASLASTYPPLAKELFNSAFVSCWSDLFEQYQDDLIQNIE LAVKSPHITPDLLGILLNLAEFMEHDDKALPIDIRILGREAGRCHAYAKALHYKELEF LQDQSGGAVEALIQINNQLQQYDAAIGILRRAQLYADGIALRETWFEKLERWEEALDF YKQREQESTEPGERIEIIMGKMRCLHALGEWDELSALAQDTFHTSTLDVQRRIAPLAT SSAWGLGKWDLMDDYLSVMKIQSPDRSFFGAILALHRNQFHEASVYIQKAREGLDTEL SALVSESYNRAYAVVVRVQMLAELEELIVYKQSNDNPAKQETMRRTWETRLLGCQRNV EVWQRMLKLRALVISPKENMQMWIKFANLCRKSGRMGLAEKSLQQLIGNDDSLDAVLP YINADGHGQYHREPPRHITPAVNYAVLKYHWAVGHKAAALDGLKIFSNDMAERLHSSQ MAAHGMQDGHGANDMANGLSAVNGNGAPYAMSPKALADHTELLSRCCLKQGEWQVSLN RGDWRHDQVGDILAAYSAATQFNPNWYKAWHAWALANFEIAQSVNQKPERETAVVPHN VLIDHVVPAVRGFFKSISLSAGSSLQDTLRLLTLWFAHGGNPEVNAVVIEGFASVSVD TWLEVIPQLIARINQPNTRVRQSIHALLADVGRAHPQALVYPLTVAMKSAQTTRRSRS AGQIMDSMRQHSAQLVDQADVVSQELIRVAVLWHEQWHEGLEEASRLYFGANDIEGMF ATLTPLHEQLDKGPETLREISFAQTFGRDLQEAREWCYTYKQSRDVGDLNQAWDLYYQ VFRRIARQLPQLTSLELAYVSPKLLHVRDLDLAVPGTYQSGKPIIRIISFDPTFSVIN SKQRPRRLRMNGSDGVAYAFLLKGHEDMRQDERVMQLFGLCNTLLSHDSESYKRHLNI ERYPAIPLSQSSGLLGWVENSDTLHVLIREYRESRKILLNIEHRIMLQMAPDYDNLTL MQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLDRRTNYTRSLGVMSIVGYILGLGD RHPSNLMLDRITGKIIHVDFGDCFEVAMHRDKYPERVPFRLTRMLTYAMEVSNIEGSF RITCENVMRVVRDNKESLMAVLEAFIHDPLLNWRLTGAHSPGEGPNFRSDRRGSIMAA DQRRPSILDANIPPSELVAQDPTTAPGGPPSARPRGRTNSTAVTEPGQDHTEIQNERA VQVLNRVKEKLTGRDFKPDEELSYINQVDKLLIEATKLENLCQHYIGWCSFW VE01_00896 MGNDGGSIPTRRELVKNAARDPTTTEAKATQLESQTHAWTYCPL SQRPLSTPIVSDCAGTLYNKDAIIEHLLPSDDSSPESKSDHEEVLKGRVKSLRDVVEV KFQTAKDEVAKAEIRLCPITSKELGPTTKSVYLVPCGHAFAEVATREVAGVACMECNE PYVSENIVTILPTTKEDIGRLDERIRRLRDSGLTHSLKKAPGSKKRKKHAEAETKETI EMPNEDNGIKSKDDVRSKIPAGIPDDVSSTLQLKNGSTASAGRIKNAATASLTAKVLD EQSERNKRRKLGLNDNLKSLFSKSGPSELKGAGDFMTRGYSVPKRT VE01_00897 MFIFVRSYAQRIWQKLFLANDTTAAVVSNLESIASPLEQPGPPV TPSDLPVPPPVAWTFIGWGEVGKPEWFIKHIWAFWLWNTIYGPRSKESREFKEPFCAT PEILLAYWWTRLFYGLLWACRKWNRFLARIERILVTVIVEVVATFACRRGPFLKKLGI AIWNCARYGPRFMWIFFGSSVGAFVRFMGDIEKSLSCRLKHEIKMCRS VE01_00898 MATETLLKQNAPVAKSMLLSATVARPRKKTKLRDLAVRAARDQP VHALVTALQLRTKPQPALHVHVVSAQLMLVHARKLRTEE VE01_00899 MSGVRIATGGCLRQSPSLCLLQSSNPTRNARLSGAKRFLSASQI RVATPGASYNRKPTNGEGNGNAPRTNGASKPASIDDQSPINDITSGLADEPLILDEGS RQVDWAKSFHGLSAQPFSKEAADILLAEIPTDDVEVKPDGIVYLPEIKYRRILNRAFG PGGWGLAPRGETIVTPKSITREYALVAHGRLVSVSRGEQAYFSPEGIPTATEGCKSNA LMRCCKDLGVASELWDPRFIRRFMKEHAKQIWVEHVPTKKKKQLWYRKDDEPRYPYKE SKF VE01_00900 MSNLGDKNKVINAAVDDDEPDEWDKRIFSTGCATENTKMNDCFY EKKDWRQCKEELENFKLCWKKQSNDKRTSMKDA VE01_00901 MVTKKLNVLVYSGTGSTDGAVRHALYTLRRLLSPNYAVFPVSDS VIIKEPWASSCALLVFPGGADLGYCRVLNGEGNDRISQYVRRGGSYLGFCAGGYYGSR RCEFEVGDKKMEVVGKRELSFFPGICRGCAFKGFVYQSEAGACAAELTVETESFAGID CPKSFKSYYNGGGVFVDAANFKSEGVEVLATYSKDTDVDGGDCGTRAAAVYCKIGDGC AVLTGPHPEFAAANLDAKSGGPDYSKMIESLMEGDNLRESFMKACLTKMGLRVAIETP TVPPVLSALHISSLHNVEVSELFDDLKNDVTLEEGESFVKGENDTFLIERNGSPLSLH SLGVSLPETSSEEKDEAETDQLRSGQGEKNIDYNKVIKRLVFHDGGWPQNSETPHFNH DVFYSELVDYQDESGSRAEDFGKVIMYGDVVTSTNTLLEKNQKLLSHLPNGFTATATA QVAGRGRGSNVWVSPVGSLIFSTCIRHSLELSAKAPVIFVQYLAAIAIAEGIKTYGKG YEDIPVKLKWPNDIYALDPTKPGNKEYVKIGGILVNSSYSSGNYNLVVGIGINTTNAA PTTSLNALRPQHLPEFTIEKLLARILTKFEDIYIQFCRSGFDKKLEETYYKLWLHSEQ IITLEAEGGVRARVLGITRDWGFLRVQELGWEDKPTGKIIELQTDSNSFDFFRGLVKR KV VE01_00902 MAPTHRRTKSSGPPPPSKTLVLDNGAFTIKAGFSSPSIEVTQDS CHIIPNCMARDRERKVYIGSELEKCKDFGDIAFRRPVEKGYIVNWEAQKEIWDHEFFD KKAKLHCDPSETGLILTEAPNSLPVLQTNCDQVVFEEFGFASYYRALGPTLNAYNDIQ AIFKAPPRDATGPVAPAEVLLLIDSGYSHTTVTPLLQGRPLQSAIRRLDVGGKLMTNY LTRLLSLRQFDMRNETYLMNEIKEAACYVTKDFNTDLELSWKGTKGEKRESYMTGGGI AKDYVLPDYHNITKGYLRDHDPKLAGNLKKIAAGKAVENPEDVLTLRNERFTVPELLF NPMDIGLRQPGLAQVVMQSLSVLPIGLWPGLLANVVVVGGNANIDGFIHRLQAEIMAF APSECMVRLARPTSPSPIVSTWQGV VE01_00903 MASVTQKPEEPLLALRSTVKTVSTLLDQLETAIKGSPATQKPPA SDVNALFLAHDAAKLIRAHSTKLSLLIINTPFTPSAIVKVLRELAAGPLPSLGTAVEL CGAHIYSDILQGELQWRVRKVFSEFGVLLKEIPLDGKVLTDDQKNGTGKTVGKGSLAS TGVLWQACDDLAELKNLGIAGVVVRKAEQYRDTLKDAIEELQEWGDESGDEDEDEDED ANTDDEADEAIPKSAQDEIDDFFGSTMHIPSDDPNKIRPRLELSLRRLKLIAVMFSAV IKRRFQTLSSLTLSELSTGDYPLAQDKLTLPKPGSVIAPAYRVDASVDILRTLTELAD NLATAFYDLDAEAIDDGMDECFSTSLKVTELLSQDWAGKDDAFTTWAQTFKTEIKK VE01_00904 MLATRPLRFILLAAFAIFVITLLSGYGTGGSLSWLSASSVLSHD VARVSLREHMRLAEKIWSKTVEQRHRLLAEWRYPENMPLFPADSPKKYPGSPYSIWDF VPASWSCPYEMERIGRMGDGGKPSLAMASQPHWYSSTLLTHANEASLRSEDETIERTN CEIWAYDYSVTNFGKQLSYEHRARAHFTQAGISGVTNSTSFPPFYTIQDLMKMNGHDY IDVLKMDIEYAEFASLSSLDQAFPEVDGFELPIGQLMVELHLFGHEDMTGYNFLQWWE TLEARGLRPVWTEPNLLYTTMRIEKGDPRLAEYTLVNTKDRRSILFEGLN VE01_00905 MEAPAELTWSAPFTVAPASQITKNLPGDKILLPPSALEQLLAAS PAVPTPHHNAIRAGFDPFNPYSLAAARAEASQWRDTQQQLPHPLTFRLVNPKNGRIIY AGIREFSAEEGQIGLSPSLSDALGVTTEAVGGVEGEPIDLTNDNEVEGVDRVMVHAKQ LPKGTYVRLRPLEAGYNPDDWKSLLERHLRENYTTLTNGEVLKIPDTSRRGHTFQFLI DKFVPEGDAICVVDTDLEVDIEALNEEQARETVKQIMEKAQSAPGTAEGSSSGSVLDI WTPVQGQVLEGEYVDYELPSWDKSRALEIEITLDEEEEVDLFVSPLAPRQRARPREEE HVFGEFDSETSSKKIRVRPTNVELEGAESLYISVHGYCPPEGSEPATKPSPRRYILRA QSVEDGTPDASKVDLTTGEDSHDPEDEQCKNCHQWVPKRTMMLHENFCLRNNILCQQC QSVFKKNSPEWQSHWHCEHDSAHGNSAASQIKHNHIYHSSATCPSCDYQASSTPDLAL HRTTLCPGKLILCQFCHLSVPQEGDPSAPSADALLSGLTAHEIADGARTTNCHLCQAI VRLRDMTTHLKHHDLSRNSKPMPRICRNVNCGRTLDGVSKNGQVGTAARVGQGPGNEL GLCSICFGPLYVSMYDPEGKAMKRRIERRYLSQLITGCGKTWCGNEVCKQGRVNLGIT KGGEVVTTKDALPEVKPLLVDLGSAPMHFCVDERSQKCKKLAEMLAGEGVYELEWCIA ACEAETGELDAARQWLSNWAPKKTETSAR VE01_00906 MSFGWSVGDLIAGLEVAIRIATALQDAGGAAEKYRQTTTYLLSL STVLYQLHPPPPGLLAIAPDVKSVHSALQAFHSKLSTKFEKSLGSVPSDWVARVRSSP RKVEYALFMEKQVEALRIKLDVPLKAINLALGIAIHKQGRDNGEVGRETLEEVVDLKA ALPGLEKAVLAGVQDIVLASAQREEADRMYTTVGAWCRAVPVADTFHTHLREMAASSC QWIFRREEFMRWRGGGPLEGHQPVLWITGIPGSGKTRLATRVVESLKGEGSAVAYFYC DTKDEGRRSALSILRTWTWQVLEQRPQQLGEVAKICAREPVPGEGSMEKALMCAVAGV EGLVFVLDGLDECEEETRKKLYPIAARLAATARVLIVSREMPGSHRALKSALPHEGGL VFYRIVDGDNTDDINDYLERAVRELEIGDEEVENTVISKLQEGASGMFLWATLMLEEL SSPHFFAEEYLEGLDDLPDSLDALYARILTTLNASLKTRDVTRKLFRWLTCARRPLTL PEISTTLLITIDDEVLKSRSRMPDDKLRDTLLRYCGSLVAIHESRDGGSPTVTLVHAS VKDFLLEQSSSKEAFGYLILDPEETQAILAQECLTYISYNNIDFDPNTMQADEPHMSF PPAEMGRRFEVYMEQYPLLIYASLNWFLHLVIAPRDRTYTSLTRFCTSIPKTISWLQV MLRYRGDRSVYSSSPGYADYTGITTLPHVLPQHGDELNKWLQPFRTVSEGRSNLPRYV QFMYCGMANDFLPKLSVAAYFDYADYLEDCLKKGMDPNLKNYRGKTPIYAAAMAESLD AAKVLIKHGADPNVIGPGGVVALVSAIRLDDWRNADAVPFRVAEFLIESGADPCYSNG GLLALACLCASSNDTHVVSLVSCMLKHGATKVINIGKNPYWTALHWAAKAKAARLVAL LLENGAEVDIGFGGDRVNARKYETPLDQACSITQQAEDVVRILLEAGASVDALHRDGR TPLHLSCRQSLWISKLLLEHGANVNAVANDGSRPLHDAVKESNIPLIDMLLARGAALD VKDIEGRTPLDLALDVATPNTRVVTALIDVGASAPDNALWELEVVKKGEVRIKKREKR AQYWPQQPRDIFETSWILQHHATSKALPRNIVLRILDLSRYWLRSISNREEPIALNDA TSRRAPPYLTTEPIIGRSLSPIRELIFDVTSHDQGYSSYPECYGTYDNSWTYFEYGVA TRGGGEQPFDYEGLDRRVCVNVHAKQAATTHRKPIRDYRNDGLLKRIKAGDRVQVFAQ ARFPGWVNYVERVSITLYTTCLLD VE01_00907 MSSQDQQTQTRPVGMTPPTTTASTSTSTSTDASAQVSRPTVASD DNLACQWDKCTERCQSPEALYDHICERHVGRKSTNNLNLTCGWNNCRTTTVKRDHITS HIRVHVPLKPHKCDFCGKSFKRPQDLKKHVKTHADDSVLLRSPDQHGGQVGGYRAPNG KPAGATGYYDHSNPQMHQPNGNYGQPHHNGGHNGYYQPQQAQSYGPVYYPVNQHAAEM GHHANYDPRKRGFDALNDFFGDAKRRNIDPTSYPQVGQRLMHLHGLPIQGGALIDYMP SQPLMAVGGGGHGHGVASMPQPHYSLPMPNLKTKSDLLNIDNFLDQMQSTVYESSSAA AAAGIHQPGGHYTHQAMGFRQSQSPPQTAAQNLGVSIPQSSAPSSSHMAATHSPQSSA PALTPPSTMSYTTAHSPSSVPGLSPGDSSRHASTPVAYPTLPAVSSAYAPHTTSPVST LGTNFDSDPRRRYSGGMLQKSAVAPRVSPPARDESTTPDAKRPYGARAESNIDPALSG GVSSPGVSSPSASGTEEGDARDRAEEVWVENIRVIEALRKLIADRLERGEYEDDKEGE DTEMGEAQEEEKEKEVKAEESLYPVLRAAIDAADA VE01_00908 MPVSVMGFQPAPAKMFHKKSRTGCQLCRARRVKCNEAKPSCSHC ARHRMPCLYDRNQETFESSRRSTDGPLWTSPAISTKVAEVNPRSNGSDGPPESKARRT LELRLLHQYMSKTGPAIAIDDHSRSAWCELIPLWSFRSEALQYAMYSVAALHIAKTDA FKDPIVIDAHQTYLEMALHEHSVEVSKLSRANVDITCLTSSIFRICSFVMLQHRSLCP YSPPMEWLRMTNSAATIFKEAWRLASDDEESIAAQLIRRLPIALDTDARLGVDNRRDF LHLLHRKPSDELTEPWDKGIQEAYEDTLSYIGGIWIAVNNHEATGDICRRLVVFPMLA PPRFLDLVEELRPRALVILMHYFALLSLLREFWYIGDGGEREVYAIKAILVDEWRDFV SWPLDIMKTKIVITL VE01_00909 MESPEASQTNVIITKGIFTGLPTFPPSLSGFSAVVVGASGISGQ HMLHVLSQSPKRWSKIYALSRSLPHIPDNTDDRIIHVPVDLLKGPEEIAAAIRGAQVK ADYVFFLAYLQPPPKPGASLWSNTDEMNAVNSDMLSSFLQSLLLTSLRPRRIVLQTGL KHYGTHLGPTSIPNMESAARLSSPPFPPNFYYKQEDLLFEFCRVHAPSTSWNVIRPSW VLGAVPDAAMNILYPLAIYAVVKQAMGESLDFPGDWDGWDKEQMQSSAMLNGYLSEWA ALTAPAANQAFNASDGGAWTWGMFWPILAGWYGIPWNPPVMDESKYKAIETGPAPRGY GPPGKIRYMFSLVDWAQRPEVQVAWARIAKQHSITANPFKDLERIWSPTHLALVTPWA MSVRMDKARKMGWHGYADTLEVVMETFERFAELKMIPPVPFLSRADERSIHLG VE01_00910 MPSPAEQFIALTQTQGRVDPTLIDAVYNKLGPVKPGLMLGEWGG GILDTGHPIGDTLKEIRWLGKNFTSVEQVDPVIIDKNGQRVSWGKWGHATLREVLYRD VVSTAMIYDDRPVFDYFRYVNDDMVAGIMEGKELGESLFYFYLKR VE01_00911 MASQGSGFHVLLTGANGFVASHILSILLARGYAVTATVRSKEKA ADVVKTHPSWEGKIEFAIVADFTSAKPFDELFINSKAPFTHIIHTASPLNFRVEDIQK EMIEPAVRGTTEILKSAHHYGGATLKRFVLLGSAVSVLNSFEDITREGLPYTEKNWNP VTAEEAIAKKDTVLGYNVSKTSAESAAWEFIKSESPCFDLAVINPDIITGPMIHPISG PKSINETNHFAIASFIDGTHQQVEGVTFPFYHFVDVRDVARSHVDALTNTHAGSQRIL LISGIISPQLVVNIIRKNFPALRARVPEGNPSQILPPGVHPTGWDMRLSLDILSKGTK EQSWKYIDLETSVTDAIRTMIEAKVI VE01_00912 MSSTTMKLSTVLAVAIGLMSNTIEAAATFCPSTYQPTSYAPIYV ECPTDVQWIRPPAMLNPKEAEWVRGRKRVVADALDTYLERLCLEDFDTPRYIRALRHS NYAHVPTLGLAISGGGYRSAYTGTGAIRALDSRLEAANEQRVGGLLQSLTYLSGLSGG SWPVTSIPSHNFPTVDEIVQIWLSQPDGGSNSSSPGSPESIFEDIAAKLKAGFNVSTS DYLGRNSAYEFLSGPHGGVNNTWSGIARLSNFVNHQMPLPMLQASELTDDDKEYFGLK VPYYNATSYEMTPFEIGSWTKGFTPTEWMGTRLDDGVPVNSSMCTLGFDSSSLMMGTA TSAANFWIIENDSNGVLAPFPKRSINNLKRSTPLSQRDLSLFPNATLNGLLEGFKGIF GLSTAQVDHAIWPNPFASSSSSIPRDLNLVDGSEAGQAIPLWSQIQPARASSFIIAWD DNPDAEPYAWLNGTSMHNTYLAAKASGLPFPIVPPPRTMLNKNFTLRPTFFGCNADLT TTGTTQSPIVLYMANAPYSAYTNYTWTQSSFSNTQMYEIFDNSFNAFTQGNGMLDDEW SACLGCAVVERSLEKLGMRRTRQCERCFKKHCWDGTYDDREPGTLDPSLLLAPGLSFA EWNATFWN VE01_00913 MAQNPGYGYDAKDPSGRRPYDPRDDYVAPQPSPPPGYDDYSQAP LRCEYNASEKAASCVFDYGDGFMETGDG VE01_00914 MDPFSLSLDIAGLVGLVAKALAVTKDYLSKVKHAKESVITLIIE LEALKSNLSSLDALLRSDSVRAHGLAFQRTSVLRSCALACDGNLKSLCKKLDQVGDSR IGRYVWPLSEKEHQKTMQELRTFTQWMQFALSVDGCSLLSRTSDDVLKIMGQQLESFK SLASLEAQTVQLQEAVAHQTRLLKDDRNAETRHDILNWLSKIEHDKRHHSIRSYRIQG TGSWLLERSEYVQWRDDGSNVLWCHGIQGSGKSVLISIVIDELKRSISSPESPIVFFY FDYWDKDNQTPTRLLSSILKQIVAAIPDTPKCVIDAYSKTQGVGEFLQVHELENMISE ITSTVRRVYIIIDALDECDESRHRKIVLQSLCRMTQIPNIRLLITSRQYTYDIEEAFH THSQIVIHAHESDLRRYMHHQLDCTDISDIVDGDFVSKVVETLINRAQGM VE01_00915 MGTTPLIKAACASPAGHPSIVKMLLQRGANPYLGNWYGNTLLCA AEAGCSTTIRQLVDYGMNPNERGHHGRPPIHCTLDNDCFGAFETLIELGADINFKGVS VFHEAIINGCVNIVDLVLQRHWAELEHRTTEKGLTAMHFAAMGKNMAIISRLLEAGAD INAQDNEGCTALDYVDNGLNKDIVSLLLDHGAE VE01_00916 MAEWTISIVLWLLAGSFIYILLWLSYAYFISPLSLIPNAGILAP VSRLLWAFPSEHRGRITLDLPRLHEKYGPLVRIGPKELSFYSLDIYKTVNTVQSPFIK DPRVYGGFVQDAHPGLFSITDAYEHAQRRRLMGQIFNRSKMNLLEEYMTEKIAAFVLL LRQRGVQPVELARACRALEADIVASFGFGEDIGAIAAWGSGHDVNIIKENDDKAQIMA LLSNLPSVTTAWYRIESILYNFTGWQTLSTTAMKDFDKWASSQLERLTSISKLPFEPH PNFISTMIRSRLPPAIALSEAKEMLGPGTDTTSLTLAHILWGLAHDISFQDSLAADLA LAHWPTDMTGLEALPRLRAAVKEGIRWAGAAAAMLPRIVPKDGATLAGKFIPGGTVIS SSPIWYLRDKAAFPSPEIYRPTRWLDGDAESINPLRDEYYIPFSKGSFVCIGAHFAYL ELYLSLSQILKSFRLTLPDSGTSMLSREATLPERLEWVAAVPVVRLEVQFLTRL VE01_00917 MVTSDVVTATATAETITHPSVNNASSVGEEAKVQDAATADAILL ETLGYKPVLHRTYGLIENFSTTFAALYFVGGVRVTFSTGIAAGGNLAYWTSYIITCVF TFITAAVIAEVCSASPSAGSIYLWAAESGGPKYGRLFGFVVAWWSTTAWTTFCASNTQ AAVNYMLSEITVFGLDFPSDSSDVKFRAVQWICTEVLLGLAVLVNFLPPRLFRYVFYW SSFFVILDFLLNVIWLPIGTANTLGFRTAEEAFMTTYNGTGAPDGWNWCLSYLATAGI LIGFDASGHVAEETKDATVNAARGIFWSTVVSGIGGFLTIILFLFCVPDADTLFSFGS PQPFVPLYAVLLGQGGHIFMNVNTIIAIVAASRLVFAVARDGVLPFSGWVSHVSAEGQ PRNAVLVVWGIASIVTCTILPSGVAFTSLISAAGVPSAAAYGLICFGRFFLTPNNFPK PRWNLGRLSKPFQFIGIFWNGWVVAILFSPYVFPVTGESLNYAPIIMAVVTIFALISW WFTPETSWLPKTRIANFIESKGEGDLLDANKGIVPTKNY VE01_00918 MAQEIVTKVLEKAETPLISIFSFVLAWHPESLDRLEPAAEAIQP HELYGWYREDFRAIHGSRMQFEQNVPPKDPRYFIISLDTLGIRAFQLLEDRPTVADSK FPDCLWFIVDKLSRLDDYLIRTNGDFLRLDPIRTSIDTLMLWDTPAPPDQFTIVNDGL SKPMRMRYYATIGRTPSFGYIFLWLQMKESVGFGFVDDMVLAN VE01_00919 MGDNIDRLRALLGEGAWEAPASPEESSQDGTAKDPIDYLSTDPE DDEKILSISRVLVPESEPELPAYDLETGELAPAGHNFVPLKALEKYHHTYVEGANRYK VNRNYFDKQKFYTHEWDFFYIYPPPELSPNASVLVPLAQVEHFIWIINRHLKTHLGIP IMERDPGFIIDCPNDGTPRPRYIGRSNDYETAVLTKKAIPSKGYRPPNEPASSTKPSD ESLEAFKERMEEMLEMDRKKKQALKTKKQAERYAKQQGWNHVTKRVQRYLGLRERQTE VDEWGIKISAPSPGDGLAKIEADLAKLNIKTQIDVTKPAPFEQESSVVFICVDIEAYE KNHRLITEIGIATLDTADITNISPEERGTSWFSAIRARHFRIKEYGHLNNTEYLQGCA DMFRFGTSEWIKIADAPKSVADCFKPPFSNPLSLSPIDPSEKRKIVLVGHDLPQDIAF LRQLGYDPGNLPNLLECTDTAHMYRAFRREPNNSGLGKVLADLDIAGWDLHNAGNDAV YTLQAMVAIAVKALVEKQDIREREKEVMEQKIKEAMEAAAEVVRENKEGWSSGGEDSD GGIPGPPLGEEKTTQQHHGPPGMNRKENSGRGGSNYGAARGARSSWRRGSGSNLAPVR KPAREGNDAARSGWW VE01_00920 MRTRKGDQAATAAAAATKATAASTPRAAKSTTVAKRTSSTPIAP SISAEELRAGAPLSPAIRLPVLATMGLAISTVLHQLATQFGDGGVGHVARRAEGWGEL GVVVGWRSIELGIAWCNGYSASEVAALSLFSQGPVLFLLHYFYNTRATPTILSLVITI LSTTLPIALIPPPKTRRTTAEAPEIDGGAKILTTLLAACIHSLVLSISYATFLPTTLA TQFNNLPSVAAAHSSSFISLLPLTLVSGLAARSFILAPSTSSLSTTKPEFDAVSSGLG EHVRYNVCGYSERMKEVLRRTAILASLGGANTFALVKGIEGVEACGAVAYAGVWVTAV VVSGVALGVVGDVDV VE01_00921 MASSKDIQHPARPLGQHRLSMSSALGSSLPARSSHPRHHSHSIS AGSLVPTHRVTRRKSGSANAAAVAAAVREMGEATLSAPMPTASSRRHTTSRPGGSKFA GLATPPSSLPGHRLSLMAGRKAERDESAIDDEQNDEMDDEGDSFNKARMRRASEGQSS VKSDGKKNDLRCDKWEHTPEWSYTSKLLISKHQQVQLLEAASVLVAMNQDGPTPPPSA KDFNSDQDSASPAASGSSDPRDGLSSTDTTPPPQTEATYGQSYTGSSFAGHRKRYSSG NNFSRSYQSAPSNGLHVGSMSNGSAFGSFGQQSQSGRPLSSGISAGGLEDEAGLAAAV ELLSCSFGTPRTGPVTLPPDVPPVPPLPARYLAQGFSGTTLTPNHQGSAPTSYIRGRE QHDGDVKMEESEESVADDDDYDSRSRGRSDEDDDGVFGRMEE VE01_00922 MATAPKTIGRGGAGNFRSPTDQRPAETPDTPDTRTVNPQLSSLR SGRGGAGNTNPSSSSSSTPSTGPSAGKSPAARLDAVIVGEAPKSAAKEVVYGGRGGAG NWKVGREEEEERRRVEEEGRKREVEEMVRREVEGGLVMPGRVYRGVGRGEEEEEEG VE01_00923 MRIETCYFCSQPCYPSKGITFVRNDARLFRFCRSKCHKNFKMKR NPRKLKWTKAFRKSAGKEMVVDSTLTFAARRNVPVRYNRDLVATTLKAMSRVAEIRQK RERVFYKKRMEGNKERAKAADRKLVAENSHLLPKMRGSERLALEAAGEEVEEEVVAPR AKVFGKMQTRRKQLVGGGEEEENGMDLD VE01_00924 MASVVAIGVGVAAAAFLGRAGLVAMRKYRGGAGAVGALGKAYYK GGFEPKMNRKEASLILQLSERTLTKERIRKNHRTLMMLNHPDRGGSPYLATKVNEAKE FLEKTS VE01_00925 MAESNDIKGQSPALAQEAVAEPENAVTESHTTANGEAQEGEEEL DQGAETIFSLTVNLPHKPHKMQLMVSSQEQVHDVRQSVIELPDTFQYSCFHLEHNGER INDFIQLSDVKDLVPESELKLVEDPYTEKEARIHVIRVRELIGAAGDRTDTLQGILSG LSLYDSVTESEAPASGEAAAAQPNGAAHPLADYDFQAAGTLSTLLPTTQESHPKTIKM VGLSPWNPPPYHLRQKGHLLYLQATTLEGEQYQITSHVSGFYVNKSTNARFDPTPRTA PKAHAAHSLLALISDLSPAFEPAFEKLQEYNNQKEPLTNFQITNSTPASPWIVPSESS PLTTHQSDLTRSQESYLISGIENTETLRDWNEEFQSTRELPKETAQDRVFRERLTSKL FADYNDAAARGAVLVARGEVAPLNPTESRDAQIFVYNNVFFSFGADGVGTFASEGGDE AARVATGKDVMGVRMVNQLDIDGLFTPGTVVIDYLGKRLVGQSIVPGIFKQRDPGENQ IDYGAVDGKEVIAADEKFVPVFEKLSKALKVKKHAVWDKAGKRHELEGSVETKGLLGT DGRKYVLDLYRVTPLDIEWMEENGTAISSPEQNGGAGEATYPHRMTVLRPELVEAYWK IKMRAWVNERLEERRQAAKAEEDATKAVTEGEADAESSEKAAKPVDSDKDRIDITDFS FSLNPDVFSGQEPQTEEEIAEWAKDEEEVRAAGKFLRDTVLPELVRDLKEGDVGFPMD GESLSRLLHKRGINIRYLGVLAGQAEGRRLEALKILSIQEMISRSFKHIAGNYLRYLP IPFTSACIAHLLNCLLGTGFNESPVALVDESLKALYADTDLSFKDVTPESLRKEIEAQ TLKRYKYTLEENWSAAIKPVQMLREVSLKMGFQLVSKDYFFTKEAAANAKPAKVADAL KENTNGTATTTSSKKKKKARSDSPSGPKAVPAGPPMTFSADDVLNVVPIIKEASPKSA LAEEALEAGRISILQGQKKLGQELLLESLSLHEQIYGIMHPEVARVYNTLSMLYYQLE EKDAAVELARKAVIVSERTLGVDSAETLLSYLNLGLFSHANGETKLALTYIKHALQLW KIIYGQNHPDSITTTNNAAVMLQHLKLYHESRLWFEASLDICESVFGKQSINAGTLLF QLAQALALDQDSKASVNRMRESYNIFLTELGAEDKNTKEAESWLEQLTQNAVSIAKHA KDVQARRVRAGIKMSPGMSLGQTQAQPPVGQTAEASSGRDPRNAMGMDSRSIDELLKF IEGKEQKKPSSKKRPGQNNPKRRGGASKA VE01_00926 MTGNSYSFTPVRRRKAEFEEIDPDADSKHWTAARCNRLLRSLQS RIELLKKDAAQFIPAAQSAEATNSTIATDNWGKRAIHSDSRGDDGAKPKKRVRVTYGG RARQKDEAGRSASGQGSKSAPLKRGMPAITRPPGEISVETPILTRIRPDDSMIRASAV KVYGLGGPARKEGAKGRNTRFASSVPGISNFRSSTSLKQLQSAMSPKTYTLYIGIYNA LESLLRTTEQSYVQAPERSNSLFSLCLRNVHQRIQLEEDWEAIDSKTAGLKSSLKRDI SGEIYAQLEDIGTSEHGWKHLRTIVREHGVHLVAGAISSGLVNQVFGEALVMLCSQMG SYREAEVLLDALLLTMPDSEPATPYSRIADIQELAPLLALEKYVTHTGRMPEYFRMMK DIFGGGIVAIQWLATKELCGLWTRVFRAISAEPACQNATEFLQEILPLMLNASAGQSK RDAAPFNNRVSVAIGTTFSSVLATLSAVVILDEASNESDENSITERGSNVINILRHLH IEYSIPSTELRGQVSLVFLANLFATPLRDAGEERSEQLIRLLQQACQGSRGSIDPEIA EFMCSVARCCGRGLSGTGLEHFKRFSLLVEACTSTGGDKKRHLLQNIIVSSAYVFSQQ VPIAAHLDYADDIRAKFQIHPELGQGKSPGKPTAAFRWEEGISEWVAATPAIKQSRHA VDPTQWEDSEVESPVSFRKVQKLQAPSFPNRRENALGQRMAARVSDLIPSSPSTYGSD GMGYDERDTTFSDMSPPPRIASVFTRKSMALRKPKTSKKLGNVGAGSAHHGRGWSVYG EANANNATNARGDTDEEDELSVSYILPDEKISVMTEVQSNSRLSVGSHGRRSGIMSNA KASRQMTEDDDFSSDELSF VE01_00927 MFSAAWTMGAALGLLFLTQGAKADAESEAILIEEADSVTNLFHK FLFIICGVVAVIFIIWRVLIVSVKYMRQLVCLTNEKQRYFQRPYKKYASLKKYLLYAP IFHKRHNREFQLSTAMNMGVLPTRFQLLALIAYVGTNIAFCLSRIHWDQPLSVVSVEI RHRSGILAVVNMIPLFVMSTRNNPLIHWLDISFDTFNLLHRWFGRIVVIETLLHTFSW VVATVHRSDWATVAAAFQNSEMMVFGLIGAAAMVAIMIQSLSFVRHAFYETFKYLHIM LAITVLVGIWYHLKLAGLHQTKYLIVAIVIWALERTIRVLIVVYRNMGRGGTKALLEA LPGNAVRVTLNVARPWTFTPGQHAYLYMPSIGAFTSHPFSVAWSQEEEDPLAGDGLVG KDRQDVLAIRKTTMSFVIRARTGFTNTLLQKAEAAPEGKMFTRCFVEGPYGGAHLMRS YGTVVLFAGGIGITHQVPHVRDLVTGYANGTVAARRVLLVWIIQSPEHLEWIRPWMTE ILAMDKRRDILRIMLFISRPRSTKEIHSPSATVQMFPGRPNIETLLDMEVENQVGAMG VSVCGSGSLSDDVRSAARSRQHKSNIDFVEEAFTW VE01_00928 MGLVYNDYLSSDKIYGCKNCKTHLSKHESLVSRSFRGQVGKGYL FNLVVNYVPGEALERNMTTGKHVVRDITCRQCKTTVGWKYDKAYEASEKYKEGMFILE AELLCNVT VE01_00929 MSPKTMKAVVFDGPHKVSLQERPIPEVQAPTDIVVAVSYTALCG SELHVFRGHQPSPTGFIMGHEFTGVVAEVGSEVKTVKVGDKVVAPFTVSCSECFYCKN GFSSRCEKSLLFGSAALDGAQAEYVRVPYADGTVVKAPAEIDDKVLVMMADIFPTGFF GASNAFKNLTPAQIAESTAVVIGCGPVGLCAIIAAASFGPKHIFAVDGVESRLELAKS LGAEPLNFMTDKEGMHEKIKAATGGRGADVVIEVVGLTPALKTGFDLLRPWGTISSIG VHNAEIPWDGNQAYGKNLTVQMGRCPVRSIFPEALKLLQEKQHLLGFMFDKIMPLSDA VEGYEIFDKMQAQKVVFKVGSAE VE01_00930 MATSDNGPPVESALQALLTAASQESKTSGIPAHLHQLAATVLYN LQYQHEWTELAVQTTSTITGSTLPRPLVYGIPPARAYVHPDEQAEILKAEHKTGQSIP LSPEPEWVLPTHINEKWSLKQFAEVFDAIETVPPGSTDTLEQEEDEVGAGWRGKNRQK RLLLATLHDDSTVVYYIVHDGIVKPRQN VE01_00931 MTEQPTPTAANGLPTPPSDTVAFPTITPLTTTRPDSGPESASGS RQSTANSNADGVSPGGHTTIANRLRSASRTFEQSSIPVGMWSATASIASSAPSIADIR RGSFGSDGWSGDGQLKEKSRRASLGRRTTSNSAATKNGTGSGLTEVAENKKLESPIED VSTSRQLDTISAEGSADSQKPVAQQNSASVPLVGGSTTEPNEEYRTEPFDNGYEFPPK HSWQTSTAIGAKALWKFFITPLGFLVVIYGLNVVAWGGMLFLLLCNASPAMCSPTCDD INSPRRIWIEIDSQILNALFCVTGFGLVPWRFRDLYYLMKYHIRGDQLALRRLAGIHR DWFRLEGSSDLPISVGPDNIEAEISNLPENAVPYPLKTIPSAPLTGNRAPATARWKMD FFIWMFVWNTFLQIVLSVFMWKFNRYERPSWSTGLFVAMACIVAGIGGIMGFLEGKKV KSIEGVEVSPEDQARLRRDREMGIVHYNNLKGEKPKPKKEHHNPLTHRSLKGEKGEKV DDLAKGITTETSTASDKPSA VE01_00932 MAAKSFIPLLVGMMLLTGCCNTLFTKYQDNQCVSNCDDPDPSNR KHFEQPVIQTAQMFIGEMGCWLVVGIFSLYQRFTGERAEDQGPDYHAINEDDEDARDA DEESLRNPVVRVLTKDAEGRLPLTGWKVAMLALPATCDILGTTLMNAGFLFVVASVYQ MTRGMLVIWVGIFSVVFLKKKLYLFQWCALATVVLGVTLVGLAGSLQAEDVTKPASVM EGAKNAAVIISRVASTPDAISTMIGVFLIAGAQIFTATQFVLEEFILEKYALEPLRVV GWEGIFGFTFTALGMLVLHLAIGRTPEGQLGYFDAVEGLRQMFYNKQILISSVLIMIS IGGFNFFGLSVTRSVSATSRSTIDTCRTLFIWIVSLSLGWERLGPTTLLQVAGFCLLV YGTFLFNGIVQPPLRRCMVSEPIEELLPEEPIEHL VE01_00933 MATASAPNASTHPHASSAADNYDPTDVGPRDSPPPPIHHPKLEP TPSPPGIASEVSTPPGSPGAPHKPGRKVLRPVPNPGDVALLDSMAGGRAREIAETAGR ELIQAPDEEEGDEDMRAGSEGERGVEVPMEGMGREVDLEALAAGALRAFNAGEAPVGK QSHVEEVGGQQVDDQMRHMSPKASTINGNHDELPPIQEASPKAEKLTNVTLPSISSQL ANLGELKHLADAAITAAVDTAPPNGHRHSISSQSPPQPPLFRVGDPAHHHPAPNVHTS PPPISPRESFHTIPSPGFPAPPLFFRRQSQVSDSAPYTSGGDFTGNVADTSTTDHSGA TANGIPAGIDRMSIDGITNPLVGGFQCNYPGCTAQPFQTQYLLNSHANVHSQNRPHYC PVKGCLRSEGGKGFKRKNEMIRHGLVHESPGYVCPYCMDREHKYPRPDNLQRHVRVHH VDKDKDDPLLREVLAQRPEGPSRGRRRRGGNN VE01_00934 METRALEAEGRISSSRTKEDALANAISAAECYMKAIKLANNSSE RARLKEKCMNILARAEEIKKIEQWQPAMSHTGAVITPSEISGMPQSRRQLSTREEVIL LEGSKLHGLIFPPWKSEPDGQEFATPNGEIYTDLSKINISNTHADEPGDWRRPEDLLG DELRDASSPRQQPTMQAAADIDLVQDVTADCSVVASLCAAVARPGGTYGKLLERIFYP YNKAHGIPEMSKNGKYIFRLHFNGCFRKVVIDDRLPAPRNSRRLYVFDRQNPGLLWPA LLEKAYLKVRGGYDFPGSNSGTDLWVITSWIPEQIFLQSDELQPNDLWSRILNAFALG DVMVTLGTGRLNNNEEEALGLAGEHDYAVLDIKEKGDEKLFLLKNPWCDAMVWKGAED QKPSDADQEAFGFANVAPKASTNPGTFWMSYPEVMRNFDSLYLNWNPGLFTHRQDHHF NWTLPTAPSPGCFVHNPQYSVHSPLGGTVWILLSRHFTTAEHNYRASSTLPSPTTTTT GSNSHGFISLYIFDAGGQRIHLSDSPLSRGPYVDSPQTLSKLSLPPNTPYTIVVAHQD LPLPKYTFTLSAYSSTPLTLSPAPNAHPHITTTAGAWTPKTAGGNASSPTYASNPQFK LHLPTQTSLSIILAASPPDLAIHVKLVWGRGQRVTSVTSQDIVGESGSYRRSCALANL TNVAAGDYTIVCSTFESGQTGKFTLRVESSTPCVITPVQNEDAGRLTLHLPPLYFHEG ITRMLAPLTLSRITRLRIVAQHACPAAQRGAISPLKVSVERGQGPNKSVLATSGGGGF SDAPAGVRVGDIDLTPGLGGRGGLWVVLERVGGGRGEEVEVVVLSEVGVEVGAWGTGE G VE01_00935 MSNRNSTPEPSSTSTLRPPIGTGHHLRQSADMSAFPTSPSTNRI RPSSDFYGQTHQLQGQDNPETEAANKLTQQWIADIDQYETTLEEMAAATLDQDFKDEL SAIEQWFKVLSESERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPMSGVLSPANFDK DPMSNRLSDAMNKLSVEGSRNSFSRPSASATMKRHSGLDQDRINAMFPDAVAAIATEK AKFTQQTGNPPTSNRNSAVFDTRASLAAPSISTPAGNGGENNTQPPLSPWGPRSSSDQ SANARPKSSSGVPPMGQFMQPPPSAGLRSPRIQSSSNLQNSTINIPDHQTSDLPLLSP YNAGNGNWASMVNTPMTSTFNHAANNSQADMIANATAMKLAALSTVNNRFALDDVRKY RRARSNDGHAINNQGPLSPGLPGVANANVVMVNEHGQILNRDQILALQGQQNSAFGGS RSRPSSPGLAMHGAGGFSHMGFASPQNNGFLSAYDGNSPLMNNGMGGLNLNQFGMGGS HEGYLSDHSDMARGRSPRGRRGSSKPPEDPTDPSLLQDIPSWLRSLRLHKYTDNLKDM NWTELVELDDKALEDRGVNALGARRKMLKVFEQVKEAKAEGKLA VE01_00936 MDGIDEDAGRGQHSGGLPRSQYPEPHETPTFAWSGQNVDHNGGS HPEQQFPLRDNQYHDDSAEDEELLSDADSDYLDLKTNIAKLEDSVRKFRREQRDESAD EDEESPDETRTRRKGRDIKGRVITRGPRKAAVPTGDIRARMGRASQAFIEENYEEAKQ LVEEVIRINAETHEAWTLLASIFRELGDIDKTLLALIYAAHLRPKDATQWLSAARFAL EETGDHRSKNLPSAKFCFSSAIRANPKNDFEARCGKAEVLREMGSTSAAIVEYRHILK QRPHDTSILRLISEAYIDKDNVKAALNLYSQAIDFYKASDSQPGEKFTWSDVNIYVEL HAYLGQYVDAVKELKSLSRWILGRESELYWDDVLEDDREWDSDDNRRVLHPSFCQGKY GLHQYGDGLPMELRVKLGLYRLRLGFHAEALSHLHWLGPENEYFADRVLDYPDLFHES ADSLYQAGLPEEALVFYRALRAVSSQEDANLYLQMGKCYLNGKRNREAEESFQHAIIL DQDNIDARVHLAKLYEELNEQEQAFIYVNEIMNLRRLQNSKKKQQKSQQDITQDNDTL MPTVTRTRSYYKPKRLVNLEERQRQEQAASDRLQEQYSVMRLERDAMRAGDEGATLAW MEAAADLIDDFRGFKTFYPWDKYVRFLGYTVGPARGQPQTGATPLDADLAEMANRISF KLGADVDSRNNVAVEIPENYRGIPFSAWLDIFLDYALCLVRYGKHQEAYEICEAAKDA VVFYHSREDMFLIHVCWCACAVLANDEETCVTACRYFMKDYQFTTDTYRMFAALTRLC QSPISWYSSGPTQKYMLRQIKAMDYGLVNDDARRKFFSEKGSYSAQDESGRLIVNKDM DIALLMVYGHILYTGTSYAYALNYFFRAYALDPENPMIKLSIGLGYVHHGLKRQSENR QFQIMQGMTFLLDYYKTRRASDKAEERQEVSYNVARTYQMVGLSHLALPYYQEILDEA QSSETKEDLVLDAAFNLQTMYSMSGNMRMADYITSTWLQM VE01_00937 MDHEAQKEQWGEMEDQDGIRLSWNVFPSSRMEASRLVVPIGALY TPLKEKPDTPLLQFEPVTCKPPCRAVLNPFCQVDVRARLWICPFCLSRNALPPHYKDI TQNAIPPELHLTNTTIEYRLSRPAPSPPIFLYVVDTCQEEDSLSALKESLIMSLSLLP ENALVGLITYGTMAQVHEIGYTECAKSYVFRGSKDYSAKQVQEMLGLLSAGLRPAMQQ QQPGRPAPMGPASRFLQPVQQCEFQLVKALEQLQKDPWPVSSDRRNLRCTGVALSVAV GLMETSFQNAGGRIMLFAGGPATEGPGLVVGPELKESIRSHHDIDRDQIKYYKKALKF YDNLAKRTAHNGHVIDIFAGCLDQVGLLEMKGLASSTGGYMILTDSFTSSMFKQSFVR VFEKDANDNLLMGFNASLEVLTTKELKVTGLIGHAVSMNKKSTSVGETECGIGNTCSW KMCSIDPSSSYGIYFEIANQGGPAQHQQTPQKGMLQFLTYYQHSSGQFHLRVTTVARN LSGPAGDPAIAQSFDQETAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYR KDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNQEDVSNSLIMI QPTLDSYTFDQDGSQPVLLDSTSIQPTHILLLDTFFHILIFHGETIAEWRKAGYQEQE GYENFATLLEEPKEDARELITDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGSYG GVGATNAQTIFTDDVSLQTFMDHLMKLAVRYAATEIHPAKSARARGAYLRVSFKNTRE TAQAINGWKLERAVKFLENVQEHKEAVAMRRFAGGTGRAAQGKAFGVTRARWPVKSAE FLLGLLKNAEANADTKGLDTGNLVVKHIQVNQAPKQRRRTYRAHGRINPYMSNPCHIE LILTESEEVVAKGEAVEGRELHLSSRQRGARQRAAITAA VE01_00938 MSHPASPLHRPQSTTSRDDESPLPQGQCRYLLLHPEVRGQRCAC VGFSLNKCTPGSSCNCGHLAVYHLTAPTEETLADKEEVETMRARIVLLEKLLEREREA KNHLTVRVSALEEHNENGRFNADLEIRNVYRGIEGLWRHFGALDRRTRYHDDCIDALM DTTHATQDDIRTVQSRIIDLDDASMILEDRVDGLSSHPRRIENTISRRPSMTPPRQPH SRRSRSSQRSSHSGRSNRAKAWSVHISLMPTASQPFPFEKDTMAYKRVQSRGLHRVVA IPGQDSSSFVTATSNEFASLLKGRPWMPLVAKICDAEHVTGLPMLRQLPPNLIDSSLY DMDFLTAHCATLDHNGHILDLYIGMCDDYFSWSELASSPVFMPGLEACWAFDPFLDGA SARDNEEAIDSVIDDLKAEDRSSAGDLLRAWSPPATRLKRTATGITRTSSFGSTDSAV SVSKKSKIGHPPSCVLPGVESRDWRGAEAVRGA VE01_00939 MSKRPAAPVVDQKKVVVIEYDKLEGTYLELGFWDDDIGAEKYAE LLHKGRIREEAIVDFDGNLLRIHGQPNTAIALKNETIFRCLCTPDLQNCRACFMRKRT IYAEGRAQLARLERRSAKAAARHYKAKAGVLEAEVAVLTSSLGERDTEVATLKSSLAE RDAELDAAKAQVLALKDFIANRFTDAVQSLGAAHPVAEFQQAADAFEKEGGNMGRSAL AGAFGLPAERAPTSDTGVAGGGVLSGSPGSGGDITDSDDEDVHDGLARKRRRISSAGP SRPPTSTAGNNSRRRPSSSLGARRKHSVPSSSLPALAVPASSGPEQPPIRRRKLPATA ASAHAVSASSVPEAQKPAGRSSWNSRSAQLDFDDAVVPMASIAAAVAEENAVLAASGS GKRRADSTLADNEVGLEDSQELRSASPAADTDNDSDADVDTDSDSDTISGSGSGSDSD GAAAAAAPAPAPAPAARRSTSWSEHEKNCLIAVMFAHADAVKAGRHPAVYDTALWAVV RRRLMAEYGIDRVPQACRMMWNRGLRELTGLDERLRRDPNRMATSLQKKAGQ VE01_00940 MAKLSDWLRLEMKEKSDASAEDQEHVVGKFRNLIAEEKAKYDGM PMNDAVLRFEDILKEQIDIAMKYSTQEHFEKTWLCRIPELVEQSLVESDPEVKRDLLE ASYWHLNQYICLVIDDEVLKDLVEFPCFLPQFDLAEALKEIREHPDGPPPDANQAHLK VAMRHTDFELVCWREGFSADDPNWYTQWGNWANWAVRNGLGEMHWSIRSSVLHEGGIE LELLDGKPYVEIWGQE VE01_00941 MSDPMPIILCVKSSTMATECIDCFQPEYEVIHVIFSVAAGALDI PTLLRGETLSPSDPHNLGTRNYSKTPVAVILGRMYDDVDFAEMRESCKGRSNVPWLRQ DLSKPEPPLGNGFAEAMVERMKACLSNLAVKGILKQDGVYLY VE01_00942 MDSFSPSPDSRGDDDGSPLNRDSTCIPKRKACRPQLEKDEKQDW WFASTVIPLLAAFAGPIANVLSIAALVTYWRNNYSPAAPGNDEDSIGFPDPPWCIALK SVSLACGIAGNISLLLNFTRRIRYIVVLPITIISWYFAAGILTSTIIAMNKYIPPHRP SQTYSQGFWDAIIAAVLYTFGSMLLMVNMLGYFLGHYPQYFNLTGEQRNMILQTMMLC LWLSGGAAIFHKLCGWSYVDALYFCDETILTIGFGDFTPGTKSGRGLIFPYAAGGIII LGLLVSSIREFVKDLGHDVVRRRIVRHLNNDIRVPKTKKDRNDGHDPPASAITFSVSP ITSTENSCRGGYRRDVMRIGGNSLEQRDRFDALRAIQKRTSQVTHHCELALSVIAFCI IWFIGALVFWRVEQSQQPWSYSNALYFCYISLLTIGYGDLTPTSNSGKPFFIVWSLVA VPTMTILISNMADTIIARYKRGTLILAAWTILPESIRRHRPLDRESRVVLGACRKAAN RAQKPGTEGIGTQPRMDESGGATVQSSVTEDFYRHDLAVELAIAIQKTAGDLEAVPPK RYNFDEWIEYDRLLRLTFNSEEDFQQEGVLEWAKLAERRESEWLLKRLTEGLIRYFKT QR VE01_00943 MGEASKIVSVLFRFMQLVSASIVAGILGTYLHYVSQAHAHKSGR VVYAISIAGIAIFFSLVLMAPLRYSFFAFPFDFAMFVLWIVAFGLLVNLVGTGGCHSN WYWSNWGYYWGRWYRTVPVSAVTQVQVGTGACGRWRAAVAWSFIGAFFWLFSTALGIY VVTRSWSRNSDGNHTTEVKRREESHMASGVAPNNPHENINRTGAVTQDQESAAGLGTS VQNQQVVSCSQCGTANRLGSQFCSHCGSQQQPSTSMV VE01_00944 MFRRPVLGAAVVYGVSRSAARRGVQEEEQRNAEARMSAEMAAEK LRREEEQRERRTQLAIDEAIAKERSKNTAIEAESRYVVPNTGGTGIVDDVPPYSGFNR GPGDAEVKRAATHYCPECRNVCRSGDKFCTKCGCEQPVDDERTVLPKNQL VE01_00945 MAGIGTEERENQLLLANNTRHEKSIFEELTNAATTTSRVRTFSN SAASGTLSRSDTLDGSKAEDPALDPTSPRFDVYKWARMILRAADNANVKFRRASFSFK NLEVSGSRSAIYFQPNLASVFMIPFRLREYVTFGKRPNKKILDSFDGLIKSGEMLLVL GRPGSGCSTFLRTVAGISQKEMIENFKGEIVYNSEVDNHFPHLTVRQTLEFAAAVRTP RNRVIAVSRKDTIKRITAVVMAVCGLTRAQNTRVGNDFVRGVSGGERKRVSIAEMILA RAPIGCWDNSTRGLDAATALKFIQTLRTSADVVGMTHAVAIYQASQAIYELFDKVIVL YEGREIYFGSTSAAKTYFEEMGWYCPPRLTTGDFLTTVTNHLTRKPRQGYEGRVPRSP VDFEKYWQASTEYKALQDEIVQHERETSSKASAAEFKASRRAVQARHMWSKSPYIVNI PMQLRICTKRAYQRLWNDKISTLTVVIGQIIMALVVGSVFFGTPNNTGSFFARGSTLF FGTLLSALIAVTEINNMYQQRPIVEKQASYA VE01_00946 MSAMFRTVAAATKTISQALTIAGVLILAIVIYTGYTIPLPYMHP WFKWLNYINPLAYAFEALMVNEFHGRDFPCASFVPSYPNLSGNTFICPVTGAVAGQLD VSGDAYVEASFQYHYSHIWRNLGILIGFWIFFVATYLIGTELNSSTSSAVEVLSFRRG HMPKDTLQTRSVDESVGPVPAGDVSINSRRQRSDDMSQQRSIFSWRDVVYDIHIKDKP RRLLDHVSGWARPGTLTALMGVSGAGKTTLLDVLAHRTSCGVVTGDMLVNGKVLDSSF QRKIGYVQQQDVHLETATVREALRFSAMLRQPKSVSTKDKCKHVEEVIRMLDMSEFAE AIVGIPGEGLNVEQRKLLSIGVELAAKPALLLFLDEPTSGLDSQSSLAIVSLLRKLAD NGQAVLATIHQPSSILFEEFDQLLFLAKGGRTVYFGEIGHNSQTLLEYFKKNGARSCG KSENPAEYILEVVAATAIGQTTQDWPEIWRSSDESRNRILEQDRIHHEMGCATMENSN DGNCDEFAVPLYVQLRHTIIRILQHYWRSPTYIWGKIMLGVVSALFIGFSFFRSNDSL QGLQNVLFSIFMLTSIMSSLVQQIMPRFVLQRSLYEVRERPSKIYSWIAFILANIIVE IPYQIILGILVFGSYYYPIFGIQSSQRQGLILLFCIQIFLFASTFAHLVIVALPDAQT AGAVATLVLSLTMIFNGVFQPPQALPGFWIFMYRVSPLTYIVSGVASGGLSGRPVQCS TSEIQVFDPSSGLTCGAYLQPFLTQAPGQLLNPDATSQCQYCPLSTADQFLAMSEISW STRWRNFGIVWAYIIFNTVMTMALYYAFRVTKWSWASRPKVLQWLLMKVGYYARALLV GYFGKSPVEKGGEHHLF VE01_00947 MATDMDIDMGLDMDIGQEYSNLDIEQDTQGFNQIELHPDLSAAP ISTDPTDSDLAVVTPHKIHLRGLDDLTTKDIKSFAEEYSAGQPLERVEWIDDTSANII YQSAEAASAALQAFVATDQLPAAHSTLDMLAAKPFPNFPNTRLMVRLAVEGDKKQPGA RERSRFYLLNPEHEPQERRPRDDRGRRDDGRNRSRRDNYRRRDYDDREPRRRNDDFDA SMYDDEPSPPRGSREPESESGTTSSGYRSQRSQRVRFAGVGKELFPEKISSRSNGRLR NRSASPMRDNEGDLILDARPAGGRDGRARSANDGGANRRKAQLIKERLRASASDPLEL FPRKAGSRAGGNNAADSTADLFAHKLRIPMLDGASDRPSGKPDLMSRISRPGASDADT QASFKIKGTARQPVQEGFSIKGMAASGQEHRELFPGKTGGNAGKELFADRLEGRGGRR QRAEDTFR VE01_00948 MVGHFQFPPWGSPFLDAGHTNTPSSSWHSERGLSPHMRELDHTV HNPTADQLAETLKVIMMTNGAREPLPAEYNSCIMQVLEGYSTHRLELQTKIKELEEAK KEKEETATELWQKTLEWKEEESRYKTELKKLEVILAKTPRGMELVAMARSQSVVRRNK KKAEVKKAADKGQVNKLDGEYVPRRLRPGFNILLPNYAEKKDAATTKSFMPHYRQNSL SMGFGSVSLPRPQLEEVEEPTKEKGKRSMLGKLTHAGKKSALRLFHGGHSPKKTSEES ADKSPKKSPKKSSPKKKKNEDIAPHNYEDQMRISDFNLHQNAIHSTRSLWGHFGRSPN VNLASKDAEVARSAPTGPPKLKSPKKLKHKASLFDFEKSPRKLKHKVSAIDLEKSPRK LKNKASAIDIETAAAAARAATRRTAWLLPEDDESN VE01_00949 MFNYAALALLPVALGYVTPLSSRAGCTAPVDGLVGYAAGVTGGG SGSGTTVTSCSELTSAIEGGGVITIDGILSDCGIFKVPSDTTIIGVGADSGLTGGGFI LKKVSNVILRNLKLHNPPESDDLIALNLATKVWIDHLDLSTDGLTGSKDFYDGLLDIT HASDDVTVSWTKFHDHWKGSLVGHSDNNAAEDTGYLKVTYHHNHFSNVNSRLPSIRFG TGHIYSSCFEDCPTSGINSRMGAQVLVEQSSFVNVGLAITTNLDSDEPGNAVSTDNLF ENSPTDITQEGSLTPPYDYVLDDASCICDYVKAQAGTGIVN VE01_00950 MVTVRNDTQTPLDETFDAFARELLEEWHVPGVSVAVIDGDQIFA KGYGIASFPSEPVTPETLFFTASTTKSFTSAAISLLIDDDTNARATTGQSSPASQNEP LTWKTRIASLIPEDFVLPDLYATAHATIEDALCHRTGLPDHENSFGPKTTNVAGMVRN MRHLPMTSELREEFMYNNMIYSTISHVLETRTGQNMGCFLKDRIWDPLGMSKTYWTLD DALAAEKRGDAKLARGYAWDAAAEQFVDEELPDFPAVSGSGAIISNVLDYAKWLRCMM TRSAPLSAAGHASVVTPRMVIPIPGNNPFKAPNLYALGWFVDTYRGERIIWHSGGWTG FGSVMAFLPERQWGFVMMGNTSKASNYVQMVLYFRLLDQLLGTPLDERVDWNARWKKV VAERREAFAKARERLYPSVPVKLTPPSLPLDKYAGSYSHPGYGAMNFVADGERLIADR TDQEIAMEVKLKHVSGEFWMAYLHVKHRDDRDVQVVRAEFYVSVDGTAGKFDN VE01_00951 MPHNIPPPSPLLLTLTPLTQSTFHPYGSVLSNPNPNVTPSSSSP PPPGAISANQGSALKYPDITPLTDLYASAPSGRPSRATISMFVCAPRALSPPTSTSGG LPTFPVEILERHPFTTQTFVPLGLSASSADVRYLVIVAPNLAPSAGGLAAADPAARLP GKNLPDLGKMQAFIARGDQGVTYAPGTWHAPMVVVGEKVGFVVAQFVNAVGEEDCQEV VWNREGDGGEAVIRVAVPGVGGSRL VE01_00952 MTSHRRGPWSQAEDAYLTQLVHTQGPLNWVRIASLINSRSPKQC RERYHQNLKPTLNHEPISPDEGVLIERLVSEIGKRWAEIARRLHGRSDNAVKNWWNGS MNRRRRLVVRRRTSSRAQEDYEEAQEMESYSRHSGHHQPLTITSPYSSGRRHIDQSLP SPVVSEASRAESVSNPPSLVSDSGSRHSTSPRGQPSPIISLPPLAGHSDDRRQSLPLL HFPSSSYNSESDAQNHSFSSRYAQETKFAHRPSHPAPYAPQVNRWYDSAPQQSEYRPS YSDAQPRMQERPVASVQLPPIQSLSRLAVRTPESPANRMNIASVLG VE01_00953 MLPQGHQGPKIVQPFNDTTQDGFSAPLMTFNTPAGPQAFPSQPY IPRSLGPPQYAFAVRLNPHHALPDTGYQSRPGDGSQSRGASEHMLRRKTPNGTLAAGY DGTPVHWSTEPPPTKHVVLPLSSFPREANRKGQEHPYGAAHGQNGHASSSSYQQQPYR SQTSSSTSGAWDTFGGSGVWTRVPPLFLRGQTMGNGANIDYNTTKLAYNGMLVPTVIQ PPFQSCLGPTASNDPGIYGPYYADGRYLAYRPAAVRDTGYHSFNRCSCPIQGVPPCNH VYRGMEPYPISLGHNQLPIGHHLHVDPSKDHTGIMDEFAPHGKTVDHMITGHTAASTN LNQSHSGPSYYQVPFGAGNHHVQFKERVLGWAHHIYVDLLASIHQSRKEAHQERKKQG LQRSYSQTHIYPRPPRQTAFMLRRPSDPAHHSYATSPPYSNDFGQSHDPKHEPGPYRR RSNSLISIMEDKRSFANRAPLLRQNIGSGSSFNSNTRGGDHGNNPPPLYPQSFPQGLP QRGSIKETAKSALEILGNLCQESGWRWIDGMLLGGCLAYGLEDYEKALDWYSKIVALD SSHVEAISNLAATLLSLNRREEAEQHWLQSVKLRPSYFEAVEHLIGLLCGDHRADEAI SIIDFVERSLRLSTFDEQDRESDTSSDGGLSTGSSAYTSEHAFDFDPRPSNHTFSRPL GDDSFKQPGFGSSGYAIAGSDNGRMLALIHAKGNMLYAAGDISGASRAFEDAVLVSVG RRVHGIHGLIRNILNVVSSMDVFNPTARQSTLCMPTTGELMPVLLPPEKALRTAALVF SKHCQLPGLRYISQGAPRTSAISTTSNSLLSLAKIFQDGMTNASQSPMATSRPCGTAD ILALYYLSLSLQPSPSTSNNIGILLAGIPQQISDRTVQMAEADSIPTIPGVKPGSGVA LALAYYNYGLNLDSRHAHLYTNLGSLLKDIGQLSAAIKMYEQAVDCDGNFDIALANLA NAVKDQGRISDAIEYYKRAVASSPNFTEAVCGLANALNSVCDWTGRGGVIFEGAKYDR WHVGEDGMLCDAKTSLTGTGWMKRVVAIVNKQLEDGASWGSGTLNSQVLRSMLQELEI ADTGSQWSNDKASTMLARLSSWVGKPWEGARIVRLIERAIKRAMHRWYTDKYVRRLDF PKSSYARPHLPSSLTVPGAPTVLPFHTFTCPLSAKDIRMISERNAMRISCAALRAPWL PQTVYPPPPPPSPTLNIGYISSDFNNHPLAHLMQSVFGMHDPRKAKAICYATTSSDNS VHRQQIEKEAPVFRDTSGWPSDRLVQQIVKDEIHILVNLNGYTRGAKNEVFAARPAPI QMSFMGFAGTLGAEWCDYLLADETAIPRSTLRPWRRNIDLDDQLRCDESVDKEGWVYS ENIIFCRDTFFCCDHRQSSEDRQLSWAGEQERRWKMRKELFPDLPDDVIILGNFNQLY KIEPTTFRTWLRILAKVPKAVLWLLRFPDLGESNLRRTARLWAGEEVASRILFTDVAP KNLHISRARICDLFLDTPECNAHTTAADILWSSTPLLTLPRYEYKMCSRMAASILKGA LPRSDEGFEAASELIATDEEQYEEFAVKLASGLRYDEYGVGSGRLSDLRRLLYENRCH SALFDTKRWVSDLERAYSEAWRRWVEGTGGDIYL VE01_00954 MPPKVDPTEIKIIHLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVRLTIQNRQAAVSVVPSASSLVIKALKEPPRDRKKEKNIKHSK SITLDEVIEIARTMRFKSLAKTLSGTVKEILGTAFSVGCQVDGRSPKDVSDDIESGEI EIPEE VE01_00955 MAFTPRGRGGGDRGGRGGFGGRGGGDRGGRGGFGDRGGGFGGRG GGRGGRGDSRGGRGGRGAPRGGRGAPRGGGRGGAGARGGAKTIVEPHRHGGIFVARGK EDMLVTKNMAPGESVYGEKRISVENTAGVNDDGTPNTTKTEYRVWNPFRSKLAAGVLG GLDEIFIKPGAKVLYLGAASGTSVSHVADIVGPTGTVFAVEFSHRSGRDLINMATHRT NVIPIIEDARHPLRYRMLVSMVDVIFADVAQPDQARIVGMNAHLFLKVGGGVVISIKA NCIDSTAAPEAVFTREVQKMREEKMKPLEQLTLEPFERDHCVVTAIYKHSAE VE01_00956 MPTCETEDDDSAKPQAPTTPQRSPAVRHPRNGSGDGKAAANKVS GPRNAPVRGKGGGKKPEPTLLADFLLGRPSVDRTRRRSSAVAPPAEFKASAVNKLQPP GGVKYRVKQWQQSSAAAVIEDPAAPTKKPDGGYLSSDVENEQQPPAEPHLAVKPEKRK NASPLPPRARGTPRKRVVSDSHWVRNRKKSPQTKQDPLLNLIVDPAAIKKSLTTKAAD TGKRLPKDFVKSNWANPPLERKIQDWAARIDGHQSTSHSGTTEDVADTLPTSVSTSSA KKAETPVKKAKIPTKAKTPEKLDKSKRLPKDFVKSNWANPPLERKIQDWAERTAKHNE IPDEENPEEQSEDKLDEKPGEKPEEETESISDTPLPAKPTNLKRTPAKKSKPIKPKSS PKEFVENLLAKPPLERKIQGWMGLTDKLNDNMRGEKTETVVYPDLSGPAKTTTLKRGL AGRKSKPKGLPKDFVESNWANPPLERKMQDWAARVNGHDTVPHDEEATELVPDLPTNP SNLNSKSVTSTRSPNGIRKGYVEANSVNPPFERKIQDWVTRTNDSDIAPHEERSKVTP RKVSRHVEDGKSSEVQKSTGEAEPLGVARAKVVRENPLSLPPAPSDIDSAVDIRPMSQ NQLKEETMGKARENNPKRNRSKSKIGRRGAIHGTVRPNAKRFDSVQDQDTTKQGDDTN SQLQSQVDKDRERRLAKEENARRQDRLANNKDPTRSRDLRTLAPLKGAQIDQTRQVKA KEYFDLDLRHSNGQRMACEVELIVRDSDHDAGDILICEVNARRSHYLLFQPLLTEQIS VRRGDMEDDLIVMARGQDGTTDWQECFILKAEHGDVVDEFMVMFSDKPIPPAISRFDP EPLEYDSPGKALMSGALVCPDSPDVPIGEHAGFRDQTPQASPKAVDPFVSGDSHSKGR ADYRLSLTPTQRDRAIEPVSPHTTPTRYRPRPKEPSLATPTKAYPNPASNEKSVSQST SVAPGHASATEPPPPPAHKQTSSPDVATELQSSHSTSYELKHRRSSSPLKHEYQPSDY TTSSSTSYVTDSEDDDSSLESSGDGLTGTEDVVSQLQQVNDAVLPDIRLEPPQTSYCL KFKAHISCWRVPPGRWDDIHLNLCTVVITPGLIEAYPLEAFDALSTDSPNNAKPSGRP LIALVLTPIVMLRQSNPLDIEIHSQPLETSLLKSTHIVRFRSRNRSECMAAYTAANRA RQDNEKYNRLQRDRELNDYDGQAGNEWDERKARYSWFGRKKSYRASVRASSFSGSDGS TFSLGRTAFMALRRMSNGANGRLTPNMSRFEGGGPKSRGSSIFATDSAPSSSPRTRTD SSMAASGTGNTSVFDTNNLIIRVYRAEVASRWLDLGHCRIVIGVPPPGMFPDTRLNYG VLKHVTLTHHTVERPKLLDKHLGITNPPKENKEQVVFFDGVLGARRFTRVNKIGIVMN VEEEVFGPNGEIGMPGAVGGIGARQRKWMFKCSNSTQAGWIFSLLGGGG VE01_00957 MSLKQEIETWVSALANYDNNEFDEALKTFDNISDTSKILFNCGV IHATLGEHGKAVECYQRAVRLDQYLAVAYFQQGVSNFLVGDFEEALANFNDTLLYLRG NNMIDYAQLGLMFKLYSCEVLFNRGLCYIYLQQKEAGMQDFSFAVKEKVVEDHNVIDD AIREEAEGYTVFSIPVGVVYRPNAAKVKNLKTKDYLGKARLVAASDRANAFTGFAGSE IKNAGKVSDAKDDRPAENLSFAAANLVKPGLSSKRQQSEPPLNRNVFPPTPPPESDRS ASGSSSGQLSRGASVRNGVKPVPAKLNIEKALPPQRYEVRDEQPARRPAPARAASESR GPTVRREESRRRDPRDPPPPARRRMSDEEEEDEYPGELYDMYRNSRGSKGRNRPQPRY IEEEDEDGSDYEDDQFDENDFEMVPNRPAAPRSRAPSSSGRGSSRRPDIRKVRVKVHA SEDTRYIMVGTAIEFPDLVDKIREKFVLRKRFKLKIRDDDMPDGDMITMGDQDDLEMA IMSVKTNARRDRVEMGKMDIWVQELS VE01_00958 MSQVNGVTKLAYSRTWHHISASTPHYGLTTIKPLPPVDSPLLEP PTLGRLASRIATILCGKHKPIWDPSTDCGDYVVVTNCSQLRVTGKKMYQKQYYRHNTR PGSLKQINMEGLMEKFGGAEVLRKAVSGMLPKNRLRDDRLARLKAFEGDAHPYKRNIV KFNGVSNVAKWPPASKVEASKIEASS VE01_00959 MRLSLYSISVGLCLFHSVLGDGGSVKFAPAEGTPGDTVTCTSTV FDDADLAAFLEAESIDDCTRVGNNFVFDEGFIFRCGDDLENEILTACQGPINGVSTCK KGAPAGLG VE01_00960 MAAIVEARYGKDNVRVYKVHRDEKSGVQTVTEMTVCALLEGDIE PSYTDADNSVVVATDSIKNTIYIKAKENPVTPPELYASILASHFVDTYKHIHAAHVKV IVHRWTRMTLDGKPHPHSFFRDGNETRNVEVTAREGKGIEVRSSISGLLVLKSTGSQF HGFVRDEYTTLPEVWDRILSTEIDSTWKWNNFESLRSVRASVPKFDKAWAAAREITMK LFAEENSPSVQNTMYKMCEHILKAVPDVDTVEYSLPNKHYFEIDLSFHKGIKNTGADT TVYAPQSGPNGLIKCTVGRKDKLEKGKL VE01_00961 MVGPPSTLGSSATPTQKTLQSQQSTPYLVAQSRTSLDRDGSQHP RSHSGTQVLSSSAMSYGPRGSSLAPLAAPGSFSSELRSQAVSSRASSKAEMGSTIGLE RLEEDVTHMSEQMISVLRENLNREMKIKEGSENMLEALNTKKAKQTKEQRTRVEAELN SSNKRIKDLLSQISELQQKPQSQAPSTPTRTRMAGLFHSTGLRSPASLAKSGATDSND DEPSESPTYVLAEILQALEVEGLAPDYYVGHANNLVELFKRHPTLKYDLVWSIFGLRM QVMLLSESREVVAAGYRMTRHAISDLNSLQKIRSLNTDYLVVLSLIKERKADVEREQA LKFVRAFLEVKDGVHEISRAIVRTIASVAEHSEDRLKAICIETLAEIMIKDPALLVAA GGLRPLTDALGEGGYEACEGLIAAFLYLLDAPQRRKYLRSGFELEVLFTTFTDSLFTR EKLLKQNAKAISSAMKSWPGLLTLSMYDFRAIKSLISSLMLPHQAIRETVVDLLFSLL RIKSPSWSSSFLAGRRLTTYGRVANLKTQPTKATSSFAVEDESAEKNLTDHYTALLLA VLIKSDMIPGLLQITSEPDNPTLKRRATLLLGEVLKLANKLLPPAWSNDLQLLPALFA DASRFGTEERYIATGTVYQMDSVNRTLDRSAPTSNNSIANIVKNSSTDSLDEPQKSVP GQTFDETSFRQVLVDTQVLNSTNYIKWKWDLILKVIEGPLVSGKRLDEAIKASKFIKR IVSFYRPFKYRFAAVRNTGPNQKYIKVGCALIKTLLQSPEGVKYLAENKLLRQIAECL AQCDPASGITAQSPMFSQFWLSETLRSGYFAMIGVLSADQKGLQMMDRWRMINMMYHI LDLKQRPDLIKLLLSNFDYSLHGHPRVLLSKALTGGTKDIRIFATNVLRKYSTRSRSK THGALGVSDSKWAIQLLVTQLYDPEIEVCATAIKILEEACNRKSYLEYIVECRPALDH LGEIGAPLLLRFLSSSIGYHYLDGLDYISNEMDDWFLGRNDAYVAVIEAVLTRAFAEP QEEATGRVSLDEDLFEPDRFENDSRVPPHFYKELARTKEGCKLLSDKGHFDEFSATIR DSGMQTEDPEIMLKVKGCLWAVGNVGSMELGAPFLEESDVVENIVKMAENHQIMSMRG TAFFVLGLISRSVYGLEILSELGWDSNTTSMGESLGLCIPSNLRKLFSFAPWEHMKPS DITLGDTQMVLGDESRKETDPINKRILQLIVDLGNTVLTKKAINELMHIKQRKAPGFK QPELFRKIMTILECHHFRLPIRRFVVDLFDRSVMRRIVLDEESSDDDEEDMDSSDESE AGTERQRSISVPTVPARLR VE01_00962 MSSKFFRTGDDTSSESSSEEEEELYSESEEEEQEASESGSEKED EEESESEDEKAGGKKTGAMAFFRGAGSDEDESTDDEQVHVVKSAKDKRLEELEATSKA IENGKKINDWGSISAEFDKINRQVVKLLQSGSMPKLYIKAIAELEDFMNETIAKQKVT AKKMNATNARGLNAVKQRIKKNNKDYQKDVDAYRADKDAFMESEEEEEVVVVKKPGKA AVSFALDEEPGADDGFHTVGKGGRTLQFTPESILKHLRSIMESRGKKNTDRTEQIKIM EKLYEVATTPYQKIRVLLTLISTRFDLSTGAQTFMSQEQWKAAEQEFGALLTILESNL DLVVVENAEEWEDDEKQPVPVAGEKLKIPGSVVSYIERLDDELTRSLQHIDPHTAEYI DRLSDEGSLYNSIVRTQLYVEVMTKDATLEIPQDNTNRIIMRRLEHVYFKPATVVKIL EENCWKSIPASLKSAITPQTQTPDATALVNVLCNYLFIHSEGIIRARAMLCQIYFLAL HDNYYKARDMMLMSHLQETISNFDVNSQILFNRTLVQVGLCAFRAGLVYEAQNTLQEI CGSGRQKELLAQGVMIQRYNQVSPEQERLERQRQLPFHMHINLELLECVYLTCSMLLE IPLLAQTGSSPDIKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAAGEWQ KATEFIHSIKIWELMSKPDAIKTMLSEQIQEEGLRTYLFTYAPFYDTLSVATLSSMFE LSNRKVAAIVSKMISHEELAAALDQVNSSIIFRKGVELSRLQSLALTLSDKASGLIES NERTLETRTQGTANAFERQGGRGGRGGGRGGQRGGRGGGRGGGPNTQRQEGGTRFTGG ALGAAVRA VE01_00963 MENFVVGIIGMGDMGKMYARQLSDAGWSIRHNFAYNAEHFKQVE ILPNGHLVSRASDYIIYNVEAASIDKVVALYGPSTKQGAIVGGQTSCKSPEIAAFEAH LPKDVDIVSCHSLHGPNVDPKGQPLVLIKHRASQANFDRVEKVLSCLGSQHVYLSATE HDRITADTQAVTHAAFLSMGKAWHANAQFPWEIARYVGGIENVKINLTLRIYSQKWHV YAGLAILNPYAKEQIRQYSQSVTDLFKLMLGGNREEFERRIRAAGAAVFDAQKSHHGI LLEDEVLDRFSLGKKPDEPTPNNHLSLLAMVDCWSRMGIVPYDHMICSTPLFRLWLGV TEYLFRKPALLDDVIRIAIEDNTFRSDDLEFTFAARGWSDCVTFGDFESYKDRFESTR KFFEPRFADATKVGNEMMKTILMNTMRE VE01_00964 MPPKKVARPAQENISLGPQVRDGELVFGVARIFASFNDTFVHVT DLSGRETITRVTGGMKVKADRDESSPYAAMLAAQDVAIRCKELGINALHIKIRATGGN GTKTPGPGAQSALRALARSGMKIGRIEDVTPTPSDSTRRKGGRRGRRL VE01_00965 MASNNKDSSSQNESNHRQQHLTRPIALPLRPLPRSGRSPGTGTA PRADASTGDLSGSPMNSLQLHAGNSREEYGSSQYLQAGDVVIIAGVPPGSFIGYDTVG LNIEKNHHFGGVRELPPGPHFVYGGSMSEISTRNGFWIISNQRQPGEPGEVFVKKWDR NTETLEDEDSAVETRRQKDNIHSSYGTLLPYDVRAAQTPELSKSRGNPAEAHGDDPRT WQDLTFAIKGPMLSRVIGGTWNNWKVTSMDEPKSTDTKPADNQHQMAMGIVPAPNPVD VAIAEEKKLGFIFPAGSKTYSETAIGRARSEQAMDSSSHVRGVIAESCTSDGPNDVLG ELQFCYLTGVLLSNLCCMEQWGHVVKILFKAFRFAVEEAHFFAKVIEVFHSQLVYDDE YLQGSIFDHSNHLQDELKVELTIFKSRLTEQLSSKPDGLSREENELKAAFGRLESWLS KWGWDLGGNYLRVGKIQLEDGEYVDAEMEDLEAEDERGEYAPVMVELDEGGREKGTIG W VE01_00966 MANNGVDGINESVIANQGQPGPTSQQEWDGEHLQEAEKTLKEMY IQLRQLRSTIPNLVASLATKQASPEILFQQLSQAALTANSEVKQFREIMENPQSRQLF EHTSQSRAKNPTGITPWRITDDPDWLKRDS VE01_00967 MASTSQSVQCFGKKKTATAVAHCKAGKGLVKVNGKPLNLIQPEI LRFKLYEPLLIVGLDKFAGVDIRVRVTGGGHTSQIYAIRQAIAKSLVAYYQKFVDEYA KNQLKAAFVAYDRTLLVADPRRTEPKKFGGPGARARYQKSYR VE01_00968 MSRKAVGLAAFDRSRLTSAQFASHGSNLRTTHASSLSTQLSVFR SLLQQFAQTHAKDIRSNPTFRAEFGRMCAAIGVDPLASSSGGGKEGGSLWSQLLGGSV NDFYFELAVRVVEVCGQTREENGGMIPVKECRQRIMKGRMEGAPEITDDDILRAVLSL TPLGSSYSTPKIGSKQYIRSVPKELNTDQSDVLSAAQIMGYVTLSTLVLNLKWSRARA KTAIDDLVAESMLWVDSQCGEWEYWSPGFVLEGVE VE01_00969 MERGASEFSRSGLNTPYTPTFNDASVDSPAEHQSPASYPAQEVR PVNYSASATPTSARSSSFPEHIQRQYYPSSNHSGSSGGMAQPTSPSLPLQDGASNHHA QQIKSDQDVPIDPSIAASSPTYPPHGGPYSAYPPQQEMSHGYPQHPGGPMYAQQPRPD WAGYGQHPQHGMPAPYPSSGVSAPNSAAPSGPRPGQHPLSQVYSFVPIPGAQQHKRPR RRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQSHGQKRTPEEFKEIRKEWKARKKE EDAQRKAEDDRARAAQVPNPADGQNPNDSNQPPPGYQQPGRAQVQLPPIGYQPGAQVP GQYQAPSGGVQQLQEYSNSHMYSGYPASPYGAPSQI VE01_00970 MSYGGGSYGGGGGRGGGGGGGGGYSGYDKYASRDNYSSGYSYGG GGGYGGGGGGGGYGGGGGGGVNGYSGGGGYSGGGGGGYGGGRGGGFGGGGGDRMSNLG DGLQKQSWDPLDLDTMPKFEKSFYKEDPLVTNRSDADVAKFRALHNIAITGTNVPKPV ETFDEAGFPAYVINEVKAQGFPAPTAIQSQGWPMALSGRDVVGIAETGSGKTLTYCLP AIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQQEITKFGKSSRIRNTCVYGGVPKGG QIRDLAKGVEVCIATPGRLIDMLESGKTNLRRVTYLVLDEADRMLDMGFEPQIRKILG QIRPDKQTCMWSATWPKEVRALASDYLNDFIQVNIGSLELSANHRITQIVEVVSEFEK RDKMTKHLEKIMENKENKILIFTGTKRVADDITRFLRQDGWPALSIHGDKQQNERDWV LNEFKTGKSPIMVATDVASRGIDVRNITHVFNYDYPNNSEDYIHRIGRTGRAGQMGTA ITLFTTDNQKQARDLVNVLTEAKQQIDPRLIEMTRYGGGGGGGRYGGGGYRGGRGGGG RGGGRWWEPALVDVDVASQQLNSRDRLSTLDD VE01_00971 MAQGRILVIAGSDSSGGAGLEADQKVIAAHGCYAMTATAALTAQ NTTGVFGIQATPSDFVRKQIDACLDDIPVDVVKIGMLASAETVLVVADALRRHKVKKT VIDPVMVSTSGSHLLPEDAIKIMREQLLPLATILTPNVPEAMLLLSDAGIHAEAPTSV DDLVNIAKTVQSLGPEFVLLKGGHLPLQSNGVMASIESEKEMVVDVLYGAGAFIRIDS VYQESKNTHGTGCSLASAIASNIANGFDINRAVKAACRYVEAGIRTARDIGAGNGPIN HFHSVYALPFAPGRFIEYLLDRPDVKVAWQKHTEHRFLERLADGTLPVELFKNYLIQD YLYLVQYARATALAGYKAKTLDEIAASATVVTHIIHEMNLHVEYCEAFGVSKEQILAT EEDEACTAYTRYVLDVGHSEDWFALQIAMAPCLIGYGVIARRLYDDPLTKREGNIYWK WIENYVADDFAEAVKVGSDTIEKHALLLSPSRLEELVKIFIHATNVSFPLSPEPMNDV TDYLKMETGFWNMGEGQK VE01_00972 MASLPPDIMNMICEELANRRDFGTLFHLCLSGKQMAGGALWWLY RIHTHASIRGGESNEDEMSRTGEFKETSIAARVAQMKLTVSKWALQWRSIILSSLGGT VYPYCLYIQSLDLRNLVDLLEDNIFQDNFQDTFFSSLPPFSETQINTRILRSKGKKRT PINIRLFINLVGDSISKSVGDSANMLGTVAALNAIDLSGNIDPVEFPTWIGRLSRLES MALWDGTVLNRHAGDVIQKRCQSFKSLSIYTCHGEKVDLNLAGFLDALPKNTLKSLHV FSYNDIAGETFQALNQQHRESLVDLTLGNLKGPAIRSLSVLKGLTALINLDLDDAEGR INLESTANDSFLELIGWVTGCVQLKTIRLNRFVDGPAIMRALCLNDKIRLNSITLRGY TFAYNQELHRSLANQTELESLELRAETEEDDDDNIREDIDTLVSSLSSLKELRYLNIL DTSNSFQSLQVELLAVSLPKLEDLSVSGHTMGDEVWGAISQLHQLRSLSFHSMTTFTF DGIMKYLSNLRQSNYGIHLYIMNATAESRLSPQEQTIIKQTIENMVNGRFSFVQYREI ESDYDSESD VE01_00973 MAVLTFTLSPEAVGKMHDALICLGKFNESVSLEATRDHLVLTAL NTTRTGYASFTFATNKFFSKFIYNPPRTSGSTRGKFTCRLYNKALASIFKGRSADPLR EKDTGIERCEVSVEDGAGGVKSRFVAQMICRHGVIKTYQLTFESSSSMHALFKPEMSK SHWSIPSCVLREFIDHFGPKTEQLDIYAKDGRAIFTSYTEKIVAGKEVLKQPLHTSIA IDTTEFSQFNVEDMLHIIISVKDFKAIVQHAGSLDTEVSAAYSYPSKPMQLKYGDEGV TSEFILMTIGDYKATSAVPLPNTIMRNSTSAPSRQHTEDPPVANVVQLPAASMQPPPR SGPPPATLLGHRARALRHSPPLPQPSIDSDSLFVPDDEEDRRWNPSGYGGEDEELLGW DAGTDTDGQLKASSRGPQESYPAVNSIHGSMARKRSASPSNVAPTQRVSQIRGIFDD VE01_00974 MASKFEDASSPRYTLMANLSRIQELVPAQPVEEGSPIKKPKTSR YPSLKGTDPKFRRNHKHALHGTMKALKAAREESA VE01_00975 MSADLLAEFDSYYVAPQKGNSKNASDGSSKPSSGLNDLSFFSSN PATSAQPSHTAQYSSQSTDISDFNTFSGFDAGGSREASRQETQDDGDGWGDFESPIAE PEAVKGTNTQGSTDLFGSGMHSIGSGHGQVTDESRYGAARVTTIPQGNFLPNTSNVAP SSAAGFHTKYTPNPKPSSASSMPASVFPKDPNVLFDADNVSDDDDFGDFETVVTPPQK QWQGGYTNDNSILAGFNDAKNPLPSSSKSRPLNPSLATEIPQSASLHEVIPFAGLDLN GGSTGATKQRDTTAPSGPARPRTGAESFHGSFGKPDMQRESKANKSIQSPPMVKEDTS KHTSGNSWDWDAVEVAPTHEIVQPTKEDSWAWDVAETQPKEDQTSSPSAPPPTNIPPP SVLLTVFPQLLDLSQSSLFQPVSSQPFSLKNRILSDPTTINFIRAYILLATVAGRIIA GRKYRWKRDTHLSQAMKIGPAAAGGKGGMKLVGVDKAEISREDREANEFIRTWQDQVG RLRSAVAIANSSIRDTSGHIIVPEISGSMPVRTATAAEGAVTAPKPCFICGLKRDERI SKVDVQVEDSFGEWWVEHWGHKACRNFWMEHDSKLKHN VE01_00976 MRSLEESRQRWQKTTEGASKVGGLKSLVKADADSICESGLRSSV DRNTWAATSEDSRAAYSALKSHFLRFIERPGDLDSTIDPLNDDDNSPWNTLRRDEQLC VEITQDVERCMPDEPYFRLPETQKTLLHILFIYCKINQDIGYRQGMHELAAPILLAMQ RDALAPIAPEGSVLSDDGDRLMFTTLDASFIEHDSFTLFNLIMRTAKSFYELGEPDKR LNAGSTSSSQYGSSPIVQRSKQIHEVLLAQVDPELALHLTQIEILPQIFIIRWIRLLF GREFPFEDLLALWDRLFAEDPDLELIDMICVSMLLRIRWQLLDADYSVALTLLLKYPH PTPPYGPQTFVEDAIYLRENLNQPAGAKLINKYTGRAPVPPSSRPVPATPAGRAKPHR AESRFAGRKSPLPSPARFLQDQGGVEALLQGAAKGVFDRGERLGINQVVRDAVDEVKR NMQGLSPGPGSPRRFPRSNALSVDEVVTETGKSLADLEVRNKTLALLLNGTIEEIQKA SDSTEDASTKAISINNGIEKLRFIMLRLEDSSLPLEPDTPRAAPDARVTATIEPEEKR QVQASNVATPISPLKDIETTNLSGETPPPTAGSSPTLGRTIPRRPRTSVSQPLEGLDA GPGKPGQPATGIPARSTLAQSSFAWMLGPDEHSVPSDQPALMKSSSPFLSSGRKPGSS TSRERSAFLFGDDADEDDVNSPASPKRAGSKGDFGLEAMKRTDT VE01_00977 MSQQDTRDQSGAEDVVDAMDRLNVDGERLGPDGEPAPKTEEDYA IAQLTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVQGVHDRILTITGGCD AISKAYAIVAKALLEGAPMMGMGGLVSTTGTHPIKLLISHNQMGTIIGRQGLKIKHIQ DVSGVRMVAQKEMLPQSTERIVEVQGTPEGIQKAIWEICKCLIDDWERGTGTVLYNPV VRTQTGGGQGGVRNEGREYSSPRVMRTGNGADFSQEAPRTFNRRSDGETAGRGVPTHD ENGEEIQTQNISIPSDMVGCIIGRGGSKISEIRKTSGARISIAKAPHDDTGERMFTIM GSAKANETALYLLYENLEGEKMRRSQGNAQE VE01_00978 MSSTGEQALADQHQDAPPPQSRKSGAGGGSGGGASRGGKGRSGG GGGQSREVQVSKALSKLLRHDAVKAGLELDDEGFAGVGEVLQWNRLKSLKVTFADILT AVSDNSKQRFALKPNPRLVPLPEPTSTIPSDWLIRANQGHSIAVDSSALLTPITLEAD NVPPVVVHGTYYAFYPSIVASGGLKKMSRNHIHFSTGLPEDKGGVISGMRNDAEVLIY VDVRKSLEEGGTSWWVSDNGVVLSEGDGEGVVGTGVWKRVEGRKKEEVGVLWEEGKEV AELPEEFRGRKGPGGKGMNRGEVGGGRGKGKGKGRGRGKGREEKGEEAGEGVACPLEQ EDTPE VE01_00979 MDCDEPHYPDKSSNLHAHRLDHTPSKLPAFRFADLQRSHTLPDS SLTAPATTPRSHINRSVSDTAVQRITTKSQTTSPREQERALANSTEPRTTSYPPNSSS KVQEPRRGRRPPASRSSNGIETHTGPPPALSTQHSFPPESPVRPPNTSTTQWALAQQK LTLGNLQSTLPAAGPEPTKDSRTPQRKKTVSLPTTETRPRIPPIRSFRSSATRTSLGM DSRPYYQYDGADDREDRDQTLRALEGYEDNSRRDTFSREQEYRDQTRNNGGTEDLFLD LALDDLSHGYPQDQPTTTTNRRTSRIALPNNRSSLPPLTNSYPNPMPRRGSDLQSVST SYSSRGNEGTPPSQRTDRQGYNAYSHGRAASSPASPLDLNKSRYPPSATRTTPVTPRV FNTREPTYDAQSSASGRRPSIPESTGGSQVRGHTYRQSNLSSFSTPRIYNSSPLVGRM AEPEITPEPATVTGSTDDGTASTTAPSTVWDELDDLKSRIRKLELTGKPPATSGAAVS RATGERPPTATTTATTISTSPKRGRGASTSIVDNSGDAAHAGEAHPLLHAALAKSKTV LTPDLYKALENAASDALGVASMVGSVGQPISSGHSVIGPNGGSIADRQLRRKAESMCR SLTELCIALSDTTSQLGAATPVRPMSRGKELQAQVEEMEPSPRQIIASNDALARAKQS PSRALSRMEARRSSLLATSALPSPRFTIPDNAIPSPRFTPAETTTPTQSSSLAGRRTS IMLRRQRAGTEERETDDGGGDVKPRAPSRATTEIGFARNSPREYTSQQPLPERAPSTI SNLPARRQYFSNLSPSSTIPTLSSARRYLDRSTPERDTSSVAGRLAEERGQRLPVSVT QSMDAGINRTRSMVNPSGRVRARSSVAGGAVAQTP VE01_00980 MTATSTPSSESGIANKLLEHVIRTPGRIPSPQPAHLSLNSSNGH RVLRSATVGYIAPPFEGKEAQINEVKRLITEGSWIPADHIDAQVEWFYKDLGIDDVYF QTETPEAIKSHITSLYAAKVAASAREDKRQEIRLDMEATDHAIYIDTSEPGISNTGGP RYEQRLEAKYLDGGDKNRRFRVETFRSPAKIHPSSAATMRCYFVYQCQFVDSNPDPLD TRLHVIGDRGFLAKATKNTMQIYQNIIEMAVARTGPVIEAFDIEASQEKRLVVAFRQR RAMGLFCALSDLYHYYGVTSSRKYVEQFSNGITVISIYLKPTASALEPGSKYPPIDTS IRHIVREISLLYCIPQNKFQNMFAVGRLSLQETIYGHCVWVFVQHFLNRLGSEYASLV SALDSTNGAHAEVLSNIKRRLRTETFTAAYILEIIGQYPDLVRSLYSSFADTHFHAGL ANEESIPTTPVDVLGDKALEDLISRTVNNEHEQLVMDAFRTFNKSVLKTNFFTPTKVA LSFRMDPSFLPAIEYPQPLYGMFLVISSEARGFHLRFRDISRGGIRIVKSRNREAFAI NARRMFDENYGLANTQQRKNKDIPEGGSKGVILLDPQQQDKGSVAFEKYIDSIMDLLL PPVSPGIKDPIVDLYGKQEILFMGPDENTAELVDWATEHARKRGAPWWKSFFTGKSPK LGGIPHDHYGMTTLSVREYVTGIYRKLNLDPSTVRKMQTGGPDGDLGSNEILLSNEKY TSIVDGAGVLVDPNGINHAELLRLAKKRVMISEFDITKLSKDGYRILLDETDVTLPSG EVIPNGMTFRNTFHLRHTGLTDIFVPCGGRPESIDLVSCNKLIQDGKSLIPYIVEGAN LFIAQDAKLRLEAAGCILYKDASANKGGVTSSSLEVLASLSFDDEGFLKHMCVGADGE APAFYKAYVAEVQEVIKRNARLEFEAIWRENAETGVARSVLSDTLSIAITKLDEELQN TELWHNEPLRRSVLKDALPKLLLDKIGLDAIIERVPDNYLRSIFGSYLASRFVYEFGT STSQFAFFDFMSKRLAKLEAY VE01_00981 MAESTPTTNGQATAAHDSREYAEYLTAQDPLKHLRAEFFIPSKA DLARETLPGKDLTPPSHDDESVYLCGNSLGLQPRRVSQRLQQYLSTWATQGVQGHFKA LTDSPLPAWLHADDAAAKAMAPIVGAAPAEIAVMETLTANLHFILSAFYRPQKEGRHK IIIESKAFPSDHFAVESQIRHHNLHPSTSMITIDPPTGTSLLPTSHILATIDEHASTT AVLLLPGIQFYTGQLLDIKTITAYAQAKGIFVVWDLAHAVGNVPLQLHDWNVDAAAWC TYKYLNSGPGAIGGMFVHERNSRVTTTTTSSNGATNGEKKETEEKEFHPRLAGWWGSD KKTRFAMTNDFSPIPGAGGFQVSNPSTNDLTTVCASLEVFNLTSIAELRKKSLGLTAY LEDRLLALQKKSGGFKIITPSNPEERGAQLSVQLEEGLLEGVMEELVKGGVIVDERMP DVIRVAPAPIYNNAVDVWRFMDEFEKALGSVREGKVGGGGGGVEKVVTN VE01_00982 MGIYAIGSNGSGQLGIGHEEDVSVPKDAQFHASFPPTSGGPMTI RGGGNHTLLLTPTGMLLSSGAITHGARGRYFLPPTTTRSFSPVLLSFSASAEAEAQVP PDSDELWGPDAFKSAEADIKANPKVTHCAAMWESSVVVAADAAGKARDVYTFGMGQKG ELGQGPLIIRSPRPELLPNFPPPDTEIVDLAACMGHVIAVLDNGDVYGWGNGRKGQLG ELAEVVHEPRKIEGITFPVTRAVCGREFTYLLGAKGGGESAFLGKDKWDVKPPADADI KGWIDVGAGWGNIVLLKDNGNVLSWGRNDHGQCAPPDLPPVDKIAVGSEHTLALTRDG DVLAWGWGEHGNCGPGKEDVRVKDNVVASSKHLPEGSSIVSIGAGCATSWVCVLDG VE01_00983 MPSDNLSTPTQEQILVPETLLKKRKSQEKAREERSAELEKKKKA QKEKRGVIFKRAEKYVKEYRDAEREKIRLARLSKQDGSYYVPAEDKLVFVVRIKGINK IAPKPRKILQLLRLLQINNGVFIRLTKATLEMLKIVEPWIAYGYANLKTVRELIYKRG YGKVDKQRIALTDNAIVEANLGKYGIVCMEDLIHEIYTVGPNFKQASNFLWPFKLSNP TGGFRTRKFRHFVEGGDLGNREDKINALIRQMN VE01_00984 MATHILPKMSLRRCTAAATASTAPAARTFFQLAHQRGGPPMLDF LLPSIPTIAPRSIARQAPRSLSKLSSSRSFTASALRAATAIYNPRKDDDGNDMTIEIT PRAANRLSQIIARDQNPRLALRITVESGGCHGFQYQMSLTNVPAIDASTSQPVAIEDA VANDKNAPALDEDDTLFEGEQGAKVVMDGPSLELLKGSKVDFTTELIGSQFKIADNPL ATSSCGCGTSFDVKM VE01_00985 MRHLTALLLLSNAASSIAASEPARPRGVGPDFAKHYKGSKDTFA CITAPSVVIPFSSVNDDYCDCPDGSDEPGTSACTYLSSLSPIQPIPGTSSGTSNTTLA LPGYYCKNKGHQPGYIPSSYVNDGVCDYDLCCDGSDEWAGVGGVVCEDRCAAMGKEWR KKEDERVRSARASLLKRGELLKQAETLRIGIQQRIDQLEGEVVTLQTKEEEARRRLED VEWSERSKVIKGASEKASRVTVLAKLAKARVEELRNSLTSLIEKRNAANSKVQKLESI LEAFKVEYNPNFNDEGVKRAVKAWEDYVAEKDTNSDFDASGFEKDLEEIGKPDSEEQG INWAEWEKEEEESDVEALYSFEAYLPPSLRTWVHDRVRSFRRTLVDNGLLADTTGPAD SESRAVTEARNAYNNIKSDGESRRSELEDKKNDLKADYGPQDVFRALKGKCVDIDSGE YTYELCWMDKSTQKSKKNGGSTQLGTFRRFDTVEVDEDVGADGRGLGVGERLSMVFED GAQCWNGPKRSTIVVMACREKDEVWRVVEAEKCVYRMEVGTPAVCEDSAGEQRKPVKD EL VE01_00986 MAAEAAKNLQGNRTRPMSIVPAIPLPYVQKRKLAGAPRKEETEV ASDATADSRTSTSNDSSATVEACHSTDTNGTSDQETKQKVDTVYSAASPLTFEVEENQ ALDLPDNNLRALGQEHTEHEVTTEGYDSHVAQPGQTTQEDKTQVEKVTRQVDPVPTSS DKQPSASKSSYNMPPAQFVPGQHTLPNRPALDTEAPTYTKFQVSGGPHPMHHTHPSQG SMVFGGYSDSNNSSPAPPLSSAGMSQYPYPPPPPTNGHMHHLSNGFQVPPPPGFGFQQ QHGGHIVHSPAMDGFSRRHPPPFPHPEGYSPSATPGINDNRRQQFYDPSTSRSLRSHS SAPHEHDPRPTQFPNPNATSRATNGSNGHTDDGKLYYQHQMSGRGGSGLHGVVPHPSV DNLDGLLGYIQGQFADPTFADYTLEVRYTDDRAKPMRIPGHNLLFARSPTLKRLMIAQ ANDGSSESSSRTILIETADRFLSSDGFWIALQRLYGAPLHSLDTPPTPGRSIEAQNLA TSNPASFHRFDLALGYAAAGHILQIPPVLSRGIEVACHLVNWLTLEKALNFALDGGLS SQWTLDNNFNGICPSTYGPAVDMLIHSALGFLIANFPPHLDFDVTASEPAFNSRLPVL SKAKVSKHNPRLSSIKFGDHPMEEPILPALKNANPASLISGILINLPFQLLKYVLEAP SLGSHDWATSTMRQTVMHEVINEREKRRIRVLNDRSASNDVRMSNSKEWQTVGWQEGV ETEAPREMPTLTRTWVDFKLPE VE01_00987 MASSQIELHRFEDSHQTSGVWQDQSHTASDAEAELESPNSPTPH EFSLPPVDGGKDAWLFLAACFVIEALVWGFPFTYGVFQDYYATHEPFAGSRNIAVIGT CAMGIMYLDLPIIFAVLQIWPRFRPYCTGVGLFIMCLALALSSFATTTTHLIASQGIF YAIGGSLAYSPCIIYMDEWFVKRKGLAFGIMWAGTGLAGVILPLAMQVFLEKYGYKLT LRAWAVALFVFTAPLLYFVKPRVPLSQSTQSRRIDFSFLNTKIFGILQACNVIEALGF FLPSIYLPSYARNIGASSVESALTVVIFNVASVFGCIAMGSIVDKYHVTTCIVISTIG STIGIFVIWGFSVSLAPLYVFCIIYGLFAGSFTSTYPGIMRAVQKKKNSAEPVMVFAC LAAGRGIGNVVSGPLSEILIRGMPWKGQGGFGYGSGYGPLIVFTGVTAFFGGASVLGR RVGWV VE01_00988 MAPLETLLSIFKRRLKPDALESSSLSKLPPELLAHIATFLPAAS AASFALCCTPVYALLAIPYLKCKRGHHPFKTSEFLSLLERDLKDYIACYHCAKLHTIK FDRKHILDSSRCDSRVSHLIDKYIGFKFSHVVFQMAMKRHRQGSYSCWPLLRLLDTDK FSTKQHDFRETSSVKIANGTLIARHRSTFIIHRGNDGKFSKYWVTFICPHFSYVRGRV IHLPNGKAEYRMFHKSKGNEVEYGPGVAKCDCCAHGGEDDVSTTCSGLIQCDYCATEF RVDSMAVGRRKEGRAFIITRWKNLGEGKSVDDPSWSAHVTEGEVVQTHFVAGSICAAF EGKEEVELASLLTRMERTRLLKFRC VE01_00989 MSLELYVLDFGVYPRRVLIYLGEKGLLDSGMIKITPVDMQTGAP GKPEGTTPILRLPDGSFIKQSLAILEYFEDICDNPRGEWQRKLASYSRQPSMRGDSAE DRARMRSILGLSDEAMAHYGFACHKGSRLFETLEPSSPEGAKMAMEWTRKNLKLIEKY YDGDVRFETGGGASTIADCVLFSLLQFSNNLYNLDLLEDPQLPALKRFYDNFKKRKST EVPSDLYPSQYTQLASQWIF VE01_00990 MSNSPTPSLVKNGKEDFHHVEGLTSPNSHINVGDDYANNVNAKI RNPLLGISKPDLLAQVTAFCKEHDLEDKTSVFRKGSLLAQHPESFEDIEELDEEDKIT IREEKTHKWRLPWALYYTVAICSLGSAIQGWDNTGANGANLSFPKEFGIENNTWLVGF VNAAPGISGLMSTWVADPMNNWVGRRGVIFITGLFVIFPVLGQAFTHNWWSLLICRLL MGFGMGIKTTTIPIMTSEIVPAAIRGGLVMSFQLFVAFGILVGFCSNLVFYRIGPLAW RFQLAAAFAPAVPIVILIWFCPESPRWLLKKHRYKDSFRSFCRLRNSEVIAARDLYYA HCQFVEEEEAFKGTTLAQRAKEIFTIPRIRRANLGGAIVMVAQQFSGINIMSFYSSTI FKEAGSNTLETLLASFGFGLVNFIFAFPAIWTIDTFGRRNLLLFTFPNMAWCLVAAGC CFLIPAENSARLPLIAFFVYLFTALYSPGIGPVPNVYASECFPLSHREIGVAFSIFIN NTLSSILGLTFPSILSGMGPTGAFGFYAGLNMVAFVIIFFFLPETKQRTLEELDYIFG VPTRRHAAYQTRTWLPWFIKRYILFQSNARLEPLYHLEGLSGGTTVEVMAIH VE01_00991 MGSNSITWLEKLEEQLNVDVDSMDPTFAKSLPFKPHNQTSNQLL VNEQMSIPENKELFLKAVKERKEQGWEAILTRISVLLCAENIDNIQGRVLLQTSPFHA YDTDKVVEHARAYAREFESVGISKDRFCIKIPCTGPAMNAGPILLKDGIRTLGTSLFG LPQAIASSQAGCLYISPYYNEVRAHAELALWPDVEDPATQHTMSARMIQILETYKRLY KETGKEQPMVKSASFISPKEAMAAGEMGCHHATISSDVLTQLSKLDYDGSKQPGEGVP KPMHAYKGAGPTPARLLKLAKTDPLAAANWDGKLASTDIDYLANNGAELEKAIEADPV TKTRLFEALDLFKGGELRSKAAIEEAMKQV VE01_00992 MALVNDVTGVPGRAGISDQPLLAKDGKRKSKKRVRAFTSEDRAS HRSIEKQRREALNQSFLELARLLPPLAHARRLSKSLIVNESISYLNEQRAIRLAAASE IRDLLADYNSLITQLNALQYLHVPGESQQLPHRITTKALSAFMDAEREEFGTFPGGFG DNGPYDDVEGDQGREIDYFVTQPDNSDFTTVLENPTNGLVDTTPPLPNSIPMQLPLNT LQTNTDDPRTQQRELNPLQYSSMPNSLATGDLTSLNSELLDNLLSDNEWPLDMLFEPT FHTNTGSTQK VE01_00993 MAGQSKEAPIPPWGLAVAGATGAVLANALVYPLDIVKTRIQVQV KRKATDAPPSAGDPAHYDSTWDAITKIVDSDGYAGLYAGIQGALIGVASTNFAYFYWY SVVRTLYMSSRKDTAAPGTLVELSLGAVAGSVAQIFTIPVAVVTTRQQTQSKGEQKGM IDTGREVINSEDGWTGLWRGLKASLVLVVNPAITYGAYQRLKDVMYPGKANLRPMEAF LLGAMSKSLATIATQPLIVAKVGLQSKPPPSRQGKPFKSFVEVMEFIVKNEGLLGLFK GIGPQITKGLLVQGLLMMTKERMELLFVLLFRYIRKMRSEQLQKAAALVAAKAPLIK VE01_00994 MRYTFAAAALAAATLTTSVNGSAMPQAGSSGCQPSFEGSFQIKV VSGSTPATPRMMKRAQCGSSGSLVATLKDGVLTDAQGRIGSIVSNHQFQFDPAPGQVN AITTSGFSVCNNKLGLRGSTNFFQCRSGNFFNLYDESIAPQCEPITIDIISCASGGDP PGDPPVSQVGDGQPQSGAGQQLGDGQVQNPAVAQQGGAAGEAGEGQIQSPGAQQGGAA GEAGEGQIQSPGAQQGGSGTQPGAPSGGTSGTQPGAGSGSGGGASPSGGGASGGAPTC ASCAGGSQPSGSGAGGGGGGASGGQQPAGNNAGGGGASGGGQPSGSGTGGGAAQQIND GQLQSGGGGGGASNEAVEGQIQSPARF VE01_00995 MKVTQLYIYPVKSLRGIALSSATVVGTGLQYDRTFMLLRVEGGD NEPRKLNNMHVSRLTEMALFKTALVETSDGDGDSLEEFTVTYAGPSSSLPPTTITIPF VPDTENLEMVDVVMYNSPTRAYDMGAEYNDWFSAQFGYEVVLAYIGTMRRKVLGSLWP SAAESAVASTARSVSRYLSSYLPASIVAKGEEWRPPGITFADIAMFLVVTEESLAETS SRLPEGMEMDIRKFRPNIVLSGAEGAWYEDLWGQINIENKDGVEVELALTANCARCAS INIDYSTGKQALGEKGTMLKKLMPDRRVDKGNKYSPIFGRYGYLGKGAGSNVSIGDDV SVVKRLEEPATFDWKTK VE01_00996 MPPPVKKRTLLWDWTSVRDSIPLPVIPTNSPICACHNWNTWAPP DLPAHVPFRPMFRTVGQLQFPEFEYALSQPYPIMHFLNEPERADLTPERACELWFEKI VPLRQEKGTKIVGPAAANDHPGTVWLDTFMALVTARDSRERPDFLGLHYYGTIAAEAI GYLTDRHRKYPDLPVNISEIASISRDRRQVEKFSREIAEWADRTEWVVEYGFFGMMQE CADEFVSPQAQLMDKKGQLTGLGRWVVGV VE01_00997 MAASSAPGREDAIMAVEPGHVVRPGSRASSSNSTDQDGSFARNR TRTSAASGRAPAWWKVRLFRGMVEDVRRRAPFYWSDWTDAWDYRVVPATVYMYFANIL PALAFSLDMFTKTDMSYGVNEVLLASVLGSIVFAVLAAQPLVIVGVTGPITVFNYTVY DIITPTGTNFFAFMCWVCLWSLVMHWALAFTNACNGLRYVTRFSCDIFGFYVAFIYIQ KGIQVLTHQGYDEAFYLSVMVSLLVLGFAYGCGIVGESTMFQHYIRVFVKDYGTPLTI VFFTGFVHIGRMDGVQLQTLPTSKAFFPTADRGWLIHFWDISVSDVFLAIPFAILLTI LFYFDHNVSSLIAQGSEFPLRKPAGFHWDLFLLGLTTGVSGLLGIPAPNGLIPQAPFH TASLCVTKIVPASEDNDSKGHPTAVIDHVVEQRVSNLAQGLLTLGTMTGPLLIVIHLI PRGVLAGLFLVMGVQALEANGITLKILFLLRDRALTPASDPLRRVSKRALYYFVSLQL LGFAATFAITQTIAAVGFPVFIFALVPLRTWAMPKWFTSEELGLMDGPTASEFTMVSV GGNFGEGDGEGGGSGVMASAEDTAVSSDEELAERGQRVGAVATRDFGDKAHLGGGERV QHHGVVGEEMEMRRLSGAARRRSTASRQGARAVDIDR VE01_00998 MSMLLRTPGRIARLGVAAATTRIAARHGLGRRGAVPLSARMVAA RGFTSGSSWMKMEEGGKKKVEKENGDKEAGEKKVEKGEVKKVEEGVEPPTPGPEKEMD LGFLHGSLAHGQAAENAQKLMRNGIVMLSPEDAVALEGITEELKEGFSFPKSWSSEVS ARLLKEKGYPKALLGILEKHKGGEPLSTQEAAIMLNLAKIVIELEAKGKSLSEFAPPA GQEEPIEAPPPPGGNKSGKQEGQQGQQGGGQGGPKSFELKLDFNTAMLGAFTTYMLYR MVVPGENSRDITWQEFRTTFLEKGLVEKLVVVNENRVRVVLHREATARAYPESPAAQP NFHYYFTIGSVQSFEQHLEEAQNQLAIPSRERIPVSYTKEGSLVDLAISLGPTLLIVG VTIFMIRRMAGGASGGGGQGGMFGMGKSRAKKFNHETDIKVKFADVAGMDEAKLEIME FVSFLKTPERYSRLGAKIPRGAILSGPPGTGKTLLAKATAGESGVPFFSVSGSEFMEM FVGVGPSRVRDLFAMARKTTPCIIFIDEIDAIGKSREKSGFGGGNEERESTLNQILTE MDGFNTKDQVVVLAGTNRPDVLDKALMRPGRFDRHITIDRPTMVGREQIFRVHLKKIV TNEDIDYLTGRLSTLTPGFSGADIANCCNEAALIAARTNAESVMMTHFEQAIERVIGG LEKKSLVLDPEEKKVVAYHEAGHAICGWYFKYADPLLKVSIIPRGQGALGYAQYLPTG DRYLMNVNQLMDRMAMTLGGRVSEELHFPTVTSGASDDFDKVTKMATAMVTKWGMSPK LGPLHFADDPNKLTKPFAESTAQTIDAEVRRIIDEAYTQCSDLLKAKKDEVGLIAEEL LKKEVLGREDMVRLLGKRPFEDHKSFEKYFGTGDKKSAPPPFPDEVKDVPPEGPTPTT PA VE01_00999 MSPNPNHHTYPMHASAHLNHDPPPQIFGSYAADGSPILTGLEGM GLTSGMFGDAGTLLDDSNEAKRRRIARACDMCRKKKIKCDGQMPACSHCTNYKTECVF TQVEKKRSPPKGAKYIEGLENRLGRMENLLRLSGLLNEDDGGSTDLGMLEKRLASRES AKRDASHFSDSIPTSPSQATTLRGTPGAEGESDSPNSVPTPGTMGGSERRVGEKTAEE VEELSDLMCSLVTNDQGETRYIGSSSGFSIFSPKGIQWVNEKTGDQSFQTMIAEATVD DNKWVYWKPEVFGDIFERRIYLQLPQKAEALSLLKDFFENFNCMFPLFHQPTFMHLVE RQYSRDPYDGSGWWASLNIALAIAHRLRVMSNLVPEEEDQKAWGYLKNAMAVYTELTM RNTDLLSVQALLGMALFMQGTPNPQPSFLLVASAIRLSHSIGLHKRGSGFNLNPIEIE QRKRVFWIGYMLDKDICLRFGRPPVQDDDDMNVELPSADPEDNIGNIPLADGKGKVNL FRLMCEFSTIVSKVYTQLYSTRASKQSDGELLNTIGELDQQLEEWKDSIPIDFRPEHE IKASHTPLILHVVVLHFGYYNCLTTIHRMSIHHGYWTSRLSNFAIQGLNSRPLNPRVF SSAALCVSSARASIHLVKYVPQGDFSCVWLILYYPVSALMTLFGNILQNPQDPRARSD VRLMNLVVNFLSMLAGEDENTGVKRMLGVCAEFERIARVVLDKADRDTTTRRKRKELD EVLPNLSVNRPPIARPPPSSSAGQTPPQFRYGDVTSYSAADGRGGGQAQDMSWMSDAG FHEIATDFEHLSNAAAAAAAAANGGGGGGVPFPDMSRYLSGADPHSPINPQSFQQPFV PPDLWNMPMTLEWDWADLTGGGVGMGDGAGGAGVPGAVER VE01_01000 MADTDEAPSFLQDALAETVHREHTEHIDNRGNRHTHARFHQRRA HVHDAAHVHDAAHVHERQDAAQASTPPNKTVTAVVQTVSVVRQVAVDGEGNTISESVA TYTANTGSVNGDTRATAAPGAPPPNGDATGDSTTAAPAVPVPVPSDTASATSQPTGPP SSDLPTDVPTNIPSSTDTPTDIPSSTVEPVPPPPPITPFPETTATPLPADVPSFSSLT PVNGTVAAGKSAHGVPAFASLHNNTATSHTLSGLAATSAHHKNGNGVYISVYTNAYGD LVTTTYLSSAGATATATGVAYGAPGSGTGSGTGTGSSSGDEALGASNGDSNDPPPTPV VVGSVVGSLAGVTLIAFLVMLLLRWKRRQQGGVQLGNGRDLTSPDPSSGAATTGPMSM VRRASLFTVPAALAVLTGQNRKSQATHSTADSHRSFVRVSGRKLPSVLTSGGNGYEDP FSDRQQDPFADPHLSDTSFYRDSRGFYGGTGYPASPTSAGLPSSPLNDRAPRSPGTGY QAHISADSRTEPLVSINASPPLPMPDALGRSHPSRDGSRNSRFTEEV VE01_01001 MATDQKYTYVPPTEYAGSSQEPTAGSSLPRVPPPLPPRKASTQV AVPPPYSLYDVDRAELASETWVHDPRSSPMESISPSELREGQRTLLLIYIHGFMGNET SFQSLPAHVHNILTVALAESHVVHTKIYPRYKSRNSIEVARDNFSEWLGPHENSNTDI VLLGHSMGGLLAAEVALMPSLDLSHGGQFKHRVLGTISFDTPFLGMHPGLVVSGIGSL FRPAPPPPGSAQSTRESSTGTDTTDSGSISSMPTTAASLTTVSSADTPLTLSTSNNSS IHTATTNDPYYNPPFANDINIKERSGWNSLLHFANKHVDGLTSATKQYFLSHIEFGGC LADFSGLKNRYSRLRHLEDVDDTTEAALASDARRIRFVNYYTASTGLPKRQKVQQVKA KDDDGNIVSVETATENLSLEESVKATTDTPAELAAPSGLDGPGAAIISVEETGNAAHT EAKAPTSQTHISEVAPEQDDDDYEAQPMQHIDSMPIQGDDEDSQDDDFHDAHEDTHQA TADVTTATPPTPDAPTRTPPTPDVPTSPSPIPDITTSTGDPALPPIPPVPEEPTPLDL TLYTDKDSRKLAEKEHKRILKIYQAAVKHRESALKDRRKLTEKREKKAAQEREKTHKT EEKKRLQGEEKRMKDEENRMKEEERKRLADAKEAELRAVTVNPSGPAHGADARAGSVS EGAEAPPQKKKKDKRFCLLPGDLERSSDGLDKCWVRVYMEGVDEVGAHCGLFFPGPQY AALLGDTTERIQGWVNDDATRRAILDLHSLD VE01_01002 METRALHHLVRRTAPRFSALPRHTRHHSTNTPSSPEWPQAKPLG PYYESLLSHPLSGAFTADPHPPPPPTSPPKSMKEENIARARVVFGSRLAGPAERRAEL ASKSELVAGVLVPPKPEEPDNCCMSGCVNCVWDQFGEEVEEWAAAKARAERAVGREVG VGMGGKGVKGGEEATSMDDDGGGSETNWDEGLSGEVFKGVPVGILEFMKQEKRLKLKH AKEGSEGG VE01_01003 MSQSPSKRRKLSPEVGAPSTPSRIPGPRGTERATTPVRGTPGRG PSASPSKRAASVSRQAAQDVGSQATESAEVPGIIGQGAQTGEVETTTPRRTRRTPGGG MTAAPRRRSQSPQKQDPKPATAAGGADEQNPFQKKGLRRSPIPTTGPAGGTDEQNPFQ KKGGLRRSPIPEAGAAEVQTQTGETQEVPVVARPTTPTPKSTTPQSIPPARVVTTEIM DPFKKGGLRRSDIASPAPVSVTAPIEPTEPTDPFKKSGLRRSDVASPAPTPTAPTAPA APVSTVATVAPVAPVARVATTEPMDPFKKGGLRRSDIASPAPAAAPTESTEPADPFKK SGLRRSDVTSPAPVVAAVPTEPTEPTNPFKKSGLRRSDVASPAPVAPTEPADPFKKGG LRRSNVAPTAPANDTPAEKPGTEETPTENTPTERAVTEETLQNTQSTAPPNPPVQEPT PEPQPQPQPQPEPQAELEPEPELPPTPTQLGIPDPVVTTEPTGIHNTPSKRPKRPRST TLKSSPLKPRDPPPTQPPPPAVAATASVSASASTSAPPPSAFPLKPKTKPTTTTTTTT SEPPSKRRKQSPPPSRNLLPPDPYAAKKRRRAALLAEVAQLEADLALAEAENARIRRH HEAGRREVPAPKNEDAIFDLLLRAARPRVPPGEEERPRKRSVMMNVGAFLPFAKKRRT PVVSKQVVKEGELPSYGPVEVEDPMPYLSVFSPLGFTSTTTILPSVGESGAVLQRRDV VAKAPGGLFHARVGVVVDTASLTVVAVDVDGLDGNAEPEIGRWMRERAGEGVLGRDVN AVFYGMGQWVEGAAKRARFWCQIGEEFAGGGRGKGKEKRRKGKGREGEDVELDEGVGA GKWTAKDLLPHMRRTALVLPVEDVEVMVEWRIALDWTGEAEHAISASARVPRGWHEQD ERRSLTRVPEMFRKLVESRGPVVAVRTVVAGLLG VE01_01004 MTKAQQTISLALLVSSLYLSLYLELVPLPALIQSEIIPVLPFWA LVSFGSYLLFKLGLGVFTFNDVPAAHAELMLEIDEARADLRKKGVDVD VE01_01005 MHGYDAGMVGDMAGILGPSSTYAAANANAPSPFAIYLAEDESLQ AGQQQDQEPDALMDAVLKLFSLDESDPLANGGDEDEEEDVVVDLEDQQLRTDRLRGIT LSLDQLWWSGQVYMAAAAEKLADGSRDYRWRIPMGNSGLVDAFLMIVATEGIQNSLMI HVLRLIGNTCADTDENRARVVSRGSLQAIIALLKDKSLVPFVVPVLFNICFDYEPAQK QASEFNLSKALVQFITDPDFEEHRPFLGYACKLLDMVVVQPNEPSLAPENTVEVLLNL AVSGKSPLDLEDFISVVSSATKYLQHPRFQVALCNSMALYAALRLVLLSYTRLEDSMG EAAAPDEEDTKRLAVWRTEMNQVLSDISALPEFAARCPVNSTMSSYLRKWLSSPQLQL QVCACIMLGNLARSDEVCEEFVQKSRIHKPLMDILTTATDSQVLYAAIGFLKNLALPA RNKVELGNADLIEILPRLWAMDTLPQIQYSAISLARQLLIGNFPNVLRISAPLSADPD SPANEKSRLSVLISIFGRSDAEPVRMEIARALAAVCRVLAARTPAPGTDAVTLQTRRR ELFERHRDIGTPLSFLVSQTKWPVVRSEGWFVLALLARTEEGVQCVADIMHEIDVFTP LMDLMTGKTFIERMSSPMTSPTEATSSLLAELMAAKDGSGAAAGGAAAVGTTKEAEMA RIDRENALVLVSELLRRRGSTMAFMRRIAFEELLQDGGSLHVENLAAEAATPPPLPLT QTSSESSFMMDSVMGDSNHEMFN VE01_01006 MELADGSKAVVDPEVQAYVSSLVTALGGSGSDEDGRYVLGDDAL ACLRDIKRWLKLYDEKANRLDVARCLAELNLVGGDLLQILAAWPENETDNKIKSRIAL ACVELLVPLTWPLDKGVEMTVNHHRHIPFLQLAQVGYKRSIVNYDGARILHTVTRVAL PAMAVALKDRSTRDENVIRMVLYLLRNIAMIAPPPGVQYDGDEIEISRSAIIDAFDYQ DILHLLLALCSSMGEDFNTQDVVVMEILFHLIKGVDIETLFMNEKQLDSHKDDELAKL LNQEAGMRRANARTAPTRHSRFGTMLWVKRDDGKVSTVTGQDALLNTARSLDKMDKNK TFKPPTRAPKEVKGPMDFDAPEKLSQRASNNLKTFVEDFLDSGFNPLFQNVRKAIDRQ AERILEYHPRQFFYLVSWFLEAERVRRQSKKSQKSKKAADKDTDDSFGLVASVLNQEM FITLNRAMEKHFGDKSWDDLKASMKCFTQILLTVQEMSESKLDEDQEIAENILARIFY EETTHDRVANIIRTFKDQGFGYLDAATELAHVYIRILENYSKQNTDLQVRSRRRVRRK KKAAIDAGEDDADDEIIDGSDNDDAQAERVSRERKFDFKRFSSRFLTQGCIDTFVTLV SYYQELKPEQMKRAHRFLYRVAFKMDMSIMLFRVDIIRLLHKIIKGPGGLDPASKTYK EWDELVRHILRKCVKKIQEHPELIVEMLFSKTTYTANFFQYGYESQTIESKARAAAEL EVKPGFEWEQQIGVVVGALLDRNEGHLLEWLKSQLSSAEIERRSWEGASEPIQSVETD AGETPPVPADPPKAPSIVAKPSDATCKTAMLKNGYLRLLMTLVGLRRIGDENDIDTPW VVPSHLSSAQLKEALTLIKAAEFDPPTFENGYNAEAQIRRVSAAQNRRRAAFDDESDN GIDEDDAEILFPAGGPTPMSKSDAFAALKKTRRKRRRSGTEDDALDEEQLAARDRARR AKDLEKQRKIKSELFVHDSDDESDAERDEAFFAEEERTRAKVRRGLLVAEAIGTAASG VEKKKPKVPVVAAVNENDSDEDAVVAVSPPSSGSQKRSVDVESGEEIETETPLSSPHT RPSGPKRARLDTEDVESKEGSTAAGGRDVGMVDLDDDDDEDIPAPRRPRGRAFGGFVV DSSDEE VE01_01007 MATALLRRQLLQSSSHARTFSSSPIVAAGAVKKLGVIGAGQMGL GIALVAARNAGVPVTLLDTSQASIDKGLKTPSNFTTPADKLLAKDVAKERISQSDADL IRSRLTSTTNMDDLSSVDFVIEAVPEIPSLKFDIFSRLGQIAPAHAILATNTSSISIT RIAAATTQDPTNTSVSSRVVATHFMNPVPIQKGVEIISGLQTSQETLDTAVEFCKAMG KIPSVSADRPGFLANRILMPYINEAIICLETGVGQRDDIDAIMKNGTGVPMGPLQLAD FIGIDTCLAIMKVLYEDTGDSKYRPSVLLKGMVDAGWLGKKSGKGFYDY VE01_01008 MSNLEPLTTQPDWRDAYSQYLPPTETVLSPPFEQPITSHPVDAP ITNQLFAPRPPLSHRHNLAQVEDRQESFSNPPTERPDSAPPVNGLKQEGFRLPEPSNL PELPANSKSSPTLEVKTEAPGPPAESLGQSEVKVDDDDDDDDDDDMLDGEEGVGGEGR PPQTDAERRAERRKMKRFRLTHQQTRFLMSEFAKQAHPDAAHRERLSREIPGLSPRQV QVWFQNRRAKIKRLTADDRERMMKMRAVPDDFDNVQALHSPYGAVHGIGTPLQSPVDY SQNYGDPGMGMRPLMVDTMRRQEHEEHLSPTGLSPAFGHVGFASQGSPDMLSPLSLGG GDRYYSNHLANPGGTGARNSTVYNRHNSMDGYGMQASRQGARPLQPLQLRETMSRSRS ESLQSPLRSSMSWKGDSIDYASYPPPGPQSPSSNGRQASIYQGDQPGGNGVNQQQQYD NSTYPNSNIHTSPSHLTYPTSHPANTQPSTPPVSRYRANSATFPSGLDLRSQYRSVSS QSPNQPIPATARAATFASAFSSGGFQSAPLMAPAEFHIPRTPIDAGPREYHLSQLSAP MAPAADFAAAYSQSMSPGRPAATEQSTLGRQHHGLGLEDSQDQPQAQHQPQQQQQGET AHYLRQDEYDLNSMKRRKRTYSMSGSYDGQ VE01_01009 MPESSLASFEYLEKLPGVTFRRLYEQPSTALAVFRRMLPHLAKC FVMGMLYMPKPLLLSELDNWDLIRSSEKGKALAILSRLHIVTSTAASRDGPPALNLTH NFATSLRLALTGGGDHGSFGIPSDSTQDSNITVSFLDEYATAQWEGILHYVVNSTGDP SLLGAQYPSANVRQLLQMGALVDSKGKYSGVGITQAGFSFLLQEANAQVWTVLLLWLE HAEELAMDHVDLLSFFFLLGSLELGLAYSTTTLSTTQQSMLPILADFGLVYSPPSSSS FFPTRLATTLTSSASALRSIASGFSAAAASPASSGGTTGFIVIETNYRLYAYTSSPLQ IAVLALFAKLSTRYPNMVSGRVTRDSVRGAIEHGITADQIISYLTTHAHPQLRRKTPV LPPTVVDQIRLWQIENERMKATAGFLFKDFASLAEYEGCVRYADEIGVLVWRSDAKRS FFVTKHEQLRDYIKSRKIK VE01_01010 MSSSNPTTSERDRQYRPTYNSLPKSRSSVNFGAPFLPPIQTTIP KSESSVNFGAPFPPSTKPAVRRKRNRSLVPFDRNEIDLRGTPTVATSRLTASASQVFM HSNRILTPTKIPSPTRASLGGGQRQRINHPTVDSDHSDFDSRRKQASKAKAPVRNQYV VEGEKPTQVLPTTFEEKDGENFTQALPPSQKKDREKASKVNHQTKPKYRAPTPGPSVQ QGPPLTRARTLQVLTSITSSFSLTKLHKATSNLSLRGHTTKTNTARSSSGPAAFFSRV TRPSTPTHPSNDPEINLRDVRTGMPQAYWAGRYRTLDDKFHSEDFDIDYLESPAILEA LREIENERGSFEDDDMSNADQLRYRRVFAVLETHCKTPDAKKSLWSFQQAYARNLKSE NLLPIGGSIQEKENIFSRAGKFFSRSRSVSVLSDKRFLKNDKKAEAKMYRKKAMERSL LNQKRVSNQYDEVMEALKNEELWEAGGAVV VE01_01011 MCILLVSTAHPAYALVVIDNRDEFILRPTSRPHWWRANKQEILS ARDLQREEQGTWLGITKHGNFAALTNYRETDANDIEHPVQGSRSRGGMVTAWLTSNEN EDAGAFVERLLEGEGVKDVGGFSLLCGKLRRRKEGGRDEVTPLAIVSNRCGAAEEVPW IAEKRGEVYGLSNTSYMDPVTWPKVELGKEKLSQTVDECVRESLGEDDLVRKLFDILD TDTLPPANGQSFDQLIFQLRKSIFIPSIGDEVPAVGIPKSDTTIQADTKLSDAMERLE EEETPNVASPPIMSGLYGTQRQTIMLVDWEGKVTFIERSLWDEFGKPIPRGEGDMKFE FQIEGWDALDNEYVALRTSRL VE01_01012 MDRGDGIIRWSPNRTRDEFLSINVNYRILQLHKPTGQVVPEQFD YEDVAKYTDFQGFGTYDWSPSNPGLVALGTKSGEVHLLRVDDGSNDSMILPLKLQRTC QAVAFNTTGLLAVGLDRVRNDQCLHIWDINQRLSNWDPSKKGWQTPPFPADPLHKLEA SVAITSTRWFEDQPQTLVVGVKNQSVRIHDLRDPNGAVISFQTRCNNNLAIDHRDPNY FASSSLDSPAVMIWDRRATSRQVASKMYNDTVDSSDVPWGCALKLNRVIDSKYDTNIR SLRYCRDQRGLLAVLSSAGELQLICTEKEYIEPMSENDTEGSPELLEVKRSHDLQYPY FNEDFGYSHDDRIVSFDWVTLGSSYYQPRVITRRSNHKMGVILKPSLSRNLVLDLMDF SSRAKHTSRGTVPKFSDEKEREAVLKPLLESQKRKDIEFGPDGKIKKSEPPLSPTSPG TTSKFDTVLVAPDESSMARSNELSGKGLDGLLSSRILSSRERHEQLFSGKSNDLGSKE AWPDRINSLMIARIKQGYLFNCQRNANILVDDPWLKDVWVWIDGAEGAAAGDGMVSGP LNLAYMGVQSIWNNDLGAKYRSRLVDPTTDAIPSEEDWSAALADINKKAGRGLFKSVP TKRPHHRQMCLAICGWGKTPAELEQDLSDLEAKGQYTVAAAWALFEKNPKRAVESLKR GGKNLLFIGLALSLQVKGNPPLKKEEWDYNMSDLSDMADDPYLRAIYTLISTGDWHAI ANESSLPLRDRVGVALHNLDDTELPNWLNRQTAEVVRTGDIEGLVLTGITDSAVSLLS AYIEKFGDYQTATLIIHFAAPLYIDDFRVWQWRADYQDLHNKNRLFVDRCRFDVQSTK KSRDRDGFSVIKPRPRQVTLRCMHCDTAFTNDLDNTGSNASTPKASAASATGDRNPLY NSGVHAGISCPKCGRHLPRCGICLLTLGMPRSDKDRVAVEPYQRLANFMSFCMKCDHA FHADHARGWFKVHNECPIPECHCACNADVGGMGSREGEEDRKSDGTKEEDGSDEEEED VE01_01013 MAAKRSHEDLDGIHESRQPQVHRDDPKPAKKPRREGPPKIRKQV HASSVNAVKKRIRDVTRLLSRSEDLPADVRLENERALAAYQQELNAADEEKTRQRMIK KYHMVRFFERQKATRTLKKLKKRLLEATTGEEVEDIKAQMHIAEVDLHYAHSYPLNER YVSLYPPKGAEESEDKQKDAVKPPMWAEIERRMEEGTLKELRYGVREGHVEKPKTVRQ KPATTKPRLASETQNSKKRAEPGERVGQKERVPEPQDYTLNRRERRKAMIKTGALITT KAAKKPAIHEPMANEVDNDDNGSDGGFFE VE01_01014 METTAPQATPPPSGVTFISIEDFDASFPAPEIGQPYVQHVPPLV QSSTADSSVAVNDKAGSESGGSTTSTPDLGTINWIGKLQEYHQIHPKVGKHVFTESAT PGFPPRFVCSVKIGERPEPFNSTNNFGNKKQAKQHCCKLAIEWLVENGHMPSIDSVSF PKQHQVAPQAKAGSTASAAQMTPGAEIAQICFELRMGLPAYVIKSEPAGSALWSGYAH FPSNHLVDGRVGEFRNVFGKNNAKGDCQLEVLKFLKEIKRDKESAVLDDGAKGCRETA AMEGVEGHKEVTAIGA VE01_01015 MAITTPFQTLFAVPMTCESCVQDVSGSLHKLEGITKVNANLKDQ LISIEGTAAPSAIVSAIQGTGRDAILRGTGTTDGSAVCILETHSQQLSDKVKGLIRMV QVSSSLTLFDLTIRGLAPGKYDATIRETGDISQGVISTGAMWKDPVKEGPQGLKGRLG TVNVDGNGLGSVFIDKPIQIWEMIGRSIVVSRQHDGEGKFEKNDDNTLVGVIARSPGV WDNDKTVCSCSGKTLWEERKDEVGKGMI VE01_01016 MALGSRDNAGDASPSETSPLLANAYGKTRDGGLTIVPNEPIEEP VGDDGRIGDEEAPEMGQAPNPLMEGLPEVAAKMYILLPAVGIGVFLAALDQTIIVSTY AKIGSEMNALNSTSWIATAYFLTLTTFQPLYGKLSDIFGRKMALLSAYTIFGIGCLFC GLARNMGELIAARAFAGIGGGGMSTVVSVLLSDIIPLRERGKWQGYLNIIYALGASSG APLGGLLADSIGWRWAFLLQVPMCVLAFTAVSLRLDLPAAPRAHWVTRLGRIDFLGAS LLIIATCSLLVGLDLGSNTSWTSTLTISLLATSIPIYILFMVVEHYVSHPFAPSGIIF NRLLSPPLICNFFAFAGYFAMIFYVPLFFQAVSGYTSTEAGLLLIPGIIGSVTGSVGG GIIMQKTGKYYWLTISGYTMMVTGITTIFLCSGVLVTSTLATLVAFAVTGLGGGISVT TTLIALVACADPSDMAIVTACSYLFRSLGSAVGVSLSAALVQQRLRDQLAKMLGDGEE TEKIVQGVRESLEYLEKLTPEVREVVRQCYQKAVSASFGLAAVVLLASVLSSFFLVER KLSR VE01_01017 MATAPLPSRTRSIRKPNAGIDLKSRLNGSNSEQAPDAPGGRSRG GDLVDSARTGSQSPSKLPMRPPSSSTSTSRLTRTGSISQRAAPASTRPGSSDGSMRPP PRPVTTRPPLSSQSGANDGSMRPPRSVTTRPPLSSDNRPSVSRPPLSSDNQRGAPLRS GSKTPTEQASSTGLQRRPSTTSRHARTTSTGSAPSIRPPASKHTRNTSVTQLSSTTTL RPPSETKQAPNPALHRPNFSTLQQHYSPAKSIAPKPTTASLLAAPSPSKLPSNVAISA ETARLQAELLQLHLLHRDAASVQDQWRDSARNKLGHKFETLVRKHEGLVAQEEAQHGK TNALALKVWADSGMPGWGLEEKIQVLDEVVTGVWGMGESGGKYARIVRRFERWMHTVV DIHQGRERGHLLDGDEVMFIEDIDGSWSDDCRVQARKLEEWRDKLADLGEVEVKSSLA TAVEGYTTLVRGMLEELDTMRRIEKEVMMSEQVWIKEMIADAGDEEDVPVRSGAAWHR VE01_01018 MATLDTLTPSGDQSSFKALPQPPSGIVRDQTSSFQSQTPEAPDD DDEMPLPRPDSLLAMVPDRAPPRKSVLLEHVRKPSASGSSVYSTHTVGTIGDRRSWTN SPERRESASSLVWEEEEAHTKPVSDSPVLGTKQEDHFELEDILKSTQIMHSNSISSTT SSGRPELWRRRSQRSDRSVKITDLKLANSNGFTASQSLRQTEAASDAPQIPLPAVPRK APHRPAVGLPSRPTQFINEKSMQSNAQPEQDARQYSHPTTQYSQLATGLAPYNLPAMQ SQDNLEQTQPFATKQSVRKPVALRPAPPQPEKPETMGARLSNFKNKFRSTEQLNQHRD IQTPGHTPQGSLDTVSPKPTGLMGIFRGTEDESVPAPSETKGSGSAFSKIKHKLRSSD AEDNSSPDLSSPEGSGWNLSKLKNKLRNSGNADEAAASTPTRTQPTITRLPTPDYQKQ DPAPAPLTPLIHSPYSPQISPDDTQSRPGSGHGSRPPSRGRQFSFSQRPKLPPTAAST SSIQTIANASIIDGKTSPVDPAQAHIHPLLRTKTSPSATDLTLPTPPLLRIKTSPSAT DLNLRMVSAAPPLPQPPTIAVSSPRFSPPATRASPPATRSSPPATREPPRGRASSPKP LSPIVTGAAKTGAPGSKPLSPVTTRQPPSPSTLSPASESAYKLQVPGEISKRMTTILE SSPFLQIASTDPDDEEVYPAPALAETQVRCYQAHRVMHRSRNDVYALACGVCGVKDRE GRWCCAWCAVRCCEGCLDKVKGEGLAGEKVIGGGETVGRAMVSV VE01_01019 MGKQPNLYSFPTVNDLAPGLRHYVVQAQNSGISRHGTFKVAVSG GSLPKTLAAALLPPSTAPEDTLDFSKWEIFFADERAVPLDHADSNYALLQAELLSKIP AELGAPAVHPIDVAHLDDVQEMADQYEKLLVRNFAQRDSVKLPIFDLILLGCGPDGHT CSLFPGHELLRESDAWVASIEDSPKPPAKRITLTLPVVNHAVKIAFVATGGGKKEVLK QIFEDGTGLPCALVNEGGGDRVSWFVDDAAVEGVSYPRRGSL VE01_01020 MSSMDTESDEEAQRAHMATTALLARRTRLTRSLRDSPYDLVLYI ERAVAHSELGYPDLAAGDAYRALLLTDEVRDEGFEYHEVAAEALRGHEERGILGEGGG EGVTALLEVASTRCYRILAISLLLCGCLKSAHEFCERGLEKAPGDEELTQAQEYIINL ARRRLKTETVNPEDFPDQGLVRREVYPWNDYEPDRYSEETLEFLNAELAKVAPKCVAL VTELPTLGDALVTGIDTDFTMPTNKQLGLFAKEDIGPMETVLHEISALTVNNSQVYPL CDACSTELPDVGSEEQCVPCEECVETVYCSQDCHDFAMETYHPAVCDREVDGIAKNTH QRETANMLHLLLLGRALAMSATQDIHPLELKEVKYIWGDFLPTSSNAVPYSPQAGPPP VWTLPFSFRANITGPLDMLEKMEIDVFAELDKYDLWIFNTLYSKFRGTASARVNKLDG RPEVAAVHPLWCLANHDCDPNVQWEWGMRMKLWARGERIDGRSPGVAKGEEIMNHYCD IELPVQERREWAKGSLGGYCMCDRCRKEAKKEEEVAANGVDMAAMVSMVNGMSMVHEI SKAHEMAANEQPNGVPEVNGIRQIT VE01_01021 MATHALPKYLTGDKAAINEFIDKFDVFLFDCDGVLWSGDHVFEG VVDTLELLRSRGKQTIFVTNNSTKSRSDYLKKLTAMGIPSNVDEIFASAYSSAIYISK IMNLPGPKNKVFVLGEAGIETELRECGVPFIGGTDPAYRRDITPADYTAMADGSMLDD DVAIVLAGLDFHINYLKLAVAYQYLRRGAKFLATNTDSTLPSNHTFFPGAGSISIPLV NMTGQQPIALGKPSQAMMDSIEGKFQFDRSKACMVGDRLNTDIKFGIEGRLGGTLAVL TGVSKKEEWEVEGAETVPAYYVDKLSDIKV VE01_01022 MDAPGWDSLRSTPNIPAKRSWEGGDASPSSHSAGPRSGEGQPPS HFRHLSGPIHTARRSSDTAAQQIGWDTSAQTQGWTAKPEPARYGTGLEDSYGAERRQP VDSRDAPLGRPDHNAAPFPSQPPWTKYRRESSGSSFQTNFDPPSSSNETMYQGSQDTR RSSYTLAAEELGAADPRRGSSSAKVSLFEQNCEKCNLFRPQIKEFISMIQKFETSLGP FGPYQEIHEHCLRQGTVHDDVPNFPEIHRILGLLNEVNDLAKNVVQLGPVYLVKVKEG HQHKPLENIKLEHNPPTLERYFSSESDDASKRRRLEYMDTRGMERQSTHQGRPGDARR HSSASPSTTDRLPPIYFSSTSHAESSGFKLPSMNPPPAPGRHITSPQRPHNSSPSYNM SSPDSSTFPPSSSANKPPQPPHQSLSPSHSSSFSSGAIGGAVPPAVATHTATLQHEVS VKAYALQTLQQEHDKLLAALSRSQTRSRALEEKQVVADNEVNVLSEDRSRLMDRIAEL EKEVSDVSEARDEYRNAGVKEGKQYVEIVRMASRLELMAAEERKIMAADLAEKERLLM QRAELGTVAGGSVTHLPASRHSDEVILQLKAKCAKYEAALKDIRRKRRRMDDIVAELG SAGSDISQSLSGVLGDKLEEST VE01_01023 MEDPAPTSPKRHSDERSPNRVPTSPRRSDHHNRSHDKPLASRGR PATTVRTEEEKQAAAKAEYEKLLNVRSGGTYIPPARLRALQSQITDKSSKEYQRMAWE ALKKSINGLINKVNVSNIKFIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPIYAAM AAIVNTKLPQVGELLLTRLIIQFRKAFKRNDKAVCLSSSTFIAHLCNQQVAHEMIAAQ ILLLLLHKPTDDSVEIAVGLTREVGQHLEEMSGPIALAVFDQFRSILHEADIDKRVQY MIEVLFQVRKDKYKDNQAIKEELDLVEEEDQITHRTSLDDELDVQDGLNIFKFDPEWE EHEEAYQRLKAEILGEGSDEEDEDEDESDESEDDEEKQEEKALEIKDASNADLVNLRR TIYLTIMSSIDPEECCHKLMKVSLPPGQEPELPSMIVECCSQERTYQKFYGLIGERFA KINRLWTDLFEQSFTKYYDTIHRYETNRLRNIARFFGHLLSSDALGWHVLTVIHLNEE ETTSSSRIFIKILFQDLAEAMGMKKLQARLKDDAYQANFTGLFPRDNPRNTRFSINYF TSIGMGAVTEDMREYLQNMPKPALPALPAAAAESDGSSVSSYSSYTGSSYSRSRSRSR SGSRSRPLVRARSRSRSRSRSYSSSRSRSRSRSRGRSYSRPHSRSRSRRRSYSRSRSR SVSRSPVRGAGAARPVSRGRSRSASPRRRSGAAKRGRSISRSRSDSRSLSPSAERSLS LARRRGPRSASPPPKRRREYRDSRSPSPPPSKRGRRYS VE01_01024 MLDKLVGLAMLLVASAVFLYYSIWTLLMPFVDPDQPIQQLFPPR VWAIRIPVIIILLGSALVGSFLSIVMIRSNRKKAAKAKLPSKKKA VE01_01025 MTRSTEKDNKPASVIASLARKQSPQSSSPSATIPTKKERRNAAA KDNSSGGATAINIASKVLDDNKPATPSPPTFTPPVSDVKPVTTATNTNRPGITSPLLD ALPSPDFGQSMGRSTTEWARNIPSFSSSPGNLINPSDTPPTVPSSYDDKNTLAEWNQR ERKGVNGHNPSASPPSYRRRPVSVQIDGHNPFEDNMSQRGSSMHRRNSTYSQQSQRFG PHPPLPHQAQPHFYGAPDINLGLPIRRMGLKPGSQGYFFGLDSINTSRTGSKHTESVV ISGFEGGLSVHAISKSGITEISTLDGLRGGVYSAKILPWTVKGELSGGFPLVAAVVHG PAFYENGGEQGSLNLGATEPPAEQTSGSIGNSSRGHRMQGGSTRHYQTTVEVWSLSTK RYVGTLLSLPETILPIPITSPHFVPPAPAGALTVTADAGNIVVSSGITGELWVFRQIK IDNDASMSFRCLGKFWTTIQQPAPYEPSAQPDFGDGDGQFNEARLNKLRPKSTLFSLR GRWLAYSPSSAMNQVSLRARVDISKSNTRIPGLNTFAPPQIPTANCAVDTPQGEEFWG RLRRQAAQEAIKGAKWVGDQGSRVWANYWNKPTNNVNGNWQSSGQKEPLPDFPPTHGA ALQYDTSQSDPVLITIVDLDKLATLRNTTSATMATFKVPLGCSYMSFSPTGLALFTAS NKGDVQFIWDLMRIQHTKSSALQPQAPGPSQGIHVRQIASFTRLTVARIVDVIWTMPL GETIAMVTERNTVHFLDVPHNAFIWPPLRQRAKTSSSVYPSNIREASPSASAMASNVA NAAWNLTQPFLARPRGASGSFGPIPNRTGAITASLTAIAGQGGKAIASGISKSFGAAT GTIQQFRRAGDNKLHLPQTASICSVGCVKWLGGRSKQTFAALVDGHVKMYTIKHVRSK SKPGVYRIHIGTAPADIRIPSIPDNKIAPLIKRKLDLADEEDLEERDAGENRILLSRP TSLPAGRPILGTESSIPHAEIESNAPYQPFHTDPRVTLFAYSEVSHQLLSPTTSALFS PPLSTEKANRPSSQPWVFGGPIHAANLHVGKEHSDEDALMSSEYHRALPAESMERVTT RIPDDLSEPIVSTTRRRKSSRTTSDLPDDEEGFFEDDCTVIDFASNRV VE01_01026 MSDNSALKRLYSSSSSSASAYDSLATTTATTPDPSQSRHESEHD DNDSVSSTAFNPAKVSSKLTTLAAAAGDAATVAPGSDEGHPNDPLLRVYDPSKDENLS IEELLARPRLPRTPRERAASKKVKTGLETVANGGEAAELGPEEQLAAQKLLEQAGEAL KKADREKHMKLIMGMARDVDASLAGWK VE01_01027 MALPTVATFEECADFSQTVAPFLPQLYELPQQLLNSYSSRDELL QLYVATNPMISALALGLFLFPIVWIASEVNRNYSQVDRLWSILPAIFIGHFVAYGHVV GLDTERLDMLLFTVVVWSGRLTFNYWRRGGYNIGSEDYRWMVIKKVVDSYSKAIWPVF NIIFIAGMQLSLLLLISTPAYIQLLVSNMEMPMSKVDTLFPRALGGLVLIEALADHQQ WTFQTAKAKYRATAKVPQGYEQGDLERGFVVTGLFSLCRHPNFVCEQAFWVAVYQWSC FNTDQLYNWTGFGALGLVCLFQGSTWLTEKLTAQKYPEYKEYQKRVSKFIPRMSHALP GDPVDEPSMEPSVEPATRSKIAGKKGDKGQKGSKRN VE01_01028 MGPKILCVAEKPSIAKAVAGHLGGGSFATRNVTGAQYTKNYDFT FNFGPPWGTCNVTMTSVLGHLTEVDFGPEFKDWRYPPPRKLLDGRVHVKVHNDKAAIA RNIETQARNARILFIWTDCDREGEHIGNEVREQAVKGNSSIEVKRAKFSNIERVHVIN AAKHPIELDSRQVDAVATRIELDLRIGFAFTRFQTLSLQSLGGPLGDAKISYGSCQFP TLGFVVDRYLKVQNFVPEPFWSIKVTHTRDDIDATFHWNRNRLFDRAAVTILFERCLD AKIAKITKVQQKPTKRWKPLPLTTVELQKMASRFLRMNSHTAMQVAEGLYNKGMISYP RTETDIFDKGMNLKALVEKHTQDNRWGPFAQNLVNGGFHWPRAGRNDDKAHPPIHPIA AFNADRNSDEGRLYEFVVRRFLACCSEDAIGTATDIELQYGPEAFHAHGVIVLERNFL DVYPYEKWTGNMLPKFTLGEQFEPKEATLTDGKTASPGYLTEPDLIALMDANGIGTDA TMAEHIAKIKDRGYVMTQSKGGGNAPVREDIASGRGRGAGRGRGRGRGRGGGAVAESG RSSGGVEEFIPTTLGVALIEGYDNIGFEEKLSKPFLRKELELQLKAICDGTKTKNEVL RAEIGLYKRVYDQTEEEVGHLIAACRRYIFNGGVQ VE01_01029 MATTRSSISIALNGSMANARDIKKPLSPDAFTSGLAKSVPATKA THTLPTPPNSISPNLAPHGLKSQNYGSTAPGHLDAVESDFDLRDGSGGSMDSQNGFET TGSITPTLLAKHHLPDILLNNGPLAIRHIMGYLTTSVPGFSSIPPAKARRLVVGALEG RGGGDGGGLSGDVKFEKVGWGRWDARMNGQPSRESRGQQSPPPSLPTYSMGMPINNTN GGRARPNAPSSSWAGDSAVFSHEDDVDMEMEEVDKMSLDGSCSSSEAPPDDEMMGDDP EDMTDDEDWAAVGAVALRQASYNGSVPLSGRSFLNTHVYTGGAVSNNYGGASLSAMAK SAPLRHHDHISSQQASNPKVDFSSLGMASDSQEREAIEALLRLGSV VE01_01030 MADVSIFNTLAPYHIVAYGTHLGAQMWQTFVSGITAYQTLERAH FSALQQRVFPRYFTLQTTLPLLVALTYPGIASGPSSYHGALAPANHWSVLYPLTTAFV CGFLNLVFVGPKTSELIKLRKAQEIKDGKKSIDPAPHSKDMLALNKRFARVHGASAVL NLASLGATIWYGVGLSARL VE01_01031 MSGKEATIFIVDQGASMGRKSNGRDESDLDFAMRYVWDSLATIM ITGRTTLTVGIVGFRTDETNNALEGEEGYNNISIMQPLGPIKVPDLKKLQKSVKPSKT DVGDAVSAIIIAIDMMERFTKKLKYARKIVLVTNGTGLMDSDDLDETSSKLNEDGIEL VVLGVDFDDLEFGFKEEDKDSLKAGNEKVLRSLADKCEKSVFGTAAEAVQDLSIPRVK DYRPYATFKGQLTLGDPEKYDTAMCIDIERYFRTKVAKPPSASSYVVAEMGGDIEGDV KMEDIATQGDALTAVKNARTYKIVDETAPGGKRDVDRDDLAQGFEYGRTAVAIAESDQ NITKLETVASFSIVGFIPAEKYERYFNMGESCVTVAARSNEKARLALSSLVHSLAELE SYAVARIVLKDGKDPQLVLLSPSIEPDLESLIDVPLPFAEDVRLYRFPPLDRVITLSG QTMTKHRNLPTDELTKAMSAYVDAMDISTFGKDDEGNPVEYMAIEDTYSPVLHRINQA IRRRAVQPDEPVQPPPEVLMKYSKPPADLVKASATQLEALIEAADVKKVPPKAKGRVK EAIKPLSGLNVDALLSREERTEINSENAIPEYKQRLGTAEDITTIESATKEMDGIIRS LIEHSLGDSGYGQAIANLGVMRDELASLEMPEVYNSFIRRLKEDLLKEKLGGDRRELW WEIKKARLGLVDKRKTEVSTVSEEEATEFYAVTSDIPMRAK VE01_01032 MSTITTTALQTSYPAILPLSFNGNQPETIRLYPLSNYTFGTKEN QPEEDPSVLARLKRLEEHYELHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LKPEDDEIEGFKARLNERLAPVGSQFSGEGVNEDWEIGDTLAQWWRPNFETFMYPFIP AHVTRPKECKKLYFIQLPRQKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLS RYNFEFVDEKGNVVAATPGQAPDANGYVPQTKVLAGGDIEIEDFPTNEEEVI VE01_01033 MSWFQKTIVLPPKSRGSYLITDHIVDSLPELKGYKVGLVNLFVQ HTSCALSLNENWDSDVREDMSDALDRIAPEDKKGDLYRHSAEGLDDMPAHIKSALIGA SVTIPIKDGKLATGTWQGVWYLEFRAARHQRKVVATIQGEKA VE01_01034 MHPRQILGSINAAQLGTYCALANLRRNLYKGGVSKDILDASFHF KLYISEADPEAIQILVNNDTPTSAQDSTNLAPGGTSDAASPQLTRVPEPPTAYGISPS YHNENVYKYANYGNHMVGHTSKAVEYRGQFEDICSPNEGQDGYFNNSNNRTEVGRDGQ FLRNAKRTIQLSNLPEAATHADVTDAVKGGMLLDVFLRSQDRAASISFLDGNAANDFF RYAKRNDLYIRGKRVTISWSDRHFTLANHVAHKIGMGATRNIVIRSAGTRHTDESIRE DLEHIHNLVIISVKFVDRDAHVSTNSVHNAMFARTCMMSRSKYKGCKIEWDIDECAAP IPVLKPRPIQKENSAPKPRAPINRFALLNMDGATESSTDEDSMLGMDSFQSDLVSGLN GVAV VE01_01035 MSGFQNSGIPPRDLDDASDVAEEVLAADYREQVNYQDEDFGGEL SMAQQTDDIQSRLAAAAQPLDFSASLEVKFQSYDSYCSLFHFILNSDGPVDLEPPSYY WAWDVIDEFIYQFNSFCSYRNKIARQANNDEEVQLLRDNPNTWGCYSVLNVLYSLIQR SQINEQLAAMKRNEEPMAVAGDYGSKSIYRMLGYFSIIGLLRVHCLLGDFSLALKTLD DIELNKKAMFARVMAAHFTTYYYVGFSYMMMRRYADAIRMFSHILIYVSRTKNFQKGT QYDSISKKNDQMYALIAICVALHPTRLDDTIHTALREKYGDQLLKLQRGGEESLPVYE ELFRSACPKFISPTPPDFDTPELNVDPIEHHLKIFMDEVKTNMWSPTVKSYLRLYTTM DLKKLAGFLEVEPEELRSFLLVNKQRSRQTRWAENGLLDGEVVNANDLDYAMQGDLIH ISEAKVGRKLVDWYLRNLARTY VE01_01036 MSATSGPRTPGSASPETPSSPSSSRPQRDASVAGRFSLPSSPRL DTITEHMATTQIGRHGSVSSISFLPPTEMSGISWAESKPRKLGRRRTLSPPAPSKFEP HVSFDNFAGGEPTESNTISLTLNEKHRGYQHNRRSRTFMVGVDENAYSNYALQWMLDE MVDDGDEIICLHVVEKDSKISNDKSVTQKSYQKEARRLMKEIQDKNAEQRSISIVLEF AVGKLQQTFQKMIQLYEPAMLIVGTRGRSLGGVQGLINNRNSFSKWCLQYSPVPVVVV RPNDKRDKKKKKRVNDPTRHNYAHMLEGSSLGMHEANLLPSRSDIPVEILPTRTPDDE AHEVAAALGLPARFDPTIRLLDVNKRLHAHAQQKQTQTQAQTHSTTASQESLFDSRPS TPGGGGTLKSSVADSRGASDDESGDDDDEFEVTPGGALIGDVPEALEKQQKLHAMEQG EAAALAAGRKRSLESVDSSISNSGNDQG VE01_01037 MLPSTDDTCDESCRMPLRPRALLSFHSLGTIGSALPFILTFVIV SYLVARHLFPLLAGAASRVDDDYYLPSDAPPALKEAEAKHNTWPLRRRLVAASFGATI ALAAVLAELILCEISSALDPDARALTLRVTVPALLVMLVLWIPFLEIQSVIRGAGWDF TNRRDGRLNKIPCILQALFFTLWISVFWFSDFSSTASSFDDMLAPAPTPSLSDAALSR VGVVGVSLMALLSGFASVSSPWQAFFQRSKPVTDSDLARKATGLDATNDLLAAKKSRL RALNHKLSDAPEEGFMTRVLGSIRGNPDATEVQALQMEISGLETMAVSLSTSHTLLQA RHAAQARAKSPLGRCLVVPDVAFSIYCVYRIIATSITTLQRSSHPHSTFSTTDPINRV LSLLVKHVDASLDQAAWARQISFLLAGIMLLLSFNSVLQTLHVFSRFAPGLVRQAQAN LPLAVAQISAMYVISAALLLRSNLPAEMASGIGHALGKGVDAGFVERWFEGWFLVGGG ATALGIWGGGRLGEGEGEGWDDWSGDVELGTKRS VE01_01038 MSITQTYYLAHSARGKLSAEASRSDHRLRRLVGHANLLDSLMLE LADAEAEQESWFNASVRGARKTEDRHIQWADSVVEEEDYEESDSSDDSEEEEDVDMGF ATLQRVKSHQAFDVTMVPSPLDADSESDEEEEEDDEDIYEDDGEEDYALLSLQRTHSH PASPPDLIDEDDSSSSEDEAMPPSPPADVVHAFPEAEARRSKVSPAKGQFFDEGFYLP QRTLVSAVSVY VE01_01039 MLGSNAPKHDGDDVYPRSPERGRRGNTPEYADHDTNTLTHTNSL NLPKNRSTSNLLSQGTANKDKGRIRFSFDGGAHDYDTLSRSSVMTDHEHGLGASGLRR IRQQPPSRVATISSASSSRAPSLGPRTAPIITTTHSESRKSSYGALSTAPSSPTMNFT EDLTRFPSESLHSFSFAHQSTEDFMHNRQNVLKRSIEFMTKSISRHGGSQVSLASVTP RTLGDQDGQGGLDSEARAKIPRADEAGEVNGFGFMGGLVSSPPPQFDNDNVFEKSYGQ RSESPDEMAPLSPTHSRRSQQEASDVAPSEGYSDVLSRTTTGESFSTDVFMAPAPVRP GYLRSFTDTSPSRLRSTVVDAMVQQPYLANDKYGNGRMLSPPLPQGAFNAPQQAPLIS PYLDAQQRSHYGTSPTYGLSEPHVAGAVHGHSNRWAPAAQAIFTTQSQAPWTILAAND LACLVFGVTNAEVRKMGIMEVVREERRAWLEEKLAMPGSEAEHKSRQASNANNQQDTP RSTTSFLLGRGGITAALLNKPSSRQVKESRRTQTDDGAGSSVAAARKQAGKMGLNHAN NKSRGVLLCGDVVPIQKRNGHTGSASLWVKEKKGGLIWVLEEIHEDVAILRIDSDGVV TGVEGPSVPIWGESLAQPGVDIGTLIPRIPRQGINPRTGALDYAEVTKQRFFTARNNN AANVPVAIERVPGGTDLRVSSFPHIAGIVVLSADTLQITSANSVFCAALFGQDHPDRL HITDLIPGFDRILKILTEDDNIQLVDGSVVPEQSFRRARAFAALQDGKGDAAAYFLRP DGIAAKHRDGSEIKIDLQMRVVQSEKQTPIDEHVILEEGEEYIRRSEVVYAVWITYSR HVHAGGQQAASSATTPRLSDTSTPLHQPSPGQTQVSSPVDMDSSDEPVRETSHASSLA QQLKEAARKTTNKLISRTSTSSAPPSTPVAPPPLVAPPVKKTINDFVILEDMGQGAYG QVKLARNKHTNAKVVLKFVTKRRILVDTWTRDRRLGTVPLEIHVLDYLRRNGLSHPNI VAMHDFFEDDVNYYIEMLPHGLPGMDLFDYIELRVNMEEAECRGIFVQVARAVGHLHG KAAVVHRDIKDENVVLDGEGTVRLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLAGRPY GGKEQDVWALGILLYTIIYKENPFYSIDEIMDRDLRVPYVMSEPSIDLIRKMLDRDVA KRATIEQVLEHPWLVEEGGGG VE01_01040 MDTFEPLDTIDSIIPSQVEMETTEPPPKLKGRQRFLHSLQRMSS ATSLKGLSRKRAISNPYSSTSSRGSAISFSNIQSSQAGSSSSFSRLVMGQQANTPISS GSTPPTPVNGLSNLASRPWTANLDDGGPGSSPTSHPGIQTVDSDIIEIDEGYFAHPVA SSAVKPSTPNPNFDFWGSLPEELRLSVLSHLRPKELVRASIVSRLFYSMCFDGQLWTC FDASEFYTAIPADSLAKIVSAAGPFVKDLNLRGCVQVEHYNRADVVVKSCNNLITATL EGCRNFQRATLHVLLSSNQRLAHLNLTDLAAVNNGSCKIISKSCPQLESFNVSWCSHM DSRGLKLVIAGCPKLRDLRCGEVRGFSGAAGLEVATALFKTNNLERLVLSGCSDITDA TLQTMIQGSTDPDTDILTNLPLVPARKLRHLDLSRCNRLTDTGLESLAHCVPYLQGLQ LSSCALLTDFSLSALVATTPYLTHLDLEEVSNLSNTFLSAHLAKSPCAPLLSHLTLSY CENIGDVGVLPLLRAATGLKALDMDNTAITDLALAEAALMVRDRASRTPFPLPTFPQG ARATPTLKLVIFDCPHITWPGIREILSRNSEMLSLPPNRREVIALKCFYGWQMTVDEH LKRVLGGERHGAERLERKWAEHMVASEEVGEGRGGRRRRRRAREAERGLDEEGGGVVG GGRRRARSGGCVVM VE01_01041 MASSRIFPQFSLTGRTAIVSGAGSGLGLAIAEALAEAGANVAIL YHKNGAAVDAAKQVAKEYNVKCIAYQVDVTQPDEVESTVNQVVNEFNGRLDCFIANSG IAWNETSVLDSSISHYREVMATNLDSVYYCARAAGMHWRRQAKEQTTIDGKKLEGFQK GSFVATASMSGHIVNYPHIQTAYNASKAGVIHMCRSLAVEWVDFARANTVSPGFIESG LTGAVSEDVKETLREKTPVKRIGDLYEMKGAYLYLASDASSFATGTDIVADGGYRLW VE01_01042 MAIKGVGETKLETVSQGDVEVEVVDVAVDIEVVDVAVEVEDEAK PKVHFPTAGGTGGCLIHFSPNLEQMKGALHTTSTLDYIFIINGTIELRMASREIRILN KGDSTRNTLRTEPAMMAAVSVGIEGAVEDEMRIVGVMGEGEGKEKGE VE01_01043 MTPFPVPHATASWWRTQLHRLDDYVSSDRVPEESDVVVIGAGIA GASVAYHLHQQNKGQKRLRVTILEARQTCSGATGRNGGHLKPDPYSSIGHIAAEHGME AAAEVAEFERAHVDAITELVRTEKIDCDFVLTKAIDVQLLPEECRTAKANFDSLAAAG VKSVGRVAFYGRDDAEERCRVKGAQGCFTYKAGHIWPYKLVLHLLSHCVDQGANLYTN TPAQSVSSERDDYGRWTVTTPRGSIRTHHVVYASNAYTPAILPIYSGKIVPVRGVCCR IVPTRPVTRLTETYTLRWAEGEFDYLIPREDGSIIVGGAWNKYHQDKSNWYNVINDDE MIEPAREYFDQYMQRNFHGWEQSGAYVDQIWTGIMGYSADSLPHIGQVPGRPGQFIIA GFTGHGMPQAYLSGKGVAAMVSEGIPFAESGIPRLFETTQARIEHTRNDILESVQDLK QPRAKL VE01_01044 MSTEKSSIMHREDLDDAAIHDAEQLAAMGHAQSLSRKFSPWSML ALSFSILGTWATFAVDLSNGLTNGGAITILWGLCLVTFCNLCVAVSLAELCSSMPTAL GQAYWVSRLWTSPLGRFVSYSCAWINVFGWWTLAASQNAFMTDIILGMNVMLDESWTE GDKRWLKFVIYISITIIMTVSNIVACRRDWILRWFNNVVGAWFGAEFIIFALAMLISV GVKSDLSFQPASFVFTQWINNTGWSDGVVWFIGLVQSAYGLTAFDSVIHLIEELPSPQ KNGPRIIWLSVLIGSISGMIFMIVCLFCIQSLEKVLQPPSGFPFLELLKETIGPKGGF ALIVFFTTQMLGQAVSMTTTASRLTWGFARDGGLPFNNYLTHVDNYWKVPARALWFQG GIIGLVGVLYFFTEVVIQAVVSVCTIALTISYGIPILALVMFGMGDIPPGDFTLGRLR PVANWVGLTYCGVTTVFFFFPSSPKPTAIGMNYAIAVFGIMVLIAISFWFIKGQHTYL RTDGALVEMNRAEQLEAQNGRRIYPEQDQASKIS VE01_01045 MPGPTFHNYPGIGAKNSEAFHYSQAVKVGNIVKCSGQGGWDAEG TIETDPELQIRQAMENVLKALRTVDGRLGWENVYAVKSYHVDIEDTFDIMTTEFKRLS RTLRPVWTCVEVGKLGIPGMLVEVEVEAWIENRE VE01_01046 MTLRKQPSNGQKRIRTVPGSCWPCRSRRVMCDLQKPTCRKCAKA DLVCNYSKRLLKWQDSLASRGYLAGKNIPVRDSTSGQDSTPRQELGISTLSASQQSAF DYFRQKVWPLLFVGGVESSVPFTLALKSPPLLLSILALATTHKAADAPTNKLKTESEQ ARLACISSLRIQLAKGIKEEEQSRAIVMTSIFLCMLDGYLNPQDGMAATIEHQLGAQA VINILGGPLEAILRAAPNELVLLSEFASMDLSRALILGERPYIVPELWSKFDSGRSWW EDIGGEESPAAIFKELAKMAHYALDHTENPASEVSVEKVQDFESNLQAPLKEVSLYSV MQDATEDLTQHHRYMEAQSLFRAFRYCALIYLYRAICKFPTHHKLVQQRVQQCLEALS MLSEQAGYQTCALFPYCVAGAHSVADFHRETVMKNLDIIEMQLQFGNIKVLQSYLRTL WKPGGQAGDWFHTFKDFSKGVYIL VE01_01047 MANAVELTAPNGVKYSQPTGLFINNNFVPAQSGQTLETISPSDE KVITNVACANADDIDVAVEAARAALKSLEWKGISTSARGLLLFRLADLVERDRAILAT IDAWDVGKPYTVAFEEDLGEVIQVFRYYAGFADKQFGQTIDTTPEKFAYTRHEPIGVC GQIIPWNYPVMMAAWKLGPALACGNTVVLKAAEQTPLSVLYLASLIKEAGFPAGVVNI VNGLGRVAGAAMTGHLGIDKIAFTGSTVAGREIMKASAINLKSITLETGGKSPLIVFD DAELDQAIKWTHVGIMSNMGQVCTSTSRVYVQESVYSKFVDGLKDYVQTATVIGDPFA EKTSHGPQVSKIQFDKILKYIEIGKSEGATLVAGGKRHGEKGFFIEPTIFSDSNDSMT IAREEVFGPFVVISPFKTEEEAVTRANDTQYGLGAAVFTQNIAKAHRVSAEIEAGMVW INSSNDSHYGIPFGGYKQSGIGRELGSYALSAYSQVKAVHVNLGVRL VE01_01048 MTFQGSTGGHLHDPAIIATDGPLDVVENQDGVLEDVYGRPMVMI YNSNQNRASIKKKHAKDLKGQSLETQTLAFINSSEPKPKEKAARTLIRTHVMTNFRRK QRHPAQKSQLKPMAHRNEVHRDPIRASYQGSFNPFGPLGMSSRTHQFRIARHEMEAAF RRAEEKERREIKEADEHREPNPWLRRVGWAAHLAGLDRAKIRGWVEMPDDDELELQTM CKAFDWMIREAQYITVQEAVS VE01_01049 MPSKYAPAHASPAGTGDSRPTALQIIKDENLTGALASKTILITG CSSGIGIETAHALATTGARLFLTARDTEKAQEVLKDLLAPGHIELLHLDLTSLASVRS CAKEFLQKSEGKLNVLICNAGVMWIPTHTQTVDGFESQFGINHLAHFLLFQLIKPALL SSITPDFNSRVVVLSSASHRSSPILFENINLENGAYNPSTAYSQSKTANIYMANSIER HYGAQGLHGLSLHPGTIFTGLVRHLDKQMLAILSSDQFKAIMKSPEQGAATTVFAAVA QELEGKGALYLENCMVAEPLKPEPGMLDPGYAVHAFDEEKEERLWNESLKMVGLDSN VE01_01050 MFDGKRFTPDTDIQDLSGKVVLITGGNNGLGKQSALQLAKHNPT AIYITSRSLEKGRVAIAEIEAAVPGVKLHLLQLDLASLESVQNAAKEFSASSPHLDIL INNAGIMAVPTDVTEDGYEIQFGTNHLGHALLSKLLLPMLLETAETPGADVRIVTLTS LSHSWTPKGGIKFETLRTPQLEESNVAKYGQSKLANILHSQELARRYPSITCVSVHPG MVETGLSKPMRRKHLWVRMFEATIGSLIGVSVEQGVLNQLWAATSKDVVSGGYYVPVG NKADGSTDARNEQLARRLWDWTSKELEGYIL VE01_01051 MGRREAMLRLTSESPFLLYLSKTEAYTYRHGRPLVEFDKAAERK LRLKIDLYIVPTVALLYLFCFIDRANIGNARLAGFEKDLGLKGHDYNIVLSVFYISYI LFEIPSNMACKWVGPGWFIPAISLGFGIASICTAFVTNMSTASIVRFILGAFEAGMMP GIAYYLSRWYRRSELAFRLALYIVMAPLAGAFGGLLASAILRLPNFGGLHTWRMIFAI EGIITCALSLVAFITLTDRPETARWLTQEEKVLAIARVKSERVGATEVLDKMDWAKTL RGIFNPVTLATSFIFLLDNVTVQGLAFFAPTIVKTIYPRETVMSQQLRTVPPYIVGAF FTVLFPWLSWRFDRRNIFFICCAPLMMAGYIMFLASTNVHVRYVATFIIASGSYSFGA LCNAQSAANVISDTARGSAIGTTVMFGNIGGLISTWSFMSSDAPNFPIGNGLNLATSS AIIASSILLGIWMKRDNMRRSNKDIDSELASLSQKEIQDLDWKHPVFRWKP VE01_01052 MTMCASPILLNKISSAFQLVRILGTVVCVGLAMSKLPVSPFTVA IKGLKLIGDSAGTEAEMEELLEMAVRGDVVTILEVKES VE01_01053 MAFLPLTAVILFASVAAAIPCASITAPAVPGATVLSVIGTEMLN ITIPPFPILLPTGVSGLNVCNVNVNLTHSGVNDNVLVEVWLPLEGWNGRFQGTGGGGW ATGSGSIALAAAAGAGYSGATTDGGHANNVIDPSAWALDSNGTVNSGLLTNFASRSLH DMAVVGKAVTAQFYGRSPSYSYWNGCSTGGRQGLMEAQKYPADYNGILAISPAINWPS FIMAEQWPQVVMEQKKTFPTQCIFDTFTADSILQCDMLDGVKDGVIGDPENCAFDPYK LVGSTVTCDSTEVTITHAMADVVSKILDGPTNILGQQLWYGLKVGTPFNGIALTVPGP NRTTIGAPFPISDSWIRYFLKRNPNFNTSAITYLDYSLLFAQSNSEYERVIGTNNPDL SAFSATGGKMITWHGLSDPLIFPDGTLKYRQWVERAMGGRSAVDKFYRVFFAPGVGHC GMGSGPVPMDPLDALVAWVEKGTAPETLAAATTDASGATVTKNICRYPLVSRYNGNGD PKSAASYSCASSFASAA VE01_01054 MCHVHVGQTAIGEKRLRAEPVFDGMASSCDVIIGKKVNKNAYFK YQGKPLVHFLGSDVSKAAWQKFKQDLEVEILLIPGFNTVAPSPSFFNTYSSLDGIFSW NSWPSEG VE01_01055 MAKQVTFEEIKAQSLSRSRSVSPRKRQMTISDGGQHTTKRLCLD HRFGGTSRQGTQARTLLTYTGTSLPSVSSPEILEQSDLDMQTLVRSRRSSDPSLYCCD YNKAKKESREGMPGKPEFGGAYVCDQCRGVEYREDRDKDVEDVFFDPE VE01_01056 MYANPNFVVPLRRPSSTMAGLVWQVGRRTLGDGRERQAFRTSQL LAAFKALGVEGYGQEEYWLAGKASVGLKGFVGLWERGLKMPEDMRKKSLNEAESLMAG VE01_01057 MSSSVFLGLTAAAALFLPATSSAIVARHESVTFRGPVTIEANGM SNIHLTYNVPIDGVLSLHYGACNTPITVHKDSHHHLIGRTEVGSHPLARRNAQWRDSR PEKFVWLVPEKLPDSGCLHAYAGSDLIGRSEPITVQKKSSRRSVVLGDIADAEGPWFD GVQYLKSKEPGKVFVAQAKSKSIGILGGGMSGLMSAYLLNSAGFHNWKIIEASSRVGG RVHTAYLNGTRSDQYQYQEMGPMRFPVETFYPDTNETIQINDHKMVFQLADALNKMNK KRPDYKVNFIKWIQSNPNTPATTPRRLPDGRVPTSADIKANPSLAATPNYTNATAVKE AAAAYDAWMGVDESALKALAKNVFEAHKAAVEHGILDFSEAGYLRYKLGIDPNIADQV SSLGDNYDSWYYDTGYFGATNWRTIDQGLSRLPRAFEPLVMEKTMFQTAVQELSYNSA TDKISVKYRPGSPFRTTPESIDFDYVITAVPFSKVRLWRLPQYSSLLSRAINTLNYEQ SCKIALHYKTRFWEHLDKPILGGCGSTDIPGVGSICYPSYKLNSTGPGVMLASYVSGT LARTTGSMKEKDHVALIQRAMIEVHGDIAREQWTGKYDRHCWENDEFQSGAWCSPEAG QQALYLPAYFRTEMKTVFVGEHTSYTHAWIWSALESAVRGTTQLLLDMGLVDEAKDIT KEWMARWMKM VE01_01058 MALPVTQKNSITVQTTLPVQPYPLISDRNPIKTQRLIIRPFQAS DIDALYELRLQPEVMKYTKKGVPDINRTESQEILDKMMGSPGNDMYNFAICDGKTNVL IGTGGCHLPVGEVGWPEIGYMFRKEAHGKGYATEFVNAFLDAYWVLPRVRTEVVVDAE TVELSEGVTAAKECLGAITTADNKPSHSVLRKTGFERVKVFEVLGNHPQEEGDMTLWA WTVKWPSKAT VE01_01059 MVESKGWDCAEAAELTMWTKTLVKLSDELPADAVFNDSGMPLST VFSSIDSLRHSAVHRLPTSAQGIQKMVQSAIRLAMTLGDNTRAAILELVEAGLDRRIK DMKLNKNFLENRMDCQLQMIREQRKELDRKENEAVSAMFREDIENKVLTGSILETLVR EAFSLHNHWESRLKMGNGTLLMPDKNNDDSIEHVRESSKGADLGDEVDIFDI VE01_01060 MVVTMLFVTTGVAADSPTIVTGSKSSELKEVIPGEPSSKAIYCF LHALSRNQSRDNVALPAEKRRVFLAYRSLFSGDNANLRQAKKIRKLVQKHSGSFGALI KDFGSDKTVDILKTLLEVRVFESDIQAKITFPDLFQTTLSRSVQRAASVNEVARSEAE ALEELVLGGRINEFDEDADVERVAYADAIAT VE01_01061 MANLYPAPTNHITTTDPTTGESTFHSSSTPPPFIDPLPSFRVHY VYSTTSSPAGGPPIKDDEDLKNYEGIAAKPKVHFPTAGGTGGCLIHFSPNPEQMKGAM HTTSTLDYIFIIDGTMELGMASGETRILKKGDSAVQRATTHWWRNTSRTEPAIMAAVS VGIEGAVEDEMRIVGVVPEGNGKEKGE VE01_01062 MATTSSMFMYSLTIQPPTAVTQAILGQFAGTKEQQIVTASGSRL TLHRPDPSQGKIVTALTHDVFGIIRTLAAFRLAGSNKDYIIIGSDSGRITIVEFVPAQ NRFNRLHLETFGKSGVRRVIPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKAQTLVFALVALDVGYANPVFAALEVDYGDSDQDPTGQAFEEIEKTLVYYE LDLGLNHVVRKWSDPVDRTASILFQVPGGTDGPSGVLVCGEDNITYRHSNQEAFRVAI PRRRGATEDPQRKRSIVAGVMHKMRGAAGAFFFLLQSDDGDLFKITIEMIEDDNGQPT GEVRRLKIKYFDTVPIATSLCILKSGFLFVASEFGNHQFYQFEKLGDDDEETEYISDN FPTDPAEPYTPVYFHPRPAENLNLVESIDSMNPLMDCKVANLTEEDAPQIYSICGTGA RSTFRTLKHGLEVNEIVESELPGVPSAVWTTKLTRGDEYDAYIILAFSNGTLVLSIGE TVEEVTDTGFLSSATTLAVQQLGEDGLIQVHPKGIRHIRADRRVNEWAAPQHRSIVAA TTNAQQVAVALSSGEIVYFEMDSDGSLAEYDEKREMSGTVTCLSLGEVPEGRVRSNFL AVGCDDSTVRILSLDPDSTLENKSVQALTSAPSSLSIMAMTDSSSGGSTLYLHIGLYS GVYLRTVLDEVTGELSDTRTRFLGPKPAKLFRVSVQGQTAVLALSSRPWLGYSDPVTK GFMLTPLDYVGLEWGWNFSSEQCPEGMVGIQGQNLRIFSIEKLTENLLQQSIPLSYTP RRFVKHPEHPYFYVIQSDNNVLSKSTKQKLLEDPSVQNGDSAVLPAEEFGYPRGRGHW ASCIQIVDPITEKKVLQQIDLEDNEAAVSMATVSFASQEDEVFLVVGTGKDMVASPRS SSGGFIHVYRFHEEGKEIEFIHKTKVEEPPLALLGFQGRLLVGIGRELRIYDLGMRQL LRKAQAEIAASLIVGLQTQGSRIIVSDVQESITFVVYKFQENKLIPFADDTIARWTTC TTMVDYETVAGGDKFGNLWLLRCPTKASEEADEEGSGAHLVHERQYLQGAPHRVALMA HNFANDIPTSIQKTNLVAGGRDCLLWSGLQGTIAIMIPFVSREDVDFFQTLEQHLRTE DAPLAGRDHLIYRSYYVPVKGVIDGDLCERYTLLPTDKKMMIAGELDRSVREIERKIS DMRTRSAY VE01_01063 MATASYTCQRCLGALTNSPRLQTASLKLWRTGTLGAGRRSISTG LYNNSTPQRPLAQRPSKKASVKRLQTITDFAGQKPKSEPVQVRTPPGLEYTPAPETEG RPLLLPNNLFHPFSESPSPEIRRRAAFMKQNAWCPNHAHQHTRSVPDSDSKQSAPKST GTTPPAHVNFECPDCGIPVACCEEHWAEDYEKHLEVCDTLREINEDDHDLRSGRFFPE FEYPGDQIEEALVNMTNWDTFLYSREFTAINDERSLRQATRLLTYPATIGSVLHELSP YSLKRDGRLTNEGMKSLSALRYTLHPARTGGGGDIKGLRPAPPPVRIFILGARAESSL PREVWVQLTHLFPRVSFHLVFIGPESMANRDNEFPLPPRTPTNPFGAVVEDRITNSLK ISTFVEYYHTLHEAGQFYPYDPYFDCFMLFHPGLGHPASTHEWEQTIPLLLETKVPIF VTGYTQFDMDRDIEWVNKTVGGEVDLLMTPGENRFRSLRWDINDLDPQDISCGNWGVW GFRGKRYETTQKDVAAA VE01_01064 MLEVIYVTRHGFRSNWVVDAETGVYSGSIPSPTGIASDPALAGY GVTQARELADHLVTVDPPIEVFYSSPFYRCIQTIYPTIEKAEALKPSVPKRTVRGDTG VGEWYGTARFDHPSPATPEVLNGLFPLYDLEYKSSIVPSVNGETIDELHDRTAYALHK IIEQSDKDGVKAIIICSHAATILAIGRALTGRMPEDISEQDFKPFTCGLSKFVRKAGE SVSGEVNLWEGPGAQIPNVSWRNGNGVSGGWTCELNGDCSFLSGGEERGWRFSGDESF MTTTTNGATVDAGTGLGVIVEGNKKPDRQSSPPGGSRL VE01_01065 MTTLRPRAPYSDEELAKLYPSSLKLQQVQVLLRHGERSPVSARF QNAGLAPFWPYCSVARQLLNATLNSQNSQWGTLEWKRRLETFGPEDNPVIASGPDGEV ETICNLGELTDTGRRTTHALGKRLRHLYVDQLNFMPSTISNGDMIYLRATPIPRALES MQEAFWGLYPSDTRSASFPPPTIITRNPSDETLFPNDGNCRRFSMLSRAFAQRTADRW NDTTEMAYLTKKIGKWMPDPSKGVAVDSRPRLSGIMDTINSTLAHGPETRLPKEFYDD KGREIIEKIGVEEWFSGYKESQEYRALGIGGLMGDICQRMVGHIERNGNSDGASNGPI TKGTDGEQDIKLGLSGCHDTTLAAILTSLGAFDGEKWPPYTSHVALELFKKEDQPGRQ VLSKDQVAPNTAQSVLPKSEKQSWFGWFSKAAANQNNAPTGISRKRIEELNDTEKEKL DGYYVRIRYNDKPMYIPGCALPGNHLDGDESFCTLATFKAIVDKFTPKHWKEDCRSNL DAPSFPKATEAAGF VE01_01066 MPNIEASRAKAHGDLLEELFVDGLNRTSGNGATATLRPNTASLE LYEQVEKLMEMLNNPSTPIPAMWALFTQICPKARPKGSQTPYSLHFAAKSLLRKLLTA RQQPDVASGIPSVSEIAKTYASLGILEPKVWKPMLETFFTKESAEKLHVDGDRIAEDL LETWRIILSLGHNSSKAPASFIDIESRAKWSPPALNPTRVRRAMLERGIEQCFALLLP KSRNKLFHGLPASAIITFAMLTDSRLSHPIQSAANHPFIKAISEVIAMAQITPGELDQ YVRMQNVSHSVELNDRWPSIIEQARSIANTPTPSMEIPRGDTDKAFRRLITNKETRVF RLLHRALAARDLDTAEKLWSEVQSWPMENNGNEGHNILPTALKSSAPSAELCNQFIST FMALHKERAIDVWNFMIQSGLRPTVLTWHAMLEGCKTSRDSQSLLAIWSKLIDSDTAP DVECWTTLISGLMYCGDLEGGLRAFVEMRKVWEKAAKRHVAQAGQPIDIKSLGDVDGV VKPTIAIINATIAGLLRKNRTDIAGQVLATGGEMGITPDNITYNTLLRSLVRNKDERA ITNLLGQMQAQGVQGDVATFTIILENALSDAKNQSPQELIEIINNLFAQMDASAVKPN QQTYAAIINSILRRASDFELVAPVNFVIAHMSKKGLQPSAHIHTMLVDFYFKQSEPKL DLIGLLLDQMIKGNAMRDHIFWDRVIEGYCYIGDTVRALQYLRQTQKEGFMAGYQALD MLIHSLVRNNEVDLARELVKNTRVQRGGPPEPDARGVDGQHQFWNSAAEMGLVEEFSV VE01_01067 MALLTEHLRHTPVSLLDDIIDSINKLAWKAVDAVEQGLSTAPPE ALGLNIDPSDPKSVELEAQVKLEIEAGAHKLETLLGTTIDKNFDKMEVFALRSIVAIP DDLEDWIRLPHYEGLNFKHPDDAPTIETIRLQRAKVQEAQRLNRLLTAESSKNTAIIN RLRSLRGLPPKSAPETSKAPGSSEPSTNDTAYPVFAHLENKADLADGTGDTPLSTNAA FVLSQLPALKSLLAELKPQERLLGERKKADPNIAEGQGEKTWRKQRVEYIETQTRRHL EKVVGLELGKNGEVGDGEWQAEGRKLAKGEVQDLEKMVEMIGGGSSEGKDEKMTDV VE01_01068 MSISGYNIRDTVKKGLQSKDADGKPKEGWRAGDFKGRDQISGLM GRAKGQTTQSNTSEYVARPLSSLKDPSSFAPPPRKNSYPQRSTPTTPVGSQNGPSAAY GRQIEQEPEEPKAPEPYRRDTTGLSTSHLPPPPGRRERADGGAPAPKAKPSLPPRLPP RQNENPSHHTPPPPPAYHEAASEPPAHRGILNQGSLSRLGAAGVSVPGFDIGPKTTKL AAKSPPPIPSRKSTLTDQSPSHDTQVNALQSRFSQMRTSSPKEPAAQGTTWAEKQSAL KTASSFRDNPSSVSFSDARNAASTFNNFRERHGTQVASGLKSANEMNSKYGLADKVVS HGGSSKQVEPEHDDGPILMEDKTVSPAKKAPPPPPKKKPGLGAPVDSSGGPPPIPLAS KPKPSIVPKATPKIEDFDLDLEKQWFANQHIVFPPDSIERVPGSRGYRYSSGWSSNGV RKTCTFNGLVIYKTLAYTKIHITWDASNPGLTVKSEQKHFPPPISPSKSQLDSYRRQY SEKLAHWCELQMGTQVGNGECWTLANNGLIAVASACTDRGEEPCMASQGYVHGVLIYE KIGRKHPEPHGGVLSAGVARGDILQFWKARLEAKDGRSWKSAGAPDHTAVITRVENNG VLKTLEQNMGGVKTVKEGSYDVDELVEGEVRIFRAAGVNWVGPLEATWP VE01_01069 MANLAEQVQCFVFITFFHNCGHTSTRKHCRDKQKIWASGTHKHP HAACDDACDYTLPYYSYMWDLACTWCRQHPNIPRTSEERSDTPAAGQPDPPLPMMEIL QRRHTFMTMMRVQREGQARLAPYEVNHTDAPLDILMEMLIRHDSNTANERLRADINGV PLSDRTFEVGALHEHSELFSYVDARTYPDIHQDDCGICLKSINNNSIRSLPCGHIYHL ICIYEWLARSDPKTCPTCRQTYNIVMSSITENPDEAEADIDAAAILTGM VE01_01070 MPRTSIHWVEDMSHNDTLTLTKLLRLPERLQVEENWLVRQEKKI VYLPTHLKRSRALIPRLVEKFTSPSTKAILCPSHRCLDPQLIRRLFLSIVDECTTRVR RFTDSPPSDRDIRAWLTRVKTINSLWTQPILYRYLFNANPGDPEFEYTHSECEACILA TIGGNTTMLADLRICAITRRTNHGPTPRIIRILDGWLYWTGMAETIRAESDPLYLKVR NARRGRPITQSYDSHAMPETINDRRDGTRDYKRDDRRDNRSEDRRDDKGDDRRDQRIP ESTDADIIGFYLNRLSRMSRTHQRSGRPNNDSTHSLHPAFRDNIVFDASTGIYGQRQE DDIPLVPSIPSIIPEPKRQPPMASSSYSRAGSGVGFSNSTSKMTEWRHDDYAEQRSEA YRKLVTRDSEVTQDSKEMEWLQQYPWT VE01_01071 MSRFFSVSQPLLGNLRNEVRPAQRTISIPKAHPGYKKALQITKS HTKRVWSSTPVRQIDFRSPQRDISSHQLIKGPKVKGARTSPSHWLVLGAPLKKILSDL TKRPQHPNQIPVSSRRSKSAIMRSYVQVITTPTADTPGTTLLLHFDNKRYMIGNVSEG TQRACIQQKIGLAKLEDILLTGKTSWQNNGGLLGMILTVADVLITKAASLKENPGKGK KRRIGDTNDEEPKRVKIYAAENMNHLLATARRFIFRKGMPLDIIESQDFASAADAFAP SWSDSNIQVWAMDVVPDQSLSHHTRKRSHEEISGDETSEYSKQDVMSFDGKLEETAEQ REDRYNQIRKGVIGHMFDSTWQMDALVSKKLSEVKMPAAIFVRNEAGKIEEYKGPLPS SDQYVPDIDVLVRNPWPGARIESLPPTSRSTISRSYIIKNYPQRGKFDPKAAKELGVK PGSSFRDLTMGKSVVTEAGTTVTPDMVMSPERVGGAFAVVELPSADYIEGLLNQKEWS SEKVMEGVKAIYWILGEGVFADERLAAFRAKYSHLKHIISSVDCCPDNIAFQSATVAE LKLHLVDSERFPIPAYNNNLPSDASKSTDWIKAQVEDVLQLGESREAKEDKVAPKPFD AAKVLVELQSSANPGAPNWLDKEVLQLSQAAQKRIIDPEYLAKLAKVQEDIPCKDAEL ITLGTGSSVPSKYRNVSATLLRVPGVGNYLFDCGENTLGQLKRVFGDEMPQVLRDLKV IWISHLHADHHLGTVSVLRAWHEETSRHEETKSNSIIVSSEDGMLGWLSEYSHVEDFG YSRVKSLRLSHGNTWTNNFSSEEIDAYGLSSIVACQVTHCHQALAVVLKFPNGFSVAY SGDCRPSAEFARIGKGATVLIHEATFDNELEGDAIAKKHSTTANALWVAKKMEARRIL LTHFSQRYQKIPVMDNSDDQIAIVAFDYMRCKVGDFAKVAEFRPALLKLYENEAGDE VE01_01072 MIIFKDIISGDEMISDSFDPKEVDGVVYEVDCAMITLGAVEVNT GANASAEEAEEGVEDGAVQVNNVVNSFRLQSTQFDKKTYLSHLKVLGYMKTVKTKLKE KGAAEEEITAFEKGAATYAKKIVGNFKDYDFYVGESMDPDGMVALMNYREDGVTPYIT VWKHGLVGEKV VE01_01073 MSSMSTKSNNSYLSDLPPLPSYTLSPVEPLIPYISDFYLSLLAP FAAYWVLSLFFHYIDVMDKWPQYRLHTPAEILKRNRVSRYEVARDVIIQQIIQTAVGA ILGLTEPEEMAGKEEYDIAMWARRIRIAQRALPQLLGALGLNAAAISKNVASSYPLLA GAISGGNYPWLTTGIDVVSGTPVPAFASWEIMASKTIYWGLVPAVQFFIAIAIVDTWQ YFLHRAMHINKWLYTTFHARHHRLYVPYAYGALYNHPFEGFLLDTLGASIAYKLSFMT PRQGMCFFVGSTLKTVDDHCGYSLPWDPLQHITSNNAGYHDIHHQSWGIKTNFSQPFF TFWDRVLGTVWQGGNVKSRYERSAKAAEVLVNADKVPPKRE VE01_01074 MDPTTTLMTFMLQTSSHARSVQLIGSWDNFSKRYPMEKDARRGH GEWRGCYSFKDIICDGDTANSAKRNGGLKMGQTYYYYYEIDDGIEIHDPTRPFTTACQ YLPGQPVNFLWVPVEETPLRERSASVGATGTDIKTMNPADKYLTPRAPPPTPKSLPRL NTSPGVIARKRGARSTSPVPRSPWSARVLFGLKSPISAAPNNDRRLSPSRSHEGDAHI SYPTLVSSTSKRATALAGKVGSGETSPSTRNPAPRDAAPPNPSIHSDKSSLSSPFTSI PHDNFEDEFEDDDNFASTSHPPIDDDEKSSITSLSPPPPKRDFLTLSHLTLAPVEAKP LPHLPSDACPSMVASPLHVLPQPLSFDERMNPKSHFSIDSIATSFASLAGSQFDSSSP SAYDSTDEYVVTDDGFDFGFSTPKPPVVLEGAERDAQAGSFYGYSLPFGSADEGMEKH NRSDSLATVTLEEGGARSSFGSPVFQSNSPSSPDNRTVTALDELLDELGYLGDFISGD VE01_01075 MSAALPGNRALPASQYDLATYWGRVKHAAEISDPRMLLISKKGL EDSKELIASYKLGKIAQMSPELWHAKKVVDATIHPDTGEAVMLPFRMSSFVLSNLIVT AGMLTPGLGTTGTLLWQITNQSLNVAINNANANKSTPLSTSKIVQSYLLAVGASCSVA LGLNALVPRLKRVSPGTKMVLGRLVPFAAVASAGALNVFLMRGEEIRMGIDVYPALSA EDKAAGKSESDVPSLGKSRKAATIAVSETALSRVLNSSPVMVIPALALVRLQKMNWLK NSPRLVLPVNLGLILTTSLAALPFALGAFPQREMVRDGTLEEEFHGRGGAGGMVVFNR GI VE01_01076 MTTPSATTAVLPQSDVHFGYSHHQNYQPNSASFRANGNLVKATA RRGPAYKFPPPSSNLTAPALDVAALPHVEESHRPHLYEEDDQTPIMPQVQTATTLQTP KKRPRSREPDWRNFYKNGLPKEIIVIDDSPPPRASASVEAVASGPRSDNNGANAAAAL RHAAKKRKRDDMYDPIYHIKAGPSQTRSPHYKGSNASSTISTDRTTSAIQTTAATSLG SQYSNNGSRAYPVDDTTQPGQKRKRVATRQQLANEAKRREIEINGDAFTNYRPPPRPP IKAPEVNVKVMQDNSHTKNSKVDDEDGHYIVVPDTDLTERYEVQKLLGQGTFGKVVQA RDRRRGGSLVAIKIIRSVQKYRDASRIELRVLSTLKANDTENRNRCIHFRDCFDYRGH ICIVMDLMGQSVFDFLKGNSFTPFPNSQIQSFARQLFTSVAFLHDLNLIHTDLKPENI LLCENAYQTFTYNRKIPSSSTQINRQAGQRKVLLDTEIRLIDFGSATFQDEYHSSVVS TRHYRAPEIILGLGWSYPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMESVVDAKM DPHLIQQVNKMSQRSSGGNGTAVAKYFKRMKLDYPTTETTRASKRFVKAMKKLEDIIP RNNDFCTHFLDLLNRIFVYDPSDRITAKQALQHPWFKEVATPDDGTEAIKIKLQREKE RDERHRANGTGAGVGVHHYQ VE01_01077 MATLDLPPAKVILLAVQAAIRADIRTLHALLATHPKTLHDLILR ILLTYLPESLDSSEYVQLLVEYDSGHISGDETVIDTTPIVGLSNAAASKKVRKLRLLS LRMDQTHDDAPDDPLILFLLHRAYRIDQQTGLIAQLPELLAPFLERSDYLRDWVLATV LPLLRRNYEYHPAETETQSLEWFESLGTVEAVDFLFSKTMRTDNGNELKDSTLARDLR CLAGPWLYGNNQWKRRKMSLSAMGAQTVVPLKGAVPSQGSQYQDWDAVFAWIINQNPA SYIAVSDAIEQWGGSADVDLGSYGDSDAMLSQEDRRQINERYGHCALTASFRMPGDSM PALSEAHRILRNVITRLKLPSISSLETAAGRLAPVPDLSEDGKPVREHIEELLSFLKN DEQDFSAPTQAAVDLLQALITSSYLLLKAGAHTSIEKTGSMLHNQPESYQHTVLKEYL NKLGGSAGADDKLWVSARKEVLWLHDWGKPPSSEGATTQRGRGVLGQILRGAVEKEIL TALLTHGRYATARSLYENSPEPPLSKEELSSTIIASAMHAFNNANNPNKTRGGVKKCL DILNAFPDTLRTSLPRDRILHLSDAANHFANYRLVLNSGSPYRPSVIHTHGDPIALVE KVLAQNTRTYTDIDNFLSFGSKIVLAGLTVRDLEGRSQMSPEQVDEQMAIARWRITSM CIDAALSENDFETAYSYVVNRLPSISGDAYSKPPSSPSSTPLPKGGAVAHPPLKVLDN YSWKAAFQTGKYRLNAHTLKPSHVGNSSANPEIRHLEQRMECLSQALLIAPPPALQEI LNVFRRCEEELDAKIRQEAEQEDAWDEQGDDTAMPGRFGIDPPPATLSRASAPMSSAK SRTGAEAPMSLLDLTRASAAQAQRSIAALTSVKGGGGGSGAGAYAEKGGWGGDGGVGG VAEEAEDGVKQSTVRKRDQLRSAAMSTMIGGIGWVIGAQTPAEPQGRDDDEREGR VE01_01078 MSSGYGLNGGSSRCFPFWQEVLACYVVNTNSDDTSGKRKCQPIL EDYYECLHHKKEAHRVGVIQTAYRKHQAANPREDAPKAGEVRNLGLLDKDDDTTAPGR K VE01_01079 MPSCRGITLSITSASDSKVYPEFPHPETSQFQNSESFALPGNNT KATSSLPSKEKPGNNAPDSSNPYASVYIPSLPGTRFVVQYSIDPPHTDGINVFLKLFM NGRHVTSWGIDPRTMPVGRAMLGLFEADKKLKSKALIPNPAETKPFYFNDQKQDVSAA ENGGVIEVRVFRACGKRRRGAILDVFRGGQDKYGIEFKSSGLLEKSRYKYFDWLLEDP KDDPFVTFRFHYRSWDSLEALQLVPGMCNRELLPAFSIKNSLSTPNLSGANPTLTGSG VISRFNKATTNNGPEHRNRSRSPGASLPNKKIENTIFGQMVAKDTALSQVQSKQATQP DVNKLKKKLQIPTSIFSTANKEKWISQENLQLDVRPLPEPPAGQSHGRNLSASSMAFS IAPSLRSWADGDWSESPEPVLCVAAELQVLHSLQLNQYGRKKSIFDDDSTTSSASDHD DAYGDIDQSFQLSLSAPPVYPKNNMSPVKRSPVKKPTARGLPLSTSAIFPPPAMRRDR MRRIRFKDQKRGSNSPTEGYEADHESLPKYRGSGRPSTSGLPANMKLSQVPPRLLAVN SGFSFEFNNPCEADGSSEGNEDATLRIKSGKPEERSGEGPRNQNRERK VE01_01080 MAPTPDIHLYTSQTPNGVKISITLEELGLPYKVTHVALGKMEQK TDSFLAINPNGRIPALTDKFTDGETINLFESGSIQQYLVDEYDKEHKISYPKGTREYY ETNNWLFFLNAGVGPMQGQSNHFTNYAPETIEYGINRYQNETRRLYGVLDKHLEKSSS GYLVGDRCTIADIAHIGWISSAAWAGIEIDDFPTLKAWRDKMQARPAVKKGSDVPVPK PKIATTPEEKRKQAEETRAWVQQGMKEDASKNKI VE01_01081 MAWRNQGTTGSNNIPLGTKRRFGDDNSPSGGNHGDYNQPPSSGH GDGYRRGRSPERRPEPNNDAGKPVRKKRNRWGDATDNKAAGLMGLPTAIMANMTSEQL EAYTLHLRIEEISQKLRIDDVVPADGDRSPSPAPQYDNFGRRVNTREYRYRKKLEDER HKLIEKAMKVIPNYHPPQDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKME TESQAKIAIRGKGSVKEGKGRSDAAHTSNQEEDLHCLIMADTEEKVNKAKKLIHNVIE TAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANI ICRVCGNAGHMARDCPDRQRGADWRNGPPAPGGGPGGFAGRPAAGRIGAGDAVDREYE QLMQELSGGAPAPNGEAPQRIEAGPGSYDQGPPADAGDVKPWQRGPTGAAAPWQQRGR GGDDYNSRDQAPSGGAAPWARDRGGRSDDRDRRGGGDYYGGHHDNSYGAPPPPPPGGA APWQSAPPPPAAGPGYGGYGGYAAPGHGSGYPPQQAMGAPPGLGSSGGAPPPPGAPPG LNALLAQYGGSPPPPPPSGSAPPPPPPGSAPPPPPPSDQPPPPPPSY VE01_01082 MAVGVAPGSTGGPLEDNTTAFLVRSLSEDWKKGSVLAVDAGTHL SSIARIIEEHLPGRKQVDEKSFLTSGAFSGLELPHISAKANAGHITKAIVDTYLITHP HLDHISGLVVNTAALPGVRPKRIAALPSTISAFKNHIFNNVIWPNLSDENDGAGLVTY MRLVEGGSAALGNAESRGYVEICEGLSIKTWSVSHGHCMENHWHRGSSVGHSRISHDS IDGTAMQRSSSNSTPAPRQQQYSSHPAGQDGICVYDSSAYFIRDIKTGREVLIFGDVE PDSISLSPRNHQVWTDAAPKIANGTLKAIFIECSYADYRSDDTLFGHLAPRFLIEELI ALASHVDIARTARLSSGSLGAAQSPSDLRKRKRVSQTLSAAGSSTGLEADMPSPAMKP ELWTAREVIRKAHRKDEANVSPHTQALPHPPNASLMQLDSFELDARSPRPSGRDISQP QSPFSPKHKPSQPSQTSQASQTPLTSLGGDMANMAPELSGNGMKTLFGKPNLEGMLEG LKIVIIHVKDNLDDNEPAEDTILREMNEYEQQEKLGCHFEIARAGMSVLL VE01_01083 MPSTAETDAEDVNDFLKRIQELGIKRDQEDEERNKKLEEEILQG RKERQARRAERARSISPIKDSPTNTPPPYKPASAETRTQSPKAALTPSRELQRPSQLS NREQLLDDTMGRLTGEESPTKDYGPSPISRSSDVPDKNVVHTISVRASPSSAMPSRSP TLSWQRRPNSQSPVRSSTRPFSMIAAEPTTRSPIEKSEPPSRDAAPMDRNEIAQSLAA KDPTWFRQTSDRGQGSAAYRKTQVEVKDADEAVPSIHRVGLPGMSRTPTREYSDSSNV KETKQADIDRSSSPSRASSSTKDSITQSSAYSSTTSRTGFVSPMPATSAQRFPQPGSI TASEGNIDQPVSTRNLAMSPSQGRISPERMDRPISPTKGMGGFVQSAMMKRSDSVNKR WSVQSPGGLTRGNSTASNRNSVDLSSRTPLGNILNSPTREARPSSLSRENTPISRPSS SHSNATVGLEGARQGRPGAQWGSESEVILDGDFVKPGIPASQLQASSDAHSGRQVDRP ENSALSVSPPPSPPKSTDTRRWSPTKSSWLESALNKPDSPKPKPAPPPQQPSWMSEIS KAKQKVPTGETSNKPLGHKHQVSIGGLMRSPPMGGIAQPLSVGGLPAGFSSGTVPISQ VNKASDATPKTKTDNGGVSGKASLEALETATPKKTETKPTAPLAIKPSSPVIGKTKPQ TPPKKDFILNSKPKQVLPPAPSKDEPEFKNVFGQLRRTKTQNYVAPDELKDNILRGKA GLSLTGGPKKAERRDEFKDAILKKKEDFKKAQLEGTGVTKSTTPIQAELPEALRKKAQ LGGVGATQSTLPPVQTQLPEALLKKANLGRVNTVAPGTQGNTVSGKGLSDTLSKNNLP YNSPKPKISLGPGSPAASQGKLDAGAKLAGRFNPALAGMLARGPPPKPAGSSRKVSSN LETASRLASKGPEKQDGPQLTHMTKGRARGPRRKAPSTVAQGKAPAEAVTPPLKSPGS PLVSTSPLARRSTAPSS VE01_01084 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKKIMGEDVNSVSP TLGFIIKTIDYEGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRVEDCRT ELQGLLQEERLSGASLLVFANKTDVNGCMDETEIQEGLRLDEIRSHKWHIIRCSAVTG TNLNEGLAWVVNDAKARLFLF VE01_01085 MKLNPIPPHLRRASAEQNQEVLTRATVVQSSPIPPHQSHPSTAH TQEVLTNAQWVPPHMRKQRKDQSVLEASHPKLDRSQESHVSEVETLVKENILTEIETT EPVAQSTRTDSTGSIEPQTPVMASTKVPIPHPQTETKLVVATPEVDSEVKLAQTPNHK LPPHLRRGNMIQTPKSSTDNRQIFKQSPISPPITPPGDANVSQFSSDQIPVQQQKGLP SSMGDTVSPGEPKWQGNVVSSSNSPLSAVGSRASSNRLASPIAITSSSPSSAGSPIHI FPFHLRKTQVSSSLANSKVTIREDCTEIYDNPGLHSRNESGASRAGFASIKLPPHLRR EYSIPVESTGLLAEQKGPTPPNANTAASQENGSQPLRKEAKPHYIPPHLRGKKSHTQQ SSDLNKSTVALIPNESTSGHSSNTVGGVPLGDNQKASTTAKTELEAVTTQPPQPSSNS AQLNVSQEEEYDTGFFLHTDGGPAKDVVPRVYSDASIKTHSAQKDGSDALSEWADLRK PGPVKSLCISDDDFEDDASVKKFIGAALAECPGDVIRLNSLNDNYIYTTDSAGQEWHL DVTEEFLDAFVKVWQKKLPEEVIVVDVKAMGFTDSFPININSFMDVLEHPESFPNPCT DNKEKQERWTSNTAMERRQTRKLAIARRRQRGKNTYFVPNMQLGVQPFDWGEAAVPEK PYIAIHVRPAEMKDVPGITAIYNQWVLNSFIPEDQQPVTEEDIKAVFEATRKCSYPFI VAIRGDPPVGSRVAKSNEVVGFAMVERCLGFGGAFNGRSRSTATIQFYVHRECLRHGI GGHLLDQLLRRVSRLHVPFSDRNLWINPTRDALYEQISNRFHQIVVNRPVDKPNDPDF MWFDAFMKKYKIWERHRTVSVAQKPRTTSGPSATFLDIVTYQHEAELEVRVEG VE01_01086 MVSAKKIKAQDDFVLTLSDNEEDANPELEVVPASSESKNKKRKR DNAAGSKDKLKKAKKDKNVEVDEEEEGNDGVWGTKEDDDGAMDSDFEFQLEAADAAGE MEEFEGWGFESAKKSFAGGASKAGDKRAVDIDEIIARRKAKKGGNAEEVDDVESNDED AVMHNDEDEFMAEDGFGMGVASDEEESGDEAAEDEDESMGEAGDDNGDADSDDESVAS AQPHPDDIQGASSDEESDDDVEEAARRDAFFAPEEKSEKTATKGEVLSFQTMSLSRPI HRGLAACGFSAPTPIQAKTIPVALLGKDVVGGAVTGSGKTAAFIVPLLERLLYRPKKV PTSRVAILMPTRELAIQCHAVATKLASYTDIKFCLAVGGLSLKIQEAELRLRPDVIIA TPGRFIDHMRNSPSFTVDTLEILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSA TMTSSVDKLIRVGLNRPVRLMVDAQRSTVTTLVQEFVRLRPGREDKRMGYLLYLCQKV FHNKVIVFFRQKKEAHRARVIFGLSGMKAAELHGSLSQEQRIASVEAFRDGKVSYLLA TDLASRGLDIKGVDTVINYEAPQSLEIYLHRVGRTARAGRSGRACTLAAEPDRKVVKA AVKTARSQGAKIASRVIESNDADAFAAKVDGMAEEIEEVLKEEKEDKQLAQAEMQLKK GENVMVHEDEIKSRPKRTWFESEADKRTARKSGRAELNGPESILKKSIKGKMSGKDRK KLDDHESRTEGKVWKKGRAEREGKGALAPQKGKKKVAGGKPPGKGKPRR VE01_01087 MTTPRYPRRGSGDFEYGTTHDYGRNEGAEVNEAPERGGRRRKIA GYLKAANELRQSYQTAANAKWEARKEEDEDKDPMPGSFPDVSIVRHGNEELILFPSYC KRHVKRQPNSEPTPHDLTQTRSGDNTDSGSEWWKGEWEKYEDDKAIVDVDVRGWVYTP HRGPMNRKNRLLLGLARQLSGVPAPKTPESREGSPERTSLKARHEAHEAQKDEERIAR EAEEIMRKGEDETEIAARGGYSEGHGQGLRVDSMRDSRSRSQVGNGEGTPGQPMGSGQ LPKRSSWNQPADMSPEELKAANTNLMTRIMPFLTNPLVSTPITVFFYDNKSSQSKTVT TNEAGHFDLRAALDFVPTHIRVIASENLSATEEVKITEPKGVSVISDVDDTVKHSSIS SGARTIFRNAFIRDLSDLTIDGVKEWYNKMYDMGVGIHYVSNSPWQLFPVLATFFQTA GLPPGSYHLKQYSGMLQGIFEPVAERKKGTLERILRDFPERKFLLVGDSGEADLEVYT DVVLANPGRILGVFIRDVTTPIEQPFFDESYGASYVSRRLTEKPEYQGQYGSNTRTKK PDVSDRPDKRPPLPARAASSTGPIMGDLIDFGDEADEAHKPSSNGTSRFNADLAELES PQIKRKTVAENGAKPPAPPPRPIKPLSLRSRTLSFENAPHDSRVGSKPAEPSHQTYQR TSKPNNPLPDEEKQKKQPPSGDDSYISAASAKAAEAYNAMPNVSSYMPFGSDLSLPQS VNSSSENLSTRQALTAKAASYATSASNRINAYRSSRVDVSSTLRRPDSSDSDLPTGPS TPPINKKLELWNRRWARANELLGKEGVTLVKWRKGDDVAERATKLVQNAMREMGVEGH NGADGKGKTGKGGGEAKVKDLKN VE01_01088 MDAEDNPELRAKLEELELELEEGDITQKGYQKRRTQLLAQYLGP SSLAEPRGLRIHAPDDSPRRTNDGSRHASLAAPNGGDSEGYQRYSTAYSDSPDIPAQS PARIPSGRFEPPPNTYQPYSEEIKSELSPNPETRGMTPIDSLNIPRPPMQAQESLYLD VGGNPFSPGPSQGSAMSMASGANYTYNAEHQEGYQEQQPQQYIQSQAGTLMYDQSHRG TMYDESQGGTMLDAQQVYFSDFAGQQAYDNQPQQHAYAGGMHRYSVSETFSPTAGVAP PMLTASDLPPPAALDYQMPLEPRDVPFAVYDPHDGITPMSKFDNIAAVLRHRSRTTGR APAYWVLDSKGKEISSITWDKLSSRAEKVAQVIRDKSSLYRGDRVALIYRDTEIIEFA IALLGCFIAGVVAVPINDLEDYVKLNTILTSTQAHLALTTDNNLKAFQRDITAQKLTW PRGVEWWKTNEFGSFHPKKKDETPPLAVPDLAYIEFSRAPTGDLRGVVMSHRTIMHQM ACLSAILDTVPSNTGGDTFNSSLRDKSGRPMSGSKSTKGDILLSYLDPRHGIGMIMGV LLTVYGGHTNVWIERAAVETPGLYAHIITKYKATLMLADYPGLKRAAYNYQQDPMTTR NFKKGMEPNFQHVRLCLIDTLTVDCEFHEVLADRWLKPMRNPRARELVAPMLCLPEHG GMVISMRDWLGGEERMGCPLSLEVGSETSSIDERHKDKAGEKEKERISGQNGFGSLIG GGATTTPTERKERTELGEALLDREALKTNEVVVIAIGDEAKKRGNEAGTVRVGAFGYP IPDATLAVVDPETGLLASPHTIGEIWVDSPSLSGGFWALPKHTEQIFHARPYKFAHGD PTPMVIEPEFLRTGLLGTVIEGKVFVLGLYEDRLRQKVEWVEHGHEIAEFRYFFVQHI IVSIMKNVPKIFDCSAFDVFVNDEHLPIVLLESQSASTAPTTSGGPPRQLDTALLDSL SERCMEVLMQEHHLRVYCVMITAPNTLPKVFKNGRREIGNMLCRKEFDLGNLPCVHVK FGVERAVLNLPVGVDPMGGIWSPLASQTREDILLPGEKQYSGFDPREVVIDDRTSTPL NNFTSIVDLSQWRVARQAEELSYCTIDSRGKEAKGITWKKFDTKVAAVAMYLKNKVKV RAGNCLMLMYTHSEDFVYAVHACFCLGVTVIPMAPLDQNRLSEDAPAILHIIAEYKVK AIIANQEVDHLLKLKVVSQHLKQSAQALKISMPPIYNTTKPSKQNSGCRDLGLTMQPA WVQPGFPALVWIYWTPDQRRLAVQLGHDTIMGVCKVQKETCQMTSSRPVMGCVRSTSG LGFIHSILMGIFVGAPTYLLSPVEFAQNPASLFQTLSRYKIKDTYATPQMLDHAMAMM PGKGFALHELKNMMISAEGRPRVDVFQKVRLHFAATGLDRTAINTIYSHILNPMIASR SYMCIEPIELWLDTRALRRGLIYPVDPDSDPKALLVQDSGMVPVSTQIAIVNPESRHL CHDGEFGEIWVVSEACVKSFYMSKDLFDSERFDGRTIDGDPTVQYVRTGDLGFLYNVS RPIGPNGAQVDMQVLFVLGSIGETFEINGLSHFPMDIEYSIEKCHRSVVSGGSAVFQA GGLIVVLVEVARKAYLASIVPVIVNAILNEHQIIVDIVAFVNKGDFPRSRLGEKQRGK ILASWVTRKMRTMAQFGIRDADAALDNVNEGAEPRADGASVRNSSIIASSLRNVETAP QLMEVSEADAQRQSYTTYSPPPGVVEMPVSEYREIGIASAGPSETTPTEARPDASMSG NPETSSAAEYGEARFGGPERHPSPMPPQPGPKPPAYPPPPLPALGERKRDTWTLPSQR PVSHAGSGNEGILKVANPTTEDDWGQEAMMHMNLAGQHRDLE VE01_01089 MDSPKQHIFGLGLTLEADSDAAHANDDGLGLFGDACDVGVGCGN TDPERQGTEDVSFNQDIFINSQIQLLIRDETPRLPSNNSPDIQQQPAIRSESPISPLN NSSDIQQHPIIIQVPRSPPFIKSPDIQQQSGIRNESPQIPSNNPWGVQQQPITGNEPT ASPFNNYDSCGTEQQPIIIKETPELLSDNSWDNQQQHVDVVNAANSSQPLLGDVYNDV WDLPSPSDPIWSLFTQGPFQPQTSNDASNHETIPGAYGEHIPQQHPLQTQANNGTSDQ TNPGAYVEHNPGQPSFQNQTDISNSNHETNLGVTNEYNPGFAEHSQIPQAQASYINQD IPHTTQPQQGSPPPISQGISSDTINELSELHPLRQYLAQSSQQPQGSLKRVAEEEYIP VPNYAPILQKPSAKRLKTNNGTAVPVPRKVKVNNGGVNVNPRTARRIELDPTQYYQPL AQTPQSWGTINPDGRHRFRYNGFGELKPGMTFTSEEMLEYLIVKFPRTDNLKSNNPSL FIQCVPSDSNSRYPTTISNKCRFTDCPVKMRTIPSGHFRVAFDEQNNENLDPYHCAGY VHLYCLERFCSFSCLAEYCNFVPDTRELREGRNRMSITRDHSEFARMCMVYMENSRQL DPHALGWEYRNTLCSLLTGEYLRLEARVRRATRERQGGNNIGVHGNDMELYAWGQEHK VLLRAMAAQNRPKKRKRCFDEEEED VE01_01090 MSSRIWDPYSVLNIIGRNSNSATCVGKTKFNKRCRWDISDANIR EICMILDERETKRPKYAKNRLRKLAELSLCNDYHRGQASQVMEAWEDAIDDAEANLAN DSQTIDDLKLKLRERKNKIAQQSKNLELAAKNEDVLHNKVEQQRSQLARQRRDLDQAM QKLEGSLVRMEKLEAEESIARKKSDNLEHGLVQAAARQASLLQEVASLQQHHAIELGN TAHLRSECDNLKAELSNAQNSILQAQLNLAKSHKARDAQKTELDSLRVTLKVNMAKLS DSHVATEKQIAKLNNAQNRIKQVQLDLQTLRNANVELEANLTAALSTLKQTRLDFENN LQTAEKQQAELANVQTTLDQTVVELVNSRKSNEEQEVELSIVKKLLQQTELDLETSRK VDKNQKADLEHNLKANEEQNAELAGAQVLLKASQVELAISLKIALEQKETADAVVTRM QAQIVELEKQLSRGFMDRVVLRFKMWFATVMRGIRATAGGRSEQGEEGSLMMV VE01_01092 MSPLRRRSSRIRGSKNTPYKRNEPTSNNLAPIGEQEGTPSRGAA ARSTFDVVEASPQVPNTPTTAGRIKPPREEMHPSKNQQSTTKKEDEGIRHGFTDIGAR KPETPSKLNINSSSEFEFRFAKPAPSLGPEAQQMMDEIREDARRIKAELAAKRDEEIA MNGGDILGVLSGRKFAQPKGKAGRYSDVHMAEFKKMDSIAAHPSAFRAQPGRITPVAK SLKRTQSKANLADRDVNPAKQTTPLAKTLKRTQSKANLADRDASPAKQTIKLVRPSVC PDNEAPAKRARQMGARDTSFARPSSRDANMQPPSKPSTPTTGRTANFLNSLSTPTQSS LARAAGIKQDGTPQNVLRRSPSKIALTPGLVKSATMNNLSSLSRSESTHKFTDKMKSI LRRPTAHADNTMPPPPSSIPSLMKSPSRANLRQEIPSAPATPTAKTLPRSKSTKHVNF TPTTATKNEPHHSPTPLKSNIPRSKSFANLNSVAYPTLPSASKSVTRPTEKQPESTIT YPSLPQLPSSPTLSRTPLQPIAVVPAIPAVPGVFTFTSGQTIKFGTPPVPPQQRNLGT SPGQSSIRQVRSSILPPTAMPGAFPDADKENEAPAIPHGLANKKRARAASPDEMDVTA PEMKKKRARVAESADEGQEERSPKKRRGNVMEGEVETMAKKIVKEQMAPKSSIPSPAR RAGGLSLSRLKMLAMPKSRR VE01_01093 MDEKMSIDKKEDMREASVDSMESTPEADAGPPNQEHTEQPKRKG GRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEETIRVHETNLHSLQTAHRSAADE CLMLRYKNSLLERILLEKGIDVQSELRAKTGSPSLGPTHMPQGMAMPPTVQRAIMNRH HQARRSNSNIAPKLEPVVPLGNPSPQSRPTPSSHHSSPTSTSPGFAQANVLTPPAPEA QIQQQQRAHQPLKPQMNHGLGVSVGPQNAGLGPALKGIQAAAGAGDAPAAYYPSFQNH IEQLGKLAQPPLWFAGDSPVESGPNSVATEQEYDAQTDIVSDQDQPESAGPGPYPTPF ALPPMMNPQQQQQQQQRQQPRTTQAGEHVPGFNSMTQQLLDPYDPMLDMDPFGLSASM HFPTQFTFDTSSMR VE01_01094 MTDTVVSKNLYELLGNNHDEDSDKEPEPPVKVIDRTPARTDKRS APREAPGAPANVAPRRGPGGNEGAYRDRNAGSANNRTKPTEDRTAGTYGGRGGRGGPR GGRGGPRLNDRHSRAVVGDADKQVAHGWGGETGEAAGADEVAGEALAKADGKVALTED GDAAPVNADGRTPEEEEQDNNVSYADYLIAQAEKLSLSASATRKANEGTKADKKWASA SELKKEEEEAFIAAAATKAKRARERKQKEVVEIDQRFVEQPSRDSGRGGRGRGDGPRR GGDRGERGNFRGERGAFRGPRGDGAPRGGRGGPRGGAPRTGGGAPRGGAAPINTEDQK AFPSLGA VE01_01095 MGKLRGLLSSGIGLAMEAASAQSSQSPRVYDSSRYERANYHSRS LDGFQQSQLAHFSDENYARTEFNETGNEIYDEQQFCSEQLLTQGGLSYPVIIPQRRPE NKSRGWSRAYAPALEGCGIDQETFLSFIESFNRESQSSPYLDAVNVAALGVGFAPGIA PIVISTALPIAVQYAKQSQTNHKTKTYLDRMNEELFVPHGLFAMVMTYKPEQSSTIVN TNSISSSQLQDTAANRFENPENIRTQRFQMPEASPLIFFEAGSLPTDQPSNRLDKITD FISDYHDRRARARFAEGNPGSPLAGPAPKFGNRFADPTSTNNNGSLLSTISGNDRQSD KETSKRSRKEERNAQREGRRGPKDNGNERGHLIHGLVSNLAERSGHGGSFGRGRPRLI SGVRGIVNGSGSSTANRKDQGLLKSIKSIEANSDVLYLMIVNNPTSDIASREPRSPHG TRQNPEEVYDWQEDPQLDYSYDGALSGERFHPRDRHDPTHDERYTTDNYGRFEQQVVP YQYQYQQNTPSSPPPRYNGIVTRRDTRPQNWDRNDDKEYCVTGF VE01_01096 MGPSQKVIAIIELCVYVPIFFLAAFVVFRHGFRRQLGWIYLVIF CGVRCAGAGFRIASEANPNNETDQEWSAILQSVGLSPLLMATLGLLKRITDEVSLTIP SNSSSGYMNSLASVGAIGKVSGIITKRATAISRRSRIIQIAQLPTTIALILCIVGGTD EASSDPSSRSDGPKYFKIGIAIFIIVYLLLVFLTLITARDVHRAPAGERRVYIAVAVA LPFIAVRLLWSILSVFTTFKSFSAVGGNTVVELCMALVEEFVVVVMYTIVGLTISK VE01_01097 MKSWTQHINGAAQILYLRGKEQLQTETGRQIFRYLRTQIIINSM QRRAAIPETITSWSLLSLPYESGEDLTASKLSFLVIEFCNLRSLMTSMHDFTNSAAIV SAALMIEASLAAWAAESPYRYNIVPLMARSDAVFADYYHTYSSILTATSWNSYRSVRI LLNELLIVQLSHLCHSEFQYEDEDEEFPNLAEDSQFLLQQQYQSQISHSRTVIVQLTQ DICATVPYYLCDAPSGSYLLTEYPQSEHVPRAACGNLLLWPLFTAACTNMVSNVMRAW VVQRLEKIANVMGIQQARALAILLGLRKDPPTWHDTELTIQENGTTDEW VE01_01098 MNFFPKLSKKSKKTTPPPDDDDNTHDRTPRDDSSPRQSPSPKKS SSSSSRSNRRDSRDERRHSHTTSHNSGSRGPTPVSRAHTFDANTHPLNLPPDLRRLSA LSNMAEQMRSDPMEVDTDVHAQPSSPPAEKIPGAFNSTTPNGTNGTANKDSMDVDSGA PTPPPHKSPPTSPVAAAPPTPEEAEAFKNAGNKHYKAKEYRKAIDEYTKAVEAMPSSA TYLNNRAAAYISNANYDKALEDALRANELEPNSPKILLRLARIYTNLGRPEEALSTYD QIQPAPSAKDVAPAKTMKQHISVAEDALKHGTTGSMALHALDQAEKFLGVGAQKPRKW QLMRGEAYLKMGNVNALGDAQNVAMSLLRSNKSDPEALVLRGRALYAQGDNEKAIQHF RQALNCDPDYRDAVKYLRMVQKLDRMKSDGNSDYKLGKWQTALDKYTEALEVDPLNKG TNSKLLQNSALCRIKLKDYEGAITDCESALRLDPSYTKARKTKATALGQSGNWEEAVR ELKALAESDPSDATLPKEIRKAELELKKSKRKDYYKILGIEKEANETEIKKAYRKLAI VHHPDKNPDDKDAEDRFKDIGEAYETLSDPQKRAKYDSGEDLMDPADMFGGGGFGGGG FGGGGGMGGIDPEILFQMMNGGGGGGFGGGGRGGGSEDESGGGDEKSRTRTRERWGAP ERDQMDGTGRDNRIKRLRQFGFVLLGVLAFLPLEVVLGLVVMVTAALAVSRDLRMWVV R VE01_01099 MTSLHDKLELVKSALSSTSTSTPATVSTLSDLLAPKTASNGQKD AIPAAGVGRKRAATQTAQVKPGRTTRPATKKTLAATQKTDSHEADELSAKEKAILATG VINITLKTLSAAATPSNQVRKQPQSRGDLETPVRKALRRSNSLPQSPLHPRSLNRVAS SPNVANRLMRSPSSSSTSTSSNGSGPRAVAECSRIAFACLRMLQSSKTPTIDLPPMQI ENGMSALVGKLILLGLDDLALKELRILKRRLDTAFKSSEKPRAKKETAKDISPPVPSL SPLRFDELLEFEIVPTEGPLLSIAFTTQLQALKLLTTSKKPSAIIASIPKLQPEYHCS PTQLLVQTSKISSSLATKSARQLESLAQIILSLSPSISSSEDTAAVSPKLHVGPDAAL QLQTIAFSTRYTWWAIAGHKGDMDNDIFEPYSRCMAAFTRRSRGLAASAYECALQSYN ALVDFTSAQKKGLKVATGSSLYVIHKLLASLAQDSGCDGEAIGWVEKLQGCENSSEAQ KCAIAAKLVALKLRTDSHDPIIEELLMTVVQGLESSIKGDGAELDELLTEVSRARRAA IMLVSKKPGASDDAPAPINEGARQLCESLVLQCPRFSLRYLGKAPGNEASTKVIVRFE QRRHHLARSVPSTVDSALYLAKVLRTQGRLTWEIMDSLLQDCIALLEAADISMPDNNN DSDSARTSYFGRISNLYYSQHLDMRRDASSPKDVQHLRPLRRSIDSIRSRPVAERKSA AFTAKLERMGDLLRFAGRLDEARDIILLLRDEIIADGALALVASAASTVPIRAAWDQT ENTLLLARAFTLLLKLKKNASSTLQDLSPNDEPWTSEEKGVVFEHIQDILSRQPEASP ELQKAVYKELTTIYDARTFPIRRLRVLCNFAQTNPDQRRDIIEEARSTTTLASVDSVV ASSSDSGLNQYTMHIRSLLTSTLELMDDHPRIDLIEPHLATWSSIIDKCKDQEALSQN IDNVDILQGHLQSVADFLDMKGYGKTRVAVLRMITNIDEIPRGSNPDDVVLDYCFLAS QYLELGYSGKAGLALDKAQCFASSHGVTPSASIRRLVGYADYLLRVGNLEKCDETLSR AHQAAEHENETTNGSNAISYSDRDGNDRIIADASIVYSDLALQQGKPQEALIHAKRRL RIIARAWKRAERNQLSRVKKNQSSDDAAMEKLVEESSNLNLSTTSPGSPQVQNTDIGP SAWMLARPMFRCFVHLSQVYTHHGMFQDTIYYAEQAHKIAVSIDSTNYIVEALALIGG AWLKAGNTEKATEFLTKAKDLASVQKEAQTLVVLSGNLGSLHSAHGDKTAELAAYGEA ERTLNSLTNSTYISDLDRVFDVTVILQAEISRLAIDDTKKRGRPQRKTVAKAPARKRE AVSKQPATSPISIAEQCVGLVSLKGAILRQKARSFARWNRFEDSSMVLEEAAEYSLSS LDAIETHVAQAECLFRQSSALLPADSVYSVLQDSTISFPAVVGAKTASLERVSAVRTT PPKKTHNSPRNASLPRGSAMSWVDPLKQAHDHLVEAYSTASQISSTRVLTQIASFLNT TSLLLSTYHGLKLKAFQPGAVASALELAKAIAVQRERDAVMSDVDAETTKEGDLRWPV IKNHAHQNDSSGRLAMQQARFQKEYIDIIPAAWKVVSVMLSESRDELVLAKFEAGHSP FILRVPLERNSSRDADEEVFGFDQGKAELHEIIDLANASAHGGRDVSSREGKIAWWAE RDELNVRLKELLDNVEKVWLGGFKGIFSQHTRQPDLLARFQKSFQNTLDKYLPSRQRT NKRKKATSPGVTLDPRILELFIGLGDPFSEDSDLDEPLTDLLYFVVDILQFHGERNAY DEIDFDSMVLDTLDALRCYHQAVASAGVSTEQNHTVLILDKSLHSLPWESLPCMEGQA VSRLPSMGCLRDRILSQQKKVAAEGQPEGTYINRSKGSYVLNPGQDLKTTQATFEKGL QKLDDWSSVVEREPTETELKNMLANDDLYLYFGHGSGAQYIRSKTIKKLETCATTFLM GCSSATLVDVGEFMPYGPPMSYMIAGAPAVVGTLWDVTDKDIDRFAKSTFESWGLHEG SAPAAVVEKVPKTPGRGRKKAEPVVVERERVSLVKAVAEGKKACHFKYLTAAAVVVYG VPVYFE VE01_01100 MIEKTVVRRLFLAGRAPLQSTVFATAARYGRPQPLQRYYSSLPS VTQVSFWESMIPKPLRRSNRLKTKKPKSAEWNPATFFIAILLLIGSMSIQMIALRNEF ATFIRRADAKIDLLREVIEKVQSGEEVDVEGLLGAGNLEKEQEWEEVLKEIEKEDEQW TEARRTKPRRRSPQAVESAKIETAGASDDTPRPKQTSNAPRGFY VE01_01101 MRKPLMRIPYTGPLPPPRILPRNANTAVGAVAALSNFLNASPAP TLDERSTSPNSTVILTGAGISVASGLADYRGTNGTYRVNKTYRPIYYHEFVQNHEARK RYWARSFLGWPNLDRAEPNATHRAIRDLGHMGFIKSVVTQNVDSFHLLAHPELPALEL HGYLRSLRCISCHNELPRDIFQKELARLNPAWAELLEEALASGALDSEDPESRRAKGM KLNPDGDVDLPGAPYTTFRYPACPTCLATPPITPAGTKSTVKVDKDGAFEPSSTAGIL KPAVVMFGESIASPVKEAAEHAIDSAGRLLVIGTSLATYSAWRLARRAKDQGMPIAII NLGGVRGEELFFENLDAGQRGELGVRAEMSSDKLLPSLVDHLHVLGAGTSDRPSQAAA KVLTRNNSPFKDMLS VE01_01102 MATEQTQKRGHVGHHHHHHDNTYLVSSNKNDAGVRITRIGLWSN LGMAIGKGIGGYLFNSQAMVADAWHSVTDLGSDILTLATVSWSLKPPTTLFPTGFGKV ESLGSLGVSGMLLGGGLFMCYSSSLILYAHFMSDPHAAAELLAHAHSHGHSHGGHGHG HDAITPSLHAAWLAAGTIAIKEWLYQATMKVARERKSSVLASNAVHHRVDSLTGIVTL FAILGANFLNNAAWLDPVGGLLISFLIIHAGFGNFVSALYELADKGIDEEIQASVRKA ALKSIAAFPDGLEIELRDVQGVKSGQNFLVDLELAVPNDWTVQQTRTIEDAIRTTVGS KVRGVRRLKMRFISNEADKTTLFDEFIPGDVSPRESPEPENHNHDDDKKSQ VE01_01103 MPPPPSFLCTFCWLPQYPPIHPKTLGTESRICCPPCYEHILDLA ICWVCGEVIVRTEEAVSLGWCFRHRHCFGRLICGEKLAVGDGKLELDVVPVCGDCEEG NEGVGREIDRRDGGLGKARRERLIEPKHGSVSNETLSGVAQTQVEVEAESRAKTGAAA ESISYNGEHESPALPPPVYITITDPINGPSFKPGPTKPIPRWMKQLPNGREREQVHLP ATTSSAAAESKVDIVPIENARDLLVPSLQLSAPEEQRLPSKITHAFTAATVVPEAILA YNDRRMTMSTPPKMHHQRPEYGARPGTPLYTGGEMGVEYLRRCHLRAMEKAKG VE01_01104 MATHNIVVFGGDHCGPDVVAEGIKILKVVEAVRPSVGHFNFQEH LLGGCSIDAHGTALTAEALSAAKAADAVLLGAIGGPKWGTGTVRPEQGILQLRKEMQT YGNLRPCNFASDSLVEISPLKAEVCRGTDFNIIRELTGGLYFGERKEDTGDGVAWDTE LYSRAEVERVTRLAGHLAMQSNPPLPVWSLDKANVLASSRLWRKVVTEVMEKEFPQLK LGHHLIDSAAMLMVKNPRALNGIVVTSNLFGDIISDEASVIPGSIGLLPSASLSGIPD GSRCNGIYEPIHGSAPDISGKGIVNPIGTILSVALMLLYSLNLPAEARAIEEAVRRTI DAGVRTKDIGGSNSTTEVGDAVAKELEKVLKESA VE01_01105 MNWEPQTQSFGDPNAQTPTPQQTPTSAAFRESALGTPQGNINAF DTQSGWTPTFAEDYTVFNATPGRLTNTQSTFEPFSPGNLRLGSSAHEGGFENSDTKTP NRPNQRLREPLSGQTATPPRSTVKDLKKERRRIEELNMQHDEGSFLQSGIMGQDDFLD FTQSSGDMFSYPMTAPVPVPAYLNDDKSFWDPDSSMADVSMDFMAEESSFYGTSQRVG STEDWSQSHQASQDSLGTAPLQQHGSQPLRRHRPLMAKPPAPSSAAQSLSNTAFDFSI SSMPQDPFGGDASSGVNPGLIFSYPEVTSPLHIDQSNSRPVPQRSRSAAGLTMQEPYE HQYRASLREKPEPRRSRSLKENIPDLSHGVARFGSPVEGNRPGPRRSSSDSRPKKRVT SHENQPPPNFAGKGVYSSGRQSPTKQASRMNLASIPEAAGLNPRTAVTFSIDANGRAR TETTIVVDDSRSSRRINSRASSDGWESPQSGSSTDEDPIMIPSRNASFSIPNKRSMPK MAHFDTSRQSRSRKSGSANSSSHGDPDSDSETVIDGGKSGDAASALRKAMGSRRRSVS NMAGTQLHAASRASTRQVLGSQGQYQRQSYAYQPSSSNASPTTISDPDGETPSTDLGS TGSDTTRCVCSSRDGDEYMIQCESCEKWLHCNCVNVHPQRLPKVYICAFCVQTPNMRG PRVQGAVRASTKSAASPLAHKSFESWR VE01_01106 MRASSMLIALLGAVPVFSIDLPTGSDAPFHKIVNDKTCIIGNSV WDATLSGSYARPIYYNGKDIVDDATGFYLSYVNNNGFPWLAPEIVDAGNDWIDVQFTN DIARFHWVIHRGFAGAYQYWSNQRDFHSGHTYRKDGKLPTWDLYYSGTEVQDSTVQFS DGTYVSKYDWATHINIPEAKLWGVYGDDVGCWFIQPSQEYLNGDQLKQELTVHMEAQT GDTALLNMIGGEPWLWYLNDGSLSDAESRTEKEISAWPYAFPNADISAEYQSRAGSVT GRIITSDSRAGDTLSVFLGDNNDDEFTLNQYAGYHYRTTTDSKGYFTFDNVRTGEYGL FAWPGEGSSLGDITTNFTHFDIAITKKDKIDLGTYTWEVQNRTKIWQIGTLDRLPCEF AGGCGPYGHALTDDAPADLTYTIGSSKAEYWHYVLSNNGAWAINFKLNNEPVVGASAR LTVSLAAYAARCYMDIMANGVVIGHIRSMASDSALYRSSTVAGVWRFLEYTIKPGTPK KCSNSIKFTTTTTEKWKGAMWDTILLEWE VE01_01107 MNHSNRVSSKDPDSGQGAAHYATERLQSNLDPQLDSIHGSQFEM PDAVYPSAISGNTIHTLSTETPIGVDGQAQFTGEPFSTLAGGLDEVSPLAANNLWGSS LMSIGPAWLTDYDFDLEALNTSVSAAVETAEPLFQSHMNRNLAQQMPPSEITHDSEVR RSMRLANDNIHRGWFSHIDRLNDEDDNSGLTTGQLTPAITGDQYDIGDSFHHRVYQRL KARSIEEPLPSTQFLNLSVQIYFTKFNTIFPLIHGQTFRPTPKNSLLVLSITSIGSLI LGSKAAAAQGIRIFERLNKAILGSWEKIISSDSTEAVCMVQAAIVGQTFALLSGIPQH LAIVEALHGSVITWARRCHMFNYRINQPQVENLSGASLDEAWKAWARSEEIVRAVLGL YIHDAKLACMFHHEPLLRHNSIMMSVAADDDLFNAPSATIWKRKMLQSASRLAVRDCL HMNLDHHGTQPVPQELSWKNSHFTAYVILYGISATINEQQQMNQLHPDSANFTKCFDA LICWYQTFAQDAHLSESKEVSRPDTLCLMILWHSVFMCLLTNFDLLERAIGRNGADSA TLDTDMAYATKWATSREAQRCMLHVHALLNSLGAMRLDAEAAIHVPHCLFLAGIVSYC FTRFRRPNPALQSPAHTRLSRPETRSPALQPVVEFPEFEVRGVPIPQHIFGPPSVNSN DHASPLTPDGTSNSFNIQGDQTTRFRATSGAGAAMMCTLIDMLQRVGHWGIARTYAAT LSTLVCVDSDEDWKFILSGY VE01_01108 MSSDEFLEILPGTEVMKDHDGIHFIHDRNSTQGLILLPTPSEHA HDPLNWSRLWKLGAIANQGIFVIISVVTNLSIAPLTPIYMSEWNKSVSQVALLTGACI IALGYANFIIVPASDIFGRRITTLSCGIICIAANIWSATATSYQSFLGARILGGLGAA ANESIMTMVVADIFFLHERGSYIGLYFWCYFMGLFVGPIISGNIAAHVSWRWFFWACT IAQFLNVIVMAALFPESRRPHEMQILQIAPAQSSEGLTEEEKGLSSQVEATNETILAD KYLGRGKPNRSQFSPFQPIDHQAAKAIFRHIVTPVQIFFFPIVLWAAMSMGAAANALL AVNLTQSQGLSAPPYNWSPGSVGFANFALVGGGVIGLAIAGPWSDLVIMRATKKNNGI REPEMRLAALYPFIAAALVGLVIIGVGYDRHWPWQVIVVLGFGLVGVQVVSIPTIAIT YAIDCYKPIAGQIMAISTVCKNTFGFGMTYYYNDWVVTSGFTPPLMMIMALTVGFSLV GTILFPYFGKSLRRWTRASKVHSF VE01_01109 MAAEKAFTGNMKDLTSENITENVQIINSQCDDRRLKFLINRLVL HIHDLVRETRLSTKEWMAAIHFLTEVGQICSDARQEFVLLSDILGLSLLVDSVDHPCP PGATDPTVLGPFHTEEAHEIGHGEGLSHDPDGEPCLCICTVKDLDGKPIEDAKVDIWE TDSKGFYDVQYANRDGPDGRAVLRSDAEGVFWYKAIVPVPYPIPHDGPVGKLLGRLKR HPYRPSHMHFMFEKDGFDPLVTALYLKNDPYIDSDAVFGVKESLVIELEEVTDEKMEE QYGVKIGTKLLRYNFVLVTNEVAMELRLEKAEDAMKAQAIDVLFIDGLPVPALD VE01_01110 MPSFTATEEFEVIICGCGPTGALLSANLGRLGVKHLILEKESAI TSDPRGIVLDEDGIRCVQGVGKYEELFREVGQSLGLFRFINGGNGLTCKPFLQFNENS ITGGTGHVGFMSHKQPILEKHLRLAMNTTFCDFRPNSTITAIAEDENLVHVEYTDGNG QTQKVSAKFFVGADGKTGYTRKKYLEPRGVVMERSDGFRYEAEWVALNWRLTLPTLKS HPEFPLWELGYAPEQVYDLFFPPYFNFICDPARPAVCGRFGLAEDRLWRFEFVVKDGE DGNQMSTQDETKKIILPYLRHPGKKYGLSKDVSWPEDCIEYIRSRPFTFSARSCNRWS LGRVVLCGDAAHVFPPFGGQGIASGFRDAISLAWRLRVATSPSCKDYDFLFRGWYLER KQQLERSLAATIANGNYCNEPSRIKALFRNLYLWAVQLVPSWKRSLEQGPRAQGMTKY EWAAGMPFLPQFGGGKSFPQVFCAPIDGPAPSTPMFTDDAIFRSEKKGCFQIVALLDS PDQLPLAVGNIKSVSRQIENGTILNTAETTYVVDNQFGSVPVDSPDLKVLNRSTPCVR ILDAEEYTAAGITDAALATNLTRPAPLYYDPHRIKKDLGASVVYVIVRWDRMVFASCQ NVGELREALDLVPNCIYGSI VE01_01111 MDLSNNFIYNASPAHVIFGKGTIQELPAEISRQSLKAPLLLSTA QQASQAEMLKKILKGNIAGIFTEATMHTPTNVTEKAVEYAKSVSADSIISIGGGSTIG LGKAISFRTGLPHICIPTTYAGSEMTPILGETADGVKKTKSDQKILPKTVIYDVDLTM SLPASMSATSGVNAIAHAVEALYAQNGNPIITLLALEGTKALACSLPRIIRTPNDTES RTKALYGAWLCGLCLGSVGMSLHHKLCHTLGGSFNLPHAETHTIVLPHALAYNAPEIQ GVMNQLAGALPDSDGDAIKGLNALLDSLQVKRGLKEFGMNEEDVDKAADIAVSNPYWN PRKVEREGIRELIRRAWAGEPAKANL VE01_01112 MSSNTPTTAAAATTAMPSADSNPRVVVTAHAADGTSIFGADSEV PLFYPFGPAISSFAVFDIRGTVPVNNQEATGEYASTIPRCPPGGVIFCITNIPGNFTV PMHRTLSIDYAVVLTGEIVIKLDNGDEKTVRTGEYIIQGGANHQWINRTGETCRIVVV MVSAEKVKLADGTELEQTVLKR VE01_01113 MKSVRGIETRLPSSSGTRLTNSQASSQPPVKKRSRSVGGDSRWS SVSDQNGDPSALAPGEAASINLKNPHPWLKGLSSGMRARVEDQAVDNFMDKYVIYPCN QTSSPGFLEHLPCMFQEVNINGRYALRWAIRAAAYADISKDQDSNVLVRKALQCYGMA LSALGDSLAATPGKVPDDYDLMTVVILDIFETLYTPGLASKGSHAQGMAQILRLRGPD MVYNSRGWSLFRLAQHRIQKQQLSYNMPPVLGTTNWLDQLNDNEPYVCLEKNMDQIND TCKRARMLLDLINARGLPVSTIVDMIMELYSLDQTAVSWRKTSEWSFQILAVSERPDL EPAARGITDTLQLHPDIWMAYEWNYHRTARIIFLGQLLKCSKAALETPNLDDVEEKAL ANTIAECTSTIQWLADEILSTVPQTFGDVNHMGQAHNVKDGPPRCRGIGGYLLLWPIH TVKGRTCVTTPEQKERAWGVFERIRDYSGMKATLGDKSII VE01_01114 MLKEAIEPGTTEHGRPASRDCHITEKQEEIRDGSNGSSEQLYAT DGTILADPGVRKVEAFNKVLSESRSGKLLLITLVVSIGLTKFGYALDFGITTQFNAIA SSSFGHHAELGAVNTASVIIRGVSKPFIGKLADITSRPTTYVVVLVFYVIGFVVAATC DNIAAYTIGICFTAFGKSGLDFLGNVIVADLTSLQWRVFWNGLLLAPFIITTFINGFI SDAFIPEKWRWGLGMFAIMMPVLMIPAIWTLYGMQRKAYKLGMVSMANPMATEKSCGN LKGPATYLHVAWQGIIDIDLAGIILLGFSWSLILLPLNLAQSANGGWENASMIAMIIC GFVILILFSLFEVYIAPKPVMTRAILHNKAFMYAVIVDVFNLMASGCNENYLSSYLYI IKEWSNYAWTIFLGITTLTISFVGPIAGVIQRLTHRYKTMMVFGGVIKLIGYSISMGS NMRSTQSTAALAISQILLGIGSVSVFGARLGAQASVPHKDLASAIAIASLCATIGNSV GYTISTTIWTKHMLRYMREECPVGTPDSTLRKIYGSISVLRTEYGWEDPVRQGAVAAY TRTNGLILTTVCVLSVIPVIFSCLMPNYYLGKQQNAVTNTGLDGELLEVPAPAPSTDK NEESEAYKRGIGSKIKNLYYKEV VE01_01115 MRIANILAAGLAVIGATQACETDEDCSLNGVCSRKPTKSFASKP KPGACKCDPGWFGDDCGRLDLAPATPINGYNQTDAVDPLHFGPYGNSSWGGQILQDPQ DHKLFHLVASQFADGCGLTGWRPSSYIMRAESRTGPQGPYHYADEVTKSFRHNPSVIW SPTDQKYLLYTIGVDAPKAEKCQSLTYKQWPNNISVSSAHSIKGPWTPHKMILNSLEP QSTNPAPWPLWTRKNPTREIALGVEDNAIFKSDKWDGEYKLIHTQTWNTTEWSPTWTE DTFLWRDKRGNWHALDHWMIDLVEHNGQQWPRVGAHVYARELTGPWHFKQQEAYNSTI TFTDGSVRTLRRRERPKIFFSDDGELTPLYLTSGVTEMGQGSRSSTFIQPIGNKWKKY EKKLGFQ VE01_01116 MCLALDVMATCPALHHPCRYNRKVKKRGRKTNRDRKYHASQGSG QECRGSLSASETEPVVSADIELLSSASSSNGDTEPTQSHATFGAPQEIDNVHGALSLS NKPPELYPASDQGNDSAIGQSQPLHDPSWKDFMSPVTFNASTSATTSHFSSRGSLRGD TECRYPCLSALIPFLKGFLTAAETCDLLDTYFADYGNPSRLHCPYVLTTVIRKKSLLR ASKPRNTSPALLATMLWAAAQTADCQIYHKPGSRERIANRLYSLALSYLKRRDMDSWH RVHGGWQLEEDSIFDLDGNEWNKPRRTFEAGPRPTVDDIVTFALLAIVTSGGEFKADC LKWWNKTVSLVRHLGYNSELGIAGDAQLHDDSLAMIENQEERRRGFWLIYILDRHLAL SYNRPLHLPDSECHVLQPLPDDIWQNDDTFLLDAIPHRTFGPPITISGTGLFDYFLPL MAILGDIIDLRYRRHHPRIEIDVSATQNCIEKTLAKCEQSIIEFSNANPSTPGTPTQA SLAKKARLVIPYAIYIIKVLYILLHGEWDAISMLENDGDWISSFNFSQCASNSIAAAQ SITDILAVDPDLTYMPYLFGIYLFHGSFVILLFAERMPQIGPNASVERACELIIRAHE VSIVTLSTEFQKAFRKAFRSILYSVRQPGAVEPEFRALCKEVLSRYRWTMGQKGLCL VE01_01117 MSGIPVYTQSPITAAKANAPTPQTAGPETSTKASAPSNNPATTT AVPTTTSPYAPQTGQPAVPAPTGSLGPPTGPANNYAPSPITPSKTADQGPPPPQPGAV PTPPGRVKSPLPPPPKVGEKYTPPEPASQTTSPPYPPQMGFAPPANQYQPTATASRPT GNYPTMLPVQGQGVGGGQAERRSFEHPPGYQQNPYGGGMSGDQYGGAPQPSHGAQSGQ SGQWHRAGDMDDNAGEESIWETAKKWATTAGGKLAETEAEIWKRVNGEK VE01_01118 MDSEQASVYVVPGPYTDADSRPLHSRQQYHTPNFTFNPNNKFRR DQTPRSYPTTTVSSSEAAKQVESGVTALWSTRQVPNMSRPRPAQMAETNLNGFSYCIP EQPTALPAWDSTTMTLSSSHEVMPQTYAIVQDFYQPSESADSRPSSMYMNQGYAQLSE DWGNKACGDVLELQHFDSEANIGQAYTTDECVPILDLRYNTGMDNDHLSLENPYNSRR MSGSSFTMSTSGGLSDMTSYDDFSTTLSEAPSFTSDYPPPSNRTSLMSSTQLSPVASP RMTPQNRSELVRTQSRGRASPSPRPSMRSAPYSIDNRSKRWSTGSYASGPRRPSPFVY HTTPEGFVQPQRMSSRHSSPTLPNTHLPLNMANLQNQQNPYLMPHSNPAYHRNSMILP SNAYHEPRHFETPPPLFSHGLFRMLQSNADPHSMHSHYADLSDPPDLYASLNDEQIPP PPEDMNPSDPDLVPHEQELRFDGDLYTPRWVRGHGNKREGWCGICKPGRWLVLKNSAF WYDKSFTHGISAATGNPFQEPQETRRMDGNPDVWEGLCGSCNEWVALVSSKKKGTTWF RHAYKCHTHPKIKDAPKRRRESSSTRALAASTMAKPQTELPKIEAPLTPQMTPRPITS DNTTPAPTRRITTPLPNMANMI VE01_01119 MAPDRIDQNVYEDQLKDIIQDLYELMVQTTSYGNVGQGVSSKDV LQNTVAHLHASLTQLHASASSPSATPIRVPPELIQYVDAGRNPDIYTREFVELARRGN QLMKGKKQAFGSFRDILAREMASALPEVKGDVENVVRETGGEVGKLYEPAAGEAGEA VE01_01120 MDTQVENAIQIAWDPTSSQVLKGQAFEFLNQLREDVSGWQICLT LFTRTPQTSEVVRLVSIEIVNNAVANQHLDQASLNFLKDSLLEYSRRVYGGSGGQQQA DSASLQNKLTQTLTSVFAFSYQRGWETFLSDFLSMTYLPNSTSCDNLAGTALYLRILG SVHDEIADVFLSRTGEDQKRNNDLKDLLRERDVPKIATSWQEILTYWQGKDDTLVEMC LKVIGRWVNWIDISLVVNQNFLTILLQLIGRVTPNNGEDKVRDAAIGCLTETVGKKMK PGDKMDMIEFLNLGDIVSQLVASPALANRFGSDYDVDFAEAVAKLVNGAVFDIVKALE ESADGSPTRVKADQQLLIFLPHLLRFFSDEYDEPCSTVIPSLTDLLTLFRRAQPLPAQ YSSMLSPILNAIITKMRYDDTASWDDQVTETDGAEFMELRKRLEVLQKIVAAVDQTLY IDVLSNVVGNTFQSIEQSGSGVNWRDIDLALHEMYLFGELAVPNSGLYAKSQPSSVAS ERLIAMMQKMIESGIASYNHPAIQLQYMEICVRYCSFFENQSAFIPQVLEHFVALVHH NHVRVRTRSWYLFGRFVKHLRAILGNVAETVITSISDLLVIKAEVPKPDDEDDMSSDE SDHSAGAVFTSQLYLFEAVGTIASTSTTPVDKKVLYARMVLEPLFANMEQALPTARNG NAQASLQIHHIIMALGTLAHGFSDWTPGISSAGSKAPADEISQEFDRAAEAILVALES LKSQLEIRTAARFAFTRLVGTVGARLLPQLPRWISGLLSTESSKDEMAMFLRVLDQVV FGFKTEIIGVLDSLLSPLLMRIFNSLGEAVSGTDDEIQLGELRREYLTFLQVILNNDL ASVLVSETNQGLFDVLLISVETLAKNVNNGTGHLAASRMAFSILAKMAQLWGGPDVAT PSHYPETATPAPTPAFPGFDQFLINRFSPVCWEVLRNPDFRPYQDAQAKSVLTEIAGL QQIIYSKTGMLFIEHLQQSFFPSMGFDGSDIIRSMTTSNDRKVFANFLVAFLKQRA VE01_01121 MDDYMFKEYVKQSKPVAEETSYLEIFSYSDPTLNTVKEEPLPAD EFENFLHQQGAFRPPILPVRVTRVAGLRMILQQNASHPETFSPQYISLKQRAYVSMVE TMRLPYRGIESTSAVGPFFWAAFDQDEERPHLQILFRKSDVRKKGLTRGWELMLSHDM RGGMTMGYCKGTSSSDIVACVRHLKACAQQIGHPMLLPTIIFSHDMSSKTDIKQREAR DWLRKLEHAVSMRQEIDDKESYVDQDGLVDFDLINRHLVECHSQVLWKRPKAYMQILQ SFDEAMELFKSSAQSGERWQGELKKLNASMLSRHEFYRKKLQGIDSYAYTTLQRLAIQ RSALYNIIAQRESKLNFQMAGEQRKLAHASKRDSGAQKTIALLGALFLPGAFLASMFS MSFFNFQNGVVDVNPEAPIVSESFWIYWAVTVPLTLLIVGLWHYWERRKEMRYLEEDL LLEKGVESMEIQIQAQMRRRTMSKVSTWDTKAS VE01_01122 MAAQASYKPSPLSFNSPRTSPFRRPESPASPSPLRNSTNAPLNG PASSPSKQINTPSKLHSSTSTPSTDTWTPRGLAPVTTPRREPERSPTRGAESNPRSGA MLTDRFESQIRSSPVKTESSPQISRSAHTSRNMSDANALSKLQPGQVRELREGFQILD RDSDGIVNREDVADMLTQLGLPASASDLSSFFPPSQSQTMTMATFLTQISTMLASLSP SDELLNAFAAFDDDDSGQVDLAELKDALLHTAPEAGEEMLTAREVDRIMSGFSGRRAF GKTMVAGKRGEVFKYQDFVASVSGGNGKDNKEDRDD VE01_01123 MATTSTSSGILYRPPTNGTTSSHGSAANRRTSLSSFPSSRAASQ VSEHSRISKSPAPPAHDDGQQSQWNSTQRAKTPSSDISPHSSQSPVKSNPNAHSHRKL RSQYPRGDTENHVEYILVASFDIDRGPVMEHQYPVAITGDEHMLAELMLPDQAHVRNQ DWTIFFLHKDTSQEEEEAELKAEKRRRKKRRRDIAEGLVEADEEDEADLENHPSDDDS DSSEESEDEGGEGPPLIYVLNLVNTKQDKTAKRGAVVKAMAICTRHPFLHIYKPLLLL ALEEYFKAPHPTTLSVLYDAVNTMDLSLMPRLSLLERYLLQTSDNQDLFLEKFEQMTH IRMAEDRGETIKSAAIGHSSPEKKSDLTRNGTRAHVQQGHPEYFVPRDTHEFETRVIY NGIPIPIKVPVAIAPETVGDFSMIKLIQTFGDPHAKNPQPFTLHSHLTTGGAYTHPII VLVNAILTQKRVIFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTDLSKIDD LLKVPGFIAGVTNPTFENHPEWWDVLCDLPTGRMKISPRIEQAPVTEGLVFFQQQNPQ FSTHTIAATSTTPTSADPTGDAAFMADVLKSIAARHGENAVRSKWRVWVEKFARISAA FEETVYGASALYIGSEEDPKHADGTSGHGYVWSDEGARQKSLAGNASRIEGWRNTRSY YSFIQDLARYFTVQPQKYIDLHHLHDRLKVQKLTQAASGEIYIAFSKAAVTYDEICQL LSVCPVNQAGVFYIALGLFHKDIEVRKSVVELLERISEHEAGRHWWKRLSRFERLAYI RIKMEIEAGEGGSGKQAKRSPFEGLRDS VE01_01124 MAKAFSVQATIASFGGNLLKLEHNATSTGSTMKLNLFLPAQAEK GKVPVIFYLAGLTCTGDNGAEKGFFQATASKHGIAIVYPDTSPRGLGVQGEDESWDFG TGAGFYLDATKGSYAAGYKMSSYITKELPVALYGQFENLDSSRVSIMGHSMGGHGALT LFLRNPGMYKSVSAFAPISNPKNCPWGQKAFGGYLKSEEEYDEWDATELIKKWGGDFE ALIDVGDADNFYNHGQLLPENFIEAAKSKGKADKINLRMQKGYDHSYFFISTFSEDHI NYHAKRLL VE01_01125 MSDAGDDYGGGGNSPAYEDEEPEYDPEEPEPYNGEDEVAQIDDL QAAQNPDQLLATGEHGVVISGDPSAAAAKGKDKAPKDKKIANDQRTTTPYMTKYERAR ILGTRALQISMNAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYMPDGWYEDWTCEE LLT VE01_01126 MEVSDTKRKATRTLRGSSKRAKIESSAIDPAFFSSDSDGDDNYS DQGESDASHDEDLEPTETPQTPFSPPQRKFPSELKTIKCTYDGCTKTFNRPVRLASHL RSHTNERPFACTYADCDKAYIEEKHLKQHIKGSHTHERNHHCDWEGCTKSFLTATRLR RHQAAHGGHERFRCTEYPPCTETFRKHQTLQRHIRSDHLKLTPFPCTFMDPTTNLQCT AGYDSAGALRKHEDRLHGALRFWCDVCTTEGAPQPAGFPTNTQLAKHIRNEHANCIFC DLRCSSERELQRHIETFHAATSSSPARKQIPCTYPGCDKSFTKKYNLDVHVRTSHEGQ RFICGQTDFSKSAKLRTWDGSDACGKDFVSKVNLEDHIRTQHLGLPSTVNARRVKKNS IGAKPRKAKSVMDDAVNALTGTNYAQDPVRNIPCDVGECDWMFSRDYDLIQHKRVKHG VVPVFETKPEMLQPDFGGMMMEAPEPMYNGPPHISDDRGECERLSCPHWDHVVLYDDY YGLDGFMGVEEGEADMGLQGGLEYPEPPDYMTGKTYDLEI VE01_01127 METPSQPTLSRIALGALDVNKHLNSPLGSPKKSVVRKRDFVFGD GDAEMEPVARSLKEKLLETAEVQVEVLRETPVRVVGPKEEPRSEKRKEAPTDVEGREE GSVKVARTDGGEMGGLGLASEVEGVDAEGDEGLESTQATSVRARTPSVSQEVPLESSY STVLTSPDTVPNSARESPSPTAQANTTDSELRENATALRLRLKFAMYKLKTNQHDIPL SRLELLPALPRRSSAPARPTTAVANSPPRADSEIEEPGSATPRPQNRQLMLDVDVDVD VDVDVEGLSSPEKVEMLTPLPRHMQVEATGGDEELTSSGVKGRAADGLLSLMGVRE VE01_01128 MAGNFQQMGNAGGNPVMMHQSQAQYQAQQNAIQTVLLQNIQQQT QTATLSGWRANIPITERLGQVWHIVTQLRLTNANTVANDIQKMCGLALSFEKGAFENA ADRDTYLAQLRDKLGQLTTARNQISMNAQAALQAQAQAQAQAQAQAQAQAQQMGMMNQ GGMPGQMGRPNMGQPEAQQTYQHLQHQMQASPLPVQQPQPQQGGMGMANNMQPQNMSQ AQPQFQIPMQQQQSQQSQQQPQPGMNNRPQGTQAQLSPAEQAMVLEMTNRYMAAASEE DKNNIRMNMQGRMDPATLLRYQAQGMDPLILYYRNQVVSRLRQEKLRQQQLANQQSGQ GMAANRPQSAVPMQQQRSGNPNAVGGQPQEPQQQVIGSADFGFLGGVDQQNQNANLVQ EAGQIGIPQNAQQGNTTPQPITGLPGQGVTPNNQRVAQNPNVRAQQQFNAQQAQQERI NQAAQAQVQAQARAKAQQMGLHGQPGGMGPMPPAQSPAMNTLNAPLVRAPQQNPGAEN QQLNQSGQAHFGQPIDPRFAAAMNQRAGGNGQNAGIMFPANMTQEQRQKLSALPADKL NEVIGKWNERVVPPGQQGRPMGPMPGNGQMVRPNQGMPQAGMKQQNVANQMGAQFLPN GQQIQRPNQQMMAGMNPQQQMLMQQQIANRMSQQQAQGRNVPQTPMGDMAAISQIDHM EFPAQAVQNIPRQVPPEIKRWGQLKTWVAQNFGNMPQLLEQVKQLQRMHYQGLVRARM TQQQQRLQQQAGRNMNQVGGQPQMGVNPAMGAPVAQMGQPQPQQNHQIAQNMASAATV SMEDIQKARAHPSGRLAGMSDDQIRETLVKNQLLNRQRQLAQIQQQQQMHQGLIPQAT GQAGPMAAAQRPGVQQPQAQQGQAAQGQKMARPQSGQPAVQPTRPPQAQPSADVKQPT AAQARAAKAKQPASSPAQPQQGKSLKRASSDDVVEVPNPNAQQAARQTQQQQQVNQQQ KPGQPQPPRFNLTAEQVASLTPDQRKQYIQSMQRFQQSKPQVGPLPTPEDMTLFHSIR QEEISKFKAPEDLPMDQNTRQAVANKLVNTAPKLSNVSKVAPRWFAITHDENRLRTFF RTQIKVAQQFEDPSMQTPKQTFSMGMKDVDEALNIAQNMIADVLVKYPGLSRSQGAAG PATGQPPATGAMPQPSVPLNAANLQQQQQALAKQQQQNKARNRSVSKSQTPAAPTTSQ PPFALNSPHGVPVMYGPPQIDSTQLHIPPKKKRKNSNSSPQAATQKILPPQAAGAPDM QQEQMGEVKQGPTMWGCPEIDCDHFFNDPFATEEELEKHKEEEHVKPLKEPVTFMLNQ LSRMVGLEEDGTTKKSETADGAADVDSKGAAASAVPMKAQTSTTGKPSPATSTKTTKP SDGKQGTPVAAKQVPAKGQPAPKAEPTPENLWANSSVNPQDLLHNFQKFETGAGGAIS NMDVYRSITPNDTPESSKDGISEPNSDITEGMDLSIDLHSSEFDVNWMPFGASVADDL LGFGEITVGGVDDSVMVDSDLLANNDSQSWDQFIDFSAPDKPFPFDTSLFGMNEQDL VE01_01129 MASAARVDDFLDFVGSSPTPFHAVQSAIKRLEASGFKPIQERES WSTTLQPGGKYYLTRNGSSIVAFAIGAKWKSGNPIAMIGAHTDSPTLRLKPVSKKTNS GFLQIGVETYGGGIWHTWFDRDLSIAGRVMVRDKEGNFVQTLVKVDRPIVRIPTLAIH LDRSSEFNPNKETELFPIAGLVAAELNRTGVKPDEKKSESEAGEDSHASPLRAMTERH HPYIMEIIAEHAGVAVQDVIDFELVLYDTQPPCRGGLNNELIYSARLDNLEMTYCAVL GLIESLSSPSALDDESSIRLIACFDHEEIGSTTAQGAASNLLPAVLRRLSVLPAHESG SEVSYEKVRRESDADISTAYEQTLASSFLISADMAHSVNPNYAQKYESDHRPEMNKGT VIKINANARYATSSPGIVLLQEMARKAKSGGKTEGEGGVPLQLFVVRNDSSCGSTIGP MLSAALGTRTLDLGNAQLSMHSVRECGGAEDIEHAVRLFESFFENFSALEGKILVD VE01_01130 MLTDKIRLSGPETTDPEDYLGQSLGVIFPDDITNQHGDLDHAVI YQSPRFGDIKLELADPQGADNRKLFSHFLWNAGIQLAEFIEEEGDWDVRGKKVLELGA GTGLSGIVAARAGAESVVITDYPAPEVVANVRKNVEVNLPEEMRIGREGKPATCLVEG HEWGRLSEDDSFVQGHKGSFDVILVADCLWMPWQHKELMKSIAWFLNPGGKAWVVSGF HSGREKMAGFYNAALLTEHGMEVESIVERDPEGREREWVTERKFEGVTDTERKRWLVI SVLRKRG VE01_01131 MLLSSAPIGSEIFAGICLIVISLAVILVLRYYLPLRTTPAYILV PIFFALALPTSIVFLVPIDLASNAGTDDGTRGIWLQERTLLVSWRITYWLTFGLTWFI LPILAEFSDAGHRDVQAKLMYSLRSNAKYQAMVFGSGILGLIYVFISAGVTPTSLKGL IMALAYVWGLILAIYLMGHGLVAIPRSLFRKANISESLRRIQASAPKIHESMDDSIER LEVLEAQVMLLSQRKSGTAMHFQEWIEELGELCNLPESRPRTTSRRMSIPAVSVPTVI TENYLADLTRSLTRARHKRIRYMDEWDRLVQNSVATQAILDSAASKKLEIGASSPRAS FFERLTIFTPYTRYLYYYHMVPYMRILFAGLLSVASVCIVWSEVIKLVNSNLSVISRT VVHHPNSDRGQIGFPGQMIAAGWIFYMCAAALTSMTEVKVWRGRALVRRNTGYESAFW YAMQVARLSVPLSYNFMTFLTPDVYEKTIFYKFLGKLINLTPLGTGFDYFFPIFILIP VSAALFNFYGKAKRMLGFSLDDDSDDEENATGYGTGGWREGRDLIEREVGGSASLAHL RNPQNGAGSSSNGPAIAASNLASRSTAAPRVNSSVRPHVNETGDEGFFGSFGHRVRNT IDTIETPDWIQGLKKPKWMGGDGESGHSRGEGSVTRLFGGNQDGRVRL VE01_01132 MATTIAANNDAPASQTFTLHIISPSLGSHGPFSFPNLPIATTVQ QLKAKIRERVQTKPHDDHQRLIHRGRQLTEVETMADIFGKETLSNEDSQSLHLVLRPP AQDPPPYMPTPQPRTTQQNSQTQPQAVPFQTETPPSSSQLPQQTFPTMHAPVPVGPTE TGAHQPAPTSAPTVYILSTPSGPRALLLSNPSSYYTSSPTATTALPHAHAAHTPHQPG QQPIYQPQHFQPQHYQPQHFQPQPRPHPQARRQAQLQAQHHGAQPEPVLMGHQGNPAA AGALAAQLAPHIWLVVRLIGFVWFFTSGNTSWWRWCMITGLSVVFFFINTGLIDWAPI RRHLENLIPLAMPAVNPEGAAAGGAAAAGAGAGTEGRAGELDPQRVAQRLIEQRQRQN AGWFMSQVRRVEHATLLFLASLWPGVGERHVAAREEEDNARRRREEAAEAERVAAETA AQEAAQAAAGEGAEKEDGGGDVGESDKATGEGLSTAEEILVAQGEASGEGIGARELPE TQAEGSGDGGVAGPQSRLARDW VE01_01133 MRAPRTLRALPSPSTCLLRTSHQRPQFRYQQQSSRFVHMDHSGH NGRRGHQPGKRPFSTGKGGKRKQEQGEPFHRRLGRALRSTKIRWYPIPAALGIGFLGL AQLYKINEREKKARREEEGEPYRRKRIRPTGPWQVQVMSTLPLKAMSRLWGRFNELVI PYYLRVPGFKLYSWIFGVNLSEVSEPDLHAYPNLAAFFSRTLRPGVRPLDPNPSALLS PADGRVLSFGLIDGGEVEQVKGMTYSLDALLGTSHPSSAPNLSSPSHLFARETPAHHH QHVESEALVKRDEEFAILNGIRYTLPGLLSGAPSSPHPPPTDASTPSLPPSEEEVTDS LAVPWYNPPRRTALYYCVIYLAPGDYHRFHSPTAWVAEKRRHFAGELYSVSPYLQRTL PGLFTLNERVALLGRWRHGFFSMTPVGATNVGSIVINFDAELRTNSLLSDKAADKSPN GYAEATYGAASRVLHGRALGRGEEMGGFRLGSTVVLVFEAPEGEMGEKEGWDGEGQWR KGGWNWGVRNGQRVKVGEALGWVDEKV VE01_01135 MSTPIDCEKALDTSNLKGKTAIVTGGANGIGEAYVKALSAAGVN VCIGDMDSDRGTKLALSLGSAKFVKCDVSKWDDQVRLFTEAASFTGRVDYVVANAGIC PEDQVFSFAGGEDKEPTEPNLKAIDVNLKGTLYTTKLAMHYFIKQNGEKLSPQQEDTC LVLIGSGAAFLDCPRGPVYPSTKWGVRGIMHTLRRTAFYHGTRVNLISPWYVKTSILS TEEFAHVESRGVQFAEAEDAGSALLRLLSDTTINGRSLFVSARKWAPAGYLDLDVDDY PGNELIQEIQVAQMAAAPVEEGLFVR VE01_01136 MHRMGPDERSWGEIRHPVVLLGRMPQQAEVRVVEDDWTGSTDAA ERRKRQNRLHQRKYRKKIKELKMLAASTGSFEQGPGKTYPSDDPHSVACLDDITPDTQ YTLPADLHGPSQPSEPQFRHASPTSGLSASQVQGVIARVENSLSQQYIVSSPRVDLLL TLIQFNVFRALVSNTFTLGFPFTWLSAEADSPYNLGESRWCCPASLQPTELQRKVPHH PWIDLFPFPALRDNMLSQGEDFDDDDLCHDLVEVCHAPSERSGLIVWGDPWDPLGWEA TTEFISKWGWMLRGSPELLFSTNHWRGKRGEEAIVFDVTPLESVRS VE01_01137 MPSLEARRTPLNPTDRANRAAKLPGSQGKKTAAHDNPLTKNTQI QNAESRNQAQHEYETDKDAPHADPELFHELVEEPDLVFADDIYALGVTHAGQPDTPHS VRKTARTATGNAIRQSHQGKRQLRNTDPNVHADPALFHELVEEPDLVFADDIYALGVT HAGTPDPPRLTRKSRTTTGNTRQANQAKRYIRVRRARPNADDLHWDDNLIHEVVEEPE YIFGEDIDALGVLHAGVPNGHPSGSKASRSVGNTAEPLRQPRRYVRVKRAVPASDDLH WDADVMHEVVEEPDYIFGDDLHALGVRHAGIPDPPRHARRRLRVKRIEPSASDLHWDA DVMHEVVEEPDAVFGEDIDALGVTHAGVPDNPQSTKPTVRKVIRNVRGPVYGSKHRHR RQHADPRLFHELVEEPDAIFGDDIDALGVTHAGVPDDFAKKGVEISVSGVRLKLQATE KETLVTIKFPATMQLG VE01_01138 MPDRTSNDVKPLKIIIIGAGIGGLALAQLLMSAPGTHVTCYERN DGVNDHHIGYCVMLTGSTLAMLKRKLTREVWAHLALSIGEAPEGGEKVEFFKGNGDKM FVWDSDPMKDQFAVSRWQLNEALLHQAKPILRVRHAFERYELLPKGGVRVYFSNGTAD DCDLVVGADGSDSNVKKQFMPNAGIKDVGMAVIYFKIPLTEESMRLLGSPIRSMNPLI PYATRYTSSTIEPDESFITFGAGSPVSNFDNRRCAPNNLRPAELKAEVISRTSKTGIH PRFAMLAQMACIDTAHVFLVQKCDVVHPWASPNVTLLGDAVFNMSNILSRGANCALLD AVNLAEHITSPTYDRNSPTSLDAYVKDNIERRQNERYRSYLLQKVMFSCQNRFMGFVR NKTLPLALRRIDDLDREKHNVAETWVANEQNDLLPSERDPEWVEELRWEEIYMEQHGS KRIRGS VE01_01139 MNAAPKHIDHYCRTYMCLYPSARIILATTNTKEFLFQSEARRRT DVKEAVSAILAPGQANERLFVHALSNGGTKRMYAVAGTYQALTGKALPAKAFLLDSAP GIPQFRRDMHALAVPVVKWPLYLWLPYMAVSLEIVLVVFVTVNWMPKWNFVPMDCARG YIYSKEDLAIDWKDIEKHATVAENKGYTVVKKLVEGAEHVQLFKGNGGEKGYWDFVER LWGMAMADREAQPETL VE01_01140 MTVDTSNLHHDLHFVSSKGARPPISVNSNLYLFAISCTTATDAR PSYHVTPELNWMNDPQRPFFLGEEWHMCYLFNADFNEANPNAGGGTEWYHVTSTDLIH WTRRGVAIEKYKPNQNGIYLGDIETGSAVIDTYNTAGFGLNAVIALVT VE01_01141 MSANTNVGNARQRSTLACDMCRSRRTKCDMRKPTCSFCDEHGIV CNYRVPAAPSPSKNDLEIQAIRERLDDLCGLVSMRRLDPNYVLPGGSTSPNPTSFDQY PTAESIISRNWRMEFPFMTIQTPSTMCLLGQDPKLAAQMVSIERTKLDMLTPPVVSVG FRFHYENAVR VE01_01142 MAGGGLPISVTVVQGGFLTGKKLVFPLFLVICLFFLWGFSYGLL DVLNSHFQTVLDITKLQSTGLQVMYFGGGYFFFSPIAAEVLKRKGYKITILMGLSFYS VGAVMFWPTAHFSTLNNRLAAFGGFLVCTLVIACGLATLETAANSYAVVIGDPASASS RLQFCQAWNGVASFIGPLIASKFFFTGANANNLTNVQYVYLAVSCAGIAVAVLFAFSK LPEVAESDLQGSNIETSAVDEFGNPIGQGPIYKEYNMIFAFIAQFCYVGAQVTIGAFF INYALEAGYDKPTSSNMLSYALITFTVGRFFAFALSWVFQADFLLIIYSICAIVFNAA ICVDTNKTGVIIMILLYFFEAPMYPTIFTLGTANLGRHTRRGAGILVMGVAGGAVFPP IQGAIADAANTRISMVVPLVGFVYVLGYVTFHWFTHGRHILRVKEIVIPGTEGVVGGA IETVHYDDEKVFDKDVQIEKL VE01_01143 MAAPRMTPDLPTEQWAQVVEETGKPVVYKKIPVRQPGPDEVLIN IKYSGVCHTDLHAVNGDWPIPTKLPLVGGHEGAGVVVGLGSLVEDIKLGDYAGVKWIN GTCLQCSFCQQADEPLCSKALLSGYTVDGTFQEYCIAKAAHVARIPKECNLEAIAPVL CAGITVYKGLKESNARPGQTVAIVGAGGGLGSLACQYAKAMGLEIIAIDGGEDKRAMT ASLGAKHFVDFMASKDVVSDVKAATEDGLGPHAAIVVAASEKPFQQAADYVRPRGSVV AIGLPSGAYLRAPVFDTVIKMITIKGSYVGNRKDSAEAIEFFRRGLINAPYKTCGLSE LQKVYDLMQQGNIAGRYVVDTSK VE01_01144 MAVSNIVCALLLLPTLGLSHIDRHSILSQFNVIRSSLIDNKTTA LQVGNGNFAFNVDNTGMQPVFSPNMPSSSENISDYHGVGKLTHGRKVSYDIPDPDLPE VSQWLIANPNRVNLGRIGLTYKNATITASQITDPRQELNLWDGTITSTFKVDGKAVQV ITQGDFETDAVAFDIKSDLISLGDLKVELDFPYPPIHSTTYKFEVFVGVYDFPQNHTT FIADPPNRKIPTAHIYHEMQETKYFVNLRWPSTSTCNLQLTRNEPASSTAVTAHRYTL APGPSSAATSSSTMTFTAHFSPEKRIPDLPSAIQQRNRKGWNEYWSDGGFVDLTASTN PNATELQRRILLSQYHVRVNSAAHGQSPQESGLMNNGWYGKFHMEMVIWHNAHWSTWG RQKYFDNIFPELYETLLPSSLERAKNMGWEGARWPKMTEVVSQENSPGGINAFLMWQQ PHPMYLAELAYQASPTRETLKHWDNVITASADYMASYAWKNASSGYFDLGPPAYGVTE NTPPEESLNLAYEVSYWRYGLDIARQWKHRLHQPIPAQWTTVAANMAPPPQAGGLYAD YEGLNSSWWADPDLNGDPRSMIMLQGTLPDTPAVDHEVARRTADRVWEVWTDGKVAGW GRPVLAINSARVGNPERAVHHLTAYDYWSFDDAGGTPPPFIPGNAAFLYAVAYLAGGW RGSEGNAPGFPKNAGWVVKQEGLVKAL VE01_01145 MNEQYEEADFPLFTDSHHIYAAEVISMNNLPTFNMDPALVAYNF QRSTVTQDPYANPNFASEQHCKSEAAPEFAKGSPTLYPTGSPELRCPLPSNLSSASGP SAASSAIGSPYATHAQIPSAISEWTSHHGLGLAPTIAGDYSYANDFSFGASLEHDFAF ADMNKPMGFVGECASLPASSSASTPRAPIPSTLSPIDSLVAQSTAINIASSLTAMSPP PRRDSLHFQSAQNPSILHSYTGAPIPSPMPQEEFVQQTMYPPHSPSPSEMSSRSSKRV KSSASPYMQSYAPYPYPSRRGSIASASPSSPDSSSSTPRTHCPFATCGKSFKDLKAHM LTHQTERPEKCPITTCEYHTKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSAAEKSFN RADVFKRHLTGVHCVEQVPPNSRRKTSNGSSSGPTSAAGSTAATTTGRPVGYAPDATG RCSTCGAMFPSAQDFYEHLDDCVLRLVQQEEPAEAINAERLAEVSRDRAVLETLRASN LPTTTPDQTSFSDAEDGEDDAADDEDDDENSARPAGRKGAKRGLTHSKGGVALTHPKG GRKKRKDYPSSWGCPAAQMKMKKRVLCVFDGGRRLWKDDMMLSADFEVRVPLGPEGAG RYVTDLDVQTLRRAEGFHGATGDERGEDVDLEELME VE01_01146 MSDQDQVAPESVRSGVVERGPYVLKTLLKDVPLSADGDREDIVI NCIEYWESNLYVGTSASEILHFVQIPPDPSDDSDGPTYILASRLCPAYSETSTPERPG VQQILLLPAANKACVLCNSTVTFYSLPELSPVFGNQKVPGSNWIGGLDLNKSQDDNTQ GDKIRPVMVLLSLKRKIRSVRIGEEARQVKSIDFAGCTITARRDSFACVADSRSYALL DVDRQLKIPLFPISSLDDSQSGTVGGKAEDISGQTTTRTHRSTSSTGQTPPQLEPRGH SRSTSLGTFMGGGNRQPSQDRSRETAVDPLFRESSPAPSLRPSGETQRESSPGKSPVR ADKPLPEPPSTPDASSKRASMIIPGGSLKPHIISPSPQEFLLVTGTGATDPGLGLFVN LEGDISRSALEFSRYPEALVTDGRGVGTELSQSNAEEEEEGYVLAVISQGDEEETHYG LEIQRWDIDSAENGYQKFWLQLPDATPDSGKARVGIRTVTEPGDLSFNEVAKSLRSTR FRPFPSSGSSSVSVQSTDSRTAASLERVSKERELFESQDVQGDTPLPAEWEADRNEEE LQFAQRLGRAKSRVVVWAGDKIWWVARNPLALQLDAALEADGKTAATPEEYATAMTGV INTIRGREARTEIEFMSLGYIRQRAGLLLLTNLLRRNEAPTEAEYRGAEEALLEGGLD PRVVIALNPELRGEIVEGRTGIWIPTGIAQIASTFISQEPPSSDRAEPASSHPFHFYQ RFLSAWRERKGFGSIASENDVFRTVDASLLLVLLRLDASSPPGPALPGSTRADLNDLV DHGVDCFSRAVALLESHHRLYILSRLYQSRKLAAEVLATWRRIIEGARDDGAEFVDGE IRVREYLAIIRNPALVQEFGLWLASRNPQLGIQVFADPRARVSFPPAEVVTMLRERAP NAVTAYLEHLVFARDMPQHGDELLAHYLDVVLGHLNYDPTAREALEGGYETYRALPTP KPPFRAWMADYHSELSEEPWWGARVRMLQLLGAEGADYDVDAVRERVEPLADALVLEM IVLCSRRGAHEDALRLLVRGLGDYDGAIRYALLGGGGTYHPVSGALQGSAGGVEEQRR LFRGLLGEFLGIEDVGERVEMTGVLLGRFGGWFDVMEVLGLAPEGWSVSVFSGFLESA LRRVGRERREGMVVRALAAGENLAVGGEWVGRVEGVVVEE VE01_01147 MADAEGTGPGEAQQANQAPEPMKAAPGQAPLGDENKFQQAISAW RKIDLTTLVPTLDNTASEIITYQRDSTVQRKDLAQKTKDFRKLDDAAKLGEFKGLLKA YQTFIDLLTNHSKSTNSSFLQIYSSLSEAPDPYPLLEASVDSLLLSEDTLPKITSENE QLQKNVTKLSSQLEEAESRLEKERTSKKALEEKLDTKVKEVEVSWAAVLEEKKDNWEA KEKSLEEKVQNQERLLKEIKATYEVSQRLGQGEDGEESQGHVTNAELEIVNSDLERTS LRLAEVEARNEQLRIELAQTASSTPSQPALIVEDEPAYLRLRSENSSLLSKLDAARIE RDSKKRDLDLKLRSLEREIGLLKEDRDTLTEKVKKWNDYDEVKQELEVLKSIEFSTGD DDDETVETTNGDSASKSKGATLEQLLLARNKKLGDELTILRVSHQDLQSRLQIMQREL DSATADLETAQNLNVTLENDLATVQQESSNAFPSGASVAGTYKSHYPQSHAPSAFQSR RGRVSPTSSIISGLDPRSSMTESSGGSGILPMITAQRDRFKKLNSQLSNEVSEAHRTV TSLRSEIASLQKDNLNLYEKTRYISSYNRTGSSAVSNAPQQSTVPFSSSSPPGDTLGR YRSAYESNISPFAQFRGRESARAYKRMSLPERVVFSITRMVLSTRTSRNMFAAYCVAL HMLVFLSLYWAGLGGESSSTVSTVTMGGLGAAGKLAEEGQDKAWDLDE VE01_01148 MATEDVYATLLLNDLYLPGALVLAHSLRDAGTTKKLAVLVTLDS VSVDAMVELQRVYDHIIPVDRMVNQQPQNLSLMDRVDLHSTFTKITLWKQLQFRRIVY MDADMVAWRAPDELFAVEAAFSAAPDIGWPDIFNTGLMVLTPNMGDYWALYAMAQRGI SFDGADQGLLNMHFKNSFNRLSFTYNVTPSAHYQYIPAYKHFQSSISATHFIGKEKPW TQGREASHGSGPFDEITGRWWAVYDRHYRGTKSPTVQYYVKGEFQPPNYSHVNISHDQ SEIISPEPVTTLGPVPVEESFRETTQIENEKPADHVEPIPEPAPFDPSPAPYVPGPAS YPPEPVASAPEQLISGQLPIPHGNPPAESGPGPAYLPPSSAPLPSATPPQFAHEPVAP PFVAPFAAWDASRSAPPSGSKPEAANFPATQYSMSSDPTPYKAPERYPDPPKDMYYEV PKTPTYQKPAPIFPWETKDAPRPTRVFAEDEDLQPTSLEPTDDGEATDQATSPASTVT TIPSDPWHSFSSNSRNAWDDIPEIERYIGALQKNRKGNIQVLQGYGSGINEDGLPGSG RRRASVRVTDFPTEIERPSLPVTPAPIRRPKFQGGGDDNGDEGELPVAEGVPAQTEWD PAAQLEALARRQSEVLGRELGEGGGGKEIPQRPLPFGSEGVVVSSPGVADLQRRPSNP VRGSLGAFEEPSYGGPGALWEKDEGPVVARGTHLPPSEAEQDALAS VE01_01149 MVLTNLALFVVASFIYCLQRICYGPLRPIEVEQLYEKGWFAITE TCLAMTIFRDEVGAWFLVMFVGLMAGKVWGWIGEGRVEILEQQPPANPRLFHIRLSIS LTMSIIYDACLLSYAINSVIQQAKPNMMVMFLFEFAILTASSFSTALRYGISLIEAKV IKLQTQEMLESRRAEVRRQRQEMIREREAAAASGEPLSTEAAEEPLPSEDDIEEMDIE PPGWETKGHWVLTLDLMTDFVKLGIYSAFFCILLTFYGLPIHIMRDLFLTARSFLKRL SAFLKYRNATRDMNQRYPDATEEEIQREDTCIICREEMTPWSVTNPAPGAPAAGAPPP PVARSPIISERSRPKKLPCGHVLHLGCLKSWLERQQVCPTCRRPVVDTRPAGAQAPRP PGAQPHLPGQLPLPQGPPVADNRARVHVARGINIGPIRFAFGRDEMIDFGPPPNQQAF PGVPPGQAPIPPNARVYGFEMGFPPPPQGMRHPQAPQGMYAPPSGAANVQSQLQHLEQ HIVQEIQSLSVAQTELVLVRHLQAELLRLRQLRAPDHQQPQPETRTTVGAAPLSFVQR HEQFASTTAIPSGSPDLPPGVTIPEGWSLLPLQNMDTLLQPQAGPSGAQDAAPATGEV SLPATGLADLATQPQGAPVVGETGVTPTDTSGTSLVADPIVAHPEAAADSSPVEMAAP SAAAANFAPVEVVPNPLLAAPGPSIEPTPTVPLVEPSSSSSEDPEATPSTEETDEAPL PDWSAPFVFPGQQAGEAAKGDVTAAAVTSPLAADPVTLPSLVQADEVHETVRATDDVA ANGSVANGSAANGSAAPKEAPTSEKDAKGKGKAKAASVEEVEEVENA VE01_01150 MDYSPDLSNSITMHPHLFHMHPNRPESTRSGHRTPAPHDKDAST TAIDLEHPEGNEPTARHVMSATDVEGQHPPQYTRENDPYNLSAKFKSETELEAIRANS SRKRDGFGPLTLNKDSARAKKLQGFYKTQNENIERLLKPVDHHVEEARQEAGDNHLKF QIAIYGSLIANIVLSGLQLYGAIASGSLSLFTTMADAVFDPLSNVALIVANRAVSRVD SRKFPSGKARLETVGNISFCFIMIAVSSILIAFSIKDLVTTKDAETNGFHLPAVVAVA VAFITKFCLFLYCWALKDKNSQIMILWKDHRNDLLINGFGILTSVGGSKLKWWIDPMG AIILSVIVSIIWLRTAFSEFMLLVGVTGPVDMHQLLTYTCLTHSPDIIAIDTVRCYHS GPRLIAEVDVVMAPEATLRATHDVAEELQIKIESLPDIERAYVHVDYETTHKPEHTFK KDM VE01_01151 MRYSLWIAAALAPFALAAPKYDGWLPAQPSDSKFISTYFNQLAQ KVGEGRKWASAPVCDLSKAVMPASTEPLPAPDAGTTLKHVAIGRGIQNYTCDTTNATA IPKAIGAVATLYNASCVAATSPLVLNTLPGFALQFNLGPNPAAPNPANLEVSGLHFFT DAGVPFFNLDTAKQQIGTLPCSKAGSAPAPASAIKGQGNKGDGAVAWLKLTAVDGATG NLESVYRLNTAGGNPPKTCEGMPATFEVQYAAEYWFFRN VE01_01152 MAAAIPYTYIQCPCTRDTHHDGDREDRQDDEDFVDSSEERAEED DDFDPHNPRANYSLYPIDHLLYCEICAQIRCQRCLVDEIVTWFCPNCLFEVPSSTVKS EGNRCTRSCFQCPICIAPLSLTGQAASNTLLGGADSSHWVLSCASCSWSSSEIGIQFD RPNGIYSQLSRIRNGGQVITPPKERALGKDATDRRPGERRSTLSKVAEHDTPESEVDE EEDTDPTPEARFANLRAFYQNQMSSHGSAERSAFTNDYSYASPNALSRIMNLYTSYSS PTPQKRMTQIREALNTSEGLHPIPDPASEAATILKLRKEGWAGTPSTEQRAAQPFPTC DIHSTSELRPSPYLLRTKRTKRCRTCKHIVVRPEAKVQTSRFRMKMLAASYIPTFSIR PLPTPSAPAAVAGATQLLQPGTTHQFVLTVRNPLYERVRVTLAAAAKTPGRVAHGVTL LCPQFEVGASREAYADMLDEALGGGEAKAGTGEGEAGKVYERARNAVGVVVEVVPGVE EGFRDARSEVGDDEEEEEEALEIPVRVRVEWEAEGGEEKDGGEGAKVKRELGFWVVLG VGKVRRE VE01_01153 MWISKSWAVSLCCLSSFVSATILQNGQVRVTDYPNTVTEASSHN WRTYPANAAELSYKGRWDDDHISWWSVPGLKFGFTGKEVAITFGPHTSNGVLVAYRIN GEDWQFSNITTNATHLLISPSTEGVDLTSPISPSTFELRVTNWAYGVQVKSVHVAAGA SLIKLKDYNRRIEVIGDSLSSGMYGTYEGLSSYAYGLAAGLGDTEYSVTAYPGICVTD QECWGNPRGQTYQWYRTSDTGGRATNIYGTNPPLWDFSKHPAADIVVINLGTNDNNTA NNVTNEDYYNSYIELVEGVHNVWPKAQIIISALWNGFGKSGNTYIQGPAFVTEIWDVY KHFNSASYLSSQHTCPPRNPLKWWLPPCKPGRPNAPFVHYFNTTGILQHNDIGPLWHP TDVGYIKVASHLMQYINLKFGWELRATGPEVFHDTLYWNDQDSY VE01_01154 MLKISRIVTQDQDEGGRHAPTYKYLTLRSYIDGYTLSQADSTLW VAQALLKRANFVNVNRWFAFVKQSYPELQEEFIAKDDAAKAKRAAQSRDTASYSIALQ DAEMGKVVTRFPPEPSGYLHIGHAKAALLNDYFAHD VE01_01155 MIKEDNAYADDTDQNTMRDERMTGKASARSNRTVEENIAIFEGM ITGTQVENCIRAKISIDNPNKATRDPVIYRCNHTPHNRTGTLWKAYPTYDFACPLVDS PEGVTHALRTTEFADRNPQYQWFIDCFNLRRVHIWDFARLDFKRTFLSKRKLTKLVDA GRVSGWDDPRMPTIRGVLRRGITIAALRDFILKQGPSRNVTLMDWPIFWNINKKEIDS IAPRHTAVDQQKTVIATIIKRGPEKAYTEERPRHNKNSTLGTKKVAYSRQLILDQEDA KLFKQDEEITLMAWGNAIVRKINRFSLDYRSPVIDIELELHLKGDVKKTEKKITWLST EGQSLIPAELVDFDYLLTKDKLDEDDNWEDFLTEETEFWTAAFCDANLATCRMGDIIQ LERKGYFRVDAPYKDGKAAVLFNIPIGKTGYLTSCLRLSHG VE01_01156 MRFLSAVAASFALGGVANALTSSLQQVTGFTSTPTGAKMYIYVP TTKKTLAPIVVAIHYCQGTAQAYFSGSQYANYADTYGYIVIYPNSPSSGGCWDVASTA SLTHNGGGDSQTIVNMVNYAVSHYGGDASRVYVTGSSSGAMMTNVLAGAYPDVFKAGS AYSGVPDGCFYVAGSTANMATPGWNNQCANGQLVKTAQQWGDQVRGYYPGYTGPRPKM LLWHGTADSILLYPNLAEELKEWSNVLGVSQTQSIANNPQSGYTKLVYGDGSTLVGYS AQGVGHTVPIHETVDLAWFCISTTCADTPPPVSSTGSTGPTSVATTSPPAATTSSTPP SGGTIAHWGQCAGIGWTGSGTCEAGTTCKYSNDWYSQCL VE01_01157 MASNKAARVGEEVWKSRIDKVNAELVTLTYGTIVAQLCRDYEGD YVEVNKQLDKMGYNIGLRLIEDYLAKSNSMRRCSNFKETADMISKVGFKIFLNITPTV TNWTTDSKQFSLIFEENPLADFVELPDDGRAQEELWFSNIFCGVLRGALEMVQMQVEA HFISDVLKGNDTTEMRISLIRYIDDEMPADDE VE01_01158 MSAEKRPAEDFGSNQLVVKRTNRRDSTQLAIKNNAGNGALIQAQ PRTSGLQAPVMELTGHSGEVFAAKFNPEGNYIASGSMDRSIMLWRTYGDCENYGILNG HKGAVLDLHWSRDSRVLFSASADMHLASWDLETGMRIRRHVGHEEIINCMDVSRRGEE LLISGSDDGCIGIWDPRTKSAVDFIETDFPITAVALSEAGNELYSGGIDNDIKVWDTR KKAVVYSMLGHNDTITSLRVSPDSQTLLSNSMDSTVRTWDIRPFAPADRNVKTFDGAN VGIEKNLIRASWDKDGKKIAAGAGDGTAVVWSNDTGKLLYKLPGHKGTVNCVEFSPGP EPIILTGSSDRNLLLGELK VE01_01159 MSAPDNSNRREPRRGSRRPTHITTLRQGIHQLFNGQSEVGATRA PRPRPRPRVPESPKTPRLMLDTFSPSQFDLPHIRSNSTTSPSRSPTYVSPTIASISSS PVEHSPANYRPLTPDTFHALQPSTSIQPPPPTRQNTAERMRQFSGADREELVLVEAIS RRDPRQKKKKTRRQRKPRAPTQYRASCFSGITSPILRRKATHCTISGMFLITILSLYL GLALSTHYISQEFHVLLILIILGLTIFFIHSFVLLVLLLLKPRPTDGFIPDMESMHFS PLGYATPAVPIRVTLGRDEEALIDSRTTPSDANASANAKAVAPPPPAYGLWRESVRVD PDRLFWARNAAAPRRESHEQIPEDGEAPRRPPSYMSDDGVEYVVEAAGRSIAPTTDVP LPVHPSERGRVGAPFGGGAVVRMCA VE01_01160 MAPASIIGDIDGGDEARTATYHPSMLVIIDIDSIQAHGVSASDI AKLKLNGIHTVTGVLSMTRKKMERIKGLSEVKVLKIKEAAAKMMPDANGFVTATDLAV KRKQCMKISTGSKQLDTILLGGFETMSISEIYGEFRCGKTQICHTMAVMAQLPREMGG AEGKVAWIDTEGTFRPERIAQIAERFGVDPEQACDNICVSRSLNSEHQYELLDVLAFN FCSGEYRLLVIDSVMALFRTDYSGRGELAERQQALGQFLKRLAALAEEFNVCVVMTNQ VQSDPGASALFSGTDGRKPVGGHVLAHASTTRVLLRKGRGEERVAKIIDSPNCPEREA TYIITNGGISDPEKV VE01_01161 MPKAIASIGEYSILPVSIPETSAYAVKAVHSIYVRPHAPKIPTA SDSRSLFLVNIPIDSTEQHIRSIFSSLLGAGRFETVIFENERQNAPLVVETKLVQSAK NKKRKHADAVDNAETAEDALPKAWDRNLHRSGSTAVAVLADARCVEHALKAIKKANKS GEYPVWGAGVNGVPALGSSRYMAHQKLTYPPKDELQSAVDNFMAEWNRKEEEAARLAK RQRNVPDEDGFITVTRGGRTGPARQHDADEARKKELEKEEAKRKSMGDFYRFQGRERR KEEQGELLKRFEEDRKRVEGMKTERRGRFRPE VE01_01162 MSDNNTSTIQSYIDSATGTVQSALGTLTGSTADTNAGQAKQDKA TLEDAASHATLKGPGFTATADGIARDDPNRQKGSWNQTIGAGKEALGGLVGSEDLKRA GQEQNLQGKGQEAQGQLNDLGGGIAGRVSGAVRGVVAGLTGDREGQLEAQRRHDEGKA QQRGAEHDIQKQNQ VE01_01163 MANVGSSSLRQAARLCAKNISSAGLIRSSALPRAAVVAASGQKV SGRRYVSESKKDAAQVNVESAIKADQKKFVQEMGKTQLEQPMHPTGANADAMMDPMAG VLKQATIMDGGQRPIYLDMQATTPLDPRVLDSMLPFYTGLYGNPHSRTHAYGWETDKA VEQARENIAALIGADAKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITSQTEHKC VLDSCRHLQDEGFDITYLPVQRNGLISMEELEAAIRPDTALVSIMAVNNEIGVIQPLA EIGALCRSKKVFFHTDAAQAVGKIPMDVNEMKIDLMSISAHKIYGPNGIGACYVRRRP RVRLDPIITGGGQERGLRSGTLAPPLVVGFGEACRIAKQDMDYDTKHIKKLSDRLLSG LMAMEHTTQNGDPNHFYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYV LRALGSSDESAHSSIRFGIGRFTTDAEIDYVLKAVQERVSFLRELSPLWELVQEGVDL NTIEWSQH VE01_01164 MSFKGFQKSVIRAPQVFKQRFNLGEQTKDPIFIDAERRFQELEE QTKRLHEESRKYTNAVNGMLTHQINFSQAIAEIYKPISGRVSDPDSIISEGNPEGIQA CEEYEAIVKELQVTLEPELQMIETRIVHPAEELLIVVKQIRKGVLKREHKQLDFDRHR ATLKKLQDKKDKSMKDEKAMYKAENEVEQATQEFNYFNDLLKEELPKLFRLEREFIIP LFQSFYYMQLNVFYTLHERMQGCDIGYFNLTLGIEEAFEAKRGEIQQAAEALAITKFR TRGAKRPPKYGPGAAAGRLGIESGTSQLAIEDKPGSSSASPYQAPTSPGPTRTSFSDI PPPAYNTVGPSSSLAPHGDTKSGVGRANSTGGNWGAAAKAKGAAPPPPKPKPSRFSGV PVAETVTALYDYEAQAEGDLSFATGDVIEIIHRTQNDNEWWTGRVGSKEGQFPGNYVQ LNK VE01_01165 MNSLESLPNELWWAIASSLEIEDVISLSRTSRRLYQYVFSDQVS RAVAQSKIPLSREFVRATQRGTSSAQALRKWAKKTEALATAKPYSVAIVGVGQTYMYS KGALWYMHNGTLRLLETRQSPIEEPVIDLSGLLDKTAFKAQSKVAGSFSFLHYHEGVL SCLFRYAHPRRGGWLIAVDVKKPAILLSTEVTSTEKLFARHDGDYLYYGVHISNDYDN RKRWVLHGYDLKNGKFLGRNNFLYDLIGGEIGSTICFEVVGGFFYAVSNQTTYEVEEV DWTSFYHGRRFPLNNPSEKKLEKTEDQCMWRRQHLEGPLDDRWTSLALAIDETTGQLT IVESRKEWLEGRTVGQRTVYKTKVVFPKRPVTKNNSSSSPQANAAPALSPASPYTFQP FGTVPLQVQHPTDYPSSSSSSSSEHQRRKEDLAVLTQDRLALTITASNKPHFSPSQVR LPRNVHAESITPPGQRSFVISKTSARCYDMSASTFVDLVNDIPHPDPGVQQCLRIRAC SRRRKGPARGGDGIIAPLARDPETGELEEEEGLDEEYEDGPVEMWPPAPDAQGKYSEA GRDLHVLMNPPGYMGKVHGTFDGTGLVYSTGGEGGQKAIVLVNFDPAVHLRGLRKCSM DDLGPGVAAVSTTSRASTAQASSGGASPKRPNSSIFTTAEFGMKGSYHHLPKRAKTTS SSPPPPSPAAAPAPTAKHPNCSWLRREAAMYLSISRGLDFGL VE01_01166 MSLGRLHNFLSGHRADASSPPPHFLAFRSSKLFIILTLCAAVFS DMFLYGVIVPVVPFALTARAGVPEGDAQHWVSVLLAVYGAALLVSAPISGWYADRTSS RRLPLLFGLVALTGATVMLCLARSVALMVVGRLLQGCAAGVVWTVGMALMVDTVGQKD IGQLLGYVGISMSVGIIAAPLVGGVVYQRCGYYEVYYVCFGLLALDIGMRLALIEKKI AAQWDDVVGVKPAVDVGETTAEEARETDSPAAVATEKTLDVPGVTSSTDASTTNEAPT SAQPPPATPSPRPRHPMLTLLSSRRLLAALWACLIQASILTAFDSVLPLFVARTFSWS PTGAGLIFLPILIPGFFAPLFGAIADRYGPRWLAVSGFLGAIPCLVLLRFVDHNSTRQ VILLCALLALLGTALAAVMPPLMAEITYIVEAKEVRSPGIFGAKGAYAQAYGLFVMAF AGGCLVGPIWAGFVDNKSGWGTMGWSLAILSFAGAVPVFIWAGGLVTRNNAKTGAERA AGKREVKVDDGGEEGVVGEEAR VE01_01167 MPDAASAPLDVQFDETDASLGLAPSNFSELYGLTSDMEPILMRH RPYDAPNQEFRLETHSIRKVLQSDQGMEYPLTFHVVHDSKAIGYQLLQSEHDNIEACV APHGPRLMDLFWRIMHPSFPILHRQGFVQKYAQSYQSVSAPLLGAMYLIALGWWDYDR ELSNRSMPDATSLRKLTLQAIQNSYHRPKLASIEAMILLLQCKPEDPLNPDHTWNWGC TGQLICIGQALGLHLDASSWSIPDWEKRLRKRLSWAIYMQDKWTALAHGRPSLITDDE WMVVDLDISDFESYTSEDGEVRVDSLSGAVRVVQMVQLTRILSDVMRTFYTLRASKNQ DTSYLYSQAMPLLGLLDAWHKNLPKSLQMNYEAISRLCPNGYLSLAFYTVRTTILRRL IRSTALEPLCLDVDILTHTRTLAHETAQEAITFVSRLRPDHLEAFWYFASPFGFSLVG SFTTLLLVTSRSEPEKTLWRENLNRYLWTLRLMSKACGPMKYAVNRLEGAILKGMEHA LSINLDDLFMVAASPLDLTFANTFDPFENLANLDLSNFDWLSEVYVDLPNMSLSDVNC YVSDLLPPEWEESPHVRLLWLSDG VE01_01168 MPSKISLTTSIRRPSENTAAADAIPILVDNEYHLFHLSTPPSTI HHPERLRSSWSHLRSKCLTQWERAEQPALSPGESTQSPDADGVWTGSAILGPDGNMHI FYTGYNLAQGGKQVIIHATSNDRIGSQFTKSTQPIHLKSDGGARAAFEDIDFRDPFVL YNEDEKCYWMIVATRLAEGPHWTRGCLALLTSEDLQTWSLEPKPLYAPNDMMCPECPE LFQLPNKKWYLVYSRFSAPNAGVVYRVADSPRGPFHAPKDGSGGRLDGRRWYAAKSCP KANDPSKRVFFGWVADQCVADGKWMWGGDMAMPREVMAKEDGTLVVEPIRQALDTVFY AQPSTNLTNTEFEAVGSTATKSFEIKCERPYMITFGIVSSSATSFGLIFGSDSDLSGC YLRFEPTFDGRYTVSLATAPAPFDDFWADQYKIYLPRGVDGPTIVRHDNIKIDKPVTI LRSRDVLEVFVGGRSLSYRLPQQSSSTKGGETRLFVEDGRVEYSDFKVIEGADY VE01_01169 MDIFVEHFGTQKADGSYEISSSQLSLMTSVINIGELLGSLTAAP LNDYLGRKGVFLIASIAIIIGVILQLVTDHNTSYIMGGRIILGYGVGNFSATSPLYIG EIAPAAIRGQLLMCWQLVISISQIIAAAINRGTEGINSTVAYRVPMGVQVVFPVIVLA GLWWVPESPRWLLRKGKKESARKALVLVHRGEQYTPDEDLSVLQQDIDDESLKAAESS WMDLVRDPIERRKVVYSAGALIAQQINGIQWFYYFGTVFSKAIGLQDPFLMTLIVFII QVVVVFVAVLFANSLPRRPLLLITTGLMTVSIFVVGCLGIPGNTPSPTIGKVIFAFII IEITAFNFAWGPLGWTIASEMAVGRNRNKIYAISVGCFWITVWATVFTLPYLYYNANL GPKTGFVYTGLCFVSLSYVYFCVGEVTGRTMEEINGFFADGIPAKQWQHQPSLAERAA NTHVKGDDEEKSAGSMQVEKGL VE01_01170 MTSIDELFKKPAIPSHNKRKLETIQDPNVHYKSPKLSTNGTASG RPQDEDNDDDVEAGPSRPPDDDEDYGPDIPDDEDGRFFGGGITKDTSEILDYMEGQED ATIAPEKIDIAWVRKLALNFEKRISKNAELRAKFENDPQKFMGSEADLDADVKALSLL AEHPELYPEFARLGCVSSLVSLLAHENTDIAIDAIEIISELTDEDVEADASQWSAVVD AMLESGLLDLLTSNLSRFDESHESDRAGVYHVLSVLENLASQSTHAEAIGQDPAVLKY LLARIQAKENPTTQNKQYSAELLAILLQSAAATRAHLLTLNGVDTLLQLLAPYRKRDP AHGTEEEEFVENVFNALTCAVDDIAGKSAFLEAEGVELALIMVKEGKMARPRALRMLD HALAGQGAEACARLVEGGGLKIIFSVFMKRADGSTTEHLLGIFASMLRSLPADEGPRI RTLAKFVEGDYGKIARLVGMRREFAGRVGAVDEVIKEEARGLDEEEKEDMEGEWLSRR LDAGLFCLQTVDVILAWLVAEDQGAERKIKELLAERDEGLSVLGGTIKEQLDTMGELE TDDQRTTNDMLKTLLQFVV VE01_01171 MDHDTLGSKCFQKGDHLGALEHFDKAIKSSSPKLVPAILNKRSL VYIKLEKFQHALRDGREIIKRLPDMAMGYLRCGQVVQLMGQRDKALEILERGLHKVPV GNEDRKILSKHYEALRKQSQAANRLDPLPRLPQEIVLQIWGFLDLKSRGCCLSVSKGW KKSMESYPPLWQDLKIFNNKMSETALTAWLKRAEHSGYNLRSAIITSHDYRDKCRNYI KRIQCRNKLERLQLNIVSQAANIPAMLPVPSHYLKTLVLSSGSWVDISDVKRIMAHYT HLEHVEFHAVYERDSPCSWPEMPNLQFIVLQSSVAHKSMRYGTAGRLGLAYNDLVMAS PNMKSASIKGWAYEATPYVTDMSSWTKLTHLDILGTRFDSMPVLPSSLTHLEAADVGI ISHRFDTDEKFVFPFSKLEYLSVEGGDLFAIVNDMANPGLTSGSLKTLKVGHIGPTHT DTHNDWIKMLPAPSAALETLSLCERIGLPEKTIIAVLRQFPNLKEVDLSRTEATGSTL RELFERENKPKLVNMKNCTNCYHDAVEAARRVGIEVWHELAPKNNKTDSKFRDQYYN VE01_01172 MRSTTTSALVAALLAIAPADAFWRLPCRAPLLYERTDPIVNPGG ISAHAHTIMGGNGFSNDMTYADTQASTCSSCTVTKDFSNYWVPNLYYKGPDGSFTSVN QVGGALIYYLQRADPKDPEYDQGLLAFPEGFRMLAGNPMLRSFTNTLEQRAISFACLG TDTKETNEIPNINCPNGLRAQVFFPSCWDGKNLDSPDHKSHMAYPDRVDNGVCPDSHP KRFISLFYEVVWDTPKFADKFVNGKHPFVFSNGDETGYGYHGDFVNGWDVPTLQRAVT ECTNLSGVVEDCPVFQFFDDAKVDTCKIQPTISEKIQGTLAALPGCNAPSGVGANAVA SKDCAANPAVVDSGSTDKASSIASPVASASASKAPTASSASTGQSSAAPVANQAPVSS NAPVSNNAPVSNEVPAANQNNVESPDVVQTMTVYPVAEAKPTAVVDTVEKNQCGGVVT VTVAEVTVTVTQMGQAPATNAAVVDDSVKVDSPKGSVPVASAAPVKVDSPKGSVPVAS AAPVEEKPAASTVVPILLPSSTGIYTNGTKHNSHSHGPKHPHQRPSGGFARPTGAHRR AHAGHSN VE01_01173 MPSANVQLPPGFDATKQNITIYTPDGTPVVTTIPMINEFNTQNS EICVVYGCQLGASLIMFLVVMLTTRVSKRKSPIFVLNALSLIISFLRSLLQILYYIGP WTEMYRYLAYDYSTVPASAYANSVAAALLTFFLLMTIEASLVLQTNVVCKTMSSRIRW PVTALSIVVSLLAVSFRFALTIRNVEGILGAIVKSDTLMLGRASLITETGSLWFFCTI FVIKLGWTLYQRKKMGLKQWGPMQIITIMAGCTMIVPSLFAILDYFPEQTFYEAGTVA ICLVAVLLPLSSVWASAAIDGDEPVHPPGSTPKFSSFNMGSDCKSSSARLAQSIRKAS VPVEHLSRTSEEDLGADGTLNRAGSYGTDRMSGSVSPRGVRIERTYEVHTAGRGGPTE RDDIF VE01_01174 MPTLNFVITIRGVPFTITFGDVLTFCKVHVAFTAARAFVRQLSE RRYNESLWVIMEIMLLVDQGALWPLIMWMSLKVKAGRPGDRKESLVQMRIRLLEQSQK TRQNHKHTIPKGREFIRSGDYNSASSTVTGSKADMQRRGGKETEGEEMGEPHPHLSSW GSKSLGLAKPASDSSVISVTSPKVSLEMHVAPRSAKLKLETAAGSESEAQQESTKSLA FKIPFYHRKEASRKSIAPIIRERVEMFESTREGADANLTVSDGPSALFRGQINDKGKA KHASNGEIFGVKEVVREKQVKVGRKSPATNQLNKTMSAFSKCLAERKRSRTGCMVDGK YVSAFAYRGMTKETSASNSWTTATESRESEPSSPNDKSIMQTSSRPETQANGIASRQQ PPSKQQSNPSRLPIPSSSYSGSRPSTLFDWSYGSYEASPLPLAIGSISSSSEDSMTQR RAPTEPLPEAKNLRISEIIAMANGPEQFAAHRRILQEHPARHNVGRAGIGAWVDTESS NDEDGTLIIKSVAKLREPKPLRITEVSSLAKICRLGRSRGDLE VE01_01175 MAAVQQRVLNWLYSVLTSEYQDVNRTYHDVAQALSHYSSLSPKT EVYTYENGVSELLLQLSGTLPVAFRGTTYRFPVTVWIPHQYPRAEPVVYVSPAEGMMV RAGQHVDPQGRVYHPYLAGWAEFHDKSNILDFLAILRDVFAKEPPVVSRQSQSTPVAS PRPQSIPPPVPPLPPDMTKSPTPHQNAGGAPQPPPPPPKQHEPIAQRPQTQPQPPQTG NSGPPLPPLPPSSNARAAQPPTLHHEPYASNAPQRQSNLRYESAPPLPPQPQSHTGQH YQSPPPDPRQAPPLHGAPYGTPPTQSHYQQPPNQPQPPPQYPQQPPTPQPQPKPKAPI PDLLDDTTPLPEPAAPAPAPPIPPNPQKDALLHTLAVALHTARQKTASRNIDTQHSLS AQHTAMLTALHALQSETAQLEALEGALSSNTASLNSSLASADALIKRAPQMTPPSIDD LLVAPTAVANQLYDAVAEERALGDTIFVLGRAVEKGRVAPQTFVKVTRGLAREWWLKK VLVRKCARGLGLDDGSGWGREAGRA VE01_01176 MAPPSDPSSASRRAQSIAGHLQVPQATKSTDYKFEGWLGLDKTA AAGNMVWGEFQPKTWTEDDVDIKVSHCGICGSDIHTLRSGWGPSIYPCCVGHEIVGEA VAVGKNVKHIKVGDRVGVGAQSGSCLKSSCFECSNGLENYCTRMVGTYSSKYTDGSKS FGGYSKYVRAPAHFAVQIPKELDSAEAAPMLCAGVTMWSPLKKAGAGPGKTVGIVGIG GLGHFGLLWAKALGCDKVIAISRGSSKKEDALKMGADEFIATQEDPDWAKKNRRKLDI IISTVSDAKMPLSKYLQLLRTNGRYIQVGAPEEAIPSFRIGALIAKAVKIEGSSIGSP GELNEMLEFAAKKNIHPFIEKRDMKDANQAIVDMEDGKARYRYVLCN VE01_01177 MDNMEDQYDEDAYEFEGEAEEPTITAEACWTVISSFFESKGLVS QQLDSFDEFVSTSMQDLINENSQLTLDQNNPPPVNGEPIQLRRYEIKFRTVFIAEPMM TEGDGTTARLFPQEARLRNLTYSSALYIHLDKKVSIAVERPTPLNELDDDEQAELAAG GDHPTKVVWEIEDQSMSQEEAAKLPANERPVTAEPFFIGKLPIMLKSKYCNLKDMDDE ELYGWNECPYDQGGYFIINGSEKVLIAQERSAANIVQVFKKPPPSPTPFIAEIRSALE KGSRLISSLTIKLHSKGDTQRGSFGQTIKSTLPYIKSDIPIAVVFRALGVVSDEDILN HVCYDRKDTQMLEMMKPCIEEAFVIQDREVALDFIGKRGQSLGVPRDKRIKHARDIMQ KELLPHISQKEGSETRKAFFLGYMVHKLLQCALGRRETDDRDHFGKKRLDLAGPLLAK LFRNLFRKLTGDLYKYIQRCVENKKEFNPALGIKATTLTNGLKYSLATGNWGDQKKAA SSTAGVSQVLNRYTFASTLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQ ACGLVKNLALMCYVTVGTPSEPIIEFMIQRSMEVLEEYEPLRSPNATKVFVNGVWVGV HRDPSHLVETVQDLRRSSLISHEVSLIRDIRDREFKIFTDAGRVCRPLFVVDNKTDSE NNGKLVFNAEHIRRLEDDLALPNNMDLEEKEERGYYGFQGLINDGVVEYLDAEEEETV MIVMTPQDLEDGRKARQGYTVATGVEDSINARVQTKPKATSMVYTHCEIHPSMILGIC ASIIPFPDHNQSPRNTYQSAMGKQAMGVFLTNFDQRMDTMANILYYPQKPLAITRSME YLKFRELPAGQNAIVAILCYSGYNQEDSVIMNQSSIDRGLFRSLFYRAYHDQEKRIGM NVVEQFEKPFRSDTLKLKHGTYDKLDDDGIVAPGVRISGEDIIIGKTAPLPPDAEELG QRTKSHTKRDASTPLRSTENGIVDQVLITTNAEGLRFVKVRMRTTKIPQIGDKFASRH GQKGTIGITYRQEDMPFTREGVVPDLIINPHAIPSRMTIAHLIECQLSKVASLIGKEG DATPFTDVTVDSVSSRLRDMGYQSRGFEVMYHGHTGRKLVAQVFLGPTYYQRLRHMVD DKIHARARGPTQILTRQPVEGRARDGGLRFGEMERDCMISHGASAFLKERLFEVSDAF RVHVCDICGLMTPIAKLNTQSFECRPCRNKTKISQVHIPYATKLLFQELASMNIAARM FTNRSGVSVR VE01_01178 MSRASKLTLAGTSLFAIGTVFFVHFQQKAEKSAMHAGVVRDMEQ QRLKKERQLDFDMQKALEESYKKVQTVHDGGGAPEPPKPGDVGGGR VE01_01179 MSAQNSAGIQTLLDAEREAQKIVQRAREYRTKRIKEARDEAKKE IDTYRKTKEAEFKKFESEHTSGNKQAEEDANKEAESQVKEIQQAGKAGTDEVVKALLK GVTDVNPQAPQRVVVPV VE01_01180 MAATQCANMCKSPGTPQLREKAENPSSDPRINDLERAIEDDFAT IREKYATPKYPIVLAHGLMGFDKLKFAGDFIPGVEYWRGIVEALEANGVEVITASVPP SASIEERALKLGQDIAQKANGRSVNIIAHSMGGLDARYMISRLKPENVEVLSLTTVAT PHRGSAFADFMFEEIGPKNLPTLYKIFEGVGLGTGAFSQLTRKFMIEEFNPKTPDKPG VQYFSYGADVHPGFWSAFKQPHKVVEKLEGPNDGLVSVGSSKWGTYKGTLVDVSHLDL INWSAIRVFMSKINGGKRRFNAIAFYLDIADMLAKEGL VE01_01181 MSLALSVGSFRHGAPTMSVRVHLDNPHAFYTNLDFISGKIVLNL LTDETISAIVVKLEGESRTVLMKPPPMPHEPRRRDDRSRIAMENHKILYRLQTVFPTV SSTTPTAKASFTLGAGHHEYPFRFKIPFNTMCGDPNMQAIGVGLGGRLGLMEMPMLQQ HIRKPLPPSLGGFPGEAEIRYFVKVTVQRPSIWKENRRNEIGFKFMPIDRPRPPASNA EAFARRPYAFQAGSISSNGKKRGMFSRQPTSLSPVAPSVLVDARLPSPSILVCRKPIP MRIIVKKQNESPEYVFLVGLHADLIAKTEVRAQDVMREELSTFPIVNLQGLSIPIGNP SEPVGTETTLDDTLWDRVQLPPTVTPSFHICNLTRKYELQVRVVLSYGYPGEIQPQKQ IIPLRFPVEVYSGLTPSPALLNALANRTSTTTAAATTTPHEAPAMPPRPSGAPAAPPI DPLYPPQMGTANADLMGDAPPSYEDAMADDIGPLDGRREYSGVANENSPSEVGGEKGG RGAVPGYSAHDSNGGGGGASGSGSGGFVA VE01_01182 MRLRLVVKRHDLPDAAIVWPVNDEARVIVSQLLEQVNEVVPLES EGEWGLEDYAVEVRGKETNYECLHFQDVKSILHEDDEVIIRPLLSDDIKQRRASGRYQ ITSDGIHLFDGAVFGRPRRRMIESRPAVTINPRKRRRVTYTETVEDDLEDEDSDESFL RIEGVPQETDDGGNQQLVVRADFDNDDEDESEDDGNFESADEDMDEDLSVDEGELEGE LEALNAESDDDGASDKENIKHGSKMDGVQTGDKLDPKSHNIIQLQEAFPDAPPSICEQ VMQNCDMDVGAAYDTLSKGFKPGRSKTAVRSMMWSPSKTAKAPRSSRVQTRSVKVVEQ EEDLVAEDQDQVDDADEEEEDEAGSDEEDDGGMAGYYDSHGLPPGSINIGKGLSDIAK STLARATNIPLPPSRHSVLRRSDSPSSSKSVRFVEDTVVVHDPDAIALDDDSDDEDDE DFDVPGQSESDSGSSSDSSDSDDEMEISAAPAVPTTEVADDLTSSSGSDDSSSSESEF ESSSDSESDSSSDSDSDSGSDSDSDSEPEVASSKAPAEPKAPTTSKLAASSTQKDTPK TVPPGEGRNSTKARNQRRRSGNILQRFKEKGILPAGVTLAEFGKLNIDSNTTPEAAAE ALAIARAQIEEEEAMGLRTSKGTSSKKANKEAEFEARRQELLASLSAGGVDVGATLSN GKAAAKTPNGTSSSHLADQSTPVPASTEKELTSSASTKKKSKVDVDAGRRLLFGALGI KNPKTKADEENIRNKLMKDVRKVKEREPEVPVAAEDEEDMDDDTWREKITLRAVECCQ EGIELSEPPFPFVQRWDPQQQHVYRKGKRGGKGKRNQRNQVEYYEEEQASAKKQKRNH DNNGYDDYTEYSEEGQNTGDFDQYDGVDDQTNDQVMEDSQGVDGEPTDENDLPALPAD TSSLTDITPKDMKPGMVVAFKQLIMSEATSWQPVISEYRTAVVNIVSDKNEVELTLAI RDREYPERYYNQETGERIYGKFDMPVEDEEEEEDLDDGFRALGFAELMEPKLLQAEPE NLKIDGLLEAVSDKVTTSTDESITLVHEQHDAPNESIENPQVADEDRISVQDIHQVQT EDDSPPRLPSEQLPAIRHSAQVDDEMVDVSITEESREELSVLMREAGFRSDIPSSVLK NRPQLPDTPNDNAELKRLALSMNVSLNKAATLEDSSQLSHIEDENNSTPTQSNFYPKI LVPSSLASQTSDHGQRQPDFPTPGESTLDRFGDSNSFPLSQDGFSLNSAHTETLAEEP QLPSIQPNHAAAALEAPPSERESSVLDFPSLDEMFSTARSSFAAKQDNLELIIAPPST SLGANKSYEEAMAKLDAELDARADPDQNSGDMTPRTRSEDLFVSQLVEDVHQRSNPSK DTTTTTRSPPQSPALPRQRLPSNSSPFVIPSGSQQVDLTLSSDNDFDANAKNNNDDDD DDDDDDEYDPTMPRGPGWVRKRKMRRRTGGSASTSQGSGSGSQTRTRSQRRRRATMGV VE01_01183 MPSLISSPAKDKSDRKHKSKDGKEKKRKRTQEEDGTKHKSKKHR HDKSGDVEEIIEEETTAAAPVQAAQNPDSTNESPFHVQTSSLYLPLAPISQRTPIEGI CAEHLSPLILTYYPPFHGVVLSYSNPRLAEAPFQDGGAQVMMKSTDEYAVSWTWVTAE FMLFKPTRGGWLEGYVNLQNEGHLGIVCWNLFNASIERQRLPKEWKWVGAEDLEGEGY AEDGVGYYVDGAGTKIEGIVKFRVKDIESSHDRERGFLSIEGTMLEDKEENELLEEEN ASRSTDKSMIGRRLGGSRALGATSLGVPAEHNEEEGSRKRGKKEY VE01_01184 MSSQLLSLAGWAFLPNLATNALQSVWYGITIRAGDPKPQPSTPL HNTHRRRIHIAVITTYLLYTIYEAHHTIRQSSDYYQDLGLPHSASDREIKSRFRRLAA LYHPDKVAETATSTDFFRHLKTAQDTLLNPAARFAYDRFGPDIVGWANKSSIRDYLTV GAVGIMQYYGIGAVMMYVLGLTGHLQFGVYWRWFGLLSLAVLEAHIASRPYAPRFASH LLNPLLTNVGGQPPYLPFQLIAILRKCALTLFIALSQLGPLLSSPQAAAKSGTEEERL TRQVERIAALAGAADAEASRLVAMEMAPFVGDEGAVREVQRRTSEWLVSNTIRSDPEV RDALGNVLKRRRVDAPAGARGNR VE01_01185 MPPKTQRVLVERAGGGRRLGAQRGYLGQAYEAVTSSENASVVRS IAVFGVAVAFFSSQWSDMLLPAL VE01_01186 MLPPVPVLSDYGISPENGFLPDRLPLQHLPDPYYNKWESIVANL QALLLSKRLRGIIHDLPVLTTTGLEDESEWQRAYMMLAFMAHGYIWGGETPSERVPPS ISIPLLAVAKHLDIPPVATYAAVVLWNFKPLFNSEPIENLENLSTLLTFTGSLDESWF YLTSVAIEARGGPIVPLMISAMTAAREKDAPYVTACLRSFASRLDDLSVLLVRMHENC DPHVFYHHIRPFLAGSQNMAEAGLPNGVIYDTGSGTDTYSQFSGGSNAQSSLIQFFDI VLGIQHRPTGQKFDKAHSDQEGTAPPPKHNFIHDMRRYMPGAHRRFLADVSLCANIRD FVESRKVDTELTGAYDLCLARLQNFRDKHIAIVARYVVMKSRETPPWTRDESNKHRQN LALSSSSNNQDGKKLRGTGGTALMPFLKQARDETVEPAITPWKKTMGGGFGKLKGVPV VAPPVTDEKLEKTRSVPDLDLQVNGLAGSWAADVETDGVGGGGLCHY VE01_01187 MASTDAPKDLPKMQYRFLGRSGLQVSAISLGGWLTYGGQVEDDK TFACMKAAYDAGINFFDCAEGYAGGESEKSMGAAIAKYGWSRNDLVISTKIYWGGAFG SNPVNNTGLSRKHIIEGVNQSLERLGLDYVDLIYAHRPDRNTPMEETVRAFNHVINQG KALYWGTSEWSASEIATAWRHADRLGLIGPLMEQPGYSMLARDKVDGEFNHLYEEFGT GLTIFSPLKTGILTGKYNDGIPADSRYSRDDKDPFILRMTKEFGEGKWEAQLETVRKL KPVADKLGVTQAQLAYAWVLSNKNVSSAIMGASKPEQVWEAVRSLEMVELLTPEVLEE IEGILGNKPSVAPRRY VE01_01188 MRFSTILPLAALASLATAQGPDYWGRFSTKGGENFDVTGPGCVN FKKTQPIYNTLTVRIPFRCDVFEAGNCLGRHAPFYAGEHEVTDIVFRSVNCYFT VE01_01189 MAVSLRLSTCHQQGVCQPVLTHTPPALSVSKVVKAPPATGVSVP GVDKAPPTTGVSVPATVKAPPTTKPPTTKSPTTRPPTAGPPTTRPPVTKPPTTRPPTT GVSTIVKAPPTVPLLTPLIRPPIPPPPKILVHFIRHTKAVQDYDRNNRTIIPATIRNH FPRVGVTHIVTFPLKRTVETSLRIFFPLPPNSPKIQAQIVPALRPVPPPTLFNIPSHP KALRAMLSTGYHAQHRDAVDWSGLPAYPNHSTTLTSHARTPAMNRMMMCADAAREGRG VE01_01190 MPAAMPERRTASAKTVPATGATVVERRSWMANSEASSAALEECS DAPARMRRAGLTKRANLIHCTPASVFVVFPTCILLVDMNTRTPSRAPRTEQRIQGKKR SGNGVVRELKRELKRDVEIMERGFADHLKSMSEEVGSSDEEDTEDDEDDKDDGDDGDD KVDEEEEAAEENDKDDEEEEEKAEENDKDKEDHVHHPSGDENKDTLSRKRKRDDEDDG EVSSGEEIFEPPAVQIDSDSPVIGPPPATSVSTSASIAVSAPLTKSVLRLLA VE01_01191 MVSEGALRWSAPPWVRRLVMRLISITPSIIIAAPVGKEGLTAAL NGSQVAQSVALPFVIASLIYFTCRGRYMTVRPPRAGVEEEEESDDEGGRGVLVVTLVG LGLGGDEEGVVSFKNRWVTAVFAVVVWLFIAIMNVANLVLLGSGN VE01_01192 MTWIVPVVLGAPHLSSLPRDLKEASLLIKFDKTSLEQEVVVVDM ESQVLGQSCSKTLDSGAFADFPISTDLDSNGAGNITLNGQSYMVHEHADFSGGITCTR MYSDADSFVRCSIKVPNSLQAKPLSAENSKACFTRGTLDMETTSNIFAARLAGSKEYD LSEISLSTSEHPPKSNVRGTSGANGPPDCAWHSDVVLIGNGDPHQNYLHTQLSVRCGL SIPPNPQSKLF VE01_01193 MFGFGDKKAAANAESPISSVIDDAGVPGEKTFYDNKDAEGNDVV DANAPAVCPPHTTEAALMRRVDMRVIPCLCVLYLLAFLDRVNIANAKSFGLVEDLHLI DETGKTSSVKYNTALTIFFVPYIFFEIPANILLKKLRPHVWLSGCMFTFGLVSICQGL VQNYSGLLATRFFLGMMEAGMFPGCFFLIGLWYKRTEAQRRYSFFFSSTTLAGGFAGL LASAIGKMDMLSGYRGWRWIFILEGVLTCVVAIMFFFMLPSFPEDAKWLTEDERAFVI GRLRVDQGRSAADRSITLRDVGRVFKDYKIYLGGIMYFGLIVPAYSYAFFAPAIISSL NYSPIQTQLRSVPPWAAAFGFSMIVAWFSDRTGHRFAFCLLPMCVSMVGFAMLFHIHN NVMAQYSALFLIAMGTYSAMPVIVCWFNMNLGGHHRRAVGTAWQVGFGNIGGIIATYS FLDSDKKNHYRNGYSICIGFICLSAAACCAYAVAVVYENRKRDREVGTSTLTEDEKAE LGDLSPDYRYLL VE01_01194 MAPHADGLAGKGQAAAKVTGQYHATSSASAIEAEAKYAAHNYHP LPIVFARASGSDVWDPEGKHYLDFLSAYSAVNQGHCHPELVKALCDQAQRLTLTSRAF YNDVFPKWAEKMKDVFGYDMVLPVNTGAEAVETALKIARKWGYKSKGIPENKALIFAA ADNFHGRTLGAITLSTDPDSRTNYGPYVPNIGAVNPTTGKQIRYNNVQDIEDVLAAHG KETCAILLEPIQGEAGVVVPDDDYLTRVHALCKQHNVLLIIDEIQTGIGRTGRMLCSE WSNITPDLVTLGKALSGGMYPVACVLGRAEIMLTVEPGTHGSTYGGNPLGCAVSMRAL EVMEEEGLTARAEKLGEVLRKGLREIDSPMVKLVRGRGLLNAIIIDEAHTGGHTAWDL CMLMKSKGLLAKPTHENIIRFAPPLVISEEDMQKALGIIREAIEELPTLKGEKEDEVI PAADKNVKIHLDD VE01_01195 MSTSAKPSPVPAAAEAAGVPRRDDDVRRKLAIRKAYHTAYYEYQ RRDMMYLLIILRFINAITIRTFFQPDEYFQSLEPAWEMAFGASSGAWITWEWHHQLRS SLHPAIFALLYFVADRAMGVMSMYPQFKAIILAYLPKVFQGLVAAVGDYYTWQLAEKV YGQGSNAAFTTLLITALSPWQWFCSTRTLSNSLETVLTIVALYYWPWALYGDSSAPKK TSPDAAEADKADKATTSSQESQIFKTNSDVNSLRICLFLAGIACLLRPTNLFIWASIV TVSVSRLGLTGTSPAKFSDFLIILREAVICGALALSISAASDYYYFGVWTFPPYQWLY FNITKSLAVFYGTNRWDYYVTEGLPLLLTTIIPFTLIAFVSSTSIGTEGASVSNIRFQ FTFTALTTIATLSLISHKEVRFIYPLLPLLHILSAPHVLSFFSRPAPASTPAPSTSFS IPPSPTLRRTTLLGALVAVNISLAFYTTLIHQRGVLDVLAFLRYDYEATHLTERGLFI PTTADDAPFAAFLMPCHSTPWRSHLVHPTLNAWALTCEPPLDIPAGTPERAAYRDEAD RFFDDPKGFLSREVGSREKPWPQYVVGFEGIEGVLVEWHEETGSQYEVVRKWSGFNSH WIDDERRKGRVVVWEFKERVKA VE01_01196 MARSRPASNGPTSKSAPTTQKNGIKKSSKPAKQQLYVVSRETND PEGVHDNHEIVGTYKTLAAANAAARDDLIKGWGREYFDTYEASEVDVGSEEGDPTPKA VEEQSYVVLRRSNTDEVKNILGPSEIVGTYKTLADANAAARGYFEKGPGLKYFQICDV KKLHGKVEVFAKSHNGYQDVGVLVHKNMASPSKVAAPLNPDAQYIYTLIRETLDLYKS HGKIEILSSYDTLAAANEAARNNMIEEWGRDYFEEYEVREYGGMVRVNANCPDGKGMY VRVDKAEVKKDGVIEEAEAENLTVDAPGEITVYHVSAVRIDYQNDPDGVLEGAVIKGT YLSPAKANKAAREYLAKESETNDFGRVTETVIYGMVTIKARCPKEKEVMVYVDKGKLF TDGEGLEEMEESEGSLSSSSPMKTFQRQKSRPFLLQGAGEPVILKLPSETFVLKTINT KKSIADVSSMAKNASSRSHSEAEDKRTLCSRDLQLTLTIRRQIRPGCGRNCA VE01_01197 MSPQGTPITRQIDVWLGDRGSAYVYFDPEFSQPFQADRALQGDE SSSNPRLEIPQDLVGRAGATQGNSPATLHLWGMANAITLDRTADYNWIPALPSRVVPG AEAGIR VE01_01198 MAPKMRLPSGRAILNLISVETEEGLSRAQTMLANEDLKPVEPAR RQWGPWNYVGFWIADSFNINTWMIASSSVVAGLSWWQAWICVWVGYSIAGAFVVATGR IGATYHIGFPVASRASFGVWGGLWPVFNRSAMACIWYGVQAWIGGQCVTLMITAIWPR YEQLPNSMPASSGTTTKDFLSFFLFCLCSLPALYPHVHQIRHLFTAKSLVVPVAGIAF FIWGVVRAKGLGPVVNKPNVIHGNELAWAIIKGIMSSIANFSALIVNVPDFSRFAIKP KDAFWSQLFTIPVGFGITSFIGIIVSSCSVVIFGGEAIWNPLDLLAKFLQEGGHGNRA GVFFIAASFTLAQLGTNIAANSVSAGTDLTALLPRYITIRRGGYICAAVGFAMCPWNL LSSSNNFTTYLSAYSVFLSSIAGVMLCDYYIVRKGYLQIKDLYTAKKTAPYYFTYGFN WRAYAAYIAGILINIVGFVGAVREPTGGPSAPLGAQYIYNLNYFCGFIVAAIVYYALC RISPVPAVSATWLEVGDAIDNPHLADSDSEEDEKAGAAMYEDGVRRRGASEEIV VE01_01199 MCNLDDTYYSTCTHFGPRIVTSHCARGLTHNGCAKTGCWGSEVT GISRVVSLCPSCKIRTRSESSHSRDLQPEGGRPESQIDNDDIGVRTEASKGHGKDRGI WLRRLGTIWDRAEDSWVRWLTMHFAK VE01_01200 MAIRWRSQSVVLAVLVTFIFFFVLRNDRLRGASINPDHGSPGGT PVEAQFDEKMEGEFMKELDIFYGEVRKSRTPGAIYTPTLKNLETNATIMDQIRYPNAL SPPAIEAPYSPIPPKSYSPQYEIQDKNQWAVSHPAKFTKCGSPWSNFGISDNIQTFAG NPKGFPSPGFGDRDALDWEKYTCFDRQGRFGRYGYIEGKGESEIKPAINWEIVNWGAL QDHCLYKNVERFPMPRTEPGQNLKGLGWMSPMDRSHMKRSIQPRQDPSKGETPRPDPN RVPPPPVANRTAILIRARYDTVYRENDVLNIRALINELSFKTGGEYQVYLLVEVEDCD DATWTDKSLYDAVVAKSVPSEFSEMAHIYTERLLRSWYPAMPSKADVGGPVLEKLEWL PIQRFAHLNPSYAYFWNWDLNVRFTGHYYDLAKSLPRFAQRQPRKCLWERNERLATTS LDGPYETVFRDKVEKESDPEAIIWDVPVVPEFTQQGPRRPPAEEAKNEHFMWGLGEKA DLITLFPIFNPVGTTWSGRNDVWGYKHGKNLARRATSGTFGIASKRLLDLMQKESLEG RFLNAEMAAPTVALLHGLKAVYAPQPIWFEHAWIGEGLRRYFNSGPRKEVGSSQRSLY GSGKRGMLWEGSTFDTNSKAAAKMWDKWMGVGEGAAEFEKKNGRMCLPPMLLFPVQDK SPVVWKYSPPTGAEIAKN VE01_01201 MAPIDAAKVQEQAPPVGDRKTDSSHLENVETGVKLTQFDGERVI VTEQDNRIILRKTDKTILIILVWVYFLQILDKSVLGYASLFGLQKDTGLKGSEYSMVG SISPIAQLVWLPFTSAVIVKVPHRILMPVLILGWGLAQTCMAASHSYAGLVTTRFFLG LFEAACLPLFGVITGQWYRRAEQPVRIAAWYGTNGIATIVAAGLSFGLAHIPSSTLKS WQIIFLFVGLITVVTAPFVYWKLENDVETARFLTDHQRAQAIERLRANNTGIGSREFN WSQVIEVFLDPKTYLWFALSLLLNVGAAVTNVFGPLILSGLGMDKSITSLLNMPFGAV QFLVILVSSYAAQKAKLKGAVLLVLMLPVVAGLAVLYSLPRNSANLAPNLVAYYLLAF LFGGNPLLVTWIIGNTAGTTKKTVIMVIYNIGSSAGNIIGPLLFTTADAPSYLPGLRA VLGLFCAMVVAILAQWFLLFTMNKSQERKRVANGKPAKLTDRSMMDHYEAQDDMDYGN GDAALYDLTDRKNDEFVYIY VE01_01202 MAFPQSSRSSSSRMNDTLVGAYRIDTEIGKGSFATVYRAHRRTT RALVAIKSVNLAKLNRKLKENLNQEIDILQSLQHPHIVALLGRHQTDTHIHLVMEYCE LGDLSLFIRKRSKFSTNAATAEMARKYPNPEKGGLNEVISVHFLKQLASALEFLRDRN FIHRDVKPQNMLLLPSPQYMSAHPQSPLLMSPSVESLIPAAGLLSLPMLKLADFGFAR SLPAASLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVMYEMVTGRPPFRAANHVE LLRKIEMQSEDLPWDSGIAISDGLKSVIQGLLKKNPVERLSFDNFFAHPIIVNNIRGL VGDDRPEEIRPTPRPEEPESTSGQFSARISRRGVSENDTRSTGIVSNASRAVPTETFD EAQHRSRQPHGTPPRAQAERFQANPGPSTTSRRPVIHTSSTVPNKYPSTEEAMERRPS AGPVDMVRGRSQDSPSPGSSLLNERKRVPPRNDNLRRDEKERAAQDVRDEREYIVVEK NYVEVNSFADEMDANSRGAVPAQPNSPRANMRRRAQTEGTPSSNQPATSPPISPSGMQ IAQGKQNPHRKSSYDRSYGSPSSATSAITKAISNASLRLFGISGYAPQLLRGQSPPQL YGAFTGFATSPGIAGMITDGKPGKSNDEDVKIGDRIEALATRSDVVYGFAEVKYKQLI PLAPSMDHGLGGNNAREVDQEDDGLTPDAVVSLSEEALVLYVKSLTLLAKSMGIANSW WNKKKRAEAASSATTVKTETAVAAARINSAVQWMRSRFNEVLEKAEFSRLKLIDAQKQ LPEDHPGHPSNHTDDSRMYGGDSSTADGVFLSAGTTAERLMYDRAIEMSRSAAINEIA NEDLVGCELSYVTAIRMLEAILEKDDDEPQKKVGTAQVTEKDPDDPVNGINVDDRDAV KKLVAMVKGRLLTLRRKLAVIAKHKAQPQQQRSRPSSTHSGGTTPTITNTPPKQ VE01_01203 MNWTGGRLSRHSRRADSSTTSKQKQHFAKMRSHLMSGSMKKDSA KRPNFDMAGPRPPDGLLVRDGTVRTQLQQPLVPLNHDLHSDHGSYVENMHSGRADNSR HGQLGYPGSNKVSQSSTHSYETKPKPISNTEPVDGDYEEQDDMEAIRRKRFRILREGD WLGLSIQRPLQLKYMESESRDAIGKRRKLNAGYGAQYSRVQDKITSPFAFNRHIPSRE IFPNHGENQPQSMQYGQTSGAKGSVRIFIDGRERHVRESSGSVINQPHPEYVQSSASS DVMLLDLEGGGSIPRLPHRLSSDMVNDSGYIPEPATHGRQGFEEKSISSIGDYPSSGP RIHQIRRLNRNMYAPGPQQQSSPIFATDRTKTNWLGASPVIYHPTPKSSTTSRLLRSN SSVFEGSIAATAGRKGTVAASVARDEEMWRSWLVAESDEQQSPAWPVDDESDQLAPDI PSTSYNTTQIRSPGLSDADPSTLNDESSHDRKLIPSIPNSTSSVTGSPDDKDRGNNNT KLSFGTVGTSKPRGRAADDIQPPSVSPKRQPKEENQDTTWEKFVLAGSSENLADFPVE PPKRAAQEDPDAAWKKFVLSSDSDDESAASSTENEAESRTSASQKRMRKSSLKTSLQV HSAKTVVHSEPQLDHSSDMSSGRVIYNPSTYLAPSRSNGSEGNARFSGTSVYRDGSEG EASQASRYFPQATTELSQRIVNKQRSLVDPLARYMPRQPESERGIVMAKEAQLPVRNE SFDRGLDDSLKQALHLGRRYAKQENERYVKQGKEEYVHQERGRYAKQKKESNEKRKRK EIRDIYDIPISDDTEVDDK VE01_01204 MATFSGPDVEDVRTMISRCHGDSSESVTPKASTTTTTRKSKKQA PQEIIDEFWTKFNTKTPGKATTILPADSYAKKVGESAPKGTITSLSSSASYEEAVRTC KLKVAKIVKECRRANQKYRDPHFDIEFDLKWGKRDCLETLKTPNREKSIFRPKSVKRV GDIFENPQFFINGPEAKDIRQGQDGDCWLLAGLCTLSNKKGLIERVCVARDEAVGVYG FVFYRDSEWRSEIIDDKLYLTKPDYDESILERLLWEDRERVSSEDEYKKVYQTNSGAL YFAQCEDPNETWLPLLEKAYAKAHGDFATIDGGYTGEGIEDLTGGVTMELFSTDILDK NAFWTNELLRVNEEFLFGCSSCLFAGWGERKGIIEGHAYSIMRAVEMDGQRLLLLKNP WGKGEWTGPWSDGSKEWTPEWMMKLNHRFGDDGAFWISYDDFLKKYQTFDRTRLFTDD WKVTHQWTSLTIPWSVDYNSTKFAFTINKPASVVVVLNQLDGRYFRGLEGQYTFELSF RIHKAGEEDYIIRSHTNYCMKRSVAAELDLDEAGEYLVLVKITAKRDFTALPAHTVIR DNAKDRRDKLLRIGLAYDLAHAKGHVEESEEDKKSREKAEARAKAKERKEIKDKLTEK KKKKNRSEAKTAKRERARQNKAKARAEAREKKEADKKKLEGEAKKPEEEAAAETGAEK AESEKADTDMADTEKTDTEKSGSEKAISENADAEKRSEEKPEAKALKNKEPAAEGADS THSQTPASSSTRQAITEAALDQLAAATGDSSRSARSTGKNADRGISPLNHARSAPRDK LNRREAPIYDSDDSDTDSCVSSVSDSTIDAEIEKAKLPTPVTKEEKKDKKAADDESED EFEKDPWNAVAVVGLRVYAKAKEVEKEDEVEVGGSAGSEEVKVDSGKKEVAAVKLEDK AKGDEEKVEGPAELTQKTESATKDAEDASGKTKEEKLETTEIVTEDKPQETASKTEAK EEDPQELEVSIRVIRPRTWEDGEASLDVDDSAMDATKNLAEESDNEDGNKKVVIGMKG SLGAVSN VE01_01205 MDKARSVGEETGVGERVAFIQADCAVPKVYGEGEFDIVFGAWLL NYASDREGLVQMFRNVAVNLKEGGKFVSITVPPSENPIDSLNAEATARPEPEGSGYLM YRHIRDVEDGIFFGVHGKTPVGKLYSECYHLRKEVYEEAAREAGLKGTLEWGVTDVPE SFLREDAPGGATLRELETYRSIPAYGVLVITK VE01_01206 MQLQASHASLVVLLITAFTANGFPVQNTKFDLSIQAIPPPQEVP GQPPRNNNQRLNNRQNRETGGTPPTPPLPPPSPQRNQGRDMVERQNGGPGGNNRGGNQ ENTPRPAHTPPGNGGQQNGANQQNTPTPPQRNQGRDMVERQNGGPGGNNRGGNQGNTP PPPPPPPGDGGQQNIANQAGAGGQNTGGGGN VE01_01207 MHSFTTVLITASALLATVSSSPLQLRSTCGGAPTGNGSQQPLSQ PSGITTAKACQANCTANASCQSFVFGMVNNAVKCILYSVPAASAPKQSSSNLIAYDKS CGSVPAVVPTASNPTGAKTGGKLAIRATCGSAPTGPTGNAKPLSQPSDITTAKACQAK CTANASCQSVVFGMNISDEYIMIRFEFGTPSPNGSPTCRLFSVAAASVPTSTSGQSFV VYDVGCSI VE01_01208 MAVESPHSSSPNDINLSDRNTTILIVTIVTVSVATVLIAGRLIS RAVIVKHITFDDYLIVIGWILSLGASVVVVFATGKGLGKPDARIKDEWVLPLKKCIYA FSVLYNPALVATKSSLLVFYLRLSRVTNKLFRIISYAALVLVNAGGLVLTLLYIFQCR PVSKTFNVHNDLAKCIPIITLYLTSTPITIVTDVIILVLPIPMLTGIHMPRRQKNILV FTFALGIFVMIVDVIRVYFLQQAMIDVSSLTASPTSTIGLGDEKDYAFIVSYSLMWTA VEVNIGIVCACIPTLKPIVKRILPILLEPTRHHSSHETPTASVAKVDNTDGSNPSPES TLQGKIPPANIVATAPIAAKARRQVEADDIEFITIPTTATNMRARQESSASSSGSVYF GFVNIRRPKCLADLSSEDSWRYCTAVTILFFLWGFSYGLLNHLNSQIVAISHSAVSQG IGLLSAYWGGYIFGPLSLGWYALTRGGFKVTFIAGLCVYGVGTLMFWPSAVLVSFPGF VISTFVVGFGLSVLETAANPFLALAGPPLYGEMRLLLAQGVQAIGSVVSELLSKVLFA SVKEHSTLIGVQWTYLAISYLAVILGLFFYYMPLPEATDQELQRATRSRSLPMNRVII EPRTLTHIGAFRVVSITLAIGVFAQFLYVAAQETVNLWFSDLFADHDLGFSPSLTLPN ISLVGHSVFAVSRFLCGALCLVIRPRKLLCASLLGGMAISITIAALPSHTATTHPNTV LGLTVGLYFFEGPVFPLIFAIALRGLGRATKRGAVMLAAGTGGGAMGPWVLFASQSKM GVRRSFWIVAVALGMACLFPVYLKVVRRAREVVDWNGVEGKERKW VE01_01209 MYTVGNIYVITAVAVIGGGLFGFDIASMSAIISTEPYLCYFNQI QGDDVNKCYGPKPDVQGGITAAMPGGSWLGALISGYLSDKLGRKRSIQVGAIIWCIGS IIVCASQNIAMLAVGRIINGFSVGICSAQVPVYLSEIAPPSKRGRMVGCQQWAITWGI MIMFYISYGTASIKGTAAFRIPWGLQMVPAILLFVFLLFLPESPRWLARQDRWEEARN VLVLVHAKGDVDSPFVALELAEIKATVDFERQNADVTYMELLKPGMINRTHVGIFMQI WSQLTGMNVMMYYISYVFTMANVGSAVLLSSSIQYIINVVMTIPALIWVDRWGRRPTL LVGAALMATWMFANAGLVATYSRAPRPGEFDNAAESFSISGKPATALIACTYLFVASY APTWGPVSWIYPPELYPLRVRGKAVALATSSNWAFNFALAYFVPPAFANITWKVYILF GVFCTVMFIHVFFMFPETASKTLEEIENIFDDSTPGALRLIGTPAWKTRVNRDIHHTE SGNVDPDKLATLNAYHAEDKTAGLSDEAPKV VE01_01210 MEMLQEVSSTIPGWNNSDISYITFDATKGQLPRRQSLDGYDAVV ITGSGAGAYDTDSWVLKLVSFVAEIYKDRPDMKLLGSCFGHQVICNAIFKASEDGPAQ TDLPPVVSRNPKGWELGIQLVKLSPEFLARFGPVGSNPDSPSEMRLQLVHQDRVNAKS ITKAFVRVGQTELCDVQGIYKPGRVFSLQVHPEFNPEIAIECRKPIVEETEFEGIIKN GSGGDDHLYAACAILEFLREEVAEETVAMEEVTEEEVFGKGAV VE01_01211 MSEEAHHLVELALGTVEALMAPKKQPKVILFDIGGVCVVSPFQE ILNYELRNDIPPGWVNHSLSKTAPNGAWHKLERGEIKCDEDFFAGFSHDLRDPLRWKA FYTEAMQKQGKTVTAIPPLPQVDAEWLFWEMMGASRASDPWMWPALKKLKASGKYIIA ALSNTIIFPEGHEYNDANEVRGIFDIFISSAHVGLRKPDPAIYNLALSAVNEYAQKNA SAQGKGLNLGSGIKAEDVVFLDDIGENLKAAKKVGFGTIKVHLGQAYDAVAELEKLTG LDLAGDHPKVSSAPKMSKSKL VE01_01212 MPFTKLVKNSAYYSRFQTKYKRRRSGKTDYYARKRLITQAKNKY NAPKYRLVVRFTNRDIVMQIVTSEISGDKVFCAAYSHELKAYGLEHGLTNWAAAYCTG LLIARRVLKKLGMDADFQGVEEADGEFTLTEAAEDEDARRPFKAFLDVGLARTSTGAR IFGAMKGASDGGILVPHSENRFPGFDMESKELDSETLRKYIFGGHVAEYMETLADDDE ERYKSQFQGYIDDEIEADGLEELYQDIHKQIREDPFKKVEGAAEKKDKEEYKKESLKY KGRKLTKEEKVERVKAKIAELRE VE01_01214 MASSPPPPSLPHSSQSSAVPTPTSSTRSISPPSSVSSAASLLAS RKRVSLSERHSSSPLSYVDTAAIDAQMKLASLDHLAGYSTKAYPAPVTQTATTEYTPK DMAKGYQVLREPAWNKSTSFTPEERVTKNLTGLIPHVMENMDTQVMRAMKMINSRSTP IDKYLYLSTLKSSNIDLFYRVLIDNVEQLMPLVYTPTIGDVCLQYSTLYTRPEALYIS IKQRNSIRTILKNWPYPNPSICVVTDGSRILGLGDLGVNGVGIPIGKLALYTAAAGIH PEKTLPIVLDYGTNNEQNLRDPLYLGLRQKRVSVNEAREFMDEFMEAVTDVYPDMVVQ FEDFETEKAFAYLERYKHKKVFNDDIQGTGAVILAGYIGAVNLSNVPIEDQKLVFMGA GSAGVGVAKQLLEYYTRRGLTDAEAKDKFYLVDTKGLVTKDRGDRLAEHKKFFARHDN AGHQFKTLEEVIEYVKPTALVGLTATHGVFTESVVRALKASVDASGAGRRPILFPLSN PLTKAECTFEQAVTWTDGTALFASGSPFSAFETKIGDVTTTHYPNQGNNVYIFPGLGL GAILGKVSRVTDDMVYTSAHALSGCLNSEEIAKGLIYPHISRVRDASAVVAREVMKCA RRDGVSELPEETWLEWEEWGDVALTNWIKAQVYDPAPAAGRSGKL VE01_01215 MPSPESLHAALPSKEVGADAHDEEELANFGYKQELKRDWGLMHN FGISFSIISVITGITTLFSYGLHTGGPAVMSVGWIVVSFFTFAVALGMAEIVSAIPTS GGPYFWAAMLAPPKHSALSSWLTGWFNLLGQVAVTTGITFGCAGLISTVATVKSSYEP TAAKTVGIYAALLVSHGIVNTFGVKVLRYLNNTSILLHSVGVTAIAISVLAKAPTHQP ASAVFQKFYDGTAATPDEPGWSIRASPAYVACCGALMSNYTLTGFDASAHLSEETRNA SWSAPIGVISSVGFSAFFGFFVIMSFLFSIQDFDRTLNSDYGNPVLQIFVDVFGPDGA VVLFCLIIICVWHCGLFSMTSNSRMMFAFSRDGGIPRFFAHVDDKFQSPIRAVWLAAF LAFLLSLPSLGSSVAFAAATSIATIGLYISYGIPILIGLIYPTHFNSKKGPFNLGRFS RPVALVATGWIGFITVAFCLPTATPVDTQSLNYTVVAVGIIAFGAMGTWVVTARKWFV GPLREIELEAAGVDISDPAQVEKAEKEGVAGVNGEARGVENVSASVTD VE01_01216 MASSQDIADSKRRDSDSAASQDSQTAAEFINSQLQLEADAREAL PYKFDTCTKQLGPLRQDLFACITCNPPPANPSDPYTPAGVCYSCSVACHGEHTLVELF YKRNFVCDCGTTRLPSTAPCTLRINSETGQKGGVVNEPCEEKNEYNQNFRNRFCGCEC DYDAESQKGVMYQCLGLGPARNGGCGEDWYHTTCVVGLDPSWHDEAMKKKAALAESTV GNDQGSTTAIVEADGDAIDIEDGMPTPPGFPHADDFAGFICYKCVEANPWIKAYAGTE GFLPPVFKRSGAPSPQAKSEKKEDQKAALKTTFAPVASSQKRKAEDDGADADVETKRA RGDNDTEGKPTSTATAEPNTNGDGATNSSMKDEPASCKISHLPSPPTEHFSLFFKDDF RASFCRCPACFPHLAIHPQLLDEEESYEQSLSHSSAASDGAGSTLGSHAGSLLDRGER ALSTMDRVKAIEGVMAFNHLKEKLTPFFKEFAESGRVIRAEDIKAHFAKMRGDEGGAG EVEREKAGSAEEDKRREQGGY VE01_01217 MDSANRGAGGRNLGKRGRDEDDVPTSGYASSPAAAIIPSSPPAY HLDRADDDDDDIVDDFIADIDDADEMVEEDDGIDLFADNFERDYEAREDDQYGGDDID DEGDHEELDASTRRQLEERLNRRDRELARRRRMPAAFLQDEEEDDGMDLTNQPRRRRH RYDEEPDEDMMDNIMEEELTLEALQDVKATSVVEWVALPQVQRTIAREFKSFLTEYTD DNGSSVYGNRVRTLGEINAESLEVSYDHLGTSKAILAYFLANAPSEMLKIFDEVAMEV TLLHYPDYQKIHSEIHVRISDLPTQYTLRELRQSHLNSLIRVSGVVTRRSGVFPQLKY VKFDCSKCKTTLGPFMQESNVEVKISYCQSCQSRGPFTVNSDKTVYRNFQKLTLQESP GTVPAGRLPRHREVILLWDLIDSAKPGEEIEVTGVYRNNYDAKLNNRNGFPVFATILE ANHVVKLHDQLAGFRLTEEDEQKIRALSRDPQIIEKIIGSMAPSIYGHTDIKTAVALS LFGGVSKVGQGKHKIRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGASAVGL TASVRKDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDADRTSIHEAMEQQTISISKA GIVTTLQARCGIVAAANPNGGRYNSTLPFSQNVDLTEPILSRFDILCVVRDTVEPEED ERLARFVVASHGRSHPAAATGEEDTEGERMEVERDGPKQEGEIPQELLRKYILYSRER CRPKLYQMDEDKVARLFADMRRESLATGAFPITVRHLEAIMRIAEAFSRMRLSEYASA RDVDRAIAVTVDSFVGAQKLSCRKALARSFAKYTLARPGKGVPVGVTA VE01_01218 MSIILSNASRLKPELRLAQAVSQFEADLSSEQKVAFRNYRSQWQ NSPPGPSDVMRLTAEVDYCASNKSSRGRCFGPRMTNFLQAVQQFAALGDIILGGSQNI IACSVWSLVRMSLLLIANFSSYLEKLSTLLMTAGQSAPRYQMIALLYPQSKNLQSYLP EYFVVVVNLCHRLLKFTQKSALGQFASSLSDLDTKTYQSELGHWANAIKEEMSLLMAK TIEGEAQDNSIFRAFSNKISKSISREQKLKASLRILDSCSTYDYETTWKQTRKIGNTT LFNLIDEYKDWKGQDTSCTLIYTGGLGSGKSVLLANLIDDLHLDSRNKNITVSYFFCR YDILESLKARTVIGSLARQLLRPIADLATVAGFIDRTATFALDFEMMFSLLQRAIAPN SRAYFVLDGLDECDSAEREILVLQLRKLQGIFPLVLCLSVRLDPGNVLKLSLERFIAA QVISIPSDNPDITSFISAELESHIESNRLVIGDPSLILEIRDFLLERSHGMFLWVALQ IISLCDMKTDDAIRQALINLPKDLSETFSRILRKSEGSGKAYQRRILELVTIARRPLT AEELRDALSVDPGDPVWNWSRRLNDVNFILTCCGGLLTIDEEERTIRLVHHSVKQFLL SGFEDSANRKITVDSANRAMASIIVTYLNYGVFSTQVSTTVVPQIMTASAPSQIIRSA PDLPSIVKSLTLKLLNSKKKPGFDIGKTLAETTKLFRSQPMDEFPFYSYAKLYWLPHI FFISEKEPIIYDLLRRLCKGNAINMNATDKDDWTPLSLAARDGHEALLKLLLDSGSVD ADLKNGVGRTPLSWAAENGQEAVLKLLLDSDIVDTNSKDRVGQTPLSFAAENGHEEIV KLLLDKGAKLEAEDGGERTPLSWAAGNGHIAVVALLLDEGANLESEDSGGYRPLLWAA QNGHIEVVKLLLNKGANLEFESNIGRTPLSWAAENGHEAVVELLLNKDARIESRSDDD RTALSGAARNGHEAVVRLLLDKGAKLESTRNSNLTPLMWASMYGREAVVKLLLNKGAK LESTTDRGRTSLSYAAEDGREAVVRLLLDKGAKLESTDIHGYTPLLWATRTGREAVVK LLLNKDAKLESTTDSGRTSLSFAAEYGNEAVVRLLLDKGAKLESKDIDGHTPLLWATR SGNDAVAKLLSSIT VE01_01219 MGGQISKMMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLNQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVIDSSDHERIEE ASLELNRIIREREMKESLLLVFANKQDVKDAMTPQEVTKALKLEKLEDTIWYVVPSCA TTGEGLLEGLAWLSNNIKTPPAGTVKT VE01_01220 MAEGHDQAREHDSHLPPFYSPRLNPSAPHNVPEHDLKTQGRAHP ERPQASALPSYLSLSSSEGEALPGLVSPAFTPPATPGTATPAQNREAASVPVDSSIPD GGCGSKKPRLLEVLPEVTCVVRARIPTTTGTEMFLHLYTNNVDQKEHLAIVFGNTIRS SSLDAVRSGETEMDRMIRGAYTGRLYPGRTTSQLPGSQAEAAKSDTPLVRIHSECYTG ETAWSARCDCGEQLDEAARLMALPGSSGGVIVYLRQEGRGIGLGEKLKAYNLQDLGSD TVEANILLRHPADARSYGLATAMLQDLGQSEIRLLTNNPDKVRAVEGPNREVVVKERV AMVPLAWRGKGGIKSAEVGEYLRTKIEKMGHMLSMETA VE01_01221 MQVPLIRLQCGVNSYDWGKVGNDSAAAKFAAATPSDFSIQEEKP YAELWMGTHPSNPSKDLTTQRTLLDLVQDNQALLSKDIAERFGNKLPFLFKVLSVGKA LSIQAHPHKKLAEVLHKRDSKNYPDDNHKPEMTIAITEFDGLCGFRPLAEIAHFLKTI PALREIVGDSEAAAFESAIKGQDTSTEPAAETQNKKALQKLFGTLMNSSPEAVTKACD AIVQSASSEGASFASGGLPSTSGETLARLVARLNSQFPQDIGLLVFFFLNYVQLSPGE AMFLKADDIHAYLSGDIIECMAASDNVVRAGFTPKFKDVPTLVDMLTYSYAPIEEQKM EPQDYAYATLNSTAYTSSSQATLYNPPIDEFSVVKADLRRKGAKATFEAIAGPSIVLC TKGSGYIGVGPTIEEIKEGYVYFVGATAELVLENNGEEEFTCFKAFCELESNAEEASH NEKEKL VE01_01222 MSRKPPRGEYIETDTGNKVSRKSQIIGTQNIILGGKSVIQAEVI IRGDLVRTLDAPAGGTEKKAPVVAVAIGRYCFLSRGCVLKPPGRVMKGVFSYHPLKLS DHVFIGQNSIVEAAIVGNKVHIGKDCVIGKFALIKDCVRILDGTVVPPGMVIPSFSVV AGRPGRVVGEVPEGGEEALEGREIYRTIVN VE01_01223 MAALPESLSPKRPADLLLPQPPKEVQILVINPNSNRDMSVGIGK LIKGQAYPPEHTKLITFTASSGPTSIDNEVDALASTKVISYDIAQATPYFAARDAFIV ACFSPHPLVSVLRNHPSLYRKPVIGIFEASISLSLSMLPLSVPEEYVDLPATADPQPP ARKFTGTKFGIVTTGAAWVPILTAGVNDYLGLPASETSQSARFKGVASTGLTAEQLHT TPQEEVRERVVKATRQLVADGDVAVVVLGCAGMAGMDKWVEDACVEELGRRAASLVRV VDGIKAGVALAVEEARHMKQLAYREAPAADDATVIEAEAY VE01_01224 MAEAPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRSEEKG DPTSVIKDIMCGDEAAAARTMLQVSYPMENGIVKKWDDMQHLWDYTFYEKMKVDTTGR KILLTEPPMNPLKNREQMCEVMFERYQFGGVYVAIQAVLALYAQGLSSGVVVDSGDGV THIVPVYESTVLNHLTRRLDVAGRDVTRNLISLLTRRGYALNRTADFETARQIKEKLC YVSYDLELDKKLSEETTVLVESYTLPDGRVIRVGSERFEAAECLFQPHLVDVEQPGIA EFLFNTIQAADVDVRSSLFKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGNPERL GKFKVRIEDPPRRRHMVFLGGAVLANIMADKEAMWISKQEWEEQGTRALEKLGPR VE01_01225 MASSGSSGMRQCVRNLTPYLVFMMAIITLGPLLFGFHLAELNAP EDYITCKKGTKGMPKGATLIPCIPMDKAQFAFVSSIFTIGGLFGALLAGPVSTSFGRL PAMRISSIFYVVGSAITMWSASISAFATGRFLLGIGAGASVVVVPIYISEVAPPSDRG IFGFLTQIATNMGILITQILGYYLSYSILWRFILAAGGLIGLILFFGLFLVPESPAWT AANRSPTRALATLKRLRGQHADVSEEIQTWDCTFPPSDSQLSESTTGLLEPESQSRRS SISTSSKSPPRAHVGIVAVALNPIYRPAIIAVVGIMCVQQFTGINSVMMYSVSVLTDL FPTSATLLTILISVVNLIATTASAPLPDILGRKSSLLISITGLGFSSAALGASILFSL PALSAASILLFVAFFATGLGPIPFMMASELVSTEAKGAAQSWALATNWIGTYLVAQFF PILNDSVNGALGGAGWLYFAFAAVAAVGVLFVSRFVPETKGKRDADEVWGRVRRVD VE01_01226 MSLANNNPPSSASGPSSAAAAKQNLKRSVQQAFEEPADRSSGHV AYQSKVRVVDRYKVIGFISSGTYGRVYKAAGRLGQTGEFAIKKFKPDKEGEQIHYTGI SQSAVREMALCSELSHINVIKLIEIILEDKCIYMVFEYAEHDLLQIIHHHTQPTRHPI PSATVKSIMFQLLNGCQYLHSNWVLHRDLKPANIMVTSAGEVRIGDLGLARLFSKPLH SLFSGDKVVVTIWYRAPELLLGSRHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDS KKTVPFQRHQMQKIVDIMGLPTKDKWPLLVSMPEYANLSSLHAPVQPNGKPAGSKLES WYYSTIGQHSGTSQPASSSLGAEGYKLLAGLLEYDPDKRLTAQQALEHPFFSTGDKVS SNCFEGMKTEYPHRRVSQDDNDIRTGSLPGTKRSGLPDDTLARPSKRMKEN VE01_01227 MRLLHFLLVTLVAAEDGLDGWLRYAPLDLGHKHVSFPTSIVALN SSKDSPVYTAGLELQKGFKGILSQNLKISHDGRPTSSAIIVGTVAEYSKTSNKPLNAP KLKEDGFWLSTHGNTVTILGQNERGALYGAFEYLSRLAQGDLSPATYATSPDAPIRWV NHWDNLNGTGTHGSVERGYGGDSIFYKDNVIYQDLSRVTDYARLLASIRINGVVINNV NADPAFLTDKYLEGVARIASHFRPYGVKVGLSLNFASPQLIGGLNTFDPLDPSVVEWW RTTTNKVYKYVPDMAGYLVKANSEGQPGPLTYNRTLLDGANMFAKAAKPHGGIVMFRA FVYDSVNLKESDWKADRANAAVDHFKQFDGQFDDNVVVQIKYGPIDFQVREPASPLFA NIPKTNVAIELQVTQEYLGQQCHLVYLPPLWNTILDFDLRVDNKKTLVRDVLSGERFK RNLGGYVAVSNVGVNSTWLGSHLAMSNLYAYGRMAWEPTVDVKATLDDWTRLTFGLDK SVINTINKMSMQSWPAYEQYTGNLGIQTLTDILYAHYGPNPPSQDDNPWGQWTRADAD SIGMDRTVWNGTGFAGQYPTEVARRYERIETTPDDLLLWFHHVPYTHRLHSGKTVIQH FYDEHYKGAETAHSFLAMWKSLKGKIDKQRYEEVLFRLVYQAGHSIVWRDAINNFYHN KSGIADKSKRVGNHPWRIEAESMTLKGYAPIAVNPFFTASGFKGIVTTSNAPGTATTK VNFPSGTYDLAVGYYDIIRGKANWKVYINDKLLGAWDGDNEDKLGHWPSEFLDGHSAT RITFDGVKIKKGDTIKIVGTPNGPELAPVDYIVLLPKGIID VE01_01228 MSAPPALNIPPSPSTVTVSIIDTTITVPFPASLVLHPIINSITQ LTANAYCFLITHFPSNQTILFDLGARKDFDSNAPALVQRLRAFGINPTIEKDVPEILE EGGMDLKDVNAVIWSHHHWDHTGDMSKFPSTTELVVGPGTSTMWPGYPANPESLLLES DYKGRPTREITFDHSPTGLKIGRFPAFDYFGDGSFYLLDAPGHAIGHMCALARTTSTP QTFIFMGGDTCRHMGELRPSPYFPLPATLSPSPLSPTKTAYTTSCPSSLFLSLHPSSS ATAPFYTLALDSKGKSLVCVDVNDAEHTLESAREVDAGEEVLVVMAHDASLRGVVECW PQTANGWLESGWGVEGRWRFLGDFVGEKEGEKGGVEAGEEARL VE01_01229 MGSNSEPTFNVLADTRPEDTTLPPFMASTSRGFLPRADPVVDLP EEFAALSSILERMPIVTLSGEPGLLAKGALGDTVTAELTDLSAAVEKYADNLCIQTAL YRDYSFIASAYLLEPCHMAYMAKSPYGLGRQSLPRSIALPLSVCARLAGFQPFMEYAG SYALYNYKLENPDAGMKYTNLRLIRAFEKGLDPTSSEAGFVLTHVEMVKNSGPLVEGC VRALDGCGRDDRQEMDDGLDQAFEAIKKVNQVMERMWANSKPGDYIDFRTFIMGITSQ SMFPNGVVYEGVSETPLSFRGESGANDSMIPLLDNLLSISMPSTPLTTILKDFRSYRP GNHRDFLSHIANLSLSVSFKSYALSEPSSALRYLRLLDQVRDFRYRHWCFTREYILKK TSHPTATGGSPIVTWLPNQLVAVLDAMTEAGEGKALKSVPAGSKAGEEVKEMLDTAER QRDGLKKEVEKWCSDRGVPPPQGITDTLQAVAAH VE01_01230 MEAGLTESHIEDSAENIPPTSSTLPPLPNELLTLILTFLPTTPN SHLIASTTSLPLLPNTPHPTPLKSASLVSRTFRHAALPLLFGHPRLFIQYQDDILASL LPLLAFLTAHNLAPIVSSFVLFEDWVSDHERLPRPRAEDFWDALFAVIAPETVLITTL PLVLPYFLGEQVHGVDVNLTTEQYGSVLLERGWVGEVERTERGRRPKLLLGKIRAKRL PALMGVLEDNAVAVRRLWYTTFEGETKMF VE01_01231 MSLKNAAFPSSEAFDAINASLQSDDAERKNAIKAGQSVFAFTLK NKAGETDSWHIDLKKDGVVNKGLGDKPTVTLSLSDEDFGKLVAGKANAQRLFMSGKLK VKGDVMKATKMEPILKRAQTKAKL VE01_01232 MAEHACENGHRSQIYYGVFSSQGRQPTGGARITSLPAFTTILDV YQSRGYHEVDTARTYGNGTQEAFTRAAGWKERGLTLATKSLPETHHGHSAANLPGKVE ESLRELGTECVDIFYLHTADRSVPFPETLEAVDKLHKAGKFVRFGLSNFAAFEVAEIV VTCQMRGWVRPTIYQGMYNALTRGAEAELFPALRRYGLAFYAYNPLAAGIFSGKYVSE AERPEEGRFSDVDGKRGERYRERYFRRGVFEALGGVRGVVEGEGLTLVEVAIRWIVHH SGLEIVGGGKDAVVLGVSSLAQLEQNLDAMEAGPLPEVVVKALDEAWRGVRAESSDYW IGKLEYDYDTRKALLDV VE01_01233 MLPSVVKGARIWAFDYNSNYSHDAQTVKIDGLAMALLNCMKDRR GDFESRKIIFIGSCFGGVVVAEALLTAFRDAERKKALFDQTAGVIFLGSPLRGTKAAT FAGWKNLIFGILGPDQESSDTLLDDLKENSSRLENLVAEFGKLTVRSRTQAGIEVRCF YETRKTQVSNAISRNLPVKEMLLVDKVSACLDCHEHIPLDVRHAMMNKYRGPEDPNFK LVSGRIKDMMDKIRADRSLTGDEKKCMQALSFPYQDQKDVNPERVEGTCEWFLQHEKF LAWCQDTTKNLLWVTAGPGCGKSVLSKALVDEGLLHAGNPDTKTASICYFFFKDDAER GSGINALRSILHQLFRQKPWLIKEHAIPDYEIHGPKFPFRTLWNILIKAASDANAGPI ICLFDALDECELSNRNSLINYISSFHRDSKTSTSKLKFIVTSRPYNELSIEFKVDDLP SIQLDGNEVSENICREVDLVIASEITRIGSGRRPPLSDGTQTSLIQHLKTHNNRTYLW VYLMLQEISRSLESTERRLTRLIETIPLSVNEAYENILKRATKPYQAQRVLRLILAAE RPLTLKEMNIALEILDMEENGDHYIPKSDRKLELDRTEAEFQRKIEDFCGLFVSIVDQ KIYLIHQTAKEFLTSNNGILNSWSPLCWENTFAHELSHLEALKACMWYLQLEFRTSRS FLSYASINWTFHFQQAGKYADEELGKDALQLCDTTSQRFSLWFRELGLERWGLPPVNS NDLIITCTFGLVTAVKLLVEGKSNVDVDSKGARYDRTPLSWAAANGHEVVAKLLLEKG AEVDAKDTEYGRTPLSWAAGNGHEAVAKLLLKTLDRGAEVDAKDTYGQTPLSWAAGNG HVAVAKLLLHRGAEVDAKDTTYGRTPLSWAAGDGHEAVAKLLLKTLDRGAEVDAKDDY GRTPLSWAVGNGHEAVAKLLLKQGAEVDAKDTEYGRTPLSWAAGNGHEAVAKLLLEEG AEVDAKDTKYGRTPLSWAARNGHEAVAKLLLHRGAEVDAKDTTYGRTPLSWAAGNGHE AVAKLLLKTLDRGAEVDAKDDYGRTPLSWAARNRHEAVAKLLLEQGPEVDAKDTYSQT PLF VE01_01234 MPIIDTKIELNKDLSLVTSATGENSLHRARQDDLHCLPGQARTS LDNVPLKKYLREALLAPNLDKIALYLWLAVTPDSGHISPLHFQAARGRSVTVTENAYL HLVWHYDQIFIKPLPAYLLSSAFWEYVEKTDEEVRRAATGFLRTYSYLIKYEIDFRKA QSTELGLIPTNDGAHPITYERFAQFIAPFAEIDDDNVTPRYQYGEIRLSRLNWFARFL LGRLTYHHIHAQWNEYLGRVLAPFLALFLLLSTALTAMQVELAVQSAPSVSGNWGEYT QMCRWVSVLVLILIIVVSALLIFFVLFMFIHDQVFAQKVLRQRRSKQRRLETSLKSGV V VE01_01235 MSYNLQKTPSHSRNASHLSNGHNSEPAKSSASSRAASEADIRAR ENRRGSQPRSFEDSPYDHHEDESETDEMGERRPPYNRHKDGRSGQPLLHKSDDERGRA RDSPPITPAHTTFSRRSTMRSRSPADAQALLETKKKYTYAAFFLGISLISFVIQTETA VYIQKDLGWDKAYCMLYFTHGSWVLLYPFQLMCLRLQKRSMPFLTFWRRHVYLLRTTA QMVESQSLTPPRTQHSPIPYILRTTAGVTTALTIAGGSWYLAVNMTTPSDLTAIYNCS AFFAYAFSVPLLKEPLRTDKSLAVLVAIIGVLVVAYGDTSSPNPTNPPDAHALAASDT EAKNRLAGNLIIGAGSILYGLYEVLYKRLACPPTGCSPGRGMIFANTFGSLIGAFTLL VLWIPLPILHYTGLEPFALPRGEAAWMMCISVLANATFSGSFLVLISLTSPVLSSVAA LLTIFLVAATDWALTGVPLGPAAVVGGGLIVVAFGVLSWSTWREMREERVKEVDITSD EDEESILGS VE01_01236 MATIISFQVEVEVWCLLVVSASKHLNEGAGVWRSAAWKFRNTIK INVNSKQKRELLLLLFKHQPQRRIYHRRNLGSSASCRINMAPTSGDAPKTLGAGWRTD DESIVADLNAERLDSASRSSSPDTVIFDPDFATGTLLRRQSGHRASGLFPLPPPPTLG SQPDNTPYKFPTRAAPHKLTEAPPEAARRYTLGQPLPDQPLEFPVIDTYRAPPQPHRQ PPPTPRFACAPFDKPPPPPPQSPHRSNTTPKLKLLRGSPERSPSRTQNPSSPPRFRIR GNSHAPPQNNMSYQNYLDTPQTGSAPGPRQAPSPSQGMPHANGANGVPAMPGMMGGLP TPAGHQSDLNVIYNMVETLHNELAETRARSERIVAAAGIIRARALEQNLTAEQIAAGV AAELNEGTKNLEEENSRLRHALSHATHEEAAYKTLCEEFAVTMGNALELGHAYKLKTT LDMCAWHRSYRDQLAAERAENLELRCRISDMQASAARGMEQMRLFRRGWDRSDLVMEM RAEIVSLRQQARGWKRVALSELASDDSEFSDDDDVVDPEEKKRLRRVEEEKRGREEGE RGEGGEEADEEGGAMVKALEGTRIAGEGEITI VE01_01237 MDDMRFFQHFILNAYPHLPVNNSQVWIQTVPAFSHNYDYLMHSM LGLAATHLSAITNVDYSDAALSHRVRAIEGFNKALSKKPEKEPDGDALLATMYSLTFQ SAFMSDSLIEFLIMVRGCVMLSGQLLSQSSIAFFVIDWYSHLRYMEPRLDDLPFVDMA LAEGAEASLEALNFVLEDEVNSFYYSELTNVVSGIKASSKLGYWRLVGIYNVMGMLSD ANFSAFANPNNTVGQILLAHFMALEVVLLPMLEREYDKTFPTNQLINRCSWFDSIERS VPPEFRHFVRWPAEILNNAREKWKAMAMTSGLTVAKKT VE01_01238 MGESIWTYDPNYPVSIVFAVFYAIPMFVQGYQTFIRYRSYYFWV VFVGAFLEVGGYAARTVAIKHLDQIPPYAVQSSLVIIAPIFIGAGDYMLVSRLCLAVL PSHLTRIYGFSTRKLTRIFITCDIISLLIQVSGSGIASSGNWEGSNATLGENVLIAGL ATQLAAFLFFVGILRRFHQLANTEGRADAPRGWRKVLLAVYVSSGLVIIRCIYRLIEF ALGIDGYPFEHEWMFYVMDSAPMLIAIIMFCIYHPARYLPNQPSEKMTDDTQLESRLV SKV VE01_01239 MSAAPAPTILDLKLAFLRSQIQALSAPLRIPVNYQPPDENQAIR QRAIDDALFQLNARIQEHAKLVYSAPAQRHVAEQVDALYWMAGERDVSGELARGQLEL GTDFAADVNITSLPDAWEDEEEVAQRPEEAQRYVELAAHIKGLNDRRTELREKVARYK ALKGMLEPFENSKEDVQGNLCTRDGEVEAELQKMGMLMARVKGRLEGLETSQNQGDEE MDLDFLDQEKKADLLLKDILR VE01_01240 MSSNNLTRAEVAKHTTEDSTWVIIDHKVYDLTDFVDAHPGGEFV LKQVAGQDATEAFYNLHRQEVLQKYSDLCIGTLENEQPEVIEKKEGDLSLVPYGEPTW LRPEFHSPYYNDSHRRLQKAIRLFVDKYVTPEAEESERTGAHISQELINRMSEAGILH MRIGPGKHMHGVKLLGGVMMGDDFDYFHDSIVGQELARPFQRGFQDGNMAGMTISLTA VINFANNEEWKNKIAQECFSGKKKISLAITEAFAGSDVAGIRTTAVKTPDGKHYIVNG TKKWITNGVWSDYFVTGVKTDKGLSVLLIERGPGVETKAIKTAYSAAAGTTFITFDNV KVPVENLLGVENKGIHVILSNFNHERWMMVNSVLRWSRTVTEECMKWAAQRVVFGKKL NEQAVVRAKLAKMIAHVEANQAWLENITFQMTKMPYSEQAKHLAGPIGLLKMFATRSA HEIADEAVQIFGGRGLTQTGMGRVVEAFHRTYKFDAILGGAEEVLGDLGVRQAMKQMP KSML VE01_01241 MASFLLPTSIQKRLLRYALSRLEILDTDALDLDRLDISWGSKST LEFRDVGLRLKKLGTMLKLPPNIELAKAQVLLLRLTIPVDIYSSPIVVEVDGVEGHIK VSPEGEHGIAKGKSTAGHDRKQSKTADGSRPGGPESNDDPGSPIPTVADLAQSFIQTE TPEERAELEAAITSQSVASLSDDGDDDSTVGTGAALALPAFMANFLKGIVDRLQVRVR SIKLNLDVDIPVESLGQSTMDPVTLQIDIEDIDIEGVTFGTTADKDEGKVDAETPVLP LKDEKRLVSLRNLRGFLISDAGHFASLARSSGMPSPAATHSDLRSLKSQSLSRQGFNA RSDIESPPLSVRDSLSTSNLASSPVPHDDEVSNPAVSNPTSPVVSSIGHSLEASGRFD DAPEDDDHPDAMDELPADMMSHSILDNSKFLDEVAESQFLDDGSGEERNDFPFGSVHE KKLSSEKSPQSTPRASMFLSSDKGLHRSRFTNPASLASSSRLDPRGASVAGSQIRAPG IVRPHAGVANSEPVAMHKSGHSHYEETFASMPNLTGKRSGPPSDVSDSPSDYESLAES RLFTHEDAESMYMSALSHGSRHEEAPGGYNVPGSDSTEAPEPPRTPELGGSSGAEMPY RPDSAAESILEDGKYEIPVPTEKAKSINSESDFTAEPDMQSETPTEDHFDASIPPIKK TETNISEASNISSDRDNRMAKQLFSLDHVGIYLPPTADGNGTLDQATATEAAAMEASA RASIYGPQASGSRFLAPDLPGAFSTPSIRARERPSVSEQDKPKKHELPAKEDSKSTTI EIVLGQLYAQVDVSVARLLFKLFQSISAVFEGNQRSQASAPEEEKDVSPVSVKFHADR FSVKFLERLPGTRIPDAPFGEEYVVKPPSSDVLLRTTLKGLDISYDISQASTAASITL QKFVFGYAKENILSFDADLRMRESVRDLAATAGVDVSVKMLKTPVTSRYSIFTLPIHL SINLQKLDETFSWFGGLSSVLNMTSSMASSATMTTSSPAKTKPRGVRFDAPIMPDDES VSSQTKVDARIAGFVLDLIGKDCSVGLDTTAVKIVSRDEGIAVAIDQTKITGPHLATF SNDEPAIRAELTGMRLEYLEAPKSADLDRLLALITPSKSKYDRDDDILLETLLRQRRQ GAVLRVNIDQFKSRVAHLEQLKYLPDLGEEVSKLSTVAKYLPQDDRPGFLSLVLVKKF DLKVDINKTIGNFQARATDIEVAQITLPALVALSMDTFTVHRNDTEEIICAATTVELR PAKDRSPAIMARMIGDEMEPVIKLKLWNLRLEYNVPTLMTLLGLKDNATTEDMATGIA ASVATLTDRKQISLAMQRGEAKEKGPKSQESKALTVDVAIRECILALNPLGLPSKILV VMTEGHVSAVLPEDNNTNVTAEWGKGSLVAIDDVANITAPTLANKSRRQSFDGGSSQV ADLCSRGFVCLGYVASAKAIVRVSTGKKPGEKNIDVELLDELFVLETCADSTQTLIAA LAALAPPSPPSKEVKYRTKVMPMQDLLASFTGDAFESNNPAGDFNFDDEFGSRLGSDI SDNSNLDFDSQYYARPANSLREDSLGESSQHGSLLFDQYNNPGQDTDDGVLLESFSSK QHVHINEELDFKEDYFGSGSMVEGTAHRWNSAKNMYDKSDTYKVRESPLKVCVRDVHV IWNLFDGYDWQATRDTITKTVQDIESRASDTRARRDRRSTAVELDFEEEETVIGDFLF NSIYIGVPGNRDPRELAAAINRELHDNATETESLAPTTVSASPSRQGGPPRVKGKRLR LNRSKHHKITFELKGVNADVIAFPPGSGETQSSIDIRVHDFDIYDHVPTSTWKKFATY MHDAGERESGSSQIHIELLNVRPVAELAASEIVLRATVLPLRLHVDQDALDFITRFFE FKDTSNTPSGAPSEPPFIQRAEVNDVQVKLDFKPKRVDYGGLRSGHTTEFMNFIVLDE ANMVLRHTILYGVSGFDRLGKMLNDVWMPEIKQNQLPGILAGLAPVRSLANFGGGVRD LIEIPVREYRKDGRLVRALGKGAAAFAKTSGTEIIKLGAKLAIGTQTVLEGAEGVLGV SPAPHHRTGGDWDNDGDSDSDEPRLVSLYADQPVGVVQGLRGAYKSLERDLVMARDAI IAVPGEVMESGSAVGAVRAVGRRAPTVILRPLVGGTKAVGKTLLGVGNWVDPGNLRRV DDYVPAGKERRL VE01_01242 MPMPDDEWEEVIQTVPSVDEPFIQKYLSGREALIAQEKKQRSDY AFRQSLSPIARDACAIVSRIRDEERDTIWTPQLDAAVACESETAAYPGMMFGLAKETM EKTRLWKIIRQMPKGALLHAHMDAMVDFDFLIDELMRTPGMCIFCETDLATPEKAENG MLRFCFKSAAPKETDIWKADYNSNDPVLVTRAAELHPGGSEGFIKYLKNRFTISREES LQHHHGVDHVWRRFQSIFGMLAGLTSYEPIFRAFLQRMMHLLNADGVKWVDLRLAFAF QFHKEGKETPEKGYVGMFKVLGEEVEKFKASEEGKGFWGLRMIWTGLRRLDLRWVIED MDNCIEVKLAYPHLICGYDLVGQEDMGRPLKEILPELFWFRKQCADEGVNIPFFFHAG ETLGDGNDTDQNIFDAILLGTRRIGHGFSLYKHPLLIDMVKEKKILIESCPISNEVLR LCTSIISHPLPALLARGVSCSLCNDDPAILGQDTAGSTHDFWQALQGWDNLGLAGLGS LAENSVRYAAFEDQTNAEWLEGIKQGTLGQSVRGERMREWALEWEKFCLWIVTEYGDD AASKA VE01_01243 MSERKVLQKYYPPDFDPSKITRSRGPKAPGPKVQTVRLMAPFSM KCIACGEYIYKGRKFNARKETTEEKYLSITIFRFYIRCTRCSAEITFKTDPKSLDYTC ERGAKRNFEPWRQGSLKEETEEERLDRLEAEEAEKDTMEELEAKTLDAKTEMAVADAL DEIRTRNARFERVGGGEASGVAAKPEVDESVAAQELADEEAAKRAFQARAEEAAGRIV EEDTAVPEKAAVPTFARTVKKKKDHGALLGIKRKPKLV VE01_01244 MQPLKELALDGPSKYWSEAPTSRRRKATLNGSPDRGEESNNGKR PTMASQRKRVERGRGGGNGHDGNAELSIWESCQDTMKSMLTLVARMEEGKKEILLMEA GFKEREILGDPPSVLELDSLSSLQREQVKLSEQILAAGKTGDTPLIERLKVLRGLQSH NEGASSEPSRRGGASRDKSSMDLDVASESPAPSPMVLDKPSRKNRDLGSTSRSSQPPK ESTTSKDAPAHTPSYEIDAKGKVTFNLRAEVAFRPRPQDPNVETEWIQGVVVKIIGEG KSRRYDVQDPEPDEVTNRPGAIHKSSAARMVPIPELGQSLPDYPQGKAVLARYPDTTT FYKAEVVAMNGKFVKLRFEGEEDEQVTMEVDRRFVLDHRGDNKG VE01_01245 MSDTPRQLNAAQMAARKIKAPTRRTKPSSNHGGDDGNQAPLFAP MPTSNFGAQSAGGMFGGGAPSANNSFNFAAPLQANFGGSVSFPPASTGSAMGNASDNE EAARRNKPFQMTGFGSGAASPAPQAGGGMFGQSAAAPSNPFSSAPQPQAPSSGFSFGA SSNQPTSNPFSFGQSAQAPPATGGFTFGQSTAQAAPVNNPFQTSQAPSSTPGLSFGST APTTQAPGGFSFTASQPAAQAANPFSFGNGAPAEKPATPSFTFGQTQPQGGSQAGSLP GSQTPSRAESPAFNFGAQAPAANKPAGGAFTFGQSQAQTATPAQTQAPNLFGQPTPGA AAPSNLFGQATPAAAAPVSNMFGKPAGQEAAAPLNPFAPTGANPFANIKIPDAATSPA PSSNFFGSQTSRSVSPEKDMSGTESAAEATPSLFGSTMKQPTIANDLFKVQAEQPAPS PAKSIFSNFGAATTPAKPLFGAQKTDGSSLFGSQTPKAAPPAMDLFGKPKVTETPKAV ASPFGKPADAIKIFSLPEQRNGSIDTIDNANEKPLANGNTALSEINNGAKSPSRNAST LTSKLGDINSSTRFNPPTSFQPQTKSPSRTNQAFQFAPTTTTTSTELAKLPDTPVMDI SDFQKVVLERAEEYDENDLFTKAHKTEIARIVAKYFPPTLSPRQQLEAYAAIQIKALK RRAEIDMENATQPAKKMRIKEQLADWEQDVKQWSVVQAKRVEKRKADSLTDDAGMNGE GSNKRQKPAEPKVQKAAAPMFGSPAKSNSEKAPLPNANKPKVPSSLKNVFTPKPAEAT PPKPTEPAPKPAEAAPAPTPKSKRKADVQLTKDDPTGDESTPKNGKAGSATSSLFRNI VGSTPTSTPEKPMFSLTKTTEDKPRANPFASLKLPPKAASTPNGASPFKAQPASATPT PTPAPAPKAAGGFVFKPTQPAAGGFKPTQPAAGGSTDFMSQFAKSAKKTADAAMEKAK DEDWDEDDETEAEWEKRYHKELAEKREALKQKPALAVPTFGSAASTPPAQSPAAKGPA GGNDGLNSSSRSGTSSPNSVLNEYVPGSGRIGSKLGNPFAHLSDASSGKGNDADDDSD GAPAPTPKAASSLFSRVSRGTPAATSGVSSIFGSVASSPAAAEPADKTWNPESPIKFG ASAGAKSNLFGSVSGAASPAPASLFGSPAAGAASPAPMFGKHAVTGSPAAAASPAPLF GKYAVTPAAPAFGTSASSEAKDKPTMGFSFGSGAATPTAPKSAAFSFLSNGGGLGPTP SLGASRATTPGVTTENESTADEAAASHDDNEPGKELPQSDLASGDEPGEETLVLTKVK ARMMTPEKKWGPSTVGTLKLLRNEKTSSVRYLIRLTNGSVGMNKAFVNSKPRLMGKSV AVIMMVDAPKEGESPMTTVSLATESEGAAKKLVEAIEKVVEGMESKA VE01_01246 MVSLSRSSSASPEPSETMQIFIKNLSGDIIPLTVPVDISLSHIK TLLSLRTSLPTTSLNLVHAGKHLPSSDAPLTAHGIKPESTLHLVVPMRGGMPPKKIRC THKECKEVAQRIVGDCGFCNGHFCGKHRLLEDHKCTGLEDVSCSPSDPGCGVAAAVAM ATSPSSDSSLLGELCGADSSQEPQWWLVGLDLEELGLTPF VE01_01247 MGGEEKKDTQLHGTTPVDEKVLRADHDRAAATEGITTSHNDSST DSSSDAIGLHIVPSKTHSRISRDGDKLSFHDPEKGVISAAVLPSPSQSTRALTLREDE NFYPEGGLQAWLVVFGSLCSLLAALGVMNTLGSFQAYISRNQLREYSEGEIGWIFSMY ACLAFGFGVIVGPVFDKHGARWLMLVGSAGVVTSLMLLSVCETYWHFMLVFGILGGAS TSLIFAPAIASIGHWFKARRGFATGIGATGGALGGIVYPLMLQYLIPQLGWAWATRCL GFLSIFLLSIGCVLVRGRLPPEHNSSAKPDLGIFRDGVFALTVAAVYLLEWALFVPLT YISSYALHAGFTEAFSYQCLIILNIGSVFGRWLPGFYADKIGAFNTMILTTILSVVMV LGVWLPAGTTMPGLIIFCLFFGFASGSNIGLTSVCIGKLCRTENLGRYFATCYTIVSI GCLTGIPIAGEIVKANHGEYWGLMTFTGVCYAGGLAAFIVVRIIKVGWDVKAVY VE01_01248 MSFGVGIGDIILLGTLTWKLYKNCKESSAEFNRISSEVASLHVV IKETEEYVSETEGLSPSRDARLTILIDGCKEVLTELESLLNNYESLGTQAQRSWDRVR WGLEELADVRSRIISNTSLLTAFNSSLANSSTARIEKRLNKFIEEVRAGLREGSVVTS SGVTESIDTEDVWLLLRRELEDVGISASVVEEHHMYISNWLKAAISNGMLEEMDNSSR RSAVAGSFDSGYAGSIGGTSIGEASIGGTSTAPSMPSITVANEEFAAELSRHPSRINP DSSTAYVRTDLRVRRASSVSSVLFKMFKKETAIIEAASDGDISKVAKLISAGANVNAR DRWGWSALSMCGYGGHVEICRLLLDHGADLNNMDVDGDTPESLATNRGHANVVIMLDE ERAARDLKVREADNDKPRSVEE VE01_01249 MSDQKEKPSTLASGDMSDPPHICIIGAGISGLRAADVLLQKGYK VTILEARDRIGGRICQSDKLGYTVDIGPNWIASCFLSTSLHATGDKHPIRDLAIETNT SLHHWNNKQNIFTSDGKLLPAEKSAELSTLLWDIIEEAFAYSAKNGKSIPETASLYDF IESTVKEKLPDRLEDQKLILSMSEMWGAYVGHPVTRQSLRFSWMEECCGGDETFIETT YEAILDRIAKLPREKADIRLGSRVMKVVTPTDRFSGAIKVATMKAEVVECDEIICTVP LGCLQEVKERGFYPRLPQKICDAMDNISIGRLEKVYITFPSAFWTVNQEDNFAGYTNW LSPKYAPDTNPECWPQEIWNLAAFSPENRRPTLLFYLYGDCSRHIVKLTFDRSTEERH AALDAFFRPYYSLLPNFSADDENCKPKAILSTEWQMDDLAGYGSYCNFQVGIKDADED VKTIRHGVPERRLWFAGEHTAPFEELGTAAGAYMSGEAVALRIFDEYLAEKERY VE01_01250 MSYPVSDAFMDAQIFEDLQSSIDADAEVREQIKTILQKLERQGR SVHSILSRAHSTPPAQLQPVIEAAEAAIRSEVESVGELSKVASKFPYYKYNAMWTKDI QNVIFAILLCGWLGGMATKSKPAEAGRLLTIDEVGDVLGVPVNLKDEDSFHITIEEYL HSLISLIEELARLATNSVTLGDFARPLAISKFVKDLFAGFQLLNLKNDSLRRRSDSIK YQVKKIEDVVYDLSLRGLVPKARDSPKNS VE01_01251 MPSTTPPTAVAKPPPSPAAPATPAPTSTSTSPPPTTAIYKLNTP LSHVYTHVHTPLLLSTVFFSLPRLVADPITSLTYGAAGLALIQSAYCAICLQPALGGT ATKKKKKKPKAKTGPPGIQAKKEEENEWFGPALDIVYRLAFSLILTILSAAPVFLATI LLGAPLTTHLPHTTLLTLHIAFLALFPLFYARPLSSRHWLEILSLTAPLDEVFGSAAG TLIGSWIGAIPIPLDWDRPWQAWPITVAVGAYVGWGVGRQAGVLVAGRWRGKWD VE01_01252 MPREIITVQAGQCGNSIGSQFWQQLCQEHGISQDGNLEDFATEG GDRKDVFFYQSDDTRYIPRAILIDLEPRVIAGIQSGPYKNIYNPENFFVGKDGVGAAN NWGDGYQTGESVHEDIMEMIDREADGSDSLEGFMMLHSIAGGTGSGLGSFLLERLNDR FPKKIIQTYSVFPDTTNAGDVVVHPYNSLLAMRRLTQNADSVVVLDNGALSRIAADRL HVQEPSFQQTNQLVSTVMSASTTPLRYPGYMHNDLVSIIASLIPTPRCHFLMTSYTPF TGTSLEAAKTVRKTTVLDVMRRLLQPKNRMVSTNPSKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYVEMQHRVSGLMLANHTSIATLFKRIV RQYDGMRKRNAFMEGYKKTAPFSENLDEFDESREVVTDLIAEYEAAEDADYMGEEAPQ NEAGDKRV VE01_01253 MDDRTPLLGGPSSAPHPSFTLARKNADGQRRPQAIGHRGYKAAF PENSMAAFEGAVKVGADAIETDVHLTKDGVVVLSHDKTLKRCFGLDKKIIDCEWSYIK TLRTVAEPKQSMPRLLDLLDYVASPGLEHIWVLLDLKLDNDADDLMRLISETIAQAKP STPWKERIVLGCWATKYLPLCSKYLPEFPITHIGFSISYARQFLKVPNVSFNMLQKIM VGPWGEQFLADCKALNRPVFLWTVNEEKWMKWSISKGVDGVITDDPKKYLEVADGYDE KKPLDRVSLRDYHSLAWVNLLVSVFGFIFRLRFGYSIKPEEMRIHHEEVAVGKVSS VE01_01254 MSAESVSQNGLKLESAGAPDKSNAPPKQQNYKGFIAGVFSGITK LAVGHPFDTIKVRLQTSKSSQFNGPLQCLMQTIRNEGVAGLYKGATPPLIGWMFMDSV MLGSLTFYRKFLHQNVFTPPLAATSSSFAALPIGHEQKPHKLPVIGHAMAGVMAGSTV SFIAAPVEHIKARLQVQYSSNKAERLYKGPVDAIQKIYRFHGVPGLYHGLTATLLFRS FFFCWWGSYDIFTRKLTENTSLSTPAINFWAGGLSAQVFWCTSYPSDVVKQRVMTDPL GGGLNDGVRKFPNWRSAAVAVYRENGWRGYWRGFLPCFLRAFPANAMALVAFEGVMRA LN VE01_01255 MAPNTKSAKGKQAKITKKFIINASQPASDKIFDVSAFEKFLQDK IKVDGRTGNLGDVIQISQQGEGKIEVIAHQEFSGRYLKYLTKKFLKKQQLRDWLRVVS TSKGVYELRFFNVVNDEAEDDEE VE01_01256 MVRKRIAKPAIPPPVAPSPNQDPQIEALATFSDTDSPLREPIQA TGPPATMPTFVHQGLPQDVYNVRSKEERGESRDLVYRFQNTQQDMKTFLRELQEEEER LRKRIDSKKAPVPVSKFEQAEGLERNHDKFHETQVLNDLKKRIGALTGHISNDELSKL HAKLRSIMEQMKVTPLNTKVFLTHPDGSPNKNAFEEEAAVQKMLQEIRDLQANVLLWA KTVTKAKEPAKDAREPAEEAKEPVREKSDEVEWDTEDAIPKTEPLRIRSGQSYESILM PPMDTQGPNLTANTNNSSPHTRDVTTDAISVSEPDVKSELEVKSERQQLAIQDFGTTG RENMVDAVPVPLPAANPVMYSDVSHSGKNIALWVAFWSGDKSTWDPLHEYLIGFPKSA RDGVWEEQMSWLRKGTSDPALPDVWVPKPLDGAARSTSKQIDKLDLSLRKEMVNKQNM KAAVNSEQLSKKLDVLQKPLQPLGNVDIPRQKAVRNGPAQKPNVRAVIPTTQGPRGFA RDFYDPTRDERQLAQHDTAQREDQLQKRSGHLLELGSSLLRREMQQHETDVALNRREK EQRELAELLQKREQNLKNSELEWEKHQNQRQAAEGLLKQREEHLHKREKQRQEIDGLL QKLENKLQERELQLAEREHSVQTREVEWEKYQEQRQEIERLLQQREEQLQRREDQLQT RESLLQTREDQSLKREDNQLTRETLLQRHEGDMEARITITKQEATELQEKLEQLTNAR EELKRDTAKQNYDIGTRIQLVGKREVAVASREKCLEQLRMSLHNQYKVLMDEPEKAFA DRGGSSTGDWPSVLELAVQVKMYVEAKVLHWNQNETQLADLNKMAVEIEEEAKRVIFG GREIKLSDVKKVTAVLTANDERDAVVRIEAKNKFTGIQEWNGWHGNTSAATSTKDDES TAWSMFKTEEPLPSTLVDDCSGKWGCACTSCRERDNIWNIHMQSSDKNGAGKRCKCNG GLGCDGRQGCEVYGDENAKAAPPHCFGLGTGEWGCTCGLCRVEAEKRAAPSSAKNTPW NWDTGDW VE01_01257 MAFTASDICKIILAIFLPPLGVFLERGCGADLLINILLTILGYI PGIIHALYIILKY VE01_01258 MGISKRSRDNDDQLHPNDSNPNHKKMKTKTSILQNNRDIPQTTT QPETQKSLNWTSLGERVKLRHGHTSDASVRRDVDQFSDFDQNGTLVEQVDKETKVSYS TVDEENENGATTTGEAQILGDEALTTSDDEGSDTTIDARTDGSNEDLVATAEALINIG ERHAVPSASPERAAEALLLFAAHDIRAASEEVVATAGSYAGASGGCTETGEQRTTNEP QTTLEVQLEVQVAAETLARLHLTRPAEAHDVITADDEASAAPSTWKPRVARISAIIAD EPGPSKPFGDSTQVASKAYSPSPLSASTTPSASEPGPTPAETAETARLARLAHIDTLM IPRCPVQRYLLGLQHTLNAKQTIYKWEGCWYGREGWRREILEWMQLEREIRGMGMGEW ENVCDFEDDWEDVEDDEDAEG VE01_01259 MTVPRQQDAPPNPSAISTAHEPPTTPSLPKDYKKVVKSLIRRRD ISLEVKIDLWKRFVEYEACVDEESHNNDEEEPVFTNTNATTVGEIGPDVEMPVPWMED MPNFGSGNGDLWYHGGDGDSGYEGNGSHSVNGVEQVEGDEAMDDEIATTISKGNNQEK DDGDNSNENGNGNRSLDATAPISGAENIAVVTSNGNQETNTDVANTTQHPDPHPNLSN PPDLPNNPTTTFTQVRSDIRSYFATLAERERLLASREKWLANRAEALWRNAQVLAGIL GRGVDRKERKRVMREFGGDDGGEEEEEERGRKRTRRV VE01_01260 MSEITHPTIKDGWFREISDMWPGQAMTLKVKNVLHHEKSKYQDV LIFESTDYGTVLVLDNVIQCTERDEFAYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVIKHDCVEEAVLCDIDEAVIRLSKKYLPGMAISYQHPKVKVHVGDGFKFLDDYKNEF DVIITDSSDPEGPAEMLFQKPYFQLLFGALKEGGVISTQAENQWLHLPLITKLKKDCK EVFPTVEYAYTTIPTYPSGQIGFMICSKDATRDMKTPLRSFTAEQEDSQLRYYNADIH KASFILPTFARKALQ VE01_01261 MASNIASADPPMALGRRQELQQLRIPFRVLPHEILFTPRLGNIM SKQQLCNYVDGLLDELAHQYPTTVSPQPGVWKLVRDNVDVDLDKWDPSSLELDKALFI TSIH VE01_01262 MNFQILLAALPLLASLCNGQSSFASHGYDQLCPAKNGQEVEIEP GLFVTYHCDQASPTSVRAVGHYARNPDDCILGCEQSDGCVGSMWSARPGSACSLVEHS SQPELRSRTRVVYMSYRRAEESEAPSCPEAPSCPEAPECPEAPECPEAPECPEAPECP EADCGTQIEACENNSQLLQNSLNTCQAGEDAWQKKQNGLNQCPTKDNKTVTHGNWTYK IYCNKRTRGFTQLRLTADGFDDCLDACSADSRCKAVHYIVNWETPCKLLASVNRNLEP QLSETVQIAAVPTRPK VE01_01263 MKPSKVESSDPRLRNVHLRSIYREAEEYDVGPLLRKCITLSSLH NLLLGQDPFIPSWIAIDGDTKSPEFWIETLTEVSVRSNEYICAGAAPFHDLLEGRSQA LCTSHALAHLRKNLNLDSQVNRTPNANGKRPRSDTNGPPLRSPQRTGSSPKSPEKPKL TLKKWLEICDGSRTSWKNIHAEWNQMIFVSKSAKIVSWDKLKGDWETQGLTLSLGYFT DITIKLARKCSSLIFELSKGRSSSNNTPPPSYIPLVRGLDDLWPAAKNTEFERCIQEV VHGNFRPQATAQLLGYILELARIIKGSTITVPRKSQQAELKKAEALCRRQARQLKEEQ MEKDIKKREELCRQAEENFARDTLALDDERASVDMVSARLREAQAMCDERESALAAKE AFCADRENALAAKEASCAKRESASTVKEVSWAARNGTLAVKEASYEERHGTLAANEAS YAERQRVLATKETSCTERRSRIEKAELGLRKKLETFEANQKLLLEGQRNANNGDDSHA RDKAAMTKRETANMRREKNLTEREQRLDAQEKSLMSHRAWISQKGNAATDREKVCAAK EAECRAREEALCEGQATVASERQELETQTSALRHNQALYQKAKEELKAREEVLCKGQA TVGSERQELETQTSALRDDEVLFQKAKEELKAREKTQHKERAAVVTERREVEVQKWAL REDEVVYQKAKGELKAREEAQHQERAAIASERKRVDSQRSALKQDLTLHREGKKTMKA NSEALAQERAAILCEQKNMAAAQKDFENKKSVLRAEQVAMSHNKQEVATAMKALESEK LSLGADLAALSREKEAAAAKKKALEIERLALGAEKVLYEKDKNEWKEKVRINLQQVQE MERSLSTW VE01_01264 MPGILPMKVIKVGTTAQSRIAQACDRCRSKKIRCDGIRPCCSQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRVLEGETRELKDLLDEKDEKIDMLSRMH NNRRISNSPLSSSPVVVEKPADSPQNKDDTFRVQAVPLQLESDVSDSTFMGPSTGRTF VDAFKRKLRDSGKSCSGYNLGTFLAPREDFVPRRSSPNGPNIPPRMFSDRCINIFWQE HAPLFPVLHKPTFLRLYEEYIADPEQMVDDQKLAELHLVFSIAGFSSDLPDKDHIARC EEQWRRSLNALLMDSTLGTLQCLVLACLYCSQTGQYKSLQTYKAIAVALAQRLGLHQS QKRFSYGALTIETRKKVFWSLYTVDCFSAASMGLPQLLQDSDIETEYPLPIDDEYVEE RGYLPTLPGESSKISSALALFCASRILSKVLQSLYPANTSKDLSLNTMTALENELTEW SEQLPPNLKLTFVQDKPSTDVTGNRSALLSLAYYHIRGLIHRPAVGSTLGDKASPSLI SVADSSKHAIQIIQLLEERSMSFSFCVNKNEMLTLCGLSLLYQGLDLKREGKLLKDGQ RLIGAVENYLDAFKAPGAADFRKLVSSLAPTETSKTFDYGGQNHATAQTHSQAGRQVH LPVTSRYTNPSSIDTELLQQQERMRRATLNSIPMLGLGNQSGSRHKKPDATSRSKSYH SSAPRQSQPPPTPSLISTSTKPRSSSNSEAGPNLNYLALNSAPSSDGLRRSRSVVQQR NNEIQPQQYKTPNLAPSEWEALLGSLDSGSSNIFDAVYGGSVPGMPVAVSGAPNPGGQ HTGSLSSSGYGDWDLSPDTWDMTALSMGDCDASAATQSVLSLSEESLSSGDDLDFGVP GALGEYKQSLLQGGLNGDGFYVDGLDGNFGLS VE01_01265 MRPPALPVSSLRTWAKFNGIEFEDISVEKNKEYGGYGIVSTTTI DSAPDQEGNLTVLNVPKDLILSAETIAEHAKVDKHFGQILEAVGGSTLRGDVMLFLLM QVTRASSDPSIKFSVSGPWTEYVKMLPEYISLPTAWHDDQINLLNGTSLEKAVAAKVS ALVREFETLRENTTEIPWCHNAWWEKEHLEFKDWILIDSWYRSRSLELPLSGEAMVPF LDMANHSANANSHYQQGIDDEVLLQVKPGQHIEKGDELTIDYGSAKSAAEMLFSYGFI DDLSSVHSLVLHISPSPDDPFGKAKVKIHGKLPTLHISATDDSLELTCPFIYLMCVNE DDGLDFKVLLETDGTYGQMRAFWKSRDITDSIGSFKDIVTADPLADVFLLRAKVLLRY IVDEQLERLSESEHTANELDPNQESLSAGDKGIPEAASKLRVIEAGLLSKSLELLEAE INTLSSSPIVRGYLGSSEAQDAPGAGDNDESEDFS VE01_01266 MPRDPLIGLVGKPSSGKSTTLNSLTDATSKVGNFPFTTIDPNRA TGYLQIDCACARYSVEDRCKPNYGSCKDGRRSVPIELLDVAGLVPGAHEGKGLGNKFL DNLRLADALIHVVDVSGTTDEEGKATRGYDPSQDIAWLRSEIVQWVLGNLMVKWGSIK RRHIAVKATAVETLQGQFSGYGSNAQVVARTLDRMGLKEPLEHWSDETVAKVVNAFVD EKFPTIIALNKIDHADSDKNIAKIAKMQDPNSIVLCSAISEVFLRKLAKQGFIKYTEG SEFVDTRADLIADGDPEGGGLKEMDEKLTSRIENLKDLVLYRFGSTGVVQVLSKAAEL LGLVPVFPVRNVSTFSSGQAGSNAVFRDCVLVKKNTTVAEVARKVMGDAPLAYVEGAG GIRVSPDDTVSVGKHDVLSFKFGRE VE01_01267 MVQIRLVSVFAATVLAWVTSAHITLEDIDSLRSITRDCNEKIRS LKSSHNGNPAQAVILDNESSKDEIEDVVAGSLTYLDGELLSLLNIPVKDIAIENPNCP EVVKHCHVFLQATTRLLQAIDEKQQEFGWDTESSIYNALGWMQSLWASRSANEQHLSF IEQQMRLYKSSSCFNNVNDVLRQDVEIVEYLNDLMDTF VE01_01268 MHEIPSLITTVTLAPSPNDCSPSMTDVPHASSTQRPQTFLSRPH LQTHGISGTGFEEWEIRIACLEFYVLLVSMTVTVLFLAWLALVGLDYLRPQYRGQARL SEKGLRYLKIKAERLKDKDEDEDTWVELEECSNTTGRVSVTGQTEDEDTEKGESTGEQ LGRNEPRRRN VE01_01269 MPETSIPPPPVVTRPNRPVSETLLNEKWDRCLSSLLIRSSLGLS FGVVFSVLIFKRRAWPAFVGLGFGAGRAYEECNSSFIRAGKGQSRS VE01_01270 MTTDDTSDSRTSSVGRKRTLQQSVGNVAEVAAQSPTSDSDGGRP SGRANKRAKPNGNGGVENDATPAVTDNSAGAAEVSVEKPKDATAVAMSWNKGVQATVR TSLGGGAKAAKKAAAANKPPAAEKSQEEPPVAGDTPASGPEVSHDKTKPAKMTRAQRR ALSALDVATAKPAKPADSNVPEISNTSSLTLPSPTERRVREKPAGYITRDLRTNFVYE LLIRNNNVVGKMDLDSMVAACDAYMNKHYSKIEKKYGAVQLKDESFMGFCRNRLKEAK AGTMSTSKPVSTASSVNGRSLGDISSTDSAAALAVHGQSSAVEHSTIPNDGNQKERPA AVVSLIPEDAEDDDEYSPELEPMVLPSSSAARETDQILSEAEAALINKYYPGLPRGTI RCLTCGNNGHLAASCPQLKCSTCGVHGVHFTNSCPKTIRCSKCHQRGHQKPTCPEKLA LTESEGEACDTCGSGHHTEDSCHFLWRSFKPDLGSVRKVRSLVADCYNCGADGHYGPE CALSRSASLTGGYTWSMANRDRYVDTKMSMPAISNGRDYLPAKPQNGFSIKGSAKSNP VTLDDSDEEDFIRAKIAPPQSKGHIRFTGGRQPLEERYQPQPPPPSSYREPPLSNSYR GNDDHFRFGEGRPYSPPPSYPDYNQGRYDDQYHNFDHDNDYRSNGQPMLPFRGDNDGP RAGPNPSKRSRKGAGNGPLRHDNVAPPQSLAGKKSGRGGRGKGGARGGGGRGGANRGK K VE01_01271 MSEEKTGRRRRSSSLLYQEPPESIEHMSDQAALPNLNANWVNAK GAWTIHIVIIACLKIFYDIIPGVSQETSWTLTNISYMFGSYLMFHWVRGVPFEFNSGA YDNLNMWEQIDNGDQYTPAKKFLLSVPIVLFLLSTHYTHYDFTYFTINFLAVLAVVVP KLPSSHRMRVGLFSGAPEDR VE01_01272 MRLNLAFAAIGLITCANAQGFWDKGGKEPSSSEKRSSSSSHKTS ESSSASMSSHTSKSSASMSSHTSKSSASVSSQTSSSSVSVSSHSASSSASSSVQSVSS TATTTPLETVSSTITIPSGTGSSISISIGTASSISSVAQNETSTGTGTATGTPTGTLT GTATGTATGTITGTITISVSQETPSATNTPFFSQKSFEAGNTTSFSATGTQVSSNPAI TNLPFNTSTPANATTITQTSLNTTIVTLSSVVPTSLTHGPFFNTTEAPTGPSVTETSA GPGQTTEIPGSSASVPGSSAEVPGSSASAPGSSAEVPGSSASAPGSQGPSSAATPVSS AAPQQSECNCLSGGGGGTSGQPCNCPTSTGGGGAQTTSPPSPSGGGNQTTPSGGGGGQ ECNCPSGGGGGGGQPCNCPSSSGGGGGAETTSHPTSGGGGNQTTPTSGGGGGGSSACP TCGGGGGSQTAPPSSAQTTSHPTSGGGGNQTTPTSGGGGGGSSACPTCSSGGGGGGGN QTTPTGGGGGGGGSSACPSCSAGGGGGGSQTAPPSGGETTPPNHGGGGGGNQTTPGGG GSSGGGSSGGGQGCPSCPSGGGGSSGGGSSGGGSSGGGGGGGGSSACPTCASSGGGSA SPTGGGGGAPSGGGGTTPGGGGGTTPGGGGGGSTPGGGGGGSTPGGGGGGSTPGGGGG GSTPGGGGGGGNPEKGTTKSAGTKLSSSVAAVLVGAVAGLVLM VE01_01273 MDSMVGTTGGHFSPHEGKPITCKAAVAWEAGQPLTIEDIEVAAP KANEVRIEIYYTGVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESVGSGVTSVKPGDH VVALYTPECKECKFCKSGKTNLCGKIRATQGKGVMPDGTSRFTCKGKELLHFMGTSTF SQYTVVADISVVAITPSAPMDRTCLLGCGITTGYGAAVETAKVQPGDSVAIFGAGCVG LSVIQGALKQGAGKIIVVDVNGSKKEWAEKFGATDFINPNDLGKTTIQDKLVEMTDGG CDFTFDCTGNVHVMRAALESCHKGWGTSIIIGVAAAGQEIATRPFQLVTGRVWKGCAF GGIKGRTQLPGLVDDYMTGKLKVDEFITHRKTLEDINLAFDAMKGGDCIRCVVNMREV VE01_01274 MRTSRISQDTTRALNATTSAAHPPRSTRLTRSALAQFSLNAGSA TGTSIGGGGDIEDAIPAGGEGKRKRAGVATAAGSGGVKKEDDAGNKAAWRGKQEDASD SELSSAPSSRAVTPPVRNARRQPVKRIKRSPPPTAGSAVGPENWERIYDLVMKMRTEG GVAADAAVDTMGCHTLAQPDASPRDQRFQTLVSLMMSSQTKDTTNYVVMQKLYNELPS ATPGGSPGLNLENILAVPAERLNELIWAVGFHNNKTRYIKGAAEILRDEHGGDIPDTA EGLMALPGVGPKMAYLCLSAAWGRVEGIGVDVHVHRITNLWGWHGRGGTKGPEETRVR LEGWVPREKWAEINWLLVGFGQTICMSERGRRRCGECEVGLEGLCAAADGVKVRVGRE RRKREERERLNVEFEGEEEGEEEEGKKEGKKERMEEEKKGGKKEGKKEGKKEGKKEGK KEGKVEKKEVNGIKEEVAKEEVLETVEKKEEETETNGIKEEVINGVQIKGQDEEAEKK VIKEESRD VE01_01275 MKLLARLSLLAIIPSSLAVPTNDNQIASRDVVLNALPMLKPQRS LSRDLVNLDGLWKFSVATSPNSTAQPWTGPLVTDLECPVPASYNDIFVDRAIHDHVGW VYYQRDVIVPKGWSGEQYFVRAESATHEGQIYVNDRLVAHHVGGYTPFEADVTDLVTA GQQFRLTIAVNNILTFETLPPGKVLTSALTGKRTQKYYHDFYNYAGLARSVWLYSVPK QHIQDITVVTDYKGNTGMINYNITVAKGTTGKVQIAVIDESGKTVAEGSGATGTINIP SVKLWQPGAAYLYNFRASIVDSSKKTLDTYNLATGIRTIVVKNTQFLINGKPFYFTGF GKHEDTNVRGKGHDQAYMVHDFQLLNWIGANSFRTSHYPYTEEMMEYADRRGIVVIDE TPAVGLNFGLGTPGSVTFGPDLINNKTQAAHAQAIRELIHRDKNHASVVMWSIANEPA SDDKGARAYFEPLAALARSLDSSRPITFANVGFATYLTDKISDLFDVLCLNRYYGWYT ETGDLAEAEVVLTTELQGWVKKFDKPIVMTEYGADTVSGLHTVLGLPWSEEYQVAMLG MYHKVFDSIKAMAGEHVWNFADFQTTIGTGRVDGNKKGVFTRDRRPKAAAHALKERWT NLKKK VE01_01276 MVLGFFHHLGSFLLLAACVLLIITTITAPVIKNLAILRVDLQNG DTVNFGTFGYCILSDAGNTCTNARIGYSPVPLLDTLGAGDYGSASKNTADALTRVMVL HPVACGLAFLAFLASLGASIFGSLCAALISSVAWLITLVVLITDFVAWGIVKKKVNDD GNSHASFEVGIWTLLAAFVVLFLGTVLVLLTCCSGRREKKRGGVRKAEPGFVDGPGRP ARRRWYQRR VE01_01277 MCFGGGGGGAVDQEGLAKNREIEKTIKADQKKAAREVKLLLLGA GESGKSTVLKQMRLIYSQGFSKSEKEEWRAIIFNNILTAFRVIIDAMEELEIPFEDQF NSKYITLILVDHDLGPKDPLPTEYKTPFKGLWSDAGVQKAIEKGNEYALHDNLNYFFE DVDRLFARDYVPTDQDVLRSRLRTTGITETVFDLGNLTYRMFDVGGQRSERKKWIHCF ENVNCLLFLVAISGYDQCLVEDKDGNQMQEALMLWESIANSQWFTRSALILFLNKMDL FKSKIKDNPITKHGFPDFVGDASDSKATSKYFLDKFKALNRNPQKEVYGHFTNATDTN LLKITMDSVQDMIIQRNLNQLVL VE01_01278 MSTVLKQPVKLALVQLASGSDKAVNLANARTQVLAAASKGAKII VLPECFNSPYGTQHFPSYAETLLPSPPTAEQSPSFHALSAMAKEANAYLIGGSIPEAD AENTSATAGAPNKYYNTSLVFSPSGALLDTHRKVHLFDIDIPGKITFKESEVLTAGSQ TTIIDFPEYGRVGVAICYDVRFPELAMVAARKGAFALIYPGAFNLTTGALHWELLGRA RAVDNQVFVGLCSPARDMTAGYHAWGHSMIIDPWAKVLGEAGEGQEIVIAELNPEPME EVRRNIPVSTQRRWDVYPDVSKGSA VE01_01279 MSDTPSYQGKALGTRLLRGQGSRRLPTRRHRGADGVESFYSSSP RRPAKIGNATTTEAAADNTAANDAANSHPVGDLSQHDRTIEPPNLEITDKSLGNSLSR KSEELADSNPSLAGHSHQREESDFHASKDVERETSSVIVARNLDQQSDEKFSYQPEVS NTVDDANSEGPNNLDGSETATIGADSVPSRRQYERPWSRTRRETGSHRFGYISPSIVE RISAHDEPVSPTNLNVLARLGLASSVTQPSSAPMAEVEAGRTSSLPILSEPAEPSPFS SYFSQEAAARRNRQTLRRKPPMHRGDLSARPAPAVLPHITMSGHSLSREPDHIARVAR DITMPAVAHVRNSTTDRELVFERDVVAPYPGGAMLNTNHLQDCQLHPVATSYDGIASM HSYNTETPVEPSTLESIYEDASDEPISTTPREEKPADKGYQKITASSFIESARKAGEY LRGSPRPLEPSVASSDPQSSTAVKSTGRGPEVRRSRKQHADKSQASSLSEAVATKAGS LEQPNVSKRGWPPYRKSLPVKSASSSIYSQPESHSLMSDIRAAFIRGEDDTHRYERLG YRHEDSNSTFEAIPSKQYMEDADQKATAQLAQPPESKPPLKPANIKQQTSQYTSIFAR RSSRRAGAKERTKNGSQQRARRRKVPSKSSQLQRFSRRFRPGRSKNSSKNTVSAEELL ALKEATSEEYETDSTATDKQLPAISEKTTRGPKNWFEGILLFHKKGTDQSTSLFSNRK SDVRLNREVAMSGFIPYDKHASHSGSIRKRASEDTERLIMMIENLEKRLDEALYPAHK VHYAEDVPQQQITGRVEAHTRRAPIRHTSRYIHPDSSEGYGGTKASDIRSRFEKVSHG SDTNGAMRDYSLPVASNLRSNNVDNMSMRMSGALPVTSAVPFPTEVATMIASPEPERG IISGLLNDKVALTSAGDAGSEASSGVPKKRIDADHYTPSGVRTLRKSPYKATFAANMR KRTTFVPRSRERELSKERILRFVKEHNVPPIQPRRSSLVMRVSRHNDSQGSDGFPASQ YAARALRVHTSMDGAHEADDEMESEIEYITPRRKRNRAIVRRHRVSYEEAHHIHFLHG ERENSGQIFEWPPHITPTRVRGDQGSHDSTDTQRVYVTARPRQYGGAGDISPADERLI TLAAGFSVAFIYLMLCVYIVFGPDNRYALSI VE01_01280 MSFFKKITKDFENLMGDDKKPKDEPQADQQRAADNTGYAPPQPQ YGGPQGQYGAPQGQYGGPQGQYGAPQGQYSAPQGQYGAPQDHQYGAPPPGPPPGKYTA PPHSQSAPIPPTPSLPQGWSALWDPAGSRWAYLEVSNSKVVWTVPTSPSYGGHDDGTR GLDNNHGGYDAGYGGQGGYGGQPGYGGQGGYDQSGYAGQGEYKDQEKKKEKDDKKMMM MGAAGGVAAGAIGGALLMNALDDDDEHHAAPMQGELPKETADGSSVSSSDREEVEEAR AVYEEAYEDTYGSD VE01_01281 MAPPAATARRSSPLRRREKSPVKQTGESAYPYEYPASRARPSAE SAYPYEHPSSRARPSWRTEPEEDDGFEEEEEEDLYGLEPSYTERSSRQASYMQRPPRQ EPLDRRSLEPEGSIDLDDDPGEDIDLDTVSRLAYAFSRRSGTQRDPSMPSLRDIGRRG RASCSLLVQSKGKGKEKYEGIAQVAPLESISESIATSVPRSIPVAFDYRARRADSPAP IPAPMGDEIEADHPFSDTYSAFYLTPLPPLTTTSYTPDTLPVPKRRTSHYINRPTIIP PHTTAIIIAESANTSPTTTTATNTTTTTTNPATTSTSTSATTAQTHTTTQVPPAALTP DPPEDDVDDEGFSEPTVIERLEALDREREQAFLAGLSRACGRVASRRGMGDLGMDGMG GGREGGGVVRPRVRKRISKRKGGRRDP VE01_01282 MHHSSPLALLLALLFSILLPPTPVASQAAVVGGPAPAAPTQYPV VQTVPKLQVVAGVTQIVQVPFTQTFVQGALETWAWGAVKKGAVGMGSIQGEVGKVKGG VE01_01283 MRQIYSPDSVDPSTAKDPLWEYSYPGGGTEPHANPQLSPSASSS ALLNIPDLGSESEDMFLGNSFPLLDFPLAGDFHPQFGLDELSYSGMDFTSTFNPPAAD WNATREQEPPLMTSMTSPQSPLTESHAMESSRAGDRTPSQKSTDETLTVHLLSQHFSR QLTGRFSFKSADWTFYNFFFHRFTTSHPWVLSAILSWTSASIFYSGRWKDLVIANSHY EQCLSQIIKIYGRGFDDVEFMWPSAYDPVTDQHFSAASTEDIDALFVSCFFLALFDQM AARPNHIRKIFRFISHVLQVPNVRNNMGGVRSRVSTWFCTLESKASAFQPGDGAILSA LGGQEGLISALRASYDTLQKVYSVTYPDEERRADGLQLPLLQRMLELTILLSDITQEK SRLGGGSAGISKIRASLNAHAQALDAVSENESLAGRVRSTWLVLLALYNTAEISFSRN LHASEPRHSADAFAVRIIQVAQKLDVLRGEASRSTPPPTKIWPLPLVMAAIEVRDPIY REWALHKLEAYEGTAGQQYTFAKMFVERMWEREEGAGRRVDCAGVIADINDGMVL VE01_01284 MSLQQVPEKRLSGTVFEVDQKMDSSNGSDSHVETAGGTTITRKQ TLRKIDTYLLPLMFITYGLQALDKALLGYAAAYDLRKDTHLHGSQYSWVASVFYFGYL VAEYPLASLLHKFTAAQFLGVTVFIWGIVVLCMNFATNFSGLIALRFFLGALESAVAP AFVVLTAQWYTRSEQPLRQNIWFAATPTFGIIGGLVGYAVGHIENSAVTPWRLLFIIF GCITILWGIVLLFWFPDSPGKARFFTEEEKLHAANDIARQGTGVERSWKWSQVKEAFL DVKTWIFFLLGILNTIPAGGLSNFGSLLIKGFGFSAINTQLLTIPSHTVQVIFLIGAG IFANKVPNMRLYIMSYSQLPSIVGVVLLHTLASDNRWGRTVGVWLNYTHSASLAVSFS VIGGNVAGFAKKTTVTVLLFVGYCVGNIAAPQFFIDEEAKEGYPTAIIAMLVCYCGTF LMPLGLRWMYVRENKRRDALGSQPEEDYGDDDDLTDFQRKEFRYVL VE01_01285 MAPSVIGSLKRNADELNGHSPIKKDSSINRAFSIPKANESVSLP VFNSLNIEALPVDTVSKFFITIAPGVLSTIDVPVYAYRSSKPGPVVGITCAIHGNEVN GIPVIQRLFSSIERGTTHKHRSTHETLEDGQESLRVDCGTIIGIPVVNVPGFVASMRC FDEESKQDLNRLMPGKIDGAAPQQYAYRIFHNIVTKFDYLMDLHTASLGRRNSLYVRA DMNDPVIAKLATLMKPQVLVHVATKGSIEYRRVAGYQEPTADWICSLLAVLNIGAACV PLDSRQPVARIALIGNASSFQKPFIQDTYAGICRVISDIGLFSASGIPDGTLDQATSL GVKESVVCSRSYWIFTKTGGILRVFKDIAELVQPGELIAQVESIFGDVIEEVHAPTDY LTIVVGLEANPIARGGNRIVHLGVVGSNFGEVANDGHL VE01_01286 MSRAAAAAANAAAHTAAAGASTSTRVLHIRAHPTPVTMAARQRV LAALESFGEVEHFRSLKHHPTTPTTSAFHAILPPTASRALLAASPITIPLTQPASESQ SPGPHRENLTLTIRPSPHLPPLSIRSSPIYGPYTPTHPRRSAITADLLGRIPQGVAQK GLCDWESDAPRERRAWGVGLGSGGVPWRIRRREKEGGGR VE01_01287 MKLPALLYLGFLAASIHATTPLLNCLSSAHVPFITPTSPTWPIF ASPFNTRVSYTPTAIVIPVSTSQVAAAVACGAAHKVKVTPKAGGHSYASLSLGGEDGH LVIELDRLAGVSLNRETGIATIGPGARLGDVATRIYNQGKRAFSHGTCPGVGVGGHVL HGGYGMSSRTHGLALDWVDSISVVLANASVVDCSAHLHPSLFFAMLGAGSSFGVATEF RFRTYEAPGVVTWFSAALPWDGETAVEGLEELELFTRYRMSPELNMRLMASANGSSLD GVFYGDRAGLDDALGPLLERVNGSIATAGTTDWIGGLLHFSESDTGLVVPEPYNKHDT FYAKSLTLTRLNGTSAENFVDYWFNVAFNLDRIWWFQLDLHGGAHAGVWEHDNGVTSY AHRDKLYIIQFYDRVIGEPYPANGFQFLDGWVDTTTQPLEWGEWGMYVNYVDARLGRW EAEELFWGKNLPRLRRIKAQVDPGELFFNPISIEPARGR VE01_01288 MTTLIRSRNPLETLSMNTQPQRRRSKRLATYDESDGDFNFTRGS KRTKTAAPLEAVAETEPAPSRSRRQEKTEDVAPKDLPRRPSKRKMSFSATPARPDPKV PSPKKDARDTTRRKKEVPATQPEPRRGTRRSTRSSLENARRNEAEPDYDEDAIEMVGG VSTVSPPPPEPESQSITRTPILSTSHATTIALPFSDTPVLNRNKALRQTTSRRSSLGL RGRRASSLIDSGHTATPHAAVPTDEFYKHIESSLPEPRRMRQLLTWCGERALGERPGM GEGSAAVLAARHIQEQVLKEFSERSELSDWFARAPGEKKVVVVPNPLNVGNAARVAEL EERVKRLRKEKAELLALSAPPPSQPPKPQKSAPLDPTLLPASSAPILEALRTNATLAP RVTARLNVVRDRLELATDVFAHAVHGLEQDGKEMDGVAGRVMDGCEGRLGEREKEERG GGVGMRDVLRALGGVMPGGARK VE01_01289 MPELEDITYSRDECVAAVRDYYDFLSKMYHDESDVLIPPDGGWP TITQDNLRGLGKTDEVISLLRSLPYIRAPEHTVLKLQSAPLCQFADWRQDSHNVSIGA SNCEVLKHCSESAWLLEDIPPHVVSLTSGNYDNPVLLLDTELGVAYWPGCPAKVKCDP PRELVSDDPYDYAAENEAEWRADSAAWAIPDFFEVFKDQFRKLNFVPISPRLVMDDYT PATGDDDGMVPMMQDIYREHGWPDLQRYRKRECLEAVRAALKERYPDFSSDPMEED VE01_01290 MRTRTTAAPRAEPAESIDPWQCLTENLTQYLDVPKPTGSLFKEL QSHGSKLYEPYLAAPTLTAFCPFPAQSSWCAFTTSAPLDVLPAYSSYASQAYSWWSAH SSSVVSLAQQCPIGWENAILMHPGGDMWLNDTIAFAGCHAEAHTTVGSSPTEPTAATT RSGVDVGGGSPTPTTDASSSVLSRAGPKM VE01_01291 MVKGAAGPGVYSATYSNIPVYEFQFGVDLKEHVMRRRHDDWINA THILKAAGFDKPARTRILEREVQKELHEKVQGGYGKYQGTWVPLEKGEELAHRNGIYE KLRTIFEFVPGNDSPPPAPKHTTNKPKAPKKPAVPKFHSKPAPAPARIEEDQYDNISA QLNDDETPDDATVASASFMGEDDRYDLSAPSTAHRKRKRDEAAVSLSDQYHMAYSDEL LDYFMLAQASEPASRPEPPPNFQPDWLIDSDGHTALHWASAMGDIDVMKQLKRFGANL SCRNIRGETPLMRSVLFTNCLDKQSMPRVVQELISTIDCVDAHGATAIHHAVALTHSR TKHHCARYYLDVVLNKLSETTEQDEVRRLLDIQDSNGDTACHISATNKARKCVRALIG RGASTDIPNNAGVRADELIQELNNTRRERNLAASSSPFAPDSQRQMTSFHDINISQST PNASQHLSSALASRKEAHHSEAAQSVSTKLMPLMAEKFMDLARSFDEELLDRENSERE AKRILQSSQVELATIQRQIHELGNVEEDDSAVQNEVNQLAHAQQRVVSLVEQQQAIML GAGAAQEESMANGNGHEEGGVEGLAELLRALLEEQGRRQGLVTEYAGAMADVGMGEKG GMYRKLTAKCLGLSEEEVDERLDGLLGVLEEDGGAEE VE01_01292 MTVVETVKSAVGLSDAPAPATRAQMSEAKLPMAYRDSCANLLIP LNRCRYEEYYLPWKCETERHSYEKCQYEEFKKRVAKMDELRAAKGGERSN VE01_01293 MSVMGSISSGVHRHLGLVILGPTATSDAALRPHVQRELLAFPMI PRVPRNVIQIQVVAGAGTVTPVPQFLSDSNLPACVTVTNVVAKQHIFYCSFTEAVYTS SYENLVTIGSMALPPGGATMTTGSKTAQTSESTMGPVSPTATIPTSNLSSQPRSELSA GSIVGIVIGVICALAIMAIIAYLRYRRYCKRRDQIQRVIDASCGPRNGDRSQKQPVHP SPINDGPDTPQPTYTDPDSPGYVARSIANDPEDYFSPIEVIKRVNTAEALANLENRNK GLFEMGADAEHSVDRTIGRAEIGFGSGDAAAGIWKTIAAQSGNTSAEGRNRYGPPELE STSTREREDGLNIQKVAPPSRQPCGAPYPPDEELVQHNQRAFSANSIGTSVPDANGIS PALTSITFDASAVVSPLLSNGTFSTTPLMGLNPKPSVGELNPRATVEGPLNWPILAAN RNSSKLTSATGWESKYLSPEMAISNGFSAGEVAEDANMAPENRDSGPSDAAFIMPLRT SR VE01_01294 MPPALDGLPNEIISSIFDHLHGDQATLAALCRVSRYFGSVATPS LYRIIQQHEDAPHDPRRTPLLLRTLLHSPALGSLIIEVQLKLPGQEREDVSCFSEEEW VLVRSLVARLYKTGLFTEELFAKNILHTTDRRDGAGSEETWNNNVREGQWDAVFSLML YCCSGLEKLRGFQWDRWNGIGPTYPGFYFFTFFMSGVALSKAPGRVHPQMPGPVPILP HYRHAHLSLETKSPGRPILLQSLTPFLYSSVIELVGVDPKIEAGGTERHRLWGNLQFF FKRLVIKQSMVSADTLRYLLDSCEVLEEFILEYGIGDKKYHMFGNMGMMVYNKGPLPK SLLRSKKTLTRLELARGFNTLYYQNYSHIDQTLGSLKDFESLTHLTVKLELLIWDGKP IKHSSLCDIMPRSLGFLSIATEDILASADLRLFIDTVSRKETSLLRNMQHFEELVLKK EGCVPNLRHLVLDGIQRETADSSSLTILKRACATYNVQLSIVLHHGYYIAPGEPAMSS ERVSF VE01_01295 MQITSVIVLAAFAIPTVYGHGVITEVQGANGVVMPGITVIDGTP RDCSSAGCGAQADTAITRKNELGTSKASALGRTSGGGPVDAAAAVANFMGGAKNIAAR DAHMSKRVDFSSFFGGGGAGAGAGAGGGAAGGATGTGVKTVKGTSESGVAAAAGSGAE SGLPTTADDGTLTMTFHQVNQDGAGPLTAAVDGTSGGTDPAAFKSAQVVQNVPGAIAG LSTATSTDFPVKIQMPAGMVCSATVAGVNNVCIAKLQNSALAGPFGGSVAFTQSSAAK KRAVEFNFRARRFARALRD VE01_01296 MIYESASALAPIESPSLHEWVFGSVSDPIPHKVAFLDATRPDTH YLTLASWRQWSKRVAAGLQKAGLQPGDRVLVYSGNALFYPVIFMGIMMAGGIFTGANP TYVARELAHQLKDSQARFMVSSGASLEVALDAAGTAGFSIDNIFIFDDEIYQGGGASR LGVKYWDAIVASNLEGAQFQWAHPKEPKNTTCVINYSSGTTGVAKGVEITHYNYMATS TQMISLMKEDPDYEKNLKVARGLCMLPLYHAFGQVLYSSIGPKRGIPIYIMPKFDFLE FLGHISTHRVTELLIVPPVVTALAKHPAAKKADLSSVNYVSCGGAPLSSSLAREAETL WGGNLNIKQGYGMTETTVIATGMNPALLSTDASVGSLVSGLSARLVDPKTGIDVPVHP DSTGEIWVRGPNIMKGYWRNPTATAGTMSDGWLKTGDMARVENGRWFIVDRLKELIKV KGFQVAPAELEGLILENPKVGDVGVVGVIVQGEECPRAYVALKPGMTATKREIAEFVE KQTTRYKWLTGGVVFVDEIPKNPSGKILRKALRDLAAKDSKL VE01_01297 MVSFTQIAAVATAFASMSNALAVQRDSSVVKDRNAGTGTACCVG GTGCNSVSGTAPTGQTWEYCWYSGNEKFTAAEKDMTTCLTGLHTSQNSACISGEGSPC ANGNSLLQAAQNTMLWGNHQLSEDDRLAIVDAAIAASENGDFSYSLNPDAGDHLTFTH TAENGSGINGIEMYGNDFKC VE01_01298 MENIHGVDVSWLHNTNSRESTRSTRSERSTSLISDVARRSSPAP KTNGTTTTTTTSAPRETPPSTPRQIPQRAGPPARTIPERVGSPSSLPGKSPLQGPTGI RRNSWLSSISSKFSSSPSSAQPAAAAAAGSEQTPRSPVAPLSSTPEEEEPRPPVQTAP RNAVLPHGQRAGDGNAPYTPVPPKSTHPNFLTSALRRLSSGGQLASSGSPRGNGGICE RRILNVDMGRERCCMPELDQAKLRRVAFCVDVEIASRPRYNDEEPVEKAVDKSRKKKL GEKGEGEALKHATEVKEQKEKDGVVHVSGEQVGKEPAKEGTEPATNGVAPASGEKTMT RKKEKKKKSDEERKARKEKKRKLAEESGTIPVELVRGDSDSSASGASATAPIPRSSSS PTTDPVRIYRRCCQLRETPILKKITEQLALPSNTTDKPGVVTRLDLTDCWLQLADLAT LGDYLAVVPIKELIMENCGLTDEGVRIILAGLLAVSSPDQVKPRRSPLGGHHGATATV PRHGAVQRVTLKNNPKIGRDGWRHISLFVNMSRSLRNLDVSMIPFPQAASPKSTEHAS PVIGGFSGAAPATPARTGTPATATATAAATDPAAILSKALGTRLAGAELELLNMAETG LIAPQIGLIVDGAIQTSLRRLGLAGNNLDAEGMAHVARYLEAGKCEGLDLGGNQLCDL LDPLVDSISPSHPLFALSLANCGLTPDQLAYLFPALVRLSNFRFIDLSHNAALFATKP SAVHLLRKYLPLLQSLKRIHLSSCAMSPEQAIALAEIFPDSPGLAHVNLLGNPELTAL ATAGEEGQQEEACALYASLMAAVRVSRSLICIDIDLPSAASSEVVKALAKQVVAYSLR NMERGPVAEITQDPSAPPPAGETQRDEVAVPDVLAHLVGYQYNSNADDADDDADAAFH GVDGEGAGAPDEDYVIGGTGVVKALGICLKNRSGDSRRASIDRDRMRSSVDLRRGDDG AAAGGANGAGRARDMSKNLLGSARRIRARLQPALLKEAKESGGLNYHKLLFLDQTLSR MITRFENEYPDTRLPPSPPLTTALPTTFHTPSAPAPASASAGDYAYPDETALSDEDID AVPEEGRTSRPILSRHNSDVSLASKALSQEEGRMLRFGAKFGGEEEGEGDEEGLGGVE RGELVAAVEAEAERVRVREAGGDV VE01_01299 MADDDKMVDRGDTVSDLGDSKQDFKAVDGGRAVVGDTEAAIQED VEVAKVEAVYRKIDRRIIPPFWILYFLCSAIRSNVGLSQTMNASVHHDLASILHLTAK QVSLGLALFYVSYVIFDLPSNLIMSRLSPRVWMARIVFAVGVIGACFAAIKAAWSLFL LRFLLGVVTAGMWPGMAYYLTLFYPPSRTGKRIGYYFSAAQLSAAVVGLVSAGFQKMD GLHGLVGFQWMFLVYGVLGAALGIALLWWLPERPLIPGQTAPEKKGLLRWLPSPKPIL TGEDAEIHYRDLGRVYHVRPWTARDLLRVLADWRLWPLVIMYFGVVGVGIGTQLYGSV IIAGIDPGFSSITVSLLFAPIWIMDLIAILLVTPLSDRFHRLRPHFFITAVLVQIAGL LTTTFAPSSRPWARYVGLLLVGAGLGPTVPITMTWTSEIFQARHGEVGVAAASALVSG LGNLGSITTTYALYTGWPADAVKGPHRFRGSNLAMIGILCGSIIAAITMTLLLRFFGN EPAKVLSSGSSTTTTNAAADAVIVDGAARREVQQRGLGRRWWTRSA VE01_01300 MPGEKRKRHSSQHISDTVTWTQPYTIPRPPARVWQEKQQQDRRS PPTSKSKTQSRDCLTITDQVSETYNLPLPEQQDQGQNQVQALDVPAPIDEGHNDNHNV VDTMLFSNDQPFNNTSYIMHDLKNLLWLGDPDKLSGYNALHLAAYYGQASTVRLLLKT HPADVDLLTDHGQSALHIATAGLHIDVVLALLDYEADLELQDNQGRTPLHVAVLTGAH APAQLLVDRRLECLHMRDMTGCTPLHVAVMLGHDDIVRLFLGRGADRAAIVV VE01_01301 MSNRRPRLPPKPGNPSGQEKSDFPQPSQSLESRRQTRHAPKELV WTEPRTIPAAVHKNAPIYSTPPNEILLSRDGEITDLMKPLCALQDGLAQSWKTNSEFL DLENMSDVADDLDDVQSFFNYPTPLPVVGPVTTRSLESMDQQSYQLHLSSNHMGSHIQ TSNSEDMSDNSSPSCELARLNGFSAVHLAAYFGKLSIIRLVLSTSPEDADLLNNNAQA PLHIAASEGHAEVVGELLRLGANATQQDNDGRTVLHVAVLKGRLNIVRLLLRSVDAQG IISMADNAGKTPLHLAVMQGRNQIVQVLLERGANTRTPIR VE01_01302 MDSAHRASAIAQIVFYAPTVPATLYVGIRAWKYGPRLAWYPAMA FACARLTGGALVLASQHDPENTHLLTATIVLLNIGLVPLIMPFHALTRIVVQASFPGD RRKNMFLRVTRFLLFASVLLLSVSGGLYGNPDRSKVQSTLSRVAYFEFAFVLVALFGM AAWLYFVARDKIQDGQVIYIKWLLIASPILCIRTVFGIISVFEATGKHFLTSIWSPMF GNAVLFSLMALVPEFIVLCIFVYLGHYRYSTADQYGLVPRKGLFERSGKKDEGEQTSD SVKMT VE01_01303 MTSYRRNNVNTSAITITEYATPSPLDWFVIGCMLALFGTGVASP WITPGDHIWNLLTQYFPGGAEQALWMARTLVPLLAFAHAGEVVLFDQLRMRRHGVRRW SRVWWMWEISCAVEGIRAWKRVDGVIAHKKKE VE01_01304 MGNSASCPVHDLSADATSPSFGSMTFYTFDMILGGASAAFAVIA IFLHLLNHATHLSVPREQLKIMRVALLVPSYSIICFLCICLPNAAVYLLPWLDVFTAS CLAAYFLLLCEYVSPHDHGRDLFFSTIELKDKRARKQGMDGAKWFRQRWICVFQYVLI SVLCAIATVVTEAVGVFCQYKIMPRYAKLWLAIIDSISPTIAFVSVVFVALTMKPHMP QQRLIFKLLSAKLVVGLGFTQRIIFWILESTPVLNPTDKLTYADLNIGIPALLSCLEM VPISLLVIWAYPVAPYKYGPAGEACEREPGETYPRTYQGGFLGVRAFIDVVNPVETAK GVVIAFQLLIGRQPSLSMARR VE01_01305 MDSLNLRQSIFIALIGLIGYAILDPILGLDLFKTIKQNAVERKA LETNVRRSLTVARTMTINLMGQTFVSTCEPENVKSILATNFDDFVVGPRMSAMGRLMG RGIFTTDGVHWEHSRALIRPSFTRAQVADLDSIETHVQNLIKKLPEDGGTVDMQHLFF NFTIDNATEFLLGRSINCQTDPSMEYFSEAWDYAESRSNDRLRLGKLAFLMRDAKFES SCDIVHSVVDNYIAEFLSTKKGGAALGSGDVKAKRYNLLSELSAVCSDPIQLRNELLN VLLAARDTTAGLLSSIMYFLARSPEVWRKLVAEVDDLGGELPNYDTLKRMRYLRAVLD ETLRLHPPVPLNMRFASRHTTIPRGGGPDGKSPVFIAKGTALSYGVWTMHRLPEVYGS NAEDFHPGRWLDSEKPLRPGWAYLPFNGGPRICLGQQSALMEAGYVVTRLVQSFKQIE PRGGVFRENLALTFSHFGGVKVALWRR VE01_01306 MASAAILQYPVTLPTTQTAVIASETGDFEISSNVPLPSLQPDEI LIKTAAVGLNPVDTKLVGDFVTPGCIFGFDCAGIVVAIGSSDVHKARGISVGDRVCGS ASGMNKLKPLGGAFAEHVVLPGSLTLKIPDSLPMEDAAALGTAVASAAMALFWSLGID PALFGTGGDNKTGDEAPKALVYGGSTCTGTMVIQLLRLCGFHVLTTCSPRNFKLAKSF GAHETFDYKSSGCAADIRAHCDNALEYAVDCVAEDSTMKFCYAAIGRAGGKYTALNPF NDTLATRKVIQPDWILATRITGDASSWPAPYACEPEPRLLEMAGPVFEKIQQLLLEGK IRAHPVRVEEGGWHGLLNGVQIIRKGEVSGQKLVYKLS VE01_01308 MAILSAKLILVASGALSYVLVQHAPESLPPPLRTSYIGVFASLC LVQFVALFTYAMFLKPFWLSPLTKLPQPKGGGLLNGHFKTIYSSGAGETEKKWFNEIP NDGLIYYRGLLNNANVIVTSPETLQEVLTRTYEFPKPVGIIYLVGRVLGIGLVLSEGD VHKQQRRTFLPAFAPRHVRDMYPIFWSKACQAVTNLTYIGNGEQLEFEVGHWASRTAI DIITMAMMGKDFGAIADANAPLFKVYRALLEPTRAFLVLAMMKAFFPAPLVDRLPVKW NRWMDEAVETIRGTCRGMLREKKQKLAEKSLLEKDFLSIAVQYEDIAQVSEDGVIDQL TNALGAGHETISVAITWVVYMLCVHPEWQTRLREEVRTNFPSPTELAETGREATASDV DNMPFLQAFINETLRRFPPVPVTARWATNDSIIAGQLIPSGTRIAIPIKAVNWDKRLW GPDAHEFKPERWLGPDGRLSGTGGANSKYSNLTFLQGTRACVAQVLVKAEMACVVGSW VGRFDLSLVDETLLDEANMKISGGSLSGKPLHGLHVKARVLEGW VE01_01309 MGVNLPQITLDTTLTTLYTLDGGNPQDLSIVTVIPPPTQVSSSL PSNTKFVYQHPNHEKFDDDIYARILLGAVAQHLSFVAGSIPVNLFDIDKTYIQSWLSL IWTSIPGHQVDAQRVYDVLVPTQRPRLDFITSPDDFLPIAPSGKLATVVASNPLDCLS KLPGIITPEIHYKLLSKHDLVHSGLPMPPSDVLTTSLTPAEVEDDSLVRRESSRFINA VKSRALPFVLKFPQSLAGYGVFVVRTETDRDACIAILQTEIALMIRSLNASNAHLNPV SLIFQDMLPGGAVAHNIFVTKTGRPVFIGTCEQVIDKQGNWSGAMADYKRQEELGKLY APTTAKMAEYVFSKGYYGPMGADIMISEGQQYVIDLNVRLTGSYSLSLLKGHFSECRG LHFATLMSPVPMMGDRNAFERKFVSQLESGRLVIVGWCEGRFGPGRLIKYSMGCIVVG GEDKATMMDLVERVNEVKIKR VE01_01310 MAVQGVNILLRTALSRAPISLSLEQIRDSDTGVEKIITKQSTIG RTMTEERALDWVEAATSHVVFGDVKTKNRICAVHEIENELLLNNWEDGTEELIEVQSL GAGWTSWQAWGFEVIDGKRYHVRRVVVQKGTEFGHVTPEAVTVKMVYDWVE VE01_01311 MSHSNEPIAIIGSGCRFPGDSSSPSKLWDLLKDPRDVSRKIDRF EAEAFYHENGHHHGTSNVLHSYLLNEDTRAFDAQFFSIPGGEASTIDPQQRFLMEVIY EGLESAGQKIEDLSGSSTGVYVGVMCNDFAQVTYADIQNVPKYAATGTALSILSNRVS YFFNWTGPSMTIDTACSSSLIAVHQAVQLLRSGQSRVAVAAGTNLIFTPTNYIAESNV NMLSPTGRSRMWDSNADGYARGEGVGCVILKLLKDAIADGDVIESVIRETGTNQDGRT TGITMPSSKSQAALIRETYNRAGLDPLSETDRCQYFEAHGTGTKAGDPQEASAIHQAF YPAGGPSKEDDILYVGSIKTVIGHTEGTAGIAGLLKASLSVQHGIIPANMLFTDLNPD VEPYYGFLQIPTSARPWPALPPGVPRRASVNSFGFGGANAHAIVENYVPAEEQSIARN SSASVPFVFSANSEKALTSQIKAIRSFVNRVDDSVNARDVAWTLSRKSCFSHRASFAA ATLPALAEKLSKALESKEADDKDVGLRFNPKKQKILGIFTGQGAQWPTMGYALIQSSP AALATIQALEESLQSLPKKDRPSWSIQEELSKAPEVSSVMQAEFSQPLCTAVQIVLVD LLRASGIEFSAVVGHSSGEIGAAYASGFLTASDAIRVAYYRGVLGSLANADGAMLAAG TSMEDATELCRLPVFRDRITVAASNSPASVTLSGDRKAIERAQLILEDESKFARMLKV DKAYHSHHMAPCAEPYMQAMSQAKVQIQEPSFTCRWFSSVLGGPEVTTEMADQLSGAY WRDNLINPVLFSQALEAALEAIGEPAVVVEVGPHPALKGPASLVIGDKFKSDVSYTGV LARNINDVEALSEGIGAIWKNIDSSILSFANLDALFSDVQDKPMFLKQVPNYAWDHDR TYWNESRATKSMYQRTERHHELLGVRLDGGEHDFRWRNFIKPSEMPWLRGHQVQGQMV FPGAGFASMAFEACKALAPLEQISMIELIDLRVSRAMALTDESPGVESLVTLSNVQRD GKNGVIFCDYECSICPTPDSVPVRASTGSIRLELGTVSLESLPSRNALGLDMNNVDMD HFYNSLAALGYNYSDMFTGISSLKRTTDTASGIIHIDGAEGYDPAFIFHPAPLDVAFQ SIFGALGAPGDGRLWTVLVPTLISRIRVNPHACRNAGLGMDMPFDSVISVTPSNGVSG DVDICDQDGNTLVQVERLHVSPLTATTEQDDRHMFSSTEWTPFYPDATKDFSKWVLSE EEHGHMVFIERACFLYMKRVHDVLTQEERENCDWHRKKYLAWVAEIVGEVAEGRHPTV SKECMNDTWEEMKDELEDFCSLYPDFRLLIVVGDNLVGWMRGEVDFLEMYRETGMLEH IYKNTYGFPEYNAYLGKLVRQLSQRFRQMDILEIGAGTGSATEAIMSRIGDSYASYSY TDISAGFFLEAKDIFNKQGDKFAYTTFDVEKDPIAQGYAEHSYDLVVASNVLHATKSL ETTLTNARKLLKPGGYLVILEITDTDPLRPTFFFGTLSGWWVGETDGRPHHPLLTQAK WDAVLRKSGFSGLDTATPPSGEFMVPQSIMLSQAVDTQMNLIRQPFSPKSNVQLDDLL VLGGQSMSSFQLQEDIIALLQPIAKNITFVENLDLLEESHFTSKQITLSLLELDEPVF NPFTPAKWAGLQLFSEKAQNVLWITQGGSGEHPYANMMIGVARCLTCEKPDLRFQTID FDAIDSLNPQMIAEAVLRLHISDTWGSFVEAYDTAWLLEREIRVIGGEMTVPRYIPNK VLDGRYNSSRRTVQKDTNLNGSVIAISAGEASYELQQVVTPEWEAFTNSGLAEIKVER SSLATVSLGSFGSLFLTIGKLSGSGEKVLAFSDLNASVVSVPKAWAIAYNGTGEEDLG VLKAAFDVSLAQTLITAITPSSALLVYEPTAELAEALKEIAADQGKNVTCTTSRTEIA NKDILYIHPSTHARALASIFPKRLTAFVDLSGRGHTGSLAPRIEKQLPIQCRRLDVAD LIGRQAFTRPSGNEDTVVNVLKRTLSYAIAHPSSSAEEFPVGDMTGQTLANFDARVKV LNWTTSETLPVNLSPPEDVIQFRSDKTYWLVGLAGQLGLSICQWMVKRGARHVALSSR TPKISEKWLKFVQADGAEVRAVPCDVTDRRSVMRAHKAICDTMPPIAGVANGAMILND GIIAQQPHDMFNQTLKPKVDGTRFLNDIFSKPTLDFFVVFSSLAYVTGNIGQSSYAAA NAFMASVVEGRRKRGLAGSVMNLAGIFGIGYITRTDRGIIERLGKMGYSNVSEWDFLQ FFAESVNAGHPNVGSGHDHEISSSLRPYDPSRDENPPAWLNIPRFCYYKRSTALSSAQ EDGKNESVRSQLKEQKTKDDVYKVLLAGLTAVLYKNLGLRPEDNNIAPHTRLIELGID SLVAVDMRFWFTKELDLDMPVLKLLGGASVEEMVQDTMERLSPELTPNWVKEPAEAEA STGEEEVPTIVIPDDDSTSNGSPLSVSENETPDSEATTPPASRSLSEAGYPKEGLSTP PLDLELELAFERKVKMSYPSLQFWFLIQHLGQDAPHAFNVSFRVALKGRMDISRMEEA VKSLGERHDALRTAFFDDSENDYEPTQGVLSLDNSPLRLEVQKIASLQEAIDFNEKLD HYVFDIQRGRTIRMAMLSESDTAHYLILGFHHIAMDGFSFDVFLRELGALYEGKALPP VTIQWNDLMEEQRLGVGNGLFKAETDYWRSTLATIPDPIPMLPMARSQTRVSVTKFAF EECPITVLDEKTVRGIRERCREMKVTRYHFFLSILRIMLFELTDVEELCIGTVDANRG DSRASSTIGLMVNVLPMKFQRSTNKSFTQLTQEGRDQAYAALANSRLPFKAMLDQLAV PRSTQCSPIFQVVLDYLPHKIETPEGLGAPGDEVKATLNYSLADMVIDVNDISSTEIR LRWRGQTSLYSERAVKLMLDMFTELVKKYAVADPKLLVDGSKLTLYNSAQVQAATGIA LGSSMVSQWGPTVSHVIDENSVTHPDTPALKDGLGNSLTYSQASKRVNQIANALLESG IEHRRVAGYQEPTADWVCSLLAVWKIGAAYVPLDSRQPTARIAVMLAGCNPSAVVCHQ ETVDNLTGINGDAIVINISDLPSMSTTVESLATKAKPEQPALLIFTSGSTGTPKAVEV RHSSLKNIIEGVTATYGFDQARQTVLQHSAHSFDICFGQILLGLCNRGSVFVAPKDKR ADPLELCKIVRDEKITIVMSTPGEYSQWLRFGRSELQAADSWKFGFSGGEAMHGSLKA AFNDLGMDVTLINAYGPAETIILSTTKVVDYKNETSDYSEAVSIGKPIPNSGVFIVDR NMKPVPSGVTGEIVITGAGVANGYYGQSELTKATFIRDTLTPSGYSANYGGGVMAKMY RTGDSGRYDENGELHFEGRIAGDSQVKLNGIRIEIKEIESVIVKTSAGVLHDTIVSVR RNPDFLVAHVEFAQPLEQAEQKSFLSSLLARLPLPKYMSPALLVPIDAIPLTPHGKAD RKAVQALPLPTRDDDGNEQSLTETERALKNLWIEALPEECTLAVAIKPETEFFNLGGG SYLLVLIQGLIRKRFHVLIPVMKLFDASSLRDMASKIDAAAAIAAVDWEAEIALEEGL KAGTNTPNRKPSSGEGLIVVLTGATGYLGKRLLRELIENKAVSRVHCVAFRGTDKDSV LEPVPEDFRSRVEVHSGDLASPLLGLEAETFNALALEADVIIHSGANRSFWDYYQSLR GPNVVSTKTLVKMAAIGSTPVHFISSGGLIQPGLDAESSPASITDIGAPPIDGSNGYI ASKWASEAYLERAGRELGLPVYIHRVTRAPAQDDTSPTALPEGLISDFLDLTFKLKAV PQPQGWRGSFDLLRTSELSHQLVDSFIQSSADPTNDSERVQYVHYPSTVRLQMENVVE GLQAAKQVANGDDVEGFETLPPHVWVGKAKKVGLDWHFAGQDFSAFGAEGVSLRR VE01_01312 MATTAQSPDCLVSALNDSPDTSSEDIEEGRDVYRPGGFHPVYIG DVYHDKYKVLNKIGYGVYSTVWLARDLQASTDGDAREFVALKFLTAEAYGTEKDIFER DILKHLKAQDEEQLGYAQICHLIDDFEHKGPNGTHVCLVFELMGETLRSFGAWFPDSM IPNEVMRRITIQLLLAIHFAHNNNVIHTDIQPSDIFVKIRDYTLIESGYLVEAPIPQQ DKAEKRYTVIRSRPLRQHYFNNDDRFDHFDIVLGDWGVASWADNHLTEVIQPLALRAP EVLIVDEDQSLGTAITRRSSSRSLAICTS VE01_01313 MAGLLKLYLFGDQTYDIQPHLRDLLQHRDNPVLEDFLVKAYDAV RAEIYKLPHQAREDVPRFTCLDDLLLWNQSGKRCIPLDMAVTCIYQLAIFISQADSWH YDVNKSRISGLCTGAFSASAVSCSHSILDLVPKAVSAVTIAFRTGVCVSAAANRVAPV LHDNSDQSWSIIVSGSTSFEAVHKFCEQTALPLTSRPYVSAYMPTGITVSGPPKSLAQ LINSESFRGLRFKSIPIYGPYHAQHLYSEFDISHIIRDITSRSILSSKHIPLSSSTGA IVEGNFAKHLEAAVRQVLLQPIRWSDILDKLQDYIQKVGPESFRIIPIATAADQLIYT ALKQTPLRTLVPSTITHVKPTSNGDSSYSGPKQPKLAIIGMSGRFPGAKDNEAFWDLL HQGLDVHKPVPASRWDTKTHVDPTETKKNTSAAQFGCWLDDPAGFDARFFNISPREAP QIDPAQRLALMTAYEAIEQAGIVSDATPSTRPDRIGVFYGVTSNDWMETNSAQNIDTY FIPGGNRAFIPGRINYCFKFSGPSYAVDTACSSSLAGIHLACNSLWRGDIDTAIAGGT NVLTNPDFTAGLDRGHFLSRTGNCKTFDDSADGYCRGEGIGTVIIKRLDDALADNDPI LGVILGAYTNHSAESESITRPHSGAQRAIFSKILNQGAVDPYTVSYVEMHGTGTQAGD AGEMSSVLDTFAPPLSLVKRGRKSDEALYLGSAKANIGHGEASSGVSSLIKVLLMMQK NTMVPHVGIKTKINHNFPTDLQERNVNIALEPRRWDRNSDPSKPRRAFVNNFSAAGGN SALLIEDAPIRQKFTADADPRSSHLVAISAKTGISLQNNLSSILAFLKQNPDVSLGQL SYTTTARRMHHQHRVMVAGSTAAEIGAQIETALRDKAGMTRPKSSPKIVFTFTGQGAQ YPGMGKELFDNFSIFRNEMCRLDQVGQSLGFPSMLSVIQSEEQDIGVFAPTAVQLASV CMQIALSKMWASWNITPVAVVGHSLGEYAALNVAGVLSDADTIYLVGNRANLLQEKCT RDTHAMLVVKGSVDEIAGVLKDNKYEIACINSPIETVLAGSKEQVSAVKGVLTSSGMK STLLSVPYAFHSSQLDPMLLDFKKIASGVTFLSAKIPVLCPLDGTVVDGSGSFNPEYL ASHSRQPVNMQKALLAAQGKNIITDRTMMLEIGPHPAIAGMVKAVLGSQVTSLASSQR GRTAWQVLGATLKTLYAAGSDIRWAEYQRDFKASHTVIPLPAYSWDLKEYWMQYVNDW SLRKGDPPLMINSSKLESTTVHRVVEETGDSQATHIVVEADIARKDLSPLVQGHEVDG IPLCTPSVYADMALTLGTYLRERYRPDLQDDLVDVSDMTISKALILRVGATQQLLQAH AEVDWPSQSASVKFMSFDNKEKLQEHARCVLRFKDRSLQQVLQEDSANVKRKMQALRD GIAAETTARFNRPMVYRAIRPLARFHDDYRAIDEVVLNSTTLEASSRLSYGSVKRGGT YHTHPAIIDSLTQSCGFTMNCNDRTDLDVEVYMNHGWGTLQIFEPIDFEKEYTTYTRM EEGADKLWHGDVVIFDGEKVVAFFGQISIQGVPRRVLKIVLSIESGIKSQKQQPVQIK QQPSSTTPAFAPGLGQSPPPATRESHSYKLEKALSIISDESGLSMADLTDSTVFAEVG IDSLLGLTISARFREELDMDLDFNALFYEYPSVSDLKEFLGEPRANSSASSTDSRSST PVTSGTGLTTPSPPVDFQCALQIISEESGFAIEDLTDDTNFAESGVDSLLSLVIVSRL RDELELDIQHESLFLECPTVADLKQLLLGLINPSHAVNPQTQLEPMLHAAPTIEADFT RRNRAPQRTESETAALAARKKAVDDYVHKYTIGFSAPGSSPSSTAPKENEKVVLVTGA SGSLGGNLVYHIAQLPDVKTVVCLNRENRAEPYNRQYKAMREKGIRFPEALKPKLLVL QTDSSKPMFGLSKSEYEGLVSSVTHIIHNAWPMSAKRPLAGFESQFQVMRNLIDFTCE VASGRPESFKFSFQMVSSIGVVGNYGLGNGEAKTIVPEERHTIDSVLPNGYGDAKWGC ERMLEDTIQKHTNRIRTMAVRLGQIAGSKTSGYWNPMEHFGFLIKSSQTLNVLPDVDG KVYWTSVNDIAGTLSDLVLSDRTPHPIYHIENPVGQPWREMNAILADALKIPNLIPFK EWVERVRAAPQRNNPASTLLEFLDSNYLRMSCGGLVLDVKNTLEHSKTLAAVGPVPEE VARKYIHIWKEIGFLN VE01_01314 MADQPRMPKRWNPKLRSSCDGCGAAKLKCDREQPECGRCVSHGM QCVYGFSRKMGKPPRDKLRATLLPASSEHPEQPKTAGSTYVDRPQSGSDSSGSGVLMD IDMGCLVPDSRAVDVPGAWDTVGDNTSSNFPMANLNDGIDDLFSFTPVDFASLEFGEW GHFSDDVLSSNLQFGPGSASTPESSELKNYLSPGALTFQQTAHQSHTDDVHKSSHSDR ASIPPPDINITGHDCPREAYGILGSLAFHNLSKASCVPNEGTGSARGTGSLVSSDVPL DCVLRLNREASERLSRLLRLLNCSCARSPHLALLYASIISRVLTWYQEAANCMDSASC SPIATALDMASHHVPTSGPSSYSSKSSGSRAGPFVWSSTATSSYTSSASGTSPLPQSA GLAVAPTKMAIGTFDVDDLHVQIALKIQLLLGEMRRAGRLIELFITSHNTGGECLTDK STHGGIDSLYISLDSWLKGEHSTISNMMRLKLRELST VE01_01315 MSLEQPNGVSQTAFPLEGLSWVITKNASIVSHYLEANHLPQPSR ESDGPSTILPSGSPQKVQQARQEIIAAALEILQLAIGPSEFLPNLATGFQYVSCLSWL CQYKIFHLVPLDSTISYAELATAASVPEQRLKSIIRMVLTNSLFREQPNGKHVGHSAT SALLARNEDVYAYATYMCAKSAPTAIHMAEAQKRWGADSTRTYETAYNVAFDTDLPFF DHLSRDKEKMGEFARYMRNVRSSEGVDFKHLVAGYQWSDICDNGTVVDVGGSTGGAAI ELAKAFPNLNFVVEDLPANVDIGQKAAESLPADVASRLTFQAHDFMQPQPVRGADVYL LRMILHDWPDNEAATIIRSIVAAMADRPNSRLLIMDTVLPAPGSVPVSVERIVRVRDL TMMQAFNSKERDLEDWENLLAATDPNLQLVNVVQP VE01_01316 MDPNDTQPDVTQANGTVPDAVKTDVTQPAVVQPDVGAEPDLTHP KTTNSNVNGLNDTLPNGNYVNDMAPIATKANGIEPSGTNSNSMNPDGKNPNDTADSPE ESARRGIDATVMRYPETGIDVLIVGAGLGGLTCALECWRKGHNVQILDRSPGPIMTGD NVQIQPSAILLLRHWPEMGYQIERDQYDVVMSYYKQTGERIYGPAPPMFNDPENIVGR RGFPSVNAHSRVKLYRAFLEQAERVGLKVEWGKKAVEFWEDSHRGLGGVVLENGEIRT GDIVVAADGLRTKSSKIVGGMDKELRTSGKAIYRAGYPIEHALRDPIVQEQWGFKTNE RPIWQFWLGNGAHAMVALTQDMAFWSFIHSHDDSATESWVPDVDPSEVLAVMEKEVPV HPAIAAFIKTAPKGSIVNWQLKFRDPHEDWTSPNGRVVQLGDAAHAFLPTSGNGATQA IEDGVTLATCLQLGGKAHAANATKAYNKLRYQRVSCGQKMGFVNQQLKQHTDWDAIAK NPALIRSRYPRWVWSHDPEAYAYEKFCEALNHVLSNGEIELRNTNFPPGHKFRKWTMK EVQEQIKSGQNLEDLQDGDWS VE01_01317 MDERHRNDTELEREAQSALDNGTTPESQLNGNQAFPPPKNSPDS SLTTSFEGESGAAPMKPDQAANNASSPEAARTTLETAIIMGSLGISVFLAALDNTIIT TALPTISEHFHSNAGYTWIGSAYLLANAASTPSWGKFSDIWGRKPILLAAAAIFFIGS LLAATAVNIGMLITARAIQGTGGGGLIVLVNICISDLFIPITGTVIILLFFFLHLHNP RTPAWEGLKAVDWAGSLLIVGGTLMLLLGLEFGGVAFPWNSPKVICLIVFGVVVAVLF VLNEWKFAQYPVMPLRLFKHTSNIASLGVCFCHGFVFIAVSYYLPLYFQAVLGATPLL SGLYLLPFALSLSVVSAGTGIWIKKTGKYLIAILFGSIIFPLGIGLLIDLDVDKTWVK IIIFQIIAGIGVGPNFQSPLIALQTMVKPTDIATATATFGFIRNLSTSISVVIGGVVF QNEMERRHPSLVTSLGVGTANALKGGSAGSSVSLVNQLPPPQQVIARQAFFESLRTMW IMYVVFAGVGLLFSFFVGNQILSKEHQVTRTGLTEQEKARKDHVDEEKAVGGTTGISN EEV VE01_01318 MAATLGKYVSKLAGARCLVIGGSSGIGFGVAEALIQNGASSVTI SSSSTAKISSALERLKSGNASSTAQIQGFPCDLGSPETLTSEVEKLFAEVAKAGKLDH VVFTAGDALAVGKLEDFKLDAVRQAGTVRFFAPLVVAQQLRKHLNDGPAASYTITTGG ASEHVSKDWTVMQAYLSGLRGMTRGLAVDLAPIRVNAVGLGPVETEIWNHVKEIGYWD NVTGRLKGRMTTGQIGQVEDVVEAYLYLMRDKNVSGSVIESTGGTLLS VE01_01319 MRFLCLHGKGTSGRIFKSQTATFRKLLPDTYEFDFVNGPFSDGP AAGIDLFHPPPYYKLYEHLTLESLQQGYKWLLAYINENGPYDAVMCFSQGCSMASSLL LYNQSLYPNSPPLFKAAIFICGGVPLDVLASLGVEVTAEARDLDRSSKVALQQQASTE AILRNGRERWGSGLNDYSTYQTEGFDKRGMVFGIDVARIPRQMKIQIPTVHVYGAKDP RFPASITMAQFCDETVRRTFDHGGGHEIPRKRDVSKTIAELVEWCVLMATEGE VE01_01320 MANLAAAYKPGPHELLVRNEIITFHPIEWKIAKLAIFPLQYPAI LGSFFGGRVEAVGPQVTRFKVGDKVAAAKKFGAVGNHYGAYQRYVVAWDSMASKVPEG TDLTIPASLTGNLGSVIGLFTANAGLDKPSLDGFASAKNNKVLIYGGSSSFGSLSVQY VSEAGYTVVTTSSPNHKEFV VE01_01321 MVDCSYLSDENRFPDSFRNLDPNPDISGNGVLIGFIGTAYFSFL LLVVQYLMGSSEDTKLDVSANPVDKKLLSFIWRYLGKPSDRYKEPLKNAILAMSDTQI VTGLSILASGFSQLNSGLGIFHWNIVAYLAWFSSVTHLCTLTFLRRYFQANPGIRMLR MILMLLLALTLAIALLPTGGACGPSYEYNRGVYPGAPAKCCFLLMGRRHGFIADDGAY IVSLIISEVGFCKDLVKA VE01_01322 MGYTDQDWQSINTIRTLAIDATFAANSGHPGAPMGMAPVAHVLW NRIMNYNPKNPKWLNRDRFVLSNGHGCMLQYALLHLAGFGLTIDDLKNFRHVDSITPG HPESHDTPGIEVTTGPLGQGFANAVGLAIAQEHTAAQFNKPGFELINNHTYVFMGDGC HMEGVASEAASMAGHLQLGNLIAIYDDNNISIDGNTNCAFTEDVNKRMEAYGWHCVTV GDGDHDLDSIEAAIKACQAVKDKPSMIKLRTTIGFGSKLEGTGGVHGAPLKKDDIIQV KEKFGFNPEETFVVPQGVHEQYSKKSAEGAALEQEWNQLLEKYSKEHSAEAADLKRRQ TGALPEGWEKNLPVYTPADKAVASRKLSEIVLGKIHDAIPELVGGSADLTGSNLTRWK DAVDFQPPSTGLGDWSGRYIRYGVREHAMGAVMNGLAAYGTILPYGGTFLNFVSYAAG AVRLSALSRERVIWVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSA AYYVALTSLHTPTILALSRQDLPQLENSTIASAIKGGYVVHEAEKADITIVSTGSEVG ICVDAIKTLKEQHNLTARVVSIPCFEVFDTQSKEYRLSVLPDGIPSLSVEVMSTMGWE RYTHEQFGLNRFGASGAYKDVYKKFEFTPEGIAKRAVATVDFWKDVPNIRSPINRAFQ QLI VE01_01323 MESAPTTPPHRSLVASKPRILRGVALLRDFERAKVSDEAKDFRE TKGFDETQGFNAIGSEESRVSGKTQTSEKARFLRIFMFLEGFRFRGGQRVAYEPQRLR SETESTPPDQGQQHRGGMSDFVAQQKERREAIKTTHYPSPI VE01_01324 MAAIDVEDVLSKLTNPQKISLLSGIDFWHTQAIPEHGIPSIRVT DGPNGVRGTRFFNGIPAACFPCGTALGATWDPALLREAGAVMGEESKAKGAHVILGPT INIQRSPLGGRGFESLSEDPVLAGVGAAGLVNGIQDTGIVACIKHFVCNDQEHERNAV DVIITNRAMREIYLLAFQIAVRDSKPGSFMTAYNKVNGTHVSENPKILKDILRGEWAW EGMTMSDWYGTYSTSEAINAGLDLEMPGPSRLRGGLLQGALASNKVTEHAITQRAREV LNLVNRCAASGIPENAEEGTRDTPETAALLKKISANSIVLLKNEGNVLPLKKNKSTLI VGPNAKIATYCGGGSASLLPYYAVTPYDGITALLEEGTEVKYTVGCYSHRELPLLGAQ LTSEEGKPGMTFRAYNEPSSVTDRQPIDELTILRTSEFFIDYYKPAQALWYGTFSGTY EAEKDGDYEFGLAVYGAGKLYVNDELVVDNETTQTQGQAFFGSGTVEEKGTVKLVKGE KYNVRVEFASAPACKLVSDAVVVAAGGGIRIGGAWVIDAEEEIKNATALAKEVDQVII CAGLNLDWESEGYDRADMDLPGHMPALITALSAANPNTVVVMQSGTPVTLSPFLSNLP ALLHAWYGGNETGNAIASVVFGETNPSAKLPLSWPVRLEDNPAYLNYRSERGRTLYGE DVYVGYRYYEAAKRDVAFAFGHGLSYTTFKFGEIKVELVDEELVVSLDVTNAGEVDGA EVVQVYVAQDSPSIRRPVKELKGFEKVFLAAGETKRVEVKVQVKYATSFWDEAREAWI SEKGAYKVFASDSSQLVEGRYLEGGFEVAKTSWWNGI VE01_01325 MPALPPSQRGPRAPASSEDDAPAGLAAYISAAPPTWILLGGCYL LYRAARIRMRRRAQADDRRACNDDECDCEDDKAGWIGSREEGYRDDPVEAEAHRLRAE QLAKEAYLDRDMNPPFHNTEMPNSSLLDNSTPPPDWQPKSQDLSMGKRHVTPPPPPPP TSGPAIIDGPAYTWQEDQSSISEFSFADMAANAAAAGIYPPVTSKPTTPPTTSVAGAP ATPATETKRRSYSITAAGVEVVGEVVTGEGWRRHTRVYGGGVCLACLESERMRAAMGM DAPPGGLPADVAVVEGEGTA VE01_01326 MCAGKANALPNTHAVLRATIIVDTGSSTTATTTTSHSSSKASST STTTADSSTTSTTADSTTLIPSTTSETTSDSTSTSESTVADTTVPAAAENSSSATPVA GTQLSSPQLAGIVVASVGAAVLAIAAIAVAACLRRRRRRSRERDSDTNSLPFQNDPSK GEKYYQNGLGNGPTGPAGLKKIPPPRFPLEYGDPGITLPTDQIGVAVSPEVKQYAMPT NGLPGKPKLRLYVPPEPVAKDIAHPMPRKENDYYDQGVPSRDSAMTQFEEDSTPYTPF SYEEDNTVYYGLPNDDAKSSLPAITPATLQRAGSSGNGLRPQEVRKPGLSFSKPLQLG TATSSVYSGRSSPRDSAANYQNSRQPQQSPYRSYAPYRQGSQQEAAELPTDYNNQAYA PETTLVPAPLRRKSHVNNRRSADLSPVVESPVSYPKIPKLSPTFAYPPPKQPNFQRLQ NPRMSAGSGMSTESTSSSLLEKRRGKEQADALVLGGVDGRAAGGGKNGWRVVPGSGGG NGGGDSGWRPQQNGNQRSFMNLQGERGQVPMSPSPWELTPKKMGDDLFLTVR VE01_01327 MSGVPCRDCVSGTLSDKTPTGTETTIHGLPTYVALPEGESKGLI VYIPDAFGWKFNNNRVLADQYAKKGGFTVYLPEVMDGHAVNEMLLDHMSFITAPASWY TTLFEKPIYILQSIQHMVPFAIRCRESVTMPRVLEFVKALRTSPETANLKIGAAGFCW GGLHAVKLAHDTPSSRVHRYGSEAGEVKPLIDAAFTAHPSMLNVPTDITGVTVPLSIA VGDVDFVMKFPDVENAKSILEKKGDDHEVVVYPGAKHGFAVRGDPRDPKQKEQADQAE EQAIGWFSKWLA VE01_01328 MEEHTAPHAEASTFKEGVSRADAYKQVLEQMKGLMEGQRNWHSP LNPAISTNSPPSPSNLANASSLLWHAYKSLPAPLSEVNWSGFYVLDRTTPSQLILGPF HGQVACQTIAFTRGVCGYAATHKTIQVVNNVEAFPNHIACDGATKSEVVVPLLVDGEV VAVLDVDCAVLSGFDEEDALALYELAELLAKGCDW VE01_01329 MASSDLPLAALTLILAALALSILVIAQTTTSYTSAYLSAPLELP TFLDAADFSIQENESYDRDIARVPRLEDKIRLNRLLREIQKCGDDLREDLNRLLIGES GTRLRASARLLWASNRLQLEQRVRRLDLLRTRFLVLYMGLLAQGSVVPDKPVVEKSPE RSVPKMALRPHLHHALTEGIVRKPPLRRLTTQAMGHSNTHIGGAQKMGWASVVNELQM SPLMHKRHASIDAAMANPP VE01_01330 MATSEVLPPPTAASEPIIPLPTILTHPSSTTPQLITQGAEALLY KSTYLLPTLPCALKHRPPKPFRHPILDARLTKHRILSEARVLAKCRRDGVPVPAVYAL DAELKGGWIAMEWVEGDVVRVALNRWLKRRKGEGKTLDDKEGQERVLRLMTMVGAAVG TMHSVGVVHGDLTTSNLMLRPTEKEGANGAVEDDLEGEIVLIDFGLASQSAQDEDRAV DLYVLERAFGSTHPGTEALFGEVLRAYGESFNGAKVTLKKLEDVRMRGRKRSMLG VE01_01331 MTRLILSTSNIMNGVSSIIRRNGGEKSNQELVNSLRTNFAAAQQ DYSPPLSASPTPPVDGVQETKGPSRPATSIWTTRDHGDLYIPTIDWALSGLAEERSQY DITVKLFFLPKASLEEREQITKDAVDLVLKELRVETIDLLIVSFYGMSFDGDCEYAAD QKNSEQGNDEEELATWPTMESLHERGVVKKLGLAEFGSEKLSKFMSKVKVRPVVDQIN VRDCCNVPPPLIQLAKQEKLELLTHNDCSEILPSGTLRELLGQGPNGAGVISELKRSA DGSTSEITPKWVAKYTAVVRDRGVVENKGYFAAAELAE VE01_01332 MAISYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVSQLVLARR TRMCNFLEYKDSKIVYRRYASLFFIAGCASTDNELITLEIVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRTIGQQDSLEEMEFLPLRDFDDVTSAL NFNTPDCHVVGGCDLYTTKAAGSDKKLYRNIENSLESQYESLLRLSASLSPPQSSGPG SLDLSRSSPFGPLSQISSRRTFAYLIATLNASHPDYDFSHILRPTDFRRERSLKSVMN NIDSTMYNLRPSPSASLELPPPPKGASAAPASQAWGPRMWTVIDKEMTLKECSVYCWS PEESEWDGEEGSIWSVHYLFFNKARKRVAYIYVRGIPIMTHSPRLGFPKRSASGVSRE SGANKRARYWLGDKADSATGQPDDDDIERRDWTVSEEFDLNNDDDDFISDLDVFSDDY DDEADDEDEDQDLKAPVRGVSEEVAAEMEI VE01_01333 MSDEQNSGAAWPVADTALTQEILDIVQQASHHRQLKKGANESTK TLNRGISEIIILAADTAPLAILLHLPLLCEDKNVPYVYVPSKTALGRACGVSRAVIAT SITTNEASDLMNQIRALKDKVERLMI VE01_01334 MATELCPVYAPFFGAMGCTSAIVFTCFGAAYGTAKSGVGISAMG VLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLVQQMPLFTGFIQLGAGLSVGLSG LAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSKATLDAT C VE01_01335 MRLPYAPNSKEGLDEESAAIYDRIAARRAPRPLQPLDLTLFRSP NVADGWNSFLGAIRTKTSLPDDIREIAICRVAVLNGAAYEWLSHAPLAKKGGVSEEGM KSLGNPEVAGATKREGFSDKQWAVIRYTDEMTRNVRVPEEVFEELKKLFSGQEVVEIT ATVAAYNCVSRFLVALDVGEHNSTEMTAPQ VE01_01336 MSGGSYYTNPLQGEDYSYAVVDSSHVGFYDSASGGSSIGSPDIS KDPKEYNNLTQYDQFQPYLFQYETPEMYHASQLAATIELKIEDLYDDVDLRTKRPATT AGANPATSQVHSRRRAQNRASQRAFRNRKEKHVKEVEARLQELEGKYRDLSESYESLQ TEYVLAKQELEKLTAKERSQSQSKSPTPSFVLPDTGFDGGTGEDLSNILFENEVFSLE TVPQ VE01_01337 MTSPPHPAPPPLSLHLADTALKPLLSTAAPPSSQAKNLRALTTA ALSAHTSASRLGLGYPVHILVETKDGGPVAMHAFLDPEAKRGEALKNTALLDVHSVGE EEPRLRSSRGSPEAAGEERGEGGELGEGQAHDQGHAELTNGVAAMQIGAPAAGGCEEA EEIGPPLAPMLVATVVAESHSRMSEARRAVRRLEGMGRGFQEQWVREREEEEGSEATL GDHQAEGTGTAGASAQGS VE01_01338 MADAEAKAKAEKLAAARKRVEQLKKQKGKKEGASAKKQEAAAEE SKDKAEAAPAESPDVETTKATEEDKSATPEEDTSKVIDDAGSPPPAGGHKARQPSLSV QSKMRSSSFRQGAGPGPLSPPILSPEGGDTAPEIHRRQQAKIEELERENKRLAKETSE SERRWKKAEEDLEELREADTESKTAGSTAPSSDEAQKLKSQVASLERQNAHLQAQVSR PNRHGSSPSAPHSLSPPSDLAAELASKSTTIETMELEISNLRAQLARTATGSTAEKEQ IAALEDKVSRTQKSLDTAQHELADLKKNLERTAERAVREGSSRTSAETKLRTLEREAE DAKTRAVDLEKKVEALEKKITTLTTLHKEHDARSQTLKREREKADKDAADLRTKLAAA EAANRGDDDGVDDIETEVRQRLERRIRELEAEVAELKRGLWREGRKELEAGSPGAQFS DIDLSGGERARGGGGIGEMLNYGINALTGVGQHGASAHGGHDDGLLDDDEDDFDEEAW RKAQEEEGKRRIERIREVKRGLDKWKGWRVDLVEVRRVGGERMGEVFEV VE01_01339 MPEQQFSYPPLGEMLETHPYALPEQRAFHQLANERDYTHDEHEH EHLADGAPRYATPPPNAEYQLHATMASELQAALDPKNEPPTEEPGRSKAVPKPDRPVM KDDNGRYVCNWPGCDEAVKDFGRKCEWSKHMDKHDRPYKCPVPGCAKLPGFTYSGGLL RHEREVHHKHGGPRKQLNCPHMNCKRHHGKGFSRQENLNEHLRRVHTGTDGAEAIGTE ESEGEGGKRKREGDEEVREELKRIKTENEDLKRQGEEMKRQSEEMMRQIRALQEQAGA MAQQQMEAHAQQQAQQATRMPAASMM VE01_01340 MVQNKVKDTRRPGMPGWIGPGYTPKAARRPANPPKQAALPPHHS PIRLELQQLLLNIFRDTYAPLLISDELSPTLQEIKAALFERDFARAFGAQKYLEVYAA RWSPSRSLCYASVLIELREHLDKFDMFARGTKRKAADQDGGDKDSDARATRIVCLGGG AAEVVGIGGALRYLLNGSRPSPTDSSASSAETESTPDPTTEEETPTPLLCDLHLVDTA DWAPTATTLTTALVSPPALSPHASARARAAAKPLMPATTLRTTFHQLDAIALTPTQLA DLASPEPCIITLFFTLNELFTASTARTTAMLLSLSAISPGSVIIVIDSPGSYSEAAVG MEGAEKKKYPMAWLLDHALLSQKVFGEEGGEGKAQWEKLMGEEAKWFRLSEKLKYPIQ LEDMRFQVHVYKRL VE01_01341 MPSIARKVNITARKDGLVLQPLASKGQRQTPPVRISYKNSAVGP VLSNANNDGNGDGKSFEAFGIVGLLTVAQTSYLISITRREQVAQLHNHPIYVVTDVAL TPLASQKDAEASVVSTHTSLSRNATSEQEDEGNNSDDEAVLSGLGSDDVEDEEISTIT PPPEKRLHKRSTSVVNDVFAKKGGYGRFARNWFNRNGWAPDRKMAGVGLSSAGVDAES DAQISTTPATPVISAADNKSETNSTEVDGEESGLTDEHVAALLPKLLRTTSLLFGSSR SFYFAYDYDITRSVSNSRSENGSDLPLHEEVDPLFFWNRNVIQPFIDAGQYSLVLPLM QGFVGQRSFSIERYPVAKLPGAGGEILELRDMHPNEERIARAISDRPTTPKYVESDCD DTPGDKNSSDLSPISGHDPRALAFEPSSTYLLTLISRRSVKRAGLRYLRRGIDEDGHC ANSVETEQILSTPTWTTSPIYSFVQIRGSIPVFFSQSPYSFKPVPQMQNSEGTNFEAF KKHFETLTERYGDITITNLVEKHDNEGIVGDAYEKYWKRLIEEGGVNGQKPLFEWFDF HSVCRGMKFENVSLLLDSLSPSLEKFGYTISREGNAKTRQSGVLRTNCMDCLDRTNIV QSAVARQVLEQQLKAEGFDMSAQLDQTTQWFNVLWADNGDAVSKQYASTAAMKGDYTR TRKRDYRGALTDMGLSISRFYSGIVNDYFSQATIDFLVGSVSALIFEDFGTNLMTADP SVSLSRTRQRGIEISHKLVVADASEELLGGWAFLTPHEANSVKGHMEESVLLLTDAAL YACRFDWNMEKVESFERVDLRHVTGVKWGPYVTATLTRAQCDEKKNVGMVVKYRAGAD DITRVNTRSLSTVASREVLDGVAAADAAPDKENPVGDRGRKPGEERIIALKALQARTS VVGDGGSSAAPVSEEELIRSVAEEIGRVVGIEGRVEKGDIIGVGEAKRATGLLDLIGW RVKRLVWA VE01_01342 MANIIRSCAKSSDREIRLLTQDPGYCDKTKDLIKGIGFEVIGGY GAGGFAEVDDETAIFSPFPKAPVKQIIADLARPLVFIALRGATVWNSRRNPYADPDSP RTKQMWESYERWDFPVSSDSKQLGGSLHQLSGFTRIGE VE01_01343 MRIPIRLQLALLVLLTAFLALAAVSISTWINNYNFVVSIKSQSL SLTASLKAAQVAANLQLVESTCRTIVTRIEIQKSLTNFYRNDNSASNWRLAEEDLQAA LASTGYSQLIQVKIFSKDGTGDSKGLLNVTAVGAADIQLPMKYPNGTNIKLGDQSPDG PTGFPVSLYPNLTYSTTTIDGVVSNTVKAFQIYKFNASTAMLLGPFQVNETLSLVSMT LPIFNSTSRVDILGYMTVVAESTRIFSVQNSREGLDSTGMVLLLGPDTEINKFPQAKT PVNEGHTPNSTDLGEQDMNFIFPPIPNQNQTDRHTMHSSSTNYSSSFKLYKYPVALEV FSRNNSQINNAGSNLKTINEQGVEVAVGYARPRSNIVDWVLIVEETRAEAFAPVEKLR KILLGCIFGTIALILVVVVPTAHYSVLPITRLKEATEKSVQPPGYTPRNSMGSEISID GEDRLGDEETANTSSSKTSKRGKGFVIRMRRFVQGKHRKSKEEQTEEERRRVFKVPGK VLDPRHFITDELTELTRTFNEMSDELMTQYTKLEERVAERTRELEISKKAAEAANESK TLFIANISHELKTPLNGILGMCAVCMGEDDLPSIKRSLQVVYKSGDLLLHLLNDLLTF SKNQIGQSITIEEKEFVLSDIKSQVVTIFDKQVKEANIKFAVHFIGAENGDLDSTKAL TRPKKALPAVGPNGTGRLKDMRLWGDQHRILQVLINLVSNSLKFTPAGGRVIVRIKCI GEKDAEGNVSRKDSLSSKQGSLQRSSRQRHRVGSGSGSNASTTSRLPSSPSKPLGTAL LINPMDPKAALSHIHVRERSPTPPPSNSKTLIFEFEVEDTGPGIPASIQDRVFEPFVQ GDLGLSRKYGGTGLGLSICHQLAGLMGGTITLENTGEGQGTTFNMQIPLKFVGERTSS TASSTAGGSRTPSVTSREDSRMARLSLEMQAQSAANNSSSQVAVVEKDIQPRLVGLSQ PFFASAASGDGATDDQLAILNRVATKPGSGKIRVLVAEDNLVNQEVVLRMLKLEDIYD VTVAKDGQEAYEKVKASMEEGLQFNLIFMDIQMPNLDGLQSTRLIRQMGYSAPIVALT AFSEESNVQECMDSGMDMFLSKPIRRPALKQVLKKFGTILEEPEPSLSPQNSPTTDGP TTNGVTHGIAENPDLPSSNTAPISNVPLSNSKLYHKSSDSRPVSRESRAGRHLSPLRP MEPITNGP VE01_01345 MASSNPLFFKIWRDEKINRQLLSHLDDDNLCALRLTNTACSNIV TRPLFARIHLTFTPSALSRPSRMEALARIGHHVGHLTFSMPHTESTFLPPLLNPVTGR EVNFLYTPHTSLASETERPKYGTHELADYLTQQYPPIFHAATNVPAFIRALACMPNLR HLSVSCPGQEPCQRYRRSAVDYALISLRIAIERAGLTQLEKLSLAVHPAALLYLHPTP NFGASPSGLRRWRQIRNLKMSIDSWAFENSRADHLKLLTNYIRTFSSSLEKLTFHWYG QRGPCPLTLNDSSRPSSPSSATKLFAEITSPMSPLPETPPPPPLHFPHLRRLFVRNAW VAAEQVSSLVSKHGSSLRTIDFDNVILTSGSWDEALAPLNDLATKEGIWEARHSGSSS GIGFGSQPRSHMDDDVFDNSSFRNSSGLFVQGELGTAPCNTHLHKRVRKRRRAYRRAA TPSPPPTLRRQASSLLDRLKHSAMEASQSTFFSRFIHNENSSSSLGLAISEPVARSPL HNREMPPVPEQLSRSPSYNREIPPIPEQPSVPELSHPSVVLQPATYTPPTRLATPPRP TTPVKRLSPLLPATTFVPEGRIPSPEHVHPLRRSPPESASTQSTSSYHQSPESSPPKR SPQTPDPPHTPWPSAMALKPKPLNPAVRGVQRNLQRETHHQELVDDAEKRIHALRQGR EAILQRLGKQFTARSSAAASIGDRQVQREVKLNMMTGGSGMRAIGDGMNMGMGMQKGM MVPLMIFR VE01_01346 MAFTLAKFLTPILGPRRRRKIAIIGLYDAGVIDLLKRLCGTCDL VTKEDEDGRTWPRVHAGTKSSLKCDFNFVAVEVGGGGAPAADHLWTAAQFGEADGFIW VVNSADTCVLIEARVEMEKARKGRSLRGGLVQPGVNPKAPWLVLVDFKENPLSIAEAT RQAELVTVDAGDDLDWTVHAVSITTSEGLREAMGWLYQKVK VE01_01347 MADQTFQTAYFDEATPSPSTTSFTISADSPTDIWSKPGPPEVVT FNAPLIYKPIPLSKFQRARVTVTADWGTLYDQGGLVFILPSSVEGQAGKWIKTGVEFY SDEVYVSTVVKDSWADWSLVQAGIKDGKVVTLEMERDAKEGTLWIYVIDGEKRIPLRE VTWVLSEKEQEVWVGVYAATPTTERGDRGKLVVEFKDWELEVKN VE01_01348 MAAALAFATSVIAAPSNVSPNDLRPRATLEAITVKGNAFFKGSE RFYIRGIDYQPGGASDAKDPLADDVICRRDIAEFKKLGANVVRVYTVDNSKDHTTCMG LLADAGIYLVLDASTPKYSINRADPQPSYNAVYLQSLFATIDEFAKYTNTLAFFSGNE VINDGTTTEAAPYVKATTRDMRAYIKAKGLRQIPVGYSAADVSENRMEMAHYMNCGSD DERSDFFAFNDYSWCAPNTFTGAGWDQKVKNFTGYGVPIFLSEYGCIKPGPRTFEEVK SLYSTDMTAVYSGGLAYEYSEEGSGYGLVKIKDTTSVSELSGFNYLMKAFASTPSPSG DGGYTSSKGKASECPAKSSNWNVTTADLPTIPKKAAEYMKSDVGKGPGLAGAGSQTSG GDGISSEGTTRSGTGSSTSDTPGETSEAAANGLVAPIDFKVAYAGMVVLGCFLGGGLL L VE01_01349 MADVQIAPSFGAELKDAFKPVNSWVSQGISWLDDIQQFYRERSV IEKEYAAKLNVLAKKYFEKKAKKSGTLSVGDTPAMTPGSLESASLTTWTTQLTTLESR AAEHDRYGNELVQNVAEPLRNLGLRYEDIRKRHADYADKLEKERDSTYSDLKKTKAKY DAACQEVENKRKKAESAFDYSKAKAQNSYQQQLLEMNNAKNTYLIAIRATNKQQEMYY HEYVPDVLDGLQDLNEARTTKLNGLWSLAASLELGMIKRSTDIINYLAQEIPRNIPTL DTMMFVRHNAAPWQEPVNKTFEPSPVWHDDDSMIVDEPAKVFLRNMLNKSKGQLGDLR RDVDMRRKEVEGLKRVQQAVREGKDKRDEVVVWSQLLIAQENLHDSDHKRLNVEVETT TITTTIGDLTIGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCE MKVPAECPGEQSKDEKKKLKASRQEGGGHGKTPSVAGNGDMPSELPAPLSRSNTMNSL SSGYAASANRSVTRGGPQSPTAEPQLPDRSSKPAGTLRKARIVAPPPTSYISELPGSS ANGASGGLGVRSSEPRGKMLYAYDASGEGEISVGEGDEVTITEPDDGSGWVKVKSGFR EGLVPGSYLEMMPSPSPALSAARPGSTHSNSGSSVAGSVSKKQGPAVAPKRGARKLRY VEALYDYTAQSDAEHNMLEGDRFVLIKEDPGDGWSEVERGGVTKSVPANYVQLV VE01_01350 MSTPGSDQKPSGSLADRISQPPKNADAPAAAPATDETKAKTSWA DEVASPPAESPKDVDKAQVDGSTEHQGGSALLPAGEYEVEVKLSDIQGDTSSPLYSIN SFEELGISEQILKGIYSMNFKKPSKIQEKALPLLLANPPSNMIAQSQSGTGKTAAFVL TILSRIDYSLKQPQALVLAPSRELARQIEGVIRTIGQFVPDLVVQAAVPGSVEKGKRL EGQVVVGTPGTVMDLIKRRQFDVSKASLLCLDEADNMLDQQGLGDQCLRVKHLLPNLT QILLFSATFPEEVMQYARKFSPKANEIKLKHEELTVAGISQMFMDCPSEDGKYDILVK LYGLMTIGSSIIFVKKRETASRIEKAMIADGHQVAALHGAFEGAERDSIIDKFRSGEA KILITTNVLARGIDVQSVSMVINYDIPMKGRNETEPDFETYLHRIGRTGRFGRVGVSI SFVFDKKSFMALKAIAEHYGIDLIKLNWEDWDETEDIVQRVIKSSRAGTNFKGN VE01_01351 MDAGGLAQMSYNNFQTIGVPGSGFASRGKGAHIKRLSFEPTAAS DPANNGAPTPRTSRSHLLAGLRTAPKTTTGAHFPPSAPPTQLQHQGLDNSMYAESKNN SRSGYGAPKTSIGSSFSTQQQQQQPSYNRQMYALPEQVLAPPEIHIDEHGQEQMDPNL YAQLVATNMYLAEQQQRLQQQLINVQAAAQQFQGMSLGHMGRQMPQQFATPPVTPGAG MYQQQAKQNGQPIITPVPGGQPGLYSVYNPMTGQQTYYIDQGAQQAYADSHDIYTDSG SPPQQPGTPRFQVSPPSNNGITRSISPPKKSASPPQDHTPLPPPSANAFRRGHKKSSS LANVLTVSTAVNVDSGARTSVPKTAGYAPTPMTGTFGPGQGRAGEHPIRQPRGPPSLD ELRAKPTSKFEGSKNFATRQRRNAVHNLVRAGLERRRGPGSGSAGSISPISETGELTF ATSSGEESDSGRSGSGSLSGRPSLGSLRTSIHGAIGSDRPSSRQKNHSVDNNSVDTTP TTAGTSGDEGPAICSFAAAFKHGGKKPEAEQARLKAPMLVLSSVEKRKSSVF VE01_01352 MSGEPASAGEGSQNRPHRLSLTEASPSEPVLPEADPIERPHSAG EVPEVHARRGRVRFNSKSEVNDLRNSRVIFPLRDSAIDDTRLQLPAHTRKSSRSTGKD IEVPKKRVADNENPFSDASAVEVVSPAPRKPRPSVLRNNSASSFTEDMMIADDGYTEP TSEKAFSAKAAQERAKRVASLVGHSASSSRSLSAEGFRSYPPSPLPRKGKQDDIPLMD MDKYGYNDGGLDSDEDEDEESKERRANASTSEAHKLVRTLTGRPTSGHVRVLSTDSTA LESGQLTPTHEQDYTEDYVARPDQYRNGVLSSLLKLYNPQDGAANKQRTSSTGSYGAR SGFSTPGSSGATTPKQKNAKWYNQKNQSRETLAGLVEASSKLAAYGGNTPTGQSSKRP PMHGRTHSGGFTQAFHRISRPRLEDEIKITVHIAETLQRQKYLVRLCRALMAYGAPTH RLEEYMRMTARVLELDGQFLYIPGCMLVSFDDASTHTTEVKLVRTHQGVNLGKLKDVH EIYKEVVHDVIGVEEATHRLDSIIREKPKHNRWLLVFVYGLASVCVGPFAFEANFIDL PISFILGCVLGFLQLIVAPKSDLYANVFEISAAVVTSFLARGFGSIPYNGGRLFCFSA LAQSSIALILPGYIILCGSLELQSKSIVAGSVRMVYAVIYSLFLGFGITIGTAMYGLM DSNATSATSCARPMNQYARFAFVPLFTMCLCIINQAKWKQTPVMLLISCSGYVVNFFT SKRFASNAQLSQTLGALVIGVMGNLYSRLRHGVAAAALLPAIFVQVPSGLAASGSLLA GLTSADGITNTTNKTIPVSKFLQLLPADYRAANGDGGGKTTISFLIGTGSALVGQEKT ELNSIVFNVGYSMIQVAIGITVGLFLSALIVYPFGKRRSGLFSF VE01_01353 MRLTLASVGLFAAANAAAVATPQVSNPDPWQQYKAYCSSSGGGP GWGGFLKIHSAFSKLWASTPAGFCVAADNWACVQCDDVYMYFEPQLYKIPSTCYTPNE FGAVATLFNDVARNGKSDCTIGVKDGLWGYGLVSQNFEVQDRGCLKRC VE01_01354 MGGQYAPRQLYYNGSVQKATSSKTFQSIDPSTGTPLAEIHVASN RDVDAAISSATDAFKTWSTTPAIARARILLKAVQILRNRNDEIAKVETQDTGKPFSET STVDVMTGADVAEYFANLVGGGGLNGETAQLREDAWVYSKKEPLGVCAGIGAWNYPIQ IALWKSAPCLAAGNTMIYKPSEFTPMHGQILAEVYTEAGVPAGVFNIVNGAGDVGGYL TSHPGIAKVSFTGQVSTGKKVAGAAAGNMKYITMELGGKSPLIVLPDSDLENAVDGAM MANFFSTGQVCTNGTRVFVHESMKSAFEKRLVEKMQYIRAGDLWDMNTNYGPLVSGVH REKVLGYIKHGIEVDRATLLYGGLGQPSVPQGLEKGFWVKPTVFTDCTDSMKIIKEEI FGPVLCILTFSTVDEVIRRANDTPLGLAAGVFTKDLNLAHKVIGKLEAGITWVNTWGE SPAEMSVGGWKQSGMGVENGRRGIEAWVRNKSTLVDMSGASVTVYSKL VE01_01355 MSTTTHLAQSDVDSFDYIIVGGGTAGCVIASRLAEYLPHKKTLL IEAGPSDYMDDRVLLLKDWLKLLGGDLDYDYPTTEQPMGNSHIRHSRAKVLGGCSSHN TLISFRPFEYDAKIWESQGAKGWSFETFMRLIDKLRNSIQPVHNRHRNQLCKDWVNSC SSAMDIPVVHDFNRKITDESALDGSVGFFSVAYNPDDGRRSSASVAYIHPILSGKEKR PNLAILTNAWVGKVNVTGDKVTGVNLALQSGERLVLKAKTETILCGGAVDTARLLLLS GIGPAKDLSELKIPVVKDIPGVGENLQDHHESIIIWELNRPVPQNQTTMDSDAGIFLR REKPNAATEGGIPDGNIADTMMHCYQIPFCVNTARLGYDSPDAAFCMTPNTMRPRSRG KLYLTSADPNVKPALDFRYFTDPEGYDAATIVFGLKAARKIAQQEPFKSWIKREVAPG PNVQSDEDLSEYGRRVAHTVYHPVGTTKMGDVKTDEKAVVDEKLRVRGLKGVRIADAG VFPSMVSINPMLTVLAIGERCAEMIAEESGWRMGGEVARL VE01_01356 MSGSPLKPPRHDNDFDGRGRGRGGFGGQQPPQTRTHAQQLPPQT EARDGAREPPSATSHNPSMAMQYSPYQPGGGMPAEQSPYQYPPQPSAQLPAPPLPPYG GFDQPRPANYPFQMPERPEPASAAKTRKTKGHVASACVPCKRAHLRCDAQRPCSRCQS NNKEDACIDMVHKKRGRPRLRDDHNTRLDQPVHPQPDPLHRRSAPHYPTNSPPSEPHT RSSSSYRVLKSQAPPHLPRYLDHASPSDANLFPPPATARPHDPPVLYLTPSLRIARAS PAFSHATGIPSPVARSLHDILAPASRPSAARLQHIFDDLRQTREPHYLPPIFGSGEES RVIAAVGMTEEDVARVAVEAREVLGFQGAGGEVRAFEVGVGLGRREGIFYVVLRLLGA VQVQTQTPMQTQMHMGGGSGGAGGYRGDPMFGGQQQGLGPQQGHPQMGYQPVRTAYGG GQGQVMGQGQGQGQQSLGPSQQGFAPMQSSQGQGQSQTQDQAQAQYVPPPSSRGTDQR ALLLPPIRMRESEGPSGAPQGGGRRRSSRVDIGGLIEGPEGR VE01_01357 MAPARAQKVPVIPLAADTVLLPGNGLRIPFAASRPDIPALLSQV YTRASGKPASQRYHNAHVICVPMNSPLLSSNGQKLLTENELKDKDGKASKYKIPDPAS AKKDDLFTWGTAAKISGVEGRGTGEFSLIVEGVSRVRVLRVTQERPFFEAEVQYETDV APSPKDTEVQAMFGTLKTLSRELLALLRLTSLLPRLSGQSNLTPMLARRIEMFIAKKD ISEAGSLADFVSNLVEATLEEKLQILAAIDVRTRLERAIELLNKQVAAIKGNIKITSM TSSTVPMNGEIDLLNRQRSRTLNRIAPMPGIPGSGQGPPQDDQEPNEVDELEQKIEAA GLTPEAAKVAGRELKRLKKMPQQQAEYNVIRTYLENLAEIPWSAVTEDKLGADTLKRA RKQLDDDHYGLDKVKKRLLEYLAVLRLKQSINDGVEAQIKSAEAEATELAKSAEKPED AAEAEPKKEVPAVDSSKIQILKSKRMVDKSPILLLAGPPGVGKTSLAKSIATALGRKF HRISLGGVRDEAEIRGHRRTYVASMPGLIVSGLKKVGVANPVILLDEIDKVGTSNFHG DPSAAMLEVLDPEQNHSFTDHYVNIPIDLSKVLFIATANDLSTIPAPLLDRMETIQLP GYTTLEKRHIASQHLIPKQIRTNGLDLTNVIFPDEVTSKIIESYTREAGVRNLERELG AVCRAKAVAYSDALDSSALTSYNPVLTLAEIEEILGTEKFDEELAETRARPGIVTGLV AYASGGNGSILFIEVADMPGSGSVQLTGTLGAVLKESVEVALSWVKAHAADLGLAQPG ENIMKSRSIHVHCPGGAVPKDGPSAGMAHAIALVSLFSDRAVPPSVAMTGELSLRGRV HPVGGIKEKLIGALRAGVKKVILPEGNRKDARDLPDEVKQGLEIVHVRHIWETIRLIW PETEWRGLAEFADVEARI VE01_01358 MAEEGWAKEKPASSGKTEEAPKVEKIEDDEAAFDALEAEQKEFI KDAEIDRILRAFRLDAYAVLDLQPGVPDSDIKKVYRTKSLLIHPDKTRNPQAPDAFDR LKKAQTELTDEKHRERLDEAIADARMLLMRERELTIDSEEVKSDEFAKSWREKSKMVL IDNEHRRRRQVKAQMQEEGREQKKEEEELEARKRKREHEQDWEKTREQRIGSWRDFQQ GKKSSSDGKKKKKMKVLG VE01_01359 MATSYGYGGSYTTTSYGAQGGAEGGGFMGGGSQAGSQDTPGGSK TYGKDTLRPVTIKQIIDAQQPHPDAEFKVDGHEMTQITFVGQVRAISVQATNQTFKLD DGTGLIEVKVWLDVDAGPSPTISSIKENTYVRVLGRLKAFNNKKHVAAHVVRPVTDFN EINAHLLEATYVHLYFSRGPPESLQAGGGADGGAGGGLFVDQGDGAGRAAPAAPGGRQ LPQLSAVAKKVFNLLSTAPQNNEGLNVQYISANLGLPMNEVFKAGDELLGLNIIYPTM DDETWMVMEY VE01_01360 MTSSKASSTITEQKCSTSPDNETVRVIDISEYVGAAECLAKAFG VDALCRYFVDAEDTKDYSEERKWKLHSDILRYMVAAHCYRGFVTTIGPNYEAVALWLP PGKGIDDWWTILRSGTWRLFFLLSREGKARFYSEFLPLLHYTKQRVLGERDGKSYYLD YIGTKPSARGKGYARKLIEHGLAMADAEGAPTYLESTAAVNVPYYEKFGFQYIKEVHL QRDEKPVSLSIMVREPKTNSGVSQPTPKQKCSVKIVELVV VE01_01361 MAAETSTTQVEAITADNILRLFPDIDTSSAAFEGHDEEQIRLMD EVCIVLDENDKPIGNFSKKICHLMTNIDKGLLHRAFSVFLFDSQNRLLLQQRATEKIT FPDMWTNTCCSHPLGIPGEGGAELAEAVSGVKNAARRKLDHELGIKPEQVPFDDFRFL TRIHYKAPCGDGKWGEHEIDYILFIKADVDLNPSPNEVRDVKYVTADELKAMFKDSSL VFTPWFKLICESLLFDWWAHLDAGLEKFENESEIRRM VE01_01362 MGKSNKRAKVKNHPNPIAKPVKPPTDPELAAVREKQILPVINDL KSPEVSRRSAAAIAIANLIEDTKCRKLLLREQVVGILLEQTITDSSLESKSAGWGILR NLALEEEADFSIHLYRQDILTPITAIIQMIIETIESKENPIAKLPKAQQSLLWTLSSS VVGLLTSLGEAQEEIVEAISNLPSILNFLFGLLNVPAVPGELFSDILTCISTLTEDNK VLAQQIVGNESWLMGLMQLRELAGPRAVPACAVLHNIFTCLEWFDHNTPMEGASDAIL IPTLVQCMSQVQGEDNLSNGSSHLNPDQILQLALEITASIATSLQEALEHGAKHEKEF EGFDDKTDGDDETMADDINDDASDDEDEDELNEEDEEEDEMTIAEIAEDMDRVIGDGS DDEDDSEDVPPTLDALIRVASPFLLRLAQTDNEAVQSGAISALNNIAWTISNVDFSTA SGRLKKSWAPLAQQIWSEVVTPVLASNTADIELASSITSLAWAVARSVQGQVKLSGDE HRKFMALYQASKDIPAPTDTEGVDPFQGLGVKCVGVLGRLALDPAPLALNRDIGVFLL TVLSRLPETPAADAVEALNEIFDIYADKSYACDEVYRNDGFHRHLEELKVKVTKAAKG VDRRKDTELRSRADEAGLNLTRFLAYKKREQKN VE01_01363 MSLPGLGLLAAQAGPSSVPTSSTTAAPVVHDLAANSEWRFEVEI GTSIEVKILSGSAELFGTELAVKHAYTFNGTKAAIFTYHGCRIEVTGQCEEYTAEESP MTQYANLHFALENLRQPGQPEPRVLVVGPNNSGKTSLVKLLTAYATRSGKQPIVVNTD PGEGMLSIPGSLTATAMSSVIDVEEGWGSSPTSGPSSVPVKLPLVYFYGLKSPEDEPK LYKPVCTRLALAVTERLADDEAVKKSGVIIDTPGVISQGKNGYDLISHIASEFTVNVI IVLGSERLHSDMLRRFSNATPTPITVIKLDKSGGCADRDDAYMSAFRQSQIREYFFGS IKRTLSPHTQTVDFSAVTVYKVHEQSGMMSSFLPGGEEEVESPIFDRVEPSSQMLHCV MAVMYAGTHDSLEVIRDASVMGFVYVAEVDDKKRRLRVLAPLSGRIGDRPLVWGSWPE ASVSLI VE01_01364 MGSTERNTVIDDNEDDPIQASYDVYIKPQWEDGRQLYILQFPNR PSREDYSSTNASLPIELRLKPKAGLVELDVPLDPWTNYDRTKGVQWGEAMRKSNAAKS NTGTAGGQGGSHGLAGGFGIGGVAPGPGRGRQVQEDTLAVQQEILDDFSGGITRQRVL TKQTLGGQVVPKESASPNYFIGAFRKGQLHLSPVNEIVQMRPQFHHIDATAELDRLAR PRAEAGPAGAGARAIHMTVKTTGEGEEDGQDSMAERIKNAQEEKWQKMRYIDDNSDAA WEMFEELFAQDTENLPKLESGIDDATYLDTISAPRDEARLSRAKLVENE VE01_01365 MCNSDLFLAFIAILFPPLPVWVKTGLCSADSLINILLCMLGFLP GLLHAWYIIAKNPELESYDALPQDAEAGSRVTYIYVQTDGSASRQQQQRQPQGYGTVK PMGAPQLQQHQNGTWGQGSQPEEGEGSHPAPPTYAQAVTGATGDHKVQTHD VE01_01366 MSYIVPIHRPSSVRHALSLNLLDPDSTCLVVAKSNRLEIWTPTP TGLQLAHTRTLHARITMLAAIRPPTSRTAHLFVGTARAHYFTLAWDAATRRLETVHAF VDASEKHMRGASEGEGCAVDPSGKQLCLSLFEGVLSFVKVMKPRKVAAAGTYLDDPEQ IRITELFVRATVFLHTESTSPKVALLYQDGRDRVGLATYRVTDGRGQYGGFDPRKERE DELEVEVGASHLIPVPKGEGGQRRYVVRNNASAKAQLGGVIVVGETRMLYLDDESKAT LEHVLDEASIFVAWTAYDGLRYLLGDEYGWLHLLTLVVDAEVVTGMTMKKLVRISRPS TMVCLEDDLLFIGSHDGDSQVLKLDMDAKAAEVVQTLDNIAPIVDFTVMDMGSRSEEA RANEFSSGQARIVTGSGAFQEGGLRSVRSGVGLEDIGLLGEMDNVKGLYTLQTNNPEF HDTLVISFSTETRVFRFDSAGEVEEVEEFLGLSFEEHTLLAANVSNGRILQITPSKAL LIDSESGVAVASWQPGPGEIITAASANENYVLLSADGKSLISLDLDNDLSEIARQDFG DTDQVACIDVPNTETPIGLVGLWQSGSVSIIDLRTLQPIQGDTLRNNDTAAVPRSMVL AQILPKTSGPTLLVAMSDGVVHSYSVTPCTFALTNRKSVVLGTQQANLRVLPRADGLM NVFATCEHPSLIYSSEGRIIYSAVTAEDATFICPFNAAAYPDAIVVATASEIKISQID TERRTHVRTLPMGETVRRVAYSPSEKVFGLGAIKRELVNGEEVIESSFRLVDEVVFSE LGKPFQLGTSQGEELVEAVIRAPLPNTYGTPQERFIVGTSFLDDDAALSYRGRILVFG VDSSRNPYKIAEYKVKGACRCLGVIDGKIVAALVKTVVVFDYTELSGTSARIEKVASY RTSTCPVDLAIEGNTIAVADLMKSVSLVEYRAGTGGEAPTLVEVARHFQSVWATAVAH IDEGWLEADADGNLIVLRHNEAGVTLEDRKKMEVTGEFHLGEQVNRIRKIRVDASEDA TVVPRAFLATTEGSLFLYGSVAPASQDLLLRLQQRLAENVETPGNIPFATYRSFRNAE RETEEPYRFIDGELIERFLDLDEERQDVVCKGLAKVEEVRDLVEGLRRMH VE01_01367 MAFNIRTASVLRRQFGVVQVRCFSASAARDAQYGFIGLGQMGYN MAKNLQKKLPSTDVLLIQDINAEATKRFADEVAAAGGGAQVKIAASPAEAADGSETVV TVLPEPVHVKGVFSQVLAQPPSTGSHKDRLFIDCSTIDPATSKEVANTVTATQQGNFV DAPMSGGVVGATAGTLTFMLGAPSSLLQRAEPVLMMMGKRVLHCGDQGAGLVGKLANN YLLAINNIATAEAMNLGIKAGLNPKVLANVINVSTGKCWPSEVNNPVKGVVEGSPAGR DYSGGFGISLMKKDLGLAIQAANEAGAQLELGDKANEVYDLASKDERCKGKDFSVVYR YLGGKE VE01_01368 MSSTRHLPEKRNALLMVENAPKYESLVERRRLGQTDLQVKAKQL GTFDYAHLRAPLPKNVVSGIFKPAPASYFLMRRSNDGYISATGMFKATFPYSEVHEEE AERNYIKSLATTSPNETAGNVWISPTHALELADEYGIRVWIKALLDPTPIVVNPKGDT TPKKISPPPTFLLAPEDLAAPTGSSGRSGEALRRSRRSVSPSKIASPLKKTIATPRKL KQQKAASEESASASSKSLQQALKSATKPEGSPSSSVAEKEDETASPEPKRKSKAAKAE VAKASAAKTDAEKEPKVIVQVDKEVIVEGDVETTHTHVEVEMPAGFAELPLPEDTEAM IAKAKEMVEAAVKADEAAKEAAEAEAGPSKPAAKKVKRKAEEEAEKENEGAAPAKRAK VESDLKKEKVRARALIGISATLAIGALIPYVMGAF VE01_01369 MSFSNLAVTIPRPGIDTDPSTQRSSSHASIPAPAEAAFITQFGA HLPSAQYFISDNASTAIYELPPPTVSLLHAPIERVLIVHGAGTPALGMLPLAKALQAS SPNLHIVLYDHFGHGLSSTPLVPHVPALFHDQIIQLLRHLKWPSAHLLGFSFGGSTIT SFTALYPDLVQSLVVVAPAGLLRSATLTEEGASYLKGGAGVDEGVATDWIVDFVSGGP LIVSPDWKANFARGEISGEPIQVWEREYHKGHIPSLVAIVRDGGVFDMHQHFKKVSQS SVRRLAVVGELDDFCTEQDLRTVGFKDVVVVPRAGHGLVRQRVSEVARPIIEFWRSL VE01_01370 MPNSSSSSAIRASRSDGSLGLQTAKIPSPHSIAAILSPSDPPST IDTPEASTPKRPHAEAFPEADTEFSHRPAASPPSRRRLSDTTNDTNTNTNSTPAAGVH THTHTHRPEPPGARHDAPRHVDMHDDPDDKKPLPKMRSSIACYRCRRSKIKCQNNGVN TACRACANQSRECTYPEPSASQQANKRPESTIAARVDGEGGDVKRVRKRESDAVRKQS FRQSDDPLESPPITAKLWKDVYTTFMLHCSTELPFLHEEVFHTRVHQPAAERSADTQI FLLGMLTLTARFIPDLVAYHSQSDPSDPLAASEFYAEAFAARLDAPALTGQPSLERVQ GLLMISLYHWGMCRGQRAWMYITIAIGMARTMGLMFEEDSRTSQKPALIEEARQLGVK LKVAEAGAAPDEQTLIQREIKRRTAYSCFILDRYQASGRYRPQIINIDDLHVQLPCSE EDFQFGVDVKTGSLKDTPRSPDSRDGSRTISSTNVLSIYIRLVEIWGRFSRWSCRGGR REEQYPPWDQRSEFYKLRQQLVAFHESLPPKLTFSPTKIAAHIASKSITLYTAIHTLY SLCNIVLHREYIPFIPIRSVGPSGPLDEPTFPPDKYDIPEGFWDESAELIFKSARDIM DIVRVTSDRQVMVESPQVGFAVWTAAFVGIYAVHFPWMDKNSYMSNSPTTLDHNPTYP TGRHEATDLAVKTLNLMLPRSKMACGWSVWIKRMEHYFVNIKKDHNRSIRALGLPSSE HQRREAHAKELSLREGGHGGGLEEYKLLEKELKDFGPSLEQDRYDSPDRISPFSATNG GSRPSTHIKAEPQPSGHARSASRNGNDNGWVTVNTTAPPSNGSVAEEYTPSNKGYVNH HASPKNTATYYPQNSTYAAATTLNSMYPPPANGTTNSTPYDHPSQSSPETYKPGPPAS TSSGNWASPKPSPESAFRRLEEISMHGGKDLEIFGTGMDMETDIWANGYVMDDGMGVN FMQAVVWGPQ VE01_01371 MTIPTTPPPEVDTFDKSHTHDDPRHARLTVPSKATDAHATPPSP AHSTLDFIDIIDIRRDALGSSLDLGRDIMAQLAPARGPKKMPTLLLYDEKGLQTFEEI TYLEEYYLTNAEIEVLERNAEEMARNIQAESMVIELGSGNLRKVSILLNALEKAEKSI HYYALDLSKRELERTLSSVPRFEHVVCHGLLGTYDDGLEWIRSGCNASWPKCIMSLGS SIGNFNRGDAADFLKGFADMLRPSDSMIIGLDACNDPAKVYHAYNDSLGITHKFILNG LDNANSILGEHVFNTNDWEVIGEYVCDKDGGRHQAFYAPKRDITIRGVFIEQGERVQV EQSLKYSQAESEAMWAAAGLKEVGKWGATKEPYNIHMLTKRAKPFQLHPSQYALTPTP TLEDWRGLWSTWDTVARGMIPNNELLAKPIKLRNACIFYLGHIPTFLDMQLSKSTGAP LCEPSHYPQIFERGIDPDVDNPDNCHAHSEIPDQWPPVEEILEYQAQVRRKVEGLYAS GASEASRKVGRSLWIGLEHEIMHLETLLYMLLQSDNRMPPPRTVKPDFEERARRDAER EVENQWFTIPEQEITLGLDDPEDNSGDGHFGWDNEKPVRKAHVRSFQAKGRPITNEEY AIYLDATDNEQLPASWTRQHANGDLSAHTPNGNTNGYTNGNGHANGNGLTNGNGLTNG NGYHSNGYANGHTKLHPSYISNILVRTVYGPVSLAHALHWPVSASYDELTRCAKWMGG RIPTVEEARSIYSYVDGRRLKEVRNARRVPAVNAHLVNNGVEESPPLRHPADSPANPH SALFTNLEGANVGFKHWHPVAVTADGDKLAGQGEMGGVWEWTSSVLERHEGFREMELY PAYTADFFDGKHNVVLGGSWATHPRIAGRKSFVNWYQRNYPYVWAGARLVRDI VE01_01372 MGSMAFDLYNFKWEASPGLEHHCGICGKGLSNPRSVNSCIGVHC EPCRRYHQQLHFIQKAHECKSCIRADEMHHRRHRSIAMLVKDLEANDREVATRATRTK TKNAKTTPGMGHDEYIRQGGMSKTVNENVENLDPTRSVNDEEPDDDDAYDDHIFGKGE TEPFISMSNSTTHIPTPSTPKPCSSISSFSYESSPVISAKKKDLKKARRTARLTNNNA QSIVSNDFLEFIAEALHGVKIMDIGRAVFEEEGEDIGANQFKNEDLMRENLGFQTFTR NSSNVRHIKDRWRKLGPGGKAQELAGPPPTAPGFPNPKFVNINESTRFDVNFFARLGV KYESKGDTSRARGEIVLKLANKILEDMTIIGREEYETQIREAGFWRFVSRPAAENLQE GHQNFSWATGEYRKRRRPGMLFEGNAETGLGINAAGGPGFGAGDGRGQVIRNNENDSS DDSVPNTPSLVGSAFETQAEEKETPQIKTPIILKFTNFDTGKKEVLWTATPSKVLSSP KILPSVEPKALSTPKASSQPKLLSPPDVLFSPKPSIHSKVPSTTETPFSPKVSSTPNT FLSPEVPSTPKALLPPKVSPSPQTLAPPSAWSSLKQKKMRPTTAVVSPGNQKHADAIN SFRLVKIEKKHVAVQLKHESPCKLCRGQSAPPSERAQLEADEDNSHDDEDVWEVVRPS LLNVRAKNPYDLLSIVEEGSDTEDSSSEEDSDTN VE01_01373 MADTAIIASAPSRHEEQPAHTSLPTRTLSKEDIELAEQLVDHSQ GLRENRDRIANGRHENDHSYEIQPTNGSNHTQDSYNRQQQPTPTPTAAERKQQEGSYS PQAIINPDNIPNGQICSNCGTTSTPLWRRSPQGATICNACGLYQKARNASRPTTMKKA HGANTSAPSQNREQKGPSPGYAPTHYSTATATYVTADQTSGGSCPGGGKCNGTGGASG CGGCPAFNNRVSKTANVTLVRSGQGSSQPPNDPAADPTAETDINALQNAGTTVIVACQ NCGTTITPLWRRDESGHTICNACGLYYKLHGVHRPVTMKKSVIKRRKRVVPAAAGGMS YEMGSPRTAVSPESDDSNLPNDEAAPKGALNPDGSVSLGFRRRAEPSRNLPELASTLR GQNGHQQQPTTANDLTSYASNPHAHAQHDPSSLTTDNRLPPIAAYPSPNHRPSSLSPN FLLTPNRKRSFSTAESEAAPAGDQSQGRLSSIKAILNPAQQASEEALDPSLRQSRSPR DGPASVAQAGNDTEADADRERRKRERREMLQLEAERMREDLRAKERELEELY VE01_01374 MDFSDIFRIVNLAIGALMIAGGISQFFGATVTVQSIIIGVYVII FGLAIGALEFQIPPQVSRYASFLFSFLGRGIFYIFIGTILFHDHVLRYIIGSIIGLVG LGYGALEFIPSIEPPANMREADAGWGAEQV VE01_01375 MDGLLINTEDMYTLCANNILEKYGRPYLPWSVKAKLMGVPGSST GDEFHRWAQLPIPREQFKREQKEQQRLHFPHCKPLPGVETLLANLNSAHNVDGNKMHI ALASSSEKNNYELKTSLPETKEIFSVFDENRRILGDDPRLQKGRGKPAPDIFLLALQV INESLGDGEKAIKPSECLVFEDSVPGVEAGRRAGMRVVWVPHQGLAAEYEKRDKEVLA GRTGLVPIGDEWQLGNVDDGWAVKLVTLENFPYEEYQIQADS VE01_01376 MAQHADGHSVATEEPREIKPDSVIQTQLIILGCFPLAEGVAWAT IFPYIYSMVKSLSRPESSKDNIAIYAGLMVSVFTFGEFVEAPLWAKVSDRIGRKPTML IGVVQTFVGELVSKKQEAKGFSTVSFLCGLGTIIGPVIGGYLAEPVKNYPCLFHEGTI WDKFPYLLPNLVVVMFLLSSCTLALFCLEEVHPEFRDQVDIRWILVSRIRNIFRGTGW SSGEGTYTSLRADETDVEAPAVPASPTEAFEEPGVKPPSAFTRQVKLQILSVAIQGFL KISTLAIVPVFLATPSGPDQPLNLTRGSEVIRGILGVKGGFGLDTMNTSNVLLSQAAA AIGGQILVPRIIDRHGALQSCRAVFVILMFLYYLLPFTATWST VE01_01377 MANIVVVGAGVSGLTTALLLSKNPAYNVTIVAKHMPGDYDIEYT SPWAGANFSPHTNDERDSLVLNRTKDLESGAAMSLSADLNPWYKDVVPDYRVVPLSEL PPGYDSGLRHSSVCINTAIYLPYLVGQCLANGARISRAELSHIADAASLHHSGKPADL VVNCTGLLASKLGGVMDAKVVPVRGQTVVVRNEATPMIATSGTDDGPDELCYIMQRAA GGGTVLGGTYIVGSWEAAPDMETAARIMKRAVEVCPELAGGKGVKGLSVVRHGVGLRP VREGGVRVEKEKVDAVWVVHNYGHGGWGYQGSYGCSQRVVELVGEALAA VE01_01378 MANNHAGEFPLLAAHNLYAADIKGDGNCLFNALSDQLYGDQSEH NKIRARVIEYMREHAVYFKQFIEVLPGGGQRRNPKRKNANVYSTPTTFTPPTQEEIDR VFETHLGSMARGGTYGDNMEISAFTSVYKVDVKIYQRDFAYMITAPDDGTVHPVAHIA YHTWQHYSSIRNTDGPYTGLPNVHEKPLTPEEEAANQAAAAQMPQVFPWMINVVQQSL PYLTDEETIKRILESHKGNIDAAVCSLLDAEDGASISSQDGSASTERDPDSDDEDLSA PNKKQDRRMSRATKAVRKAKAEERLAQAIEAAGLVSEADTTGSSDHGVPRESSPTGPP RRKLIPKSRLKHKTGEEGTSDSASGTYSPSCSSVASSASPSRSSSIGPILAPKNNIKL VVKPPAVVPKRITARERGDLKKAAQKAARKESKRAAAAGAANRVDVKSETPTSAPAHT PSPPTELGMGIRTLYI VE01_01379 MGVHNVQNKAEFQAALKEHDIVVLDAFAVWCGPCKAIAPTLVKY SEQFTNAHFIKVDVDDVPDVAQELGVRAMPTFILFKKGEKVGEVVGMNPPALLAAIEK LAGPTAAA VE01_01380 MTIWPFGRKNSRKKSSLGLKTSAAEPTTMDRVRSREAGRLNGSE VGAAGELSRKRTGRERRRRSRESKKLQRDPPKRNYSYSPGRSENLGVRRDGRAHPPLP DNAVAIVARSAFAANGRAQTEDMGRVPTLHKRTAQDLNRRKSSKKRKEDHDRAAEIKA MSKFMPTPVRAATTPDWSGSPIRKDTKKLHGINRLFTSTTSDVSLPIPDSLRSSMSSD SERFASYELKGMDLFTPRPTIRYSENPKFKQYESGVGIERSNSSKRKRALEKVPVPEE KPAYRKRIDDLADDLDAGALRELMERDNRRREQKRTSEREAMAQRVARRKERQKAEEN QAIIHGNEPAKNMDRGVMGRELMGLGIEGQTPVEAAAQQSTEPVTTTDGAADVRNEAP ETAAQNVPAGILVNGSPPSPDLESPGSEQSEPVLATAQIARLSRATMSPPPSLQAGHH RNASSVSQINDLPTPPKATELPMPIPQVEHTPAELSKQDTSRTSFDTGRSSSKQQSSW KSWFKRSSKEKRSSIQSSFSNTTRESTVAPPPATIIYAIPIVHPPGPKRTMSRFREDL PELPMSPPYSRVQSPELDIMRVQPIERSLPMNITSGRKSAEPSSQMQLDTSSGHRTHL RYETPTRGHPADMPSPEPLNALSQSLASIDSEGSWLSGRARANSKRSSRQIPLTQSRD SHSSLMKRYRDLSDSAEELGIAEDEYYNRLTPGPDDMYNKSNMANRISGNPMPSSDEE DGESLGSPVSKSSKWGEVARTPKIVHREPRAASRQGRLNDFQDYDSGDDGKLDSPLSP PKDGEDSETAESPVTPVGGGGLERATSVDYKKAHMRAVSAGSARLLDLKPRASGESKR TSIG VE01_01381 MDRFVEERPADGFCKSLDYGMLVKRSDGMLDCYVNVHELARWFK IIIDFPEAWRLLTVQ VE01_01382 MEQPSQKPETKRKHVTTACVACRESKVKCNGATPTCSNCLSKGK ECRQQAKEDKRKLSLRVAIDLLSKRVQQLTQFVSDHGLDIPPMPLDDDKTLSGILRTL GLPQPIPAQTRPDGENGAIQGSPTTQCPDQRIEPPGGAVAQGLNMHEMPSSERAGESP LPAAARGLLELANAAGDIGGIIKTTASWDWDMAAEAMSQGQMVHPDYIPEDTALQGFS GNVSTPQQSVSGGVPSCPSLEDDDISTIESVDELVDQLSDRVGTLHIKAGGHIRFYGS TSNFNLLETPASDVNMNVHRTIRNDGTELLDRLGVNKKVPIDLEDHLINLYFTWQDPS FHAVDRKMFDEAKVIWRDKGEDTAYYSEALRNAICCLGAAFDARHHPAFVTFPRSLAD FFADRAKALLEIELDMPCVATIQTLILLSAHDIGCGRDSKGWLFSGMAMRLSFNLALN QDLKPYIAKGVVTAAEADLRRMVFWAAFVVDHVWSFYLGQPFRMSMKGVTLEKAGAKA YLGLPGQWSPYVSPTMTQTFPPMVDCVEEVCTYQVLLFEAMAPLSDAFYGSATTSKSA LHELNSQIVTKLQDWKENLPVELRVDLHNHETTYLPHVILLHMQYYQSVIYAYRPWMS KSQVQPQWGPGADHARKMCIDSASAIARLLHLYEERYTFRRINIQAVAITFSAALLLV FATVIHHQRERGDEILADLSVCFRALDELAPSWDTAKRARDFLIRLQRHWERRARSNS LAAARDDAGSMSSGFSVSRKRPRPSVRPNDEPSVRPNDENNWADNGMAAANFGIDIDF DWMLATSMEGMPGNWGNVFSVQ VE01_01383 MADQQNPATAKDGLDRNPDKFLSRSVYDLISLKGRTIVITGGGR GLGLAFGFAVAEVGGNVAIIDLLDEPHHHFQKLETEFGVKVKLYKSDVTNHAGLQVTF DEIVKDFGRIDGLITAAGICPDEPFMKRAPESVARCMNINVLGTYYAAQLAAAQMAKQ EPTDFNPRGGSIVLIASIAAHVASKGQTTSDYCASKGAVLSLAKALGVELAAFGIRVN SISPGYMLTDMTIDLCDRYPWLADIMTNEPPMRRIGDRTDLKVPVVYLLSDASAYHTS DDILITGGIHAGRLL VE01_01384 MINQILENIVPSAPTKKPLDAQATGWRENLDDDDRAVIALRNLI FDICNQNGGGHGGSAIGMAAIGVALYKYTMRYNPSNPEWFDRDRFVLSNGHTAMFLYA LNHLVGYDAWTMDQIKGYGSAKMNGYSTMCHAHPEIEEPAVEITTGPLGQGIANAVGL AVASKNLAARFNRPSFDVVSSRVYCMTGDGCLMEGVALEAISLAGSLQLDNLVLIYDN NQVTCDGPLDWINVEDVNAKMRACGWHVLEVADGSYDVQALVSALRYSETLGGKPVFI NIRTVIGLGTSNAGTYKAHHGTFDAESVALSKRLAGQDPSMTHQISPAALEYFRERKQ RGQKLQGEWDDLIRSYQLAHPDLAKEFHMRQTGDNGDEWRKMLETIDSEAFRGQATRE VNGSIIESMWKAHPALFGGGADLVNSNKVPYEASEVFHPSVGYKGRYLRYGIREHAMA AISNGIAAYNPGTFLPITATFFMFFLYAAPGVRMGALCHLPVIHLATHDSFAEGQNGQ THQPIELDSLYRAMPNLTYMRPCDPEETIGAWLLALSKTTGPSLLSLGRDPVGPVPNT NRLKVAKGAYVVKEADNAQLTLASCGTNMHYAVAAAESLSASGIPTRLISAPSFDHFD EQDRAYRESVFPLDGTPIVSVEEYVATTWARYVTASIGMTGFGYSASNASNYDRFRLD AKGIEARVRDYLKDLAGGDARRAGWRAI VE01_01385 MSNSTIISSEKSRGQYLHEAKALKLESRELASLASDEVRIAIRS TTICGSDVHYYTHFCNGSIQVREALCLGHEAAGQVVAVGTLASQARPGLKVGDTVALE CGVPCEQCAHCRSGRYNICPELRFRSSGSKFPHYQGTLQEFVDHPACWVHHLPPELDF DAGALLEPLAVAVHAVHRAGPDMISHRRACLVFGAGAVGLLCAMAARAAGCQDIVMVD IDEGRLGFALEHGFASVVYAVKPKRGETLEDKLGISKATATEIGELKWPDGEPIGKMQ RTFECTGADSCLQSSIYATASGGSVILVGMGIPNHTLPISELSVREINLVSTWRYADA YPRAIEIAKASITRSPIDGKTLPDMSHMITHRFKGLYLVQDALEMARKTRDADGKLVV KTVVAL VE01_01386 MSAHGLDAIIIGAGPAGIAMAYRLKHELNFHDFLIYEKLNGVGG TWRLNSYPGCGCDLQSHLYSFSFNPNPNWSKQLCEQPEILQYMEDTVDKFNIRKHVKS SIECTGAKWHSDIAKWEVFVKDLESGLEYSRFASIFVSAVGAISFPRNVKFPGMENFK GDMFHTAHWDHSVSYKNKRVGVIGNGCSAAQVIPAVAQKAGFVKQYARSGQWFHARPN RLNTDFDKFVLRWVPLWQRLLRLSIFLEADEETTTYFPTPKGLKARIAKEKESKEYIQ SKAPEKYWQHIIPKFPLGCKRRIFDPGYLDALCLPNVEVLPEGIKEFTETGIISSSGI QDDYDIIVLATGFQVSEFLTPMEIFGADGRSLQQQWQECRGAQAYLGTYVHNFPNLAI IFGPNTFPANNSALFACETQVDYAIKSLFTPLIDRWADVLEVKQTVEDYTTNAIHEQL NNTVFAGGCSNWYIGKHGRNAASWPGLARSFWFSTYFPDWSAFNLAGGSRFWPLYAAR RWLTTMKPLTKVLVSISFAAAAIIYGGYGARLPALASLRSLAARVKAF VE01_01387 MVGLPARGKSYIVRKLSRYLNWLQYEARVFNVGERRRAEAHVEQ SGEKAEESHLSRGQFSSSAAFFDPTNADLVSARDQIALKTLDELLNWLSRQDSSSIGI LDATNSTQERRQILLSHIRRKRGTLNEVLFIETCCFDQDILEHNFQLKLNGPDYRGQD PDEALRDFRQRVVFYEKSYVPLGEVEEQDKIPYLQVIDVGRKINTHLIQGYLSSRVVE YMLNFNLSERQIWIFSGGESIDDSAGRIGRRSDLTENGQRYAASLVRFIHGERERWEK KRQSHRTQNRDSTRFSVDDPTRKQDALHPQRHKNWSCPLDFCIWTSTMPQTTQTALGF CEKLFTKLQMKTLDDLNAGDMAGLTFEEIATSQPAVYTARKQHKLLYRWPGLGGECYV DLISRLRPVILELERRKDHVLLVTHRAVVRVLLSYFLDLRRDDLAEMVIPKNWGFCLK PTPYGIDFSAYSYRPESGTFCLEPGAQNKFFPS VE01_01388 MSYHEQHLQSLKDRSLFCGDAFIDGQWVSKEKKFDVYEPSSVAV LGQVSDCNLEDFQKAVQSANEAQRNFYESTTGTARGALLRKWNNLILENQDDLATILS LENGKTLSEAKGEVIYAASFISWFAEEATRSYGTTIPSSTSHATLMTVREPVGVCGII TPWNFPAAMITRKVGPALAAGCSVVIKPPSETPYTCIALTKLAVKAGLPPAIIQVCPT KDRQAATELATNPLVKKLSFTGSTNVGKMLAKLATGTLKKVSLELGGNAPFIVFDDAN LDLAVEGAMFCKFRCTGQTCVCANRIYVQKGVAKAFTEKLVEAVKALKVGPGLDSATT QGPLVNKNAVEKVKEHVEDAVSKGASIEVGGQSSLSSGFFYDPTVLSGVTPDMMVSRE ETFGPLAPIFQFETEKEVLQLANDSEFGLAGYFFSQDIARAMRVAQKLEVGMVGVNTG KISAAEAPFGGIKESGYGKEGSLYGLAEYQNIKSITIGNQNAGL VE01_01389 MSLRQNGVRTHKIASIPGDGIGVEVIEQTIKVLRTIEALFSSFT LEIDTLDWSSKRYLEQGKYIPADGWQRLSVNDAILFGAVGSPDVPDDISLWNLILPLR KKLNQYINLRPIRILPGISAPLKGCADSDLDWIIVRENSEGEYAGQGGITHDDTPNTI ANEVAVFTRAGIERTMHFAFKVARDRPRKKLTMVTKSNAQRYGMVLWDKVFYEVAKEY PDVETDKMLVDAMTVRMVLKPKSLDTIVATNLHGDILSDLAAALAGSIGVAASSSLDP TRQNPSLFEPIHGSAPDIAGQGIANPVGAFWSAAEMLRWLGEGAAADLLMKAIETVTA SGAKTRDLGGAYDLAQVTEAVIVEMKRSFSNARKIVSKI VE01_01390 MAPSYFKLNTGANIPALGLGTWQSGPGEVKNAVSYALRTGYKLI DCAFCYGNESEVGEGIKEALDSGAVKREDFFVTSKMWCTFSSRVEENLDMSLKALGLD YVDLYLIHWPVAMNPHGNDIKFPTHPDGSRDLDLSWSHIQTWKQMEDLVGTEKVKAIG VCNYSLKYLEELVSHATVVPAVNQIENHPYLPQTEVVSFCNSRGIQIMAYSPLGSAGS PLMKESLVQAIAAKHGLSPATVLLSYHNKRGSIVIAKSVQESRIDQNNKIIDLDADDM EALENIYKSAGIRRYVYPAFNVDFGFPDKS VE01_01391 MSIDKKLDSDTVTPEERVQSEHVERSENKTMTDLEADDIFAENL AYGPNGIRGLIGNSYVFGAAFLASLGGFSFGYDQGVISIINVMEQFQDAFPQTKTAFG TSLMTAMLLLGAFIGCIFMPYLADRISRKWALTVVVIIFDIGAIIQTAAQSYSALVVG RAIGGIGVGTLAMGAPLYISEISPPNLRGTLLVLESISIVSGVVIAFWITYGTRFISS EVSFRLPLGLQMLCATFLGVAIHLFPYSPRWLALVGRNEECLTSLSKLRRLPPSDSRV QKEFKGIVAEVEFNKMVLAQRHPGTGSFKLEVLSWLDLFDRKMWRRTVVGCGVCFFQQ FSGINAFIYYAPTLFQSIGQSPEMALTLSGVFNVLQLVAVGVCFVVIDKVGRRPLAIV GGFGSCICYVVIAAIAGVYSKNWSANPAAGWAAVAMAFCFIMVYGVSYSPLGWSLPPE VFPNSKRAKGVALSVCVNWLSNFTVGIATPPMLETIGYGTYIFFAFFCGLSGLWALLL VPETKGKTLEQMDIMFGDKSGQEEKDFMRIAAASAEQTLMGQIQHV VE01_01392 MQYFSAISLLAFLPFAFASDSPPKQILELCKQRGQVPQQEDGKW KCATVQTSDICNPDQDLNTLHKDPKTGQWICCPRGQDLKQDSCVQPGGKGDQIPIPLP GTCGTHLKDAGLESLLRETLVRCFPHDQVALDVFIEYYLTVLNGNINLIEVIIKNGCG KPTPNPDPIPNWKCPRDNSPCKWLPLENNKVRKNLPATGSDHKVLPNPNVPVVNYKYP FDTWVVGWPDDDLRTSADDVYYKSPKGAQIQFFGACSADTPCIGEEVAAWNEGKPLYT TARDQDIDVSGYDFDLVFTIADTWVTTEQYTVLADGKEVGKTHGPLSLGDDKYNTKHI ASTVDVGRYDAGALKSISNAGFWGSFRIPKETKKVTVRLGACDSINHGYFVFEYRMDK LCQC VE01_01393 MWNNFITIALFLTSAVTLVTSNPTNPVCGTCNPVSGLNHCDPTT SCINTGAHFHCACRAGYKASKENNNVNKQFRLTMPNYGFLVFTPENTVCNTLCDNPYG GVGTLCTEVPTYSGPGCAV VE01_01394 MQSADTLLVGNRQTPGGSSGSVSVDTDSNQKAIPKHKHSGSDGE VNGEVSTTLLEEDPFSSEASKILFDGVDELRRCGAAVDLDLPQLVIVGGQSAGKSSLL QSLTDIPFPVGDGLCTRFATRIISRRSAPDSSDFVKVSIEKGDSEPFRGQADDQREPF NPHVNSITAEVFADILEQASKYMGITDQRGSKQSNFSSDILRIELHGPTRSHFGILDV PGIFHALTETVTDEDMERVTAMVTSHMKKPENVIICVAPATGDLAMQQIFTLAKKHAE SSRVVGVFTKCDQAPDPKNIVRIVRDNLEIGLHNGWFVVQNRPKNPSPTFNQEEAEKA TFRRDPWTDIPAKQRGTPALKKFLAITLSSRIRTAFPEVQRKIKELLAKETDYLESLG EERLSQERRREYLLKIVGRYQELARDSLSNPERLPLSAMKLRGLTKTAMEGFANNMKL KGHLHNFADVSVSESTVDPNDLYKEIRTQIAENRGEELNSMTNPAVMRPLFTQQTSKW EAFGQAYLEEVVKMSKKVSLLILDYAFSEFAVPHHTASELKNTIDEFETQSLNYATLK LRNICHRNSTFPLVTTDENFKAKVKIAQSDRFIAALMRYREKNPPASFIHAYADKEVP KLVPEMLKLTSGWVVIDTNRLNQLFDEVHPRATRNTEDEIHDLLKAYYEVALETFKTD IHRLVEEFLKDPKGLILGLSDEYVLTLHKEQVDILGGEDEFVVISRKEAMEKIKRLQQ ATKIAGDTRTKSMQLERVLAGDVVGDRGVGSVATEGKEGAAENELRAAEGVDIALTPH ALFIDKARVIAEESTYFSAKKVFILSFDTLKRLLEVHYYDPPTLPILGPLFEGSVRVH LRAGGDEEEGEQRRRGGGVVGEEWGQGRMGGGVRGVAG VE01_01395 MSYNPRMSMAPPPSQQKSRVTKKEEESDAFMRLPDKEIAGCISD IGVPFTAADLQKPNPLQIQQIFQWFAELLMNATHDTIGPAMRAAAEDVCGEYMDVVPS DVRNLMGFYMSLRKLLLECGVHDFSFQDLQKPTHERLSKIFSYIINFVRFRESQTGVI DEHFNKAETTKGRIETLYMENQEMEARLEEMRRNRKAMESHVSEKVKRNQELKQRLRA LQVSQTEVMARYDGLQKKKDELTHVLEDKTATAINLRQESGKLRPYVLQSPAALQATL TDLGASLSNEKAHIDSLDRRARALQTSTDTFGVVSTDVASVSKILEETAAELQKEDEE NVKNSRQRDALSERGNNVREVEREESLLRRQLAKYNERTEKLREGSREKAQAAKERME ELRAVHRTLTEERGDKGREMERRRVRIEQTEKKMVDLKENIENEIHTAHDEFLKMDSH IKLYITEMEQSL VE01_01396 MPSPPAQLEINERYLDVPEIIRRFHLVIEVPEAWRLITIREAVL FISERELPRESDGSFSLTNGQRANGLLSAACGILQDSICKDAERPSFNCSTVFGCYLL EGLNACASGYWNKRNSRCSHRGR VE01_01397 MPADASTDPSRAADVAEEVDEDEILAILDGDEVAFGDAIGDDRD GYFIPGPDDDEGSGDDDDGEADAGPSSSSDEIATSGGGAEIAVEGGANPPAASHMAPA QQMSDDRCKALFRRRMLMAIAGLKEFAQVIKYDPTRQGQRSRYPISYSFWFSLCRVSV DDLMESYMAAIPRK VE01_01398 MPSVQAMDSSNIKKENAQSIKVLDDLMAKLSVSKSQDEVNAATN NIATFINGPIEEQDAPTKAVDAITKQLNNKKDAAARERGLNAIQAIAEHSQIAASVEP YLIVLLPSVLAAVGDKTPAVKTAANAAALAIVKGVNANAVKALLPPIINSILTAQKWQ EKITGLTCIEALVETSASQLALRVPDLIPVVSESMWDTKPEVKKMAYGTMEKVCGLIV NKDIDRFIPELIKCIAKPENVPETIHLLGATTFVTDVHEPTLAIMVPLLDRGLAERET AIKRKSAVIVDNMCKLVEDPNIVAAFLPKLMPGLTKNYENLADPEAREKTKQGLDTLT RVGAVVDGKIPEPSHAGSIPTVLAILKQIVATKHKDAVAKFEPSLYYIASLAGQLIDE KDADTHNWTSAIKPYVVAIVGETDAQDIVDELRRRASPGAEAEDAAEADDEEGEDLCN CTFNLAYGAKILLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKKSEVK TVFVEHDLDSADTEQTTIAWTMKKLAEVGVETSQEDVEKQLAEFGFTSDMTSGMITAL SGGWKMKLALARAVFEDPDILLLDEPTNHLDVKNVKWLEDYLQNSPCTSIIVSHDSKF LDNVCQHIIHYERYKLKRYRGNLKEFVKKCPSAKSYYELGASEIEFKFPEPGFLEGVK TKAKAIVRVNKMSFQYEGTPKPQITDISFQCSLGSRIAVIGPNGAGKSTLINVLTGEL IPTIGDVYTHENIRIAYIKQHAFAHIDHHLEKTPSEYIQWRFQTGEDRETMDRANKIV TDEDEKAMDKAYRIEGTLRRVIGIHSRRKFKNSYEYECSFALGENIGLKNERWVPMMT ADNAWIPRTEILASHQKMVAEVDQKEALASGQFRPLVRKEIEQHCANFGLDAELVSHS RMLGLSGGQRVKVVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKAIKSFEGGVIIIT HSAEFTKDLTEEVWAVVDGKMTPSGHNWVQGQGAGPRLKGDDEEEERFDALGNKLEST KKKAKLSSAELRKKKKDRMARRKRGEEVFTDEDE VE01_01399 MASDPLSVESVIGHMAEALPIHEQGDTSSDLSSSYEAIALFAHA CMTAVGFRILGFSEGQKIESELAAVAPRLSPRWNDSYGSYSFLYAHSQSSLQYIIKVD RLGGKAEIRGLGLGDERITRFEIVAKDYISSSALPLRIPFTAAGIEDRDDLPRKLKDI FISESRIKDLASLFKTTVIQKLIPGLNKEGYEDTAARQQAQDDREEAYARRNPREDPL ADPGLPEPARPYPFPLRDPLNPPPRGPVPDFPPPGFEDEYELQRPPRGMGAAFPGGGR SPYGIGGDDLNPPGLGPHDPLRGSFIPGGRPGGFGGMHPTFDDPLFAGRRGSGEGGGF DPQAPEGARWDPIGPGEGPRDRMGRGRGGGGFPGGGGHQGGFGGNGRPPNPFGGFGNN DFI VE01_01400 MSASAMSGRLTGKTIVITGASSGIGRSTAIEFARTAPKDLKLVL TARRLETLEEVAAEIKKEVGDGVKVLPFKLDVSQPEEVKTFVERLPEEFREIDVLVNN AGLVKGVAQAPSIEEEDLNVMFATNVTGLINMTQAVLPIFKKRAEGGKGDIINIGSIA GREPYAGGSIYCSTKAAVKSFTDALRKELVATRIRVISVDPGQVETNFSVVRFYGDKS KADAVYAGCEPLTPEDIAEIIVFAAGRRENVVVADTLVFPSHQASAGVMHRKT VE01_01402 MAAAAPSQVAQSIPETQDPTIIALRTSLTTESTPLAQRFRALFS LKHLASQPDDSPQTLPAIQAIAAAFASPSALLKHEVAYCLGQTKNLVAAQYLRAVLED VAEDSMCRHEAAEALGALGDTGSIALLKDFRDKEGEVDVVRETCEIAVARIEWENSEE SKKEKLQQSDFASIDPAPTTAQNSTVEDLEKTLLNPESPLFLRYRAMFGLRDLASPPD LPTAVPAVLALAKGFADSSALFRHEIAFVFGQLSHPASIPALTAALSDTKEASMVRHE AAEALGSLGDEDGVEDVLKRFLNDSEQVVRESVIVALDMAEFERSGETEYALIPEAAG TAAA VE01_01403 MSAIGSLVFCTDCGNLLDSSTGDANTLLTCACCGTENRDTSSQT VLTKTKASSFPSVLRQKRSAVQTVEMSDRDNEATAMETCPECGRKEVKYQSLQLRGAD EGSTNFYTCECGYKWKENN VE01_01404 MERNGYARPPGPPATGEQQGRHGSSRGRVKPFSVQEALAHSPMS SVVPFNTGIIPLPSIGDRASASIFATPAEENAAREGLAILDGPTSESNGTTQRLGKAL SDLQQLLRPEGITQFKFKTAPTAGRSKPDNPPPATQMSTFARMLLDTTTIGFEPSMPD APEPQFASGNGSHAPSKPTSSSRQKPTKKSSVKHPQTKDIQSHHQPKSENGNHAPVRN ASIEAAASHSMQTYNPRTITPPREPSEMGGTRLSSTGSIADVPQSYFDSEYAVYNENA ESMPSPTDRAVRKRKLSVDDVLEGPDQREQADAAFRELQEHLQGVFESEGNPGGHGLC EKIGEDNVTSLTSPALSKVHILLQKIISLGRLPQVPVGDLTRLQKLCDGAIRATGVVS LRFDETWEESDMETFLENVAVADIGLKSARASLRIMTGGREEKQLYSEEVIQASVHAL NNVLDSCVVPIVELRDAGSQSSVFKLLSTHKKTIRDIVLQCVRVLSLLRDLVSTIELS ETVINTLEYTVSRLIFVENAHSEKDSVLGVAKFDNLRVVAMDTLAQIFSSYTEQRQGI FDEILTSLEKLPVAKQSARQFKLASGGSIQLVSALMMRLIQTSANKSNSSPRMSEAQD EEDEDADGEADEPGFQILMGNGPLPAVYDTEPRAARQSSTAVQELQKTVQPLLRTAKS SASYVVNFIVQRAMTSTKTGDAPYRNLLDLFVQDFITCLNSMDWPAAELLLRIFLINM VNLAEADKTPPGAKNMALDLLGEMGAAISKLTSDVRKLTESPYNDGPDEVDGMPFRVL CSYFEDKLHVQDLVNWGGPYCISLQYLDDRYGTDSILESAVGYYTADWASQICASYDA PIEDDRDRTELERSYGRVAYRLRMMINDKRWLSTEYSAPRIEEVRAKLAYSLTLLNSP FCKASERVLMILLKSMSSDQAIVRSKSLKSVNQVLETDPAILDRGETVIRLILNCLMD TSVQVRDSALGLVTKCIGLRPVLEKEALPAILRAVIDSNAGVRKRAIKLIKDVYLRNT DRDIRSLIADALLHRAKDLDESVQELARQVIEEIWLSPFYGQDPTADDAVQRRLAITD HVTLMVKTIQRSSDIAGVLDRVLQSSLSSTSKNAAANFKVCKTFVATMFETIIGDAGL GGPDRLETKDALQLLMTFAKANSKLFTTDQIQLLQPFIADAKTETDITIFRCVIVIFR HVFPQLSTLHSQFLIAVRQTIMPTVSRMHRAALDDIFACLRIISEVLKNTDNLARLIC STIDNIRKLGKTDFSDTKANDNVRKISKLFLITGMCGKHCDLDSQITTFRQKFPHFKG NSVSKLMVDTFAPFASPSQPLEIRKAALDAIGLVCQSWPKNYDTASISTAFQAAFEDK VQSLESVIMGSFKEFFLSEEKRSDPDAEPNIGAGTETVATLGVMGGSRHDGVSTYIYQ KFMPYLTQIALATQDDHALLATEILTSINRQGLNHPKECMQTFVALETSQNNIIAKLA FQEHRSLHEKHETILEKEYTRAVQLAFTYQHDVVQDTRGAISSTGDPSTAKYAAKLHL LIDVLNISKVKIRKRFYESLCARIDFDQSKLDATEDFPTHVDFSKFVIENLAFFEYAT VDELLATISAMEKVVTGTGSNVAHAIETEIFSLRMVNGQAETEPGQAVVPSAESAIDL GRLRQLTAGSMVLSCLWEARTHLRRLYGLMNSQNSRREGKAKANVKDLNRAPTKVPFA SGDKFWDESTRIMSCLGSREAMMDQCKDFVELLTVDKDFKIAAEGEDDVAAQARLRTP SDDEEASQPPSGSGRGRKRKASGTPGGRKKGARSNSKGRSKKNKRGSPDSDEGDDYGS VE01_01405 MSSGILRTQGTEVVGQDGKPVILRGAALGGWMNMENFITGYPGH ESEHRAAMKKVLGQENYEFFFDKWLEYFFTDADAVFFASLGLNALRLPFNYRHFEDDM NPRVLKEEGFKHLDRVIDLCAKHGIYTILDMHTVPGGQSQDWHSDNHSSWASFWDYKD HQDRTVWLWEIIAARYKGNPWVAGYNPINEPCDPEHVRLPAFYQRLEKAIRIIDPDHI LWLDGNTFAMEFVGFEPLVSGPTKLPNTAYSIHDYNSMGFPSGDAYLGTDEQKSRLEK SFRRKAEWMAKYDVPCWNGEFGPVYSIHEKDPEAAKQINEQRYNMLAEQLNIYDKHAI PWSIWLYKDIGLQGMIYTDPESKWNRTIAPSLKVKVDAQLDAWGSYPSASMESVIAPL VAELEKVNPDANDEYPTPWNVERHVRRAVLQTFLSRTLSDKFAQQFSGMGKEELEECA KSFHFDQCKKRDGLNEILRDHAKLSHA VE01_01406 MGDREILEVTRRESPLNMDNGSSEPRKSPPHAPTKKKSRSSRDA AAIKRRCVSTACIACRRRKSKCDGNTPSCAACSSVYGTECVYDPNSDHRRKGVYKEKA DTLKARESTLQTIVQAILNSSEDNVSALVRQIRTCDNLDDVADTIARKENSDAKAEDE DDDDIIIGAYSQTVAPTFENELSGKMGELRIENGSVRFIGGTSNLIYLSPEDDEEDSS DALQQQDAPLLSWTTVTTDAELILHLLNMYLSWHYPYFTTLSKSLFLRDFLLGKPPGN PKRTLYCSALLVNAMLALGCHFTNSPAGCADPDDPSTKGDAFFQEAKRLFVENDEYEK PRLTTVQALALMSLREAGCGREAKGWVYSGMSFRMALDMGLNLDSGGMASSKEPMDEK EIDARRVTFWGCYLFDKCWSNYLGRMPQLPTSNITAPKYDVFPDEDSDTWSPYTDTGV SQLHSQPSRTRAIALQISALCEISSDLLIFFYHPQTLERSMGKQTELKKLSELHTRLE AWRKELPKEFESKEGQLPNVLLMHMFFHLLYIHLFRPFLKYNPSTSPLPSHVSPRKFC TSAASAISKLMRLYKRTYGLRQICNIAVYMVHSACTIHLLNLPDKAAKRDIIHGTKHL EEIAEDWLCARRTLSIISVLARKWKIELPEEARDVLHRTDAKYGKFSPTDVPSPKSEV SQHPSPSNLGSPPGYGSPEAPKATKSVSVPPPNPPGSAHNNVYTTLAPFVPVSAAGEE VGAQIPMSLADDSGLNMTAPYLRSAAAGLFNVVPPNIGSSPRTSQPGQAALRQAMAGP SQGRQVSPTTLFGGQGTMGEGQDWWLRDQASLAVGFDNWEDLGGVGGEGGFFINDASA LDDSPRMGGSGSQEDWYN VE01_01407 MSAPAPWGPILHTHLTHLTPPTFTLSTLHHTPSLTPPYSPRART CVFRGMFGSGPRGAAKGPQTASSDLLTFTTDVRSAKVPDLLGPGQEDRRASGGGGRVE LVFWVKEVNMQWRIRGDGWVLGPDVGGRGEGAEAVKEALKGRLGEVGEEWGWEGEWER QFEGMGRELKKGLAGPPPGEVKKGVEGGEFDEEKAKGNFRLVVVRPAEVECVDLTDPE SSKRWIYTFVEGEWKREELNP VE01_01408 MLLSKYPYTASHSSPPLKNLRVSSPQKRAANLSSIHNPTREELS ISHEDPGNPCLHASTPTFLRRNSVRPTTDTSASVASRANNVPCHSWAVEARAPTGCGK DVCLA VE01_01409 MDPLNNLVKSIPDWQVLLDDLNGKIARRQGELAEAESSRPSTRS LRNKGSAESLRPKDDNDVIPEDIEIAMDSTTDTPNTNNDTAIPTITLPANGKALSPPK VDNSPRTPQRDTPNRLRGAFAKGLPALTPPVLRKRKTESLASAESGKPKHRTRSMIIV YYDSAVQSDFEALVKHISASRNSMRKGKMAARMASMRMMADLESLGDELEPELKVDDT PAAAVGAIANGSTSSPNAMIASVSPGDDDDEGLPLPALNFVSTRRMGPMRELSSNRAL RAGPGAPGVRRAGQGPPGGGASSRISALGSSIFDELDSGLEWCQSMCEHAAHQFLRDG QCTSEIEGIKSRLQEVWDRAEEEIKAEGKGAEVGTDKDPSKTTDRKADYVRAGDKDGA TAPTSTATLKPEPQLPRTLKQIQIRKEAEKMFEKPEKPAQKPAQKSLEKPTENSPKGL EVKSLEKDGGSAIEVDDVLEVDDDAMEADEVDEDAYRKEYAAIIARNSNYRRRPNVR VE01_01410 MPDSDKANLARIRDNQRRSRARRKEYLQELEGRLRQCELTGVEA SSEIQGAARKVIEENRRLRLLLAQHGLSPDDASDDDGSQQWSSNTPRSDDAQALETLL NIRRWKCSETQGSPPETGPTSTMPGEQNIDMVASPPAVQAAWMPSPESMEERGAEQLE NSGLGLNTLQTGWAASPDDIQYQGLQKLGRESDPCTPVSSYSFSNQCCGGNSCTSNPR EQQAPIQQQPQLQPGGLLGAINMLGPMSTHEEQRKAFDIAVQAHIDPNQYRYLQQLQA LYDPSKALYNPSMSVYQQPQPRQIQLPVRPGPSFQTPPYVIGPPRSTPSAQAIGTNSC IYATDMITAMATEALPSDVRTDLGCSPQCSTDCEVSNHVVFDVMDRYSGMGR VE01_01411 MAPPRAYASGDKERSKASFVREMGSKTLDNFLMAAQAPNVDPAD MTDVLDPRFATPFNRIYDINRRLEAVRLYGTEAVFAPMPTFFNSDDNQQPPDGPADDD FHEYEVDPWGRPRESLLPGENPFADLLVTRNQADDRRNTDLGELPHFGNFGPYFRKVN AVGNLAGKFVAPKHVGARYDITPLIDEKLKTDPLALNPDFDDWRREVEFQFGEKPPLP PPSLGPAPDPGDASFDFSSLAIILNNRRVQPLLVPHPSIRSPLAPQKGTDEEMNADME RINSLSVELSSSWWIANILWCMGEDDTSLARTSAMMREGVCPPEVFPYYVDPNTMEKV EKCDYVSGPLALYVPFRVVYGSPDPTENTFWVPKLKGVDGSAMRFMRPPFPEYCTLDT RFAPVPVLQSTVLPERHDIGRPELKLWDGIPGRTFRLPPYFWPDELRDSHLDGEDRPF AVDIRRIPVKRPAGNTQTQPQPPQPFRPTRRLNTVYRDRTKVPQNPQRIYNPGTHTPT HNSRQTHGPNQLGGNVEEDDNSPSFLQDEFEYDLQGDVWGPIGGFEGRDYESERQKDH DRWYRYFLINNDPWDRTIIVNGVQVKPGAVAGPLPAFAMLELDGQAAFWFGVGGRNYD PNTAPPTEKRAAENEAPGDGNRGKVQRLIPRPWSPGQETGGTYQAFITITLPTGTSPL PSPATATAAVFENLQIGQTQNQPLRNQPAQNQPAQNQPVKNQPVAPEPFGGFRADAPE FVPGSSGGLVGLGLLGLRGGAGTEDDLDPVGSGASAARPAAKRRTDPATDENIEKAMG EAARQMALAHAAAGALLAGGTPQQESTGTPQHIGSGTTQNTSTETAQNTSTETTKNTR AATTQNTRAAISHLFNLIPYQFTPVALQPMNTRAPQYMTTETPPYMNAGALESLLERY GICVDPSWDKARLLNELLKVKIPLYQSAERADNQTEVAQAERKARARARAERMISTVS ENSELEQRHMCEGLGIIISPEWSPARIREEIARLYETAYQELMSNNNAATEYEAAMGL LVDNSEPKKPPDAISVLFFLASRYMYRQRSGAGLKAMCEKYCIDVNSTWDSERVIVEI LRAVEWQRQVEIARAAADETSILASIAAITAIDAETDRIRAKMAEMVKVAKEKAGRIL IDLTGSPTRVDGPTVIDLTGSPTRVDLIHGPTPTDLTGSP VE01_01412 MSDLDKAIAQLRECRPIPESQVRELCYKARELLVEEGNVVTVTA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCDVFDYLALGAIVLNASATL QPNDKIPIRPQAPEITNTEDYDEADIEIEVLNSEGGILHRFPRKGSKRNDVLVRTPTA STTPTKTGPPGSGASAHSNGTSGNNSTAVFCVHGGLSPLAETLDKIRLIDRKQEVPHE GSMCDLLWSDPEDIQGWGLSPRGAGFLFGASTVQNFNHANNISMIARAHQLVMEGFKE MFDNTIVTVWSAPNYCYRCGNVAAIMELGEDGGGGDGVLARSNGEVGRSAALQQGDLD ENAVSLNPGPARRYRVFQAAPQDSRGMPAKKPQVEYFL VE01_01413 MPPHLHPRSRMTSSIFVTTLFASFAVVTLPHILPCPAPRVAYTE GEICPDGKRRRRKRCAPEVATDGLVKEGQPCLTTVAVGGGEDLEEIVGTREKRECPIP KPGGTMGKILAFTGIGSNTDGSGGTRPSS VE01_01414 MKFSATLAALTATSVSAHTIFQEVSVDGASQGLLFGVRAPDSNN PVQDVLSADITCNTGLHSPVSSDVITIPAGAKVGTFWQHLIGGPQGSNDPDNPIASSH HGPAQVYLAKVSDASSADPKGLSWTKVALEGLNNGVWGVDTMVSGGGWWYFTLPSCIA PGDYLMRAELIALHSAYSAGGAQFYMSCANIRVTGSGSTALSGGVSLPGAYSSGDPGI TINIYGSSGNPDNDGKPYVAPGPDVFTC VE01_01415 MSLPKTTDAWVTKGTTGLDNLVFESGRPLPAVEDHDVMVKFHGA SLNYRDISILTGSYPFPRKDDVVALSDGAGEVVAVGSKVTLFKEGDKVVTLFNQGHQS GSISYASVATGLGGATDGALRGHGVFPEHGLVNMPSNLNYLEGATLVVAGLTAWNALY GLTTIKAGDYVLTQGTGGVSLFAVQFAKAAGAKVISTTSSAAKMEILKKLGADHVINY KETPNWGEKAKELTPGGVGVKHVIEVGGPGTMAQSLKAVQFDGIISLIGFVAAATKEQ PQFLDALINVCTVRGLLVGSKDMFEDMNRAIVANNIKPVIDSKVFSFKEAREAIQYMA DGKHVGKVCIKIE VE01_01416 MWRANVRAEETIISPCRTPSPDPAAQGTPMRRCIALTVAAVEDA GDREEGGSSTFTHSNTRLTQSTLLAQFLARHISVAMEGFHDQHTHTPEYDGNEGGFPT LARTEVPPDLHGVAARA VE01_01417 MPVNNMVPTGHIPSNHIHGGGLDNLAHGSQYALQQLQRQIDVHQ NSHSNRDSNSKHRQHPYNGVAGGMLGGRSSSMNGSQASGPVRRRISRACDQCNQLRTK CDGQNPCAHCVEFNLGCEYIRERKKRGKASRKDIAQQAAAAAAAASGDGQKSPTAGSS GDRSPIESRMEHKPSLGESSDGNRRNSGTANELAGSQNINNAHLMKGNGRARTSGQLG DLPHQLQPIMTNRHDDAMSQSQMDSPTEIGLNGYGAMHGYNRSVNGHLMNSNASVYSS TSLPGYPDLPYAIQSPPHYPTNNQSPGFRLGDSPMPGYSMETPTVASPGWASIESPMN QYHMTPHHHVQTQLRYPVLQPLVPHLTGIIPVSLACELLDLYFASSSSAQMHPMSPYV LGYVFRRRSFLHQTKPRQCTPALLASMLWVAAQTSDAAFLTIPPSARGRVCQKLLELT VGLLKPLIHGPPADEASNNFSDTVINGVALGGLGVAMPGTVSEEAMTGEGGAFGAAGA LDDVVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPPSSIPQPDHDVDAD GEADDDLETSHPPTGSPGSITEEEREERRRIWWLVYTVDRHLSLCYNKPLFLLDVECD RLLQPMDDTLWQSGEFYSGDSSLHITSPNGSARIRRKGPNFECTGHSIFGYFLPLMTI LGEIVDLNHAKNHPRFGVGFRSAQEWDDYANEITQQLEAYGRSLKDFELRHLSTNDEN PGANNGTGPDGMPMGQNDTSSPHSVNTTTSRMTTESDIQTRIVVAYGTHVMHVLHILL TGKWDPISLLDDNDLWISSQSFINATGHAVSAAEAIENILEFDPGLEFMPFFFGVYLL QGSFLLLLIADKLQSEASPSVVKACETIVRAHEACVVTLNTEYQRNFRKVMRSALAQV RGRLPEDIGEQQLRRREVLSLYRWTGDGTGLAL VE01_01418 MSTFAALTYTPAQSAEIAQWQTTISRLSDETARPALLATLNSHL ATRTTLLGALPSVADVELYKALSPAIASWSAEERTGKEGHPNLVRHMDFVQGSDLFGL AIGEGDRVKVDKDEVLFVKPPVDAKAEKERIKKEKAAAAAAAAGGAAAGAQTTLPDRS GNAKGPKEAAAAAGGEGGQKTQKKEKKEKAPKPQKAPAATAPLSPSLIDLRVGHIVKA IKHPEADSLFVSTIAMGDKAGTDDTEEVDGVVCRTVCSGLNGLVPLEEMQGRYVVVVC NLKPVKMRGVKSCAMVLAASPRLKEGEVDNHAGPVELVTPPAGSKAGERVWFEGWTGE PEGILNPKKKVWEMIQPGFTITDAFEAAFDAGAVKELSKEGEEPKTGLGKLVTVGGGV CTVKTLAGGIVR VE01_01419 MMLPRLITTAALVAASVSGLSASDIPQSMTDDIASRSKTENVAD IVLEYVKNLDLLPNNTNILYRRRSPPSPAANNPAAAVATINRRADTPSDLLKNYISSI ADDGRDLLYRRADTPPAPPANWNASTMAACTTALVMLRGLANSPSGMAMCYNLPFLDN STGVFEADLRLFTIGPATGAFAGVAPADVSIDVRFAGAQVQPVPVTDLVARPQVAARG LPLSWPPSKRGLFAKRADQAPVMAQSFAFVGQINKELQNANLNSTALQAILIPVVTLS VAKNAANSSLSSLEATFVTGLFSDQPHTMATISAVGVIADVAFVLPGTKIAIVPIGGI ITGTWAVLFIGVIGWGTVGRMGFRENYRRRLARADRGGEKTI VE01_01420 MSSKGSVSSDADPCHGLHGGTKPPRSTSTTASTSGASKRRTRRG PKAEQPVLTSIGKQPPPASDRTYDPHLTLVMSSKATQLGFLILITALLVSNYDRTLSL LSPYLRAALDRLDPSSSHFEDCMGHNYTTEILSIDPLLIYITSFVSPAESSALLAAGE SLFESSQVTVDGRDTTTSDRTSYSANLPLTHITSCISSRARAFLGATLPVGDIGAPQL VRYTAGQKFNLHYDWYDTPQVLTKTGQRFNRPASFFAFLEANCTGGETFFPHISVPGE GRWRAHEGGTAFMPVEGNALFWVNLMPNGRGDTRVMHAGLPVEEGRKTAMNIWPRGFV V VE01_01421 MFAARTFSAAQRRAFSASARDLSKVTVLGAAGGIGQPLSLLLKL NPRVTELALYDIRGGPGVAADISHINTGSNVTGYEPTPSGLAAALKDAEIVLIPAGVP RKPGMTRDDLFNTNASIVRDLAKAAAQSAPNANILVIANPVNSTVPIVAEVFKKAGVY NPKRLFGVTTLDVVRASRFVSEIKKTDPADEAITVVGGHSGVTIVPLFSQSKHADLVG DAALLNRVQFGGDEVVKAKDGAGSATLSMAMAGARFAESLLKAAQGEKGVTEPTFVDS PLYKDQGVDFFASKVELGPSGVEKIHEVGKVSAEEQKLLDAALADLKKNIEKGVQFVA TNPGN VE01_01422 MADTQHAEKNGSLEEQPTIESRREVSMSAGEYIATRATTLKPPM NQAPNPIKLLMMLTRKQWAFFAVAFIAWSWDAFDFFTVSLTVEQLAEQFDKTKTDITW GITLVLMFRSVGSILFGIAADRYGRKWPFVINNILFIVLELGTGFSNTYGQFLACRAL FGVAMGGLYGNAAATALEDCPEAARGLISGMLQQGYAFGYLLAVVFARALVDTTSHGW RPFYWFGACPPVLIIAFRLMLPETDAFIARQKERVAMGSITGTFMKEGKVALKKHWLL LTYMVLLMAGFNFMSHGSQDLYPTMLSNQYNYSPNAIFGRRFSIIIISIIGGALLYPY TFTSSSAINAAAFFEQFCVQGAWGVIPIHLMELSPGAFRTFVVGTSYQLGNLVSSASS TIESTIGERFPLPPNPKTHASRYEYGRVICIFMGAVYAYVIVLTFIGPEYLQRSFAIE HDDDLREVTGRPVGADALVGGHGDVNAKESEEDARRVEKVEA VE01_01423 MTAWAGIISAQLCGYLSNNRIPLAVACRSRGIWRPEYRLANTLI PVSCSPSSPSTSSTAKSSRFIAQKSRLFSEMFFAITSPRYESSQKPSLSLFYCQALTI STGARGLFRVLFPSHEC VE01_01424 MSTGAPEDPAVEKSRDVKGAFKHPFSRLKEKFEKTSLEDVKVSL IHKKQVAPRHQPRTQLTILGTSWANSETSNHRHDEEHEKATDKKRTDISESHRFKSFA PERFDNDVKWYVDGRDYFWAVSVALEEAKETIYIADWWLSPELFLRRPPYYNQEWRLD QILKRKAEQGVKIYIIVYREVEAALTCNSEHTKKALRDLCPEGSPGYGNIILMRHPDH NVFENAADMTFYWAHHEKFIVVDYAIAFIGGLDLCFGRWDSHQHALADVHPAGVAEEI WPGQDFNNNRVMDFHNVDDWEQNQISKAEYGRMPWHDTAMAVIGDCVIDIAEHFVLRW NFVKRDKYKRDQNYPWMIMEGREDENEDIIGVQRPKHPVGGYIKHPLTPLSTKKLGKQ GTVKAQIVRSSDDWSSGILTEHSIQNAYCELIRNAEHYVYVENQFFITATGEQQGPVK NQIGAAIVDAVLRAAKEARKFRIILVIPAIPGFAGDLRDDAAAGTRAIMDYQYKSICR GEHSIFGRIKAAGVDPEQYIHFFNLRSYDRLNVTPKVKKQEEESGVSYQEVQKAEAHK IMGSGIGGQGKDGGVSGLQEPGREPSNTAEQEKETDAIRKFEAHRDETGGRQPESKDS VAKNAMLGQPSLASEAWDGDDQEEANLWIQEELYVHGKLLIVDDRIAICGSSNINDRS QIGYHDSEIAIVMEDTEVLQSTMNGKPYEARRHAATLRRYLWREHLGLLPPQELDASN DPNAQPPPIKNDAQEGEHYEFVADPLGDDLWNMWTQRATQNTRVFRQLFHADPDNCVK TFEEYENYLPPKGRRAGHIFDKQRAATEIREQLDTVKGHLVWMPLDFLKDVDLAEKNA GMAVNQWTESIYT VE01_01425 MPNFLDTIKRSFVDVTVNKDKENAINTSEFLEAAESLTTLFDVL GSVAFQPVKNDMLGNIKKIRDRQLAAPLESETLQELVVNELKTKKHVATEGLIWLVRG LDFTCIALSQNVQLSTEELSVSFRNAYGSTLKPHHSFLVKPIFSAAMSACPYRKDFYV KLGDDQEAVHQGLTVWLKALETQVAILKGFLDRPEAKW VE01_01426 MSFSTLPPEILLLIARQLETEKDISSLMGTSARNYQLLFSFLYE HTSSVRLLLEFGADAREINGVYDTDSKLLPETPVGPLHFAKSVAMAKLLLEDGADVDH SPSQWGTPLHAAAERGDIEVAKFLVENGADVDNSNVRVATALHIAVDQGDLDMATLLL DYGADIDCDLDMATLLLDYRADIDSSDITASWEICDYFGTPLHFACLRSNETGISMIQ LLLERGADLEAMDIHSATPLHIAAAECSLSIVKLLLDHDAPVNIRDELGITPLFRAVE QPDPCAIFPDPIERPDLDIAKLLLDHGASINIQDNMGITPLFRAVDHSDSSIAKLLLN HGARISAEPGEEDFRSPLHHAVAFGVLDTVELLIRHGAEVNKQDDNGRTCLHLVVDNI QPAILKLLLDHGALANMQNIKGSTALHDVVARKSLATADLRLIGQGVSLIPEDESRHI RCELVWRRDDLVSAKLLIDHGASLDILDTRGKSAFDYAAENEKYFVPSLFVGQHPSCD DQPK VE01_01427 MDSDKNMYKKDVDFTELASQDAEFKNIVPIISSISTKPLPLSLK PNGQVDFSDPESVQQLTKSLLKRDFGLKLDLPPDRLCPAVPNRLNYLLWIQDLLDTST PTPSLDDTHDPTRPVIGLDVGTGASAIYPLLGCSHYPTWRFACTDTDPHSFTFARKNI TQNNLSNRVRLLQTEPDGPLLPLDDLGLDTIDFTLTNPPFFTSPADLIASASLKSRPP SSVCTGAPSEMVTPGGETAFVARMIAESIPLGQRVRWFTAQLGKLGSVSTVIKELKEK GVKNWAVGELVQGRTKRWVVGWSWVGWRPAVRVARGAVGVAGGDLPFPSEAVAVKVVV EAEKVGRRVDEAVAGLGGKWKWDADGGVGVGFVARNTWSRAARRKGGGMEEEGDEMAF GFRIYVRGVPKEEKKPAETEVVVRWLKGDDSVLFESFCGMIKRKVEAQ VE01_01428 MGLSSASTWVYAFYYPGFHSATPVIVDEAYESPETVTLRYEEAC AGGPIGRSDSLSGFLPMSAFGKPPPSHLQGFDASRSFSDPAYAYPAAPQHASQPPPHA SPFQNAPEMAQVSYGSHATRGSYDEQQAGSYAPFNSNPTPASIRVTSRQPPRGLPGSK LDVYVASVYELMTTSLESIFLMFGQQKCQASLMRYEQQSRDYQYIVSTEVPQIAVEAW ESAEMPLFLLIENAEGELMEKVDVGPFTYERGRLGSDAESLKSTERSSSLVMKPQEDF STYSYLPSDNYSPQVQYGAYEGIHSQQFGRGNSMYQPSPPGRGMYQYSSGSPSSAIKA RSNQIPTWASSYRNGSSDGHHNQGMPGRTSLPSISPSPLLVRTSTIDQNRNNAGVPMN ASGSYYHQAMHPLAVILNLHNDLDSMGRLNTWSTEEIQSRRRILQFKRSQSGNTINIR VKPVMPEERQQHSICISCILWEDKNQCFVTSVDTIYLLEYIMAQKFQTEEKNRIRRNL EHFKPLTVKKPIPDKYDETNAFFTAIMGFPQPKPRNIEKDIKVFAWKSLSNALRKITS KYSVKSGSSQPQASLPPPTLLTPASSTGYGDNSTTAYGQPTDRNGMFSPRSSTRSMSG ASTHPPPFAGIPARLNSPPYNHLNPIKGDINHNNSAAPPNLPLFADNTTTNHSSHGAG TGAQWSAPTQHHMPAPLQQYTPRSSWDLTAAAAGGYADPAAQAQGSAVGGAVTAAGGM PSVLQRPPTTQMPLSPLRSRGGLEEVGAKEERRGSLQALRS VE01_01429 MADAITEGAAKLQLDEETGDMVSKGELKKRLAKRAKKAATAKAK SEAPTKAAAAPKPAAEKKEDVPVDINAIFKEGFLDRVYKERPVKDVYTRFPPEPNGYL HIGHAKAIAINFGFARFHGGQCNLRFDDTNPEAEEEVYFTAIKEIISWLGFTPAKITH SSDNFERLYELAEELIRREKAYVCHCSDTEIKLQRGDEGKRPRYRCEHAERDIETNLT EFRAMRAGKYAPKTAFLRMKQDITDGNPQMWDLAAYRVLDAEHHRTGDSWKIYPTYDF THGLCDSFEGISHSLCTTEFIQSRVSYEWLNKSLEVYEPMQREYGRLSISGTVLSKRK IARLVNEGVVRGWNDPRLYTLIGIRRRGVPPGAILEFVNELGVTTAGTTIQLARFDQT VRRYLERSVPRLMLVLTPLRVEISDAEPTDLVIPFSPKDAAMGTHTLHLTKTVFIDAS DFRTEDSKGYFRLAPGKSVGLLQLPFPIKCTSFTLAEDGKTVASVSAEYDRSGAKPKT YIQWVPEDSCKVEVRMYNQLFKSEKPDDVEGGFMKDVNPESETIYADALVEPGFEEVR KRAPWPEAKETGESKLGEGGPETVRFQGMRVAYFAMDSDSTPEHTILNRIVSLKEDAG K VE01_01430 MATQVSDIPTVAQLYQLKKLSPPATETGTSVTPSEALNNKVSIF RGNITKLQVDAIVNAANKYLAGGGGVDGAIHAAAGPGLLQECSTLGGCKTGSAKITGA YELPCKKVIHAVGPVYSRSEREKSAQLLASCYTTSLQLAVDNDCKSVAFSGISTGVYG YPSQDAASVATKAVRGFLEGASGDMIDRVIFCTFEMKDVNAYNDWVPRVFPPAGQDLK GGDQANESKETEDSDKAEKAKVVESGGAAIGGASGNVAGSQTTVDDLPEVPKAEPSTG DGPEPKRQKQEPSDP VE01_01431 MATLQSQPPTSEAYLVSYPAQHVVLLTINRPKVMNCIHAQGHWD MDAFFQWFDHEPSLRVAIITGAGPKAFCAGQDLIEQGRFKVNRPPTSSMKHPPSGFAG VSRRIGKKPIIAAVNGFALGGGFEITLNCDLVVASPTASFGLPEASVGLYAAAGGLPR VVRNCGLQIASEIAMTGRRLTAEEALKYSLINRVAKSPSTVMEESLELAAKISNLSPD AIIVTRSGLREAWETGSVERATQITSDRYDYQLGTAPNMKIGLDAFAQKKKPEWVPSK L VE01_01432 MSLVTPLTKLLNIQHPIFLAGMGKTSGAPLAAAVSNAGGLGVIG GSLLVDKNAPFGVDLLIPQVGGNARKTNVDYAKGKLNELIDVIIEGGAKVFVCAVGVP PKAVVEKLHNAGVLYANMVGHPKHAHKACQIGADIIVAQGGEAGGHTGDIPFSVLIPA VADAIKSYRSPLTGQPVYLAAGGGVFDGRSLAAALMLGASAVWVGTRFVASKESGASE HNKRTLVQAGFDQVIKTTIFTGRPVRHYATPYIKNWEENRQGEIKELLGKGIVPLQWE LEKYDKEGKSTEEIEDQTTFMPMGYVGGLVTQLNQPAGDIVREMVDQAYELLRSPSKF VNDSKL VE01_01433 MAAVTNDSSKKEFNFTTFQNVINGELKTTETTRHAISPHTKKPL AEVPLSTSKDVDDAVAAARAAFPKWKKTSFEERAKALNGLAATIYEYQQEFVKLNGYE LGAPVSIAEILIHMGAGWLSETAKLHPIDEVVEDTPEREVIVRYLPLGVVVGIVPWNL PLHCTAAKIAAAVIAGNCIIIKPSPYTPYSGLKLVEMAQKFFPPGVVQVLSGDDSLGQ LLTEHPGVDKISFTGSTATGKKVMQSASKTLKRVTLELGGNDAAIITKNIDVATIAPA LAGVIFSHSSQVCLAIKRLYVHESIFEEFRDALVAAVKAFKLGEGVGEGENDPTVTIG PVQNEMQYERVKGFFSDIEKEGWKVAVGGTIDESPGYFINPTIIDRPKEDSRIVVEEP FGPILPILSWSDEDDVIARANNTRMGLGGSVWTTDLVEGRRIAEQIEAGSVWINSHMD VTPNFPFGGHKESGIGYENGLGGVKAYCNVQTLYLKKK VE01_01434 MPVVKGGVWTNIEDEILKASVSKYGLQQWARVSSLLARKTPKQC KARWSEWLDPGIRKVEWSKEEDEKLLHLAKLMPTQWRTLAPLVGRTANQCLERYQKLL DEAEQREAGDLGLTGVGGEAQAPSADDVRKLRPGEVDPDPESKPARPDTIDLDEDEKE MLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINVKVVSRKKGQM DYNADIPFEKKAAPGFYDTGEEAAINEKQRESFDPRKQQLANKRKGDADEDPDRKRKK TEKDAPSASYQAAIKAGQMQKIREAEQSSKRRALVLPSPQVGEGELEEIVKMGMIGER ANQMARASENDATRGLVGSYSTINTGAPIRTPRAPPQEDRIANEIKNIRALTETKSSL LGGENTPLYEGAGSTGFESVAPRKSQIETPNPMATPFRGGAGIGETPMRTPGVGQTPM RDNFAINTEGDMDLVSATPRDMKLREMALKHQLKQGLASLPKPKDTEWELELPEEQQE AARVEVSEEDAEIRDRRNKQIREAQELLERKRQTQVLQRGLPRPALVDLDAILKEVSA ISDPIQASIAKEMSLLIGNDAAKHPAPGLRLKGQPKKLDLFEDDALAQARLAIAVESS RDGSVVGAEIFDKTWNELHRSVLAPALVDYEDEEPTERELLMQAFDAVQSEITTTAER GNKLEKKLALHLGGYQKRQKMLRQKISEATEALCTATNSLDAFRTLQIGEEAAISSRL EGLRAEVGFITTREREAQELYRERKEELDRIVAASGGTNGYH VE01_01435 MSNPSIMPPGFSEKKEHASESTSAPLADSKRDQPFNSPHQTDSN SAPSSTQTSSSRLQTANNMGVQSQIQARGAGSARNRPFAVALTVAVVLYFAFTMTTRV FDFRGFTSTACHHQTSSDAAPAVVEKVGKLVPLEAHVMSKCPDTRACLRELVLPAMER VSSKVDFTLTFLGRPTENDGVDCMHGPPECMGNILELCAAHLYPEPRIYLGFVMCLSN DYREIPDEALVKGCALEHAVDIQKLNEGVSMWASGRAAR VE01_01436 METSAGITTTAAAESLIPQFKLERVLNQDQAGRRIVLLGAISTT PALLLLERAPFSASAEHLAELPRALRSCRNLGANDVYFWFMACSGDVADGGAAEIHDD LKINLIYPCTEKHIKKYSRQGTRMVTETAETYKNSVRPYMQRQREGARLTWVYNIIEG KTEVEDVIFRTVRGVDTERGFLLLPDLNWDRKTMESLHLLALVERRDIWSLRDLRKKH VLWLKDMRRKILQATVGTYTKLEEDQLKLYVHYQPTYYHFHIHVVHVALEAGATQAVG KAIGFESLISQLETMPGGEEAGLDSVSLTYGLGESSELWIDVFEPLKNRGTVSLSQ VE01_01437 MGNNTDEVGFPKEPKIGESSTDAYSPEKYRKCLQEIEEKGIGKA RQELKDKGMWPAKPGDILDKIHAIKWSPTMSEEDSKRNVQDFNRLFEEFITWAVEDLN ANKNNPETVKFHQFLCAQLTEVGNNAVAMAIKALRKDSYGIGIQGVKVSRKWTDKAFR FEN VE01_01438 MAIQVVQRSPATVFLRGHKLFLELELDQVSGFEGIFKALNSSAS NIEALTAGSDGLVHSLFERQAKKTPNAIAVQFENQDELTYQQLNETANGVARQLSWAR NTIVPIAVSRSINLIVALLAVLKAGAAYVLLSTDSPAERNRFIVNDTKALFVITDSTT EGSFTPAQEASIEDLVTVSQAMSPKYLTNVNNYQDPSDTAYVIYTSGTTGHPKGVILP HSAAHTGISALPDLDVSQPFRQLLCHSPIFSAAQRTILGALCRGGTLCLASKENITLC LSDTIEEMGISSLEITPSMLKLIDPLNVPSAIKRITLGGEAVGPELVETWAGKVELIG AYGLSECTQLNMRHLLKPGHSARTIGMPSDSTTYIVLAPNTLNRVPPMTSGELCLGGD QLGKGYLNLPEKTREVFINNPYGAGRLYRTGDMVIENDDGTIELIGRIDQQTKIDGQR VEPSESNFIIQVQPGVVQSIVVSAVVLNRNSLVGVIVPEKGKEWSSLIREIRLELGAL LPHYAIPRYWVQREELPLTASGKVDISSLQKAVEAMDANKLIKLSITPSILTPQSSHA SLRLAEATDKLEISSDAKIAETIASALSISPDTVDFSVSFQELGGSSLDAIVVASNLR KIDIHISVSDILQSESIRQMLDCQTEPNLEIATPPAPFSLLPQGAKINLAGLEDAYPV TPLQEGILADSILGTVNYVYQRTYQLQGVSSSQVQAAMNQVLARSNMLRTVFYPHKRS FVQAVKKTAEIPWTVIKGASLDSVVKESSKEEMPLDEPLIRVTVIDEDILLLEMHHSL FDFWSSQFVIQDTIAVLQGKSTIPRLPFSSYVAFQQHTHDEKAKEFWRGYLQSATPTV VDLGISAQAIEPFVLKSTIDHGLTEYSHNYGVTMGAFVHAAWALTLASMLNTRDVTFV AAFSGRDADLDGILSLDGPTLNTVPMRVQVDEGLHAVDFAKAVQSNLWVLSRFAHSGM RNALTEGSMKPSAFNTMVNILVKQDDSLVAGPLVPIITHGDNFTQYITIEISETDPTS VKMLVPFAGDLLSAETLLGRFVQIVGGMIGNPEGVAAQMSNEVSSVYEDSAEEIPRLA TSYPEFGLAHAAFESFAASTPSKTAIRTASGEMLSYAELNGKANSFAAWLISEGVQHG EMIPLYMEKSTETLISILGIIKAGASFTPLDPLNPHDRNAFIVKDVEAKRIVTDEHTR SACASFGVEMIITEDMELPSDSSQNPVIPELTPESVIYAIYTSGSTGLPKGVVVQHSA VTASTEGMIEATAVTSDWNALWVLNYVFDASYYDVFTIFSAGATLCLAPQDELLSNLA SYINKLEIEQVMLTPTITKLISGGPAEVPGLKVLNVCGEKIDVNILNWAQSVDVYNGY GPTEATILMTVSHVKPDGSLNSIGYPLKHVTTTVVSPEAGNLEPVPRGTVGELCVRGP QLAKGYLNRPEQTAAAFVRDTDGEPLYRTGDLARWADDGSLECLGRKDYQIKLNGFRI ELGEIENAILVTEEVDAAIVSVAELHGKRQLVAFCIFKGDHLPNNTAMLPADDYLDRI LSLSSRLTTLSHYMVPSLFLPFRSFPTLPSGKSNRKALIAVAEQMTNSEITTYLPRDN GSDEFVAASTEEELVMRQAWASVLDEPEESIGASSIFLSLGGDSISAINVVAACRKLS YNISVSHILSNPTLGEQAKNLKAAQKKKVVAEVKYETPQSLLSAMGNAGIDFHQYIED FYPCGAGQIEFLTQGHKKQQFWNLTACRELPPHFDLQLWKDTTRELTARNQILRTMYF QVDQIDESSWYQIILREPVMDFEHIFYATDAEKLGHMESLRDGLFTFGRPNIKYRLLQ SLTDGARTLCIKVDHGSYDGTLLRIFDDQFRAIARGDTNIPPVNSFKKFIDWAHGVDR SEALRYWKRSLHSYTPRHNLPLQPISDRLKFAVVNADVDSTASAFGVTASTVFQAAYS IVAGKLTGANDVLVDNLLTGRNADVENPQTLNGACANFLPFRSKLSSKESIAKFLKDT QAQFWDTTEHGAVGLNDMYKTLNRDRQVHSAKLLYCFQPFEPAPATAKPDPMRWIVMA QSKVFMTINYALMVEVQKTAKGHRFKLQWDSKALNDSQADKFAELFSAVLTMMGENKD GRLAELMGIETDLEALWKA VE01_01439 MAQVLTIASGTQVPAVNFHAQKYSVDKLEANEVLIKMLAAPINP LDILVLAGVYPVKPSHTHLDEPILGYDGVGEVLKIGRSVTTLSPGDLVVPSKFGTGTW RTHAVLDAASLQRVTYPTDLAFASILRIGIAPAFCLVEDMRALKPGDYIIQNAGTSLL AQFVIQFARRRGVNVINVVRDREAYELEKVETALRKLGAEIVVTESALAGDARVKNKR IMLALDSVFGTSGRGLVKALAVGGTYVQLGFLSGAAGGPIAIDPTDLFARQLTMKGFR GSAQVGLRSAEEQVDLFNWFVELFNNGELQLPALGLQKVKWSVGNAEGSKGALLEAVE RAKSGALGQRKQIILFE VE01_01440 MSAPTEPHADPFRHTCLIPYVEAATAPPHIAEKINVLPFRRNIF LLLAHSPGLFPHIMGLIGGCFNKDVRKIPLLDWQLIVLRTATTLGAKYEYDVNLPVAE IFNLGEEKIAAIGCTAFSVRQGEGPWTDRQRVILRVIDEQLATYTNTPGTINDAVEIL GHAELVEVLIILGTYSTLARIINGLRIDDDQPIRPEGLEDMLKASVTE VE01_01441 MENSTPPAIPSFHSTLLSLPSSLHNSYSHRLPALTYLSPLLRPY IYVPLLVTAYILYYRYLTGLSHIPGPFSGTFSNFWKISAAWHEEMPKRNIAAHRKYGP LVRVGANMISVDDPAAMSTIYGFKPIYSKTAFYPIVEALYKGKLLANLFTTRSEQYHA RLKRASVTAYSMTALADLEPHVQPVIDLFLKRIDEVGAGGTKAFDIGSWLQFFTFDAL GEINFSEQLGFLETGTDVGKSIGTIDGLLAYLSVVGQAPWMHRFLLGNPVLPHVLPLE SSNEVQNFAIKMINKRKSPSSTTKPHRDILARLLEVSEKDPSKLTFEEIIALTTTNLI AGSDSTAIGLRAILYFLCRTPRAYSRLQAEVDGAFNSGALTTPVKYADGTKLPYLNAV VTEALRAHAATGFVLEREVPEGGVTIAGQYIPAGTIVGVNSWVMHANKSVYGEDAESF RPERWLGEENEGEAWEERVREMKRCNMAFGAGPRVCIGRNISMMEIIKFIPALVRMYD FRLADPEKEWKVLGHWFTKQSGMDMIFVKRVHA VE01_01442 MASQNPTEKSSIHSLPIPGADADIERAHEPESPPTGRQIHGFQW FLVVVAVLSSMFMYSLDGTIVADLVPSIANEFHAVPLLPWLSVGFMVGSIVTVLPLGK LYGKYNAKHLYLISVVIFLAASALCGAAPNMSAMIVGRVLLGMAGNGIYFGILTLLSV HTDDTERPMYLSMVGLIWGVGTVLGPVVGGGFDKVNWRWAFYLNLIIGGLFAPVYVFL LPAFDPSPSTSFVARGKNFDLLGATLSIASILCLVMAINFGNALYAWDSAPIIVLFVL AGVFLILFAIQQKLALFTTKSERMFPAHLLRSKEACLLFVAAAGCNAAGFLPVYYIPV YFQFSRGDNALEAAVRLLPLIIVLSATIMAQGFFMSKLGYYQPWYLVGGALLLVGDVL LSRIDENTNVANIYGYEILVAIGAGAFIQAGYATIQTVVPASDTSYAIAFMMLAQFIG IVFGLSIGGAIFINTALSSLRSLFPLLSEADLRVVISGTSSNAIELIPVALRDDAVAA IVGSLRKLFIPAYVAAAVALVVSVFINIADVILELLDGKEVHNNQYELQISDSTRQVA QELYNDDGIDAEYIHIYIHCMEKIDALHSGRAVHSDIKPDVFMNCASVMIDFSSSWSW EDGKDEPCLDPFRRRQGPTTFESRSKGERDGFRWSVTNEHNGDDLLSHPNPGVVYVQE SRSHFRDPTLRDRVPFLRDCPRCQQAVKEIISSADMSKLEQAEREAEMTTVQIVSTE VE01_01443 MKPTTTTTLLSFLPLFLPVFSTPVSTRHYGNPKPPARLFGSSFG VPGPQTFDYVIIGGGTAGLALASRLSENPNWTIAVVEAGGFYETDNGNVSQVPLFASI GSDKTAANYNPLVDWGFMTEPQTGANNASVHYARGKCLGGSSARNYMAYQRGTVESFD LWATLVGDKSYSWRSFLPYLQKSVHFTPPPAGKRAANATAAVDLKTIGLNKGPVSLTF SNYAMAISSYVQKGFAEMGIRPTNGFASGTLNGSSYVLETIDESTQTRESSETAFLTP ALGRDNLIVFAHTLAKKVLFDSQKRATGVSVETGGKVYTLSAKNEIVLSAGAFQSPQL LMVSGVGPKATLDKFNIPVVKNLPGVGQNMWDHVFFGPSYKVNVITGSAMARPAFAKK AVTDLLEKQSGILTNSGGDFFAWEKLPPTSRASLATSDRKALDSFPADWPELEYLTVG GYMGNNVDYTTGPSDGSNYATVVAALVAPLSRGTVSIRSNDTSDAPLIDPRWLTHPTD VAVAIVAQKRLRELFATKAMKGVVLGERVYPPASKGVETDAQLLAEVRASFNTVWHAA CTCKMGKKEDEMAVVDGKARVFGVKGLRVVDASSFALLPPGHPVSAIYALAEKIADDI KKDLVVV VE01_01444 MVQPIKLYTVAAGPNPWKVAIFLEELGIPYTNELRTFAELKGEP YLDINPNGRVPAIQDPNTGITVSESGAILQYLAETYDTTNKFHHASGQEKYSEYQWLH FQTSGQGPYFGQKAWFSNYHSEKLPSAEARYEGEIRRVLGVVELHLSKTKTDYLVGNS YSYADLAWTTWNQLIGWLAADIDVKKDFPLFAAWNERIQNRPSVIKVAADKAALQ VE01_01445 MNNVTTEKPTSVSEVEALPASTGGPVYNADEKVDVDYNRTGAID AEKIEFKMTVLEAVKAYPAASWWAFVMSCTIIMESYCVFLMGQFIATQKFADDFGVYS PNKKKFIIEASWQSAFQCSGPVGAFMGVFMAGPITSRIGYRWATIGGLMFLNAFIFIF YFGNSQGMFFAAQILEGIPWGIFIANAPAYCSEIVPMRLRAPATQMLQMFWAIGAIIV GGITYHYQSRDDASAYSHTRVPIALQWMFPTPLAILLFIAPESPWWLVRKGRLAEAEA SVKRLGRASATEDPADAVAMMRRTIELEKNDTQPSLLELWKGTDLYRTLIVCGVYASQ NLTGNLIANQAVYFFKQAGMADNTAFGLGLITSALQWIMVMLSWVLTTYLGRRTIYVY GQLINCVFLIALGIAASVGRSTAASNAQASLGLIVSVLFCLGPAPASWVIIGETSSIR LRPLTTGIGRGAYYMVNIPCIFLASYMLNDDKWNLGGKSGYIWAGTAFFCTAMAWFWI PEMKHRSYREIDILFQRHVPARKWKQTVVDINDDE VE01_01446 MHLTSLIPLMLLSLVTEVAADSGYGSSCNSISYYNARDGGKVIF ANCRMNSGIYRVGTEINPDSCFANDNGILAARLWGRYSGSCSDVYLSGTVLHAKCKNA AGGTVSTSIDTNR VE01_01447 MAPTILIVGATGNTGRSVVSTLSDFTQKPNHHVASYRLVAQTRS ASSDAAKQLASLPNVSILEKNWIDITSDWLRENEVTKVFIASHNEPTAFSEESQFHVV ALNGGVKHIVRISTTAANVRPHFRAYYPRTHWAIETMLDTKEFKGMMWTSLQPNVFTS IVLANAVTLVKEYRKTGKQQPLSLISPKDVGVGIIDPNDVGTFAAYVLASENPEVHNG MRYVLNGPEDISGQGIVDLVEREIGAKVEHVVYKDLSWLDDLAQGPHKALTLSIKSAV ETSWEGKCGTDTTSKEAIGIWAPKTTPAQAFKGYLEG VE01_01448 MELATFIASRCEQLQAPSYLNLSLSILILVGILVSYLPQHYRII ARGTSEGISPYFILLGTTSGTSAFASILVLPASRADVACCKTVSSFECFAGLLGIFQV GVQWFCFAIILLLFLIFFPRNPVLPQSTTVKAPTWRTALGVAFLCLAHGLLVIFVSAW FQFSRPSYLGTLANTLGLMAAGLACVQYFPQIWTTFQLGHVGSLSIPMMVIQTPGSFV WAGSLAVRLGTEGWSIWGVYLVTGCLQGCLLVMGIYFELQARKTGTNLESEATTSLLA DNTPSLQNEFLETDDNGNTVIESGGLTERTPLLSKQSNNIT VE01_01449 MDAELCPPQLVATTSSTYSCSIEESRKRELEAREDSTVFSPVGT AQDGRESNYFKSIQWSPDGTSLIAASADNKLRFYVAPPDLLSPSSSPHILTPYTTYAA PEPTYTQSFYPHFDLQNSSTTVLLSSPRDHPIQLINVLSPEPSPVSTYSLVCRTTEAY LTPSSLLWHPSGQEFYTGTDCLISIFDVSRSGEGPTTRLPTIPSKRHKMKGGGVGMRG IVSCLSLQPDAPDSPLGNGMLAAGTWTRWVSLYDAEGLGGTVANWSIASAADDESQIG GAGVSQVLWSACGRYLFVVERKSRGVLVYDVRVTGRLVGWLEGREAETNQRMSVDTAS IGGKSEVWAGGTDGRVRVWRDVGSKERGAKPDMDWLAHEEGTTVGGLALHSCGSVVAT ASGMRRERIYVPETDSDSESESEDDSSDDSDVSDKTTKTLSETSTICSSHQELTEDNT IKLWSIL VE01_01450 MSSQEDEEEPIVEYARFHGLSIDPLRDPPPLSHIESLFQTYEKD ISDGFHLSQINYRPILPTDERLTIDKGAEILLAGANGVFLDQGTMDKITAAASVRPRR QKLKLELPLLRTDPDADLAAFRQRQNPCISDESFIYEPLDIEKDEGIKWPSRFANLPN SLTKDCRMEKITVTRDTMVYLQTALKDNWSKEDTKDLLASQSSYKRNPALAPVTPPLS PLRWGTPEPFQPSSPTCHLPLLSDPPSLLGADLEAAERAIFENDELLSVKEESSDYND PIEHHQEQRYNQRNTYFETPSLLSDELFPVHEHQTSHDLKVEAPLTPPLPLVKTVTFS DTVEEMLFDQDYPGFTSSANESGDEDSFFMDHTLYDTFKEAYNIENSRLDKEQLQEAA TTGRVEVPILDFTAPNTPWDVSKISSFNKSLSQPREILKLIFKEIELPDRPKVLRKLN HTVHWTVFPSGLAEVALTEEFGNEDVLLEYINPAMDTGVTSSKSLTYKAPGIRILRED DDEDDEDLEAGVFLSEELDMASLVKKRKLELGEMGENGTQENIQESGNSTMHYAANRH SQKLTPRTVADSDTVNKGHGDSGYGETLIGDVFSATTALDNFMEMRGTKRHKPADSTT SYFSTPKAVPTNNSAPLPIPPPVVASSRLTKADIPLPLSNITIPTEPTQYIISTDLLK QRPLFSAIKSLIPTAQFIERNFNRYNTTAWLRQGTITRSPVRSPLAAEADLVLSPSTG VVLTTLMKIKQKPLPGQKEKSEIKSRVEAVCMRYERFLVFISEASPDESSVAVLGGQE CMAMADFMGFCASLPCTVIAYFVPGGHETLANWVVAGMIRYGATGSNTRGLLEDETQW EVFLRRCGMNPYAAQAVVSSLKAPEGVHPSRRGMFGISAFVGMGHSERIRMFSPVLDG ERVLGRVSSIIDARWRHDTP VE01_01451 MALARSASGPGALSINVGSANLFGSTNTSSQAPAAGGLFGKATM SQPTGGLFGQAQPQTSGLFGASTTATQPAQTGGLFGAAPAAQPQSGGLFGGAPASTQP QSSGLFGSAQPAASTGGMFGSAQAQQPQQTGGGLFGGANTQAQAAKPSFFGGASMQMP QQQQQQPQSSLFSSSARPQQSTGAFGSSFAGGLTMGQSQGQPTQATVPGVRIDLTNLR NTTRFNDLNEELQKKIEEFDAGVLSLMAKGDQCTAILPAHGEQLELVPDNVDFLQRKV IGVQANLDGDVQAVSQISKQVYVDGENAKLSFTVVDNLKLPQQFHTGIWNPSKPSPSA STPGEAAGDLVTFFSQTSDEMGQTISTYKDNISEIELHLRGVERSLMQAGSNIGRNGQ DKNEVENLVGVLRDFEMGILEVAGKVGGVREGVQSLQLGHFIGPVSVNGNGKRRGVY VE01_01452 MDIDDDDDFYAPEESVPTTSEAKEEPRQTPAQEVRPEQPEDGSE EGEEEGEEVEEDDDSDIDIITERKDGTKAAPPSNARYNDIRNIAQRTASNDVTQKATA PKKSESAKPSLPASGADLPAVATSTIDIDAKPIYDPARKPITQVNIDEDLPDNDKPWR RPGTDISDYFNYGFDEFTWALYAAKQESLRSEYDQSKLADNQKKMMEDMNMMMSMGSM PGMPGGAGAGMGMGAMPGMEGMPPGMEAMMQQMMASGMDPSQMDPSAMFAGMAGQGGA AAGQAAGQNAGFGGQGFGQGNQQQQMGGYGGFDQGMGNTGGGANRGNFRGRGRGHRNW VE01_01453 MQSFTPLTTDNKPSPSLHQRSKYSSSSTSTSSPLSNTASPMSVL QPSHNTTASPADWRTSFLSIITPALRFSFDLAYTTQLLFTIVLSFLLSHGLYATKALA VNAYHASRIFLINAWHTLVFVGINLFFASRVVGIKTLQALGVATVFGWRSGSVVSGHG WVAASTAVKKGWKGTERARARVFFEFMVWLLHPSPLVLFIFWPGWIFVFMGMGYYYYC VE01_01454 MSTPSSGSSTPNPRFKPQAKTAEDILSNQTVGLVNLSDFRKRRA EAIEQKERDAQESLLGSSRGGSGAATPVAGSGGSTPSEPPKKKPKRKAGTPKLSFGVD DEEEGDFNNSNKPTPKNGAESRSQSGSPAPSSDAAPKRKFAANTSIGALPKALTKRSL LQESQAREALRKEFLGLQERVKAAPIAIPFVFYDGSNVPGGVCRVAKGDFVWKFLDGS RKVGAEVGAGVGGEAGKGDAKARREWAKVGVDDLMMVRGEIIIPPHYDFYYFIINKTL GPNSRLLFDYSAEAPPDTTPSAATPSDEIPENYNPLSRPSNNKSTTTTAAIPIADLDG ANDDPTFTKVVDRRWYERNKHIYPASVWQEFDPEKDYQAEVKRDVGGNAFFFS VE01_01455 MAQDTKEFPPIRACLFDMDGLLLDTEDKYSESVNTMLERYGRPP MPWSVKAQLQGRPGPAAAAIFHEWAQLPIPQEQFTLEQAEIQRKLFPSAAPLPGVVEL LKNLNSAKPKGAKDGEKLHLALATSSHTGNFKLKTDHLGHLFEVFVDARRVLGDDPRI AKGRGKPAPDIYLLALDMVNATLAEGEAPIKPEECLVFEDSVPGVEAGRRAGMQAIWC PHPGLKEEFIGREDLVLAGRIPDAQGVIGEGAGEIGDGYAQYLLTLENFPYEKYGIVV EN VE01_01456 MASRTERFERKANSKPVVPSPTEETETVRFEPEEEAELLRESNE RKAAANGKFAAGEYGEAIKIYAEAISSCPNYLFYERAVLNSNVAACYLKLEEWKETIK AASESVDDLDRLDPTKLKENKKGSSPKGEDGKEIVEVEEEEADEEIVSVGAAKAENTS EAARRKTDIERIRSKALLRRARARSEMGGWSALGGAEEDYKELSKMSNLSPADRKVVQ RQLILLPPRTKAAQEKEMGDMMGQLKQLGNGILKPFGLSTDNFQMVKDEKSGGYSMNF NQGNK VE01_01457 MAKSGGSGPREDSAPDLEIFGDKVTLHPSGYIAPPEKAADNEQA LMQHTGRFRTAPLEFLREISLHISGTGWRSYDKPIGQPVYYSGFSENMKAAVVSTPIL QSKISELASKRVVAEEEQGLLNRDDSMFETHKAARKIAIEHSLLQLAEQMTDGMICKM ENKTFIRSAYYFCTELLTRAYHQGIHVSSEEVLRLRNVAKEAEKKRQSIIFLPCHRSH VDYVSLQLISYRLGMALPTVVAGDNLNFPVVGSFLQHAGAMFIRRSFGDDVLYTTVVQ AYIDTLLQGGFNFECFIEGGRSRTGKLLPPKFGILSFILDSILSGRVEDTIICPVSTQ YDKVIETEGYVGELLGVPKKKENLADFLSASSVLSLKLGRVDVRFHEPWSLRGFIQEQ MSRSTTIPQQLNLKAADDPTVRQRILRTLGYKVLSDINAISVVMPTALIGTVLLTLRG RGVGKPELIRRIEWLSDRVRAKGGRVAHFGGATTSSVIDRGLDVLGKDLVGVVEGLPT PTYYAVDRFQLSFYRNMTIHLFITEALVSASMYTRIKRGGLRSDQRISFTELRDQVLF LSQLFRGEFIYPTDGLAQNLENTLHGLEEDKVIELIRDSDGNIKEVGLSEEERAAGRE NYDFYCFMIWPFIEASWLGAVSLMGLTPSFERPDEAWVGVTSAQNSAQLLGKTLYHQG DLSYFEAVNKETLKNAYQRFEEEGIIVIAKDKLSNSPPQMKLAPAWTPQRDPLTGKIA PRGKLWDFTEMIAQSRREGKNRRDGATVSTRVLTLADTLGQSLFSAASADAAKEADAS GKKKRRGRAIMVPAHL VE01_01458 MANTSASPGTHVAMHLLSLAHPSTTASRIFTEKVKLRPLHLKPG ENPTPSGRAYRRTLRLQAASKLKKKRKPQPLSAAEKRATCLHSIPSDQQKYAIFEPLH QLWTGYINEILGSGRPVSGPVAAKLASADYHGALIQVARSRCTSRVGVKGIVVKDTKF TFEIITEENELKVLPKEHTVFRFEVRDDAEAEESRDTQLDQAAKKSLVFELHGDQFQH RAVDRANKKFKQHFLPDI VE01_01459 MFFPLATRAQAVTLKNCGYSFENIEKLTGIKKKQLQYIISEAKK RGYNPEVSPTLKDKYFHDGRPDRPTKLSKEQIEALIAVSKPFEGVPRKTNAVLAQEFG VSARTIHPLATGSEDQSEEEGDVDMTTTSSTADQTTFGDSLLDQLQAAVTGEEYATPN YPPATFNYTPLSQQ VE01_01460 MTRKLPWLQTDTNQDSSGSRSTTSSRPRRSRPIVDSSDIEQPPG RQNRRGKGPRAAAREVSPTPLDEPPDESFMIDGFSNDDRYRMVEDEFLDVAKEFTQHL HTAEYQRMKNSAKAKNAATINAISRPVTTKMGGETRRKVESIARAKKQADAIKDIHGD QPREEGESDESQGPWLGTALHGLMEKPRASAVPLTDISGFHSTTKAAAGYKGSTVGRM ETYDVPDPEEFELAKPASIKSTEGEGETETEDDDDDLAAAPVVTQKGSHIKKESIEKR NIISESGETRQSEVIKSESFEPSSYNQGEPTSTGSTISAESKARIARRREQGRIKRMK EEEDIKDAKYMPKFL VE01_01461 MASMDLDAPISIAPSARAPQTAATILCCNCGAPIDGAASANALC YDCVKLTMDVSGGIQREATLHFCRDCERWLLPPTSYIVAAPESRELLALCLKKLRGLH KVRIIDASFIWTEPHSRRIKVKLTIQDSVSEGVVLQQSFEIEYVVAYQQCPDCAKSYT ANTWRACVQVRQKVPHKRTFLYLEQLILKHGAHKDSINIKEVKDGLDFYFAARNQATA FVDFLTSVAPVKSKKSQELISMDIHTSTKSYKFSYSVELVPICKDDLVALPIKLAQQI GNMNPIALCYRVGTAINLLDPSTLQTHDVSTPIYWRAPFSCLADVKELVEFVVLDIDL VGVEKGRWALAEATIARASDLGQNDKTFFTRTHLGNVLHPGDSVMGYVLSESNFNNPQ YDALENSSTYSSYIPDVMLVKKFYARKKKPKNRNWRLKRMNKEEGDLLPKKGDQEKMD KDYEMFLRDVEEDTELRQTLALYKAQQAQKDADAMSMAETSDGEEDNTPQIDMDELLD EFDELKVNDAGGS VE01_01462 MTTRKHNEFLEAGESDDDRGSEGYNSEAEDLRKGERGQKRIKVD DSDASDFDDDDDNLDDASADETSKPSILNEEYGDATTAPDDDKPEEKSKRLRKSGDLP GTSKLLSKKNLVATEAAVKRSGVVYLSRIPPFMKPQKLRSLLEPFGDINRIFMTPEDP TSHTRRVRNGGNKKRSFTDGWVEFVSKSDAKKACELLNTQIIGGKKGTYYHDDVWNLL YLRGFKWNNLTQQIAAENAERASRMRAEISKTTKENKEFVQNVERAKMLEGMEAKKAA RREKEGEEGIPAKVEKVKRGGERARQFKQTQAVPKRKAADQSESVKQVLSKIF VE01_01463 MSAETLTTISPTTNKGILIRTGATPAELEKIVDVSAERFKTWSK TTLSERQAIVKKALEILVTKKDEYAKELTEQMGRPIAYTGVEVTTAAKRGDYLLKISE SALEDTPGEAEKGFTRYIKKLPVGPVLILFAWNYPYLILINSLIPALLAGNTVILKPS PQTPTIVENLSSIFLSAGLPPDVIQYFHSGNASTIDTIVKNPKVALIAFTGSVEGGLA VQKAAADRVVPVGLELGGKDPAYVRADVDVAWAAEEIVDGAVFNSGQSCCSIERVYVD SSIHDKFVEEVQRVLKGYKLGDPFDKETHVGPVISKRSKVAIEGHINDAVAAGARNVT PANATFTSPPPDGNFVAPTLLINVNHTMAVMTAETFGPVIPVMSVSSDAEAVRLMNDS EFGLTASIWTKDTTKGAELAAEVEAGTVFVNRCDFPSPDLAWTGWKDSGRGVTLSRFG FEQFVRLKSLHLKDYPK VE01_01464 MSKPSHTAVSAPGKVLLAGGYLVLDRAYTGLVFGLSARIHVIVK EAVTAEGAEPLIVVKSPQFVEAEWRYSAVILGDGAGVEVKQIESPSTSRNPFVETTLT YTLSYFLTTTTLTTLPSLTITILADNDYYSQPPSSPTTITTPSSPSPFTSFPTPLTSA NKTGLGSSAALTTALTSSLLHFLLPTPPTQILQHNLSQACHCAAQGKIGSGFDVAAAV YGTCLYRRFSPSLLSSISDAGGPGSQGFAERLRGVVGEGDGKWDTQIEKEGVAIPRGY ALVMCDVDCGSQTVGMVKSVLAWRGKEVDDAGRIWTSLQTSNEELARALSAGKEDEIS RAFGAIRALIREMGEKSGVPIEPAAQTALLDKLGEVEGVVGGVVPGAGGHDAVALLIR EGDETLERVKKALEEWTAKGEGKVKLLGVKGEMEGVRVEKDFEYGSWIEA VE01_01465 MPIYSNLYSNLARQGFAKTFHHGYAQSLVAATHPSSYPNQHNRS AFARRHNSVGKLHSYRFKNAFHTSVNASSALTLQQDVRPERLNTDAGLDAYFDAWKKQ HPVGEPEKEWTQFQFAKRIEWKPSVPEVEEVTAEAVVASYEDQRPGLERSQSTGDLDG LRKARSDTFVSVEGSGAVTPEVAQQIRDLHINGLEQVASGLVTPPMSLEDFRRSPLST SSVTTTSDLQSQSYAEHLTKLSQSQRYAEIPAVFESMLVAGIKPVADSYNALLDAAIH LPAERIQVVPKALDVYSDMLRRKVAPTTATYNTLINLLASRSLEVNESKKMLEEKRAR FGGMEQPDKFMLASSEMEFDILSEDDRLDFAVKMFDISMRTNKDRTYPAETYFKLISA CAEAVRVNDMARFYEDMESKKVIPLAATFPSMIKGYAASRDLTSAVECYNEYKDIAVA DNYGLVELTDRQDAFVYAAVVKAYVDSDKLPGAIRFYEKIVESSSAKNSAVKDIIVSH GLVQGLLDRGVHAEALKWAQGLSFAPKASAMGKIAASAADAGNTPVALSAFENVPLDS QNVAAPAMALLALSVRSGNVAEASKYWQILSAPGMAISPSFIEPTAMYAISMIGSGQA AIGLSQAEQMFQRIRESASSQSSIADEVEEGMLLVEQFMGKRGIVDPRTIESPVVYQQ QFPTHFQAPVTRPSFEDSFDPYAASTDFKGSSLIADELERGLTSRAKVSRLNEALNRF RNIRRAGRHPRYITYAKLISAAAREERTNLVHDILGMARSDVPLLTQYPVVRYGWVSI LDAMVGACLTLGNRTLAAQFHQELLDMGAAPTANTFGLYITTLKESTKTFDEASEAVK IFHRAKSEGVEPSSFLYNALIGKLGKARRIDDCLFFFAEMRSLGIRPTSVTYGTIVNA LCRVSDEKFAEELFEEMESMPNYKARPAPYNSLMQFFLTTKRDKTKVLMYYERMKSKG IQPTNHTYKLLVDTHATLEPINMAAAEAVLDVIRSTGQKPEAVHYASLIHAKGCVQND IAGALAVFNSVSADRSIRPQACVYQALFEALVANHRVAETEPFLQAMTAQRVEMTPYI ANTLIHGWANQGDFKKAKEVFDVIGREKREPSTYEAMARAFLAAEDRPAAMRVVQEML SRGYPNAVSGKILELVGGGHGEVV VE01_01466 MSSRNLTTTSPSQACKSTSSSPTSSSSTIPKTSIPIFRTLPSLR HWRRTQRDLHRTIGLVPTMGALHSGHLSLIRQAASETSAVVVSIYVNPAQFGVNEDLA SYPKTWDSDCALLRDLDRELAEDGGNLGSVAAVFAPTDRTMYPSGFPGQEVDAKGSFV TITPAGEKLEGVQRPTFFRGVATVCMKLFNIVTPEKVYFGQKDVQQTVVIRKMVKDFC MDTEVRVGPTVRESDGLAMSSRNVYLGTRRRARATVLSSALKIAEARYKSGARSRGDI MGPAESYVQSIQEAEEAKPPSQRVRFEIGYLSLADPETLEELETVEEGRGAVLSGAFK MLEVEEAGEGEDRGLSGGPAVRLIDNIILEAVEEK VE01_01467 MADDGMLMNFEIGDAPLVAKPVFKGGRWKDRLTARKVANHKESR MNGEGGGGNESKRSAPAHRDTANREIIQNPRFSEAQEEYIGPNSEARGPKRQRVQVDY YGDAGRQTARLTTGKLPPGSINIGGGKKTTFGDGPGSGPKKTFTDGKPAQIISSLFTF NPSAKTKFEEEEPKEEVEPAKPSNAPLSDEASSFASLGMSRRLAGHLATKLDMKAPTA IQKAAVPQLIAEDSDAFIQAQTGSGKTLAYLLPIVERIMAISAAAESEGVHDKEKQIH RNSGLFAIVLAPTRELCKQIASVLDKLLRCAPWLVGTTVIGGESKQSEKARLRKGVNI LIATPGRLADHLDNTEVLDVSTVRWLVLDEGDRLMELGFEEEIKGIVDKIASRALIND RTGRVGKGQLPSRRVTVLCSATMKMTVQKLGEISLKDAMHIQADPSDEELEKNKTDGT DPKEDGFSAPAQLKQEYGIVPAKLRLVTLTAVLKRAFARRGSVMKAIVFISCADSVDF HFSLFSRPELTPPPVSGANTADEAKPAVAVIPKNELTKDTIATGAAFSNATNAVTLHK LHGSLAQNIRTATLKHFSESKDPCVLVCTDVASRGLDLPNVDFVVEYDPPFSSDDHVH RIGRTARAGKSGRSLIFLLPGPEEAYVPILSKLSPNLSANTGEELIRRGFGGTGHEWE ERATAWQLEVENWILGSAKYLEMARRGYQSHIRAYATHVAAERAIFDMKELHLGHLAK AFGLRDKPGSIKVPGLRPKAMTHADRSVAMRKAKRGDNTDRDGNEKAPEGEHARKKKK EDRERPRDVNEDDAAKRMKRKMMEHMGAASEFNIG VE01_01468 MSLPQPPPEATYIFRGHAAQIHSTQFIRGNSRLVTGDAEGWIVL WGLASRRPTAVWRAHEAAILGVAEWGSDRLITHGKDNKLIVWKFSEEDEASLSTTLPV EDPTTPRKQPWLLYVLDVNSLNFCSFGHCIAKQLPGPISTETIPSPGQDELLIAVPNT LSSEAVDIFHLPSQKRLLTVPGDKSTNTGMVMSVSILYVSSRLTLIVGYESGHAMVVQ DNPVLGWCRLYLAQPHSQPILSLGVTLDMASFITSGADGIIAKHPLSSLSPPLPQPVQ PQSGPTHQKVPVKNPSLLSGMFAAQSPTTPSAIPPKPPVKPEVVTKPLKVVQTKHSGQ QSLRIRSDGRIFATAGWDTKVRVYSVASMKELAVLKWHKDGCFAVAFAEVINVQEDKE GADEDKPEEEKPEAESSQGGETAVVAPPRRVGLAISAKEKRIQQATQTHWVAAGSKDG KVSLWEIY VE01_01469 MPMTALDSPGSAVVAGESSVASASKPRRVRTGCLTCRERHLKCD EGLPHCLNCKKSGKTCRRGMKLNFHWMDVRDPAILPPTADWSVQFQDESRLIASEYKG GLGQYRAIETVAVTPSHDPVPEFNIPIDPNITMHSNQNSMLQPGAPGLYPESKGVYPE SRGIGKHGYQTQEDNRHLHPHTGNEVSYMPSMAPASYGNPEAILAPPDVARGYLSDPQ EVLLMQVFVEEVGLWMDSMDKMKHFSRLLPFHALQEPMLLNAFLACGARHLSLVNPLY HEDKALTYYDTATTLLLRALQNPDRDTVVCATSAVILNVYEIMSERAAQRMNHIAGAR ALIKECGWNARSTGIGAACFWVNIGMELLSCLHFNWQVAWEPDLWGVDMDFSQGREGG SEELWTHRMVYITGKISNFRAATPKFPEATAHEEQLRQQRRYAEWQDLKNLCDSWNQS VPRTMHPVGFLFPHQTSSKSLFPEVWLLKRSAITGRLFYHTAMCVVAQNNPMFPMPNP EMASLLHDHAHQICGIVAHVKDRGVASIALRSLALAGETLVQRDEQEEVIRIFEKVQK ETGWNVTYVMKELRDKWGWISEEQMLRDQMTFNQFAGANANMSTAGQGLQGGQQQQPQ QPPKMIGGILNPLLARADFSLPNHPYQAYYQPPRVHDLQGQGQYGQNYF VE01_01470 MRPPGGPQEESQVLAPVKGPYPVAPVTDFAKEAPESFSQSYAGL DIISFDNFVPPRLKHFGSIDGKLRHKPEGAGEGLRIFTDLPMPIREEPIAIETPSKRR AKTLRIIDIWGKSPEPRNSKPTTQDTNKGNTSKSGSKDLRLTTSSTNREMGHVQGESS FESGSRPDLTRSMLYNWHLLRMDNIRILDPLWEGFRGSAHDYELLKLLAQTHEHQRWW LIVVDGFACPQCVDELRLQWMHPRSLGKAIKSLKSRCTRHSHCDGGSCKVICTHTHRD CNKVTQFFSKLGRENSNRMRNIVLETRDDLLPDADVLVSVAHNVGRYFPDVQNLEINF HFGNRHLDRDVWTRILCACQRLELYLPKGQHLRIRGIEKHTEMQNDWTRKGRKWHE VE01_01471 MQATKISNWVDPKDKSGEFKRGASVFRNHISREAGAEFPAEKGR YHLYVSYACPWAHRTLIVRKLKGLEDIVPFTAVHWHMGENGWRFATAKDKDAPGENVG SDPVAGHEKYTHLRQIYFQVDPNYTGRFTVPTLYDTVQHKIVSNESADIIRMFYSEFD DILPQKYKDVVLFPENLQAKIEEANGWIYDLINNGVYKSGFATTQEAYEKNVVALFEA LNRVEKHLESSPGPYYWGDKVTEGDVRLYTTIVRFDSVYVQHFKTNLRDIRSGYPAIH KWLRNLYWNVPAFGETTQFEHIKRHYTKSHPQINPFGITPVGPIPNILKVDEEVTAVK SASK VE01_01472 MASSLPQASHVGDKSGARSDIVPSDSSSSSRANRLTPEPDSGDS DFDDDYNGHESIELQSQDKEADFEAPLRTGDDGSNTFPRRGSASTTQSFQLYTPDEER AVVRKFDKRLVLFVALLYMLSFLDRSNIGNARIAGMDVDLNLHSDQYEWILTSFYITY IMFEWMSLLWKIIPAHIYVSVIVMSWGIIASLQALSTSFVTSIILRLLLGIGEAGFTG IPYFLSFFYRKEELALRTGLFISAAPLATSFASALAWAILKLGENGPIAPWRLLFLVE GFPSVIVSVIAWHVIPDGPAKAKYLTARERKVARLRLRRRQGGAATKGGLKWREVLET ILDPKAYLTAFMFFFTNMAFSSMPVFLPIIIRSMGHSSVVSQALSAPPYLMSFFIVIL TAYLSDRVQSRSTFIIFHALFSCSGYLVLALAERFGLGVWWRYAAIYPAAIGFFSVIT IVITWTINNQESESKQGAGFAMLQLVGQCGPLVGTRLYPDVDAPYYTRGMAGCAGAMV VVAALAVILRFYLSRKNRNNEKQNGGEYVEVDKEEEEDTLVGENARRDLKIINLTLDA QQQNEILNYCMAKIIKIGGVIREQWLPIPRGIKLKPLPKDIELPIHQYIRPNFDGVLG MILFTLPFDEEGNVMALEDIVPQKYEKQVFLRFWEASRDEEHDDQWRLNDPEYIARWF QIERKYADTPWLRRFQDIKIKHTL VE01_01473 MRLIKIKGPFHRISSRSYSLATSKPAIIQIKNGTFYRHHPSSLA AKQANPALFKDLNFELPSFPEDPHYWAILGPSSSGKTTFLQILRGLYLSLPPTARSYP YLNSEEINQKNHELRIPSRAIQYVGFGGEQGVLSGQGTRGAYLSARYEAHREATDWTV LNYLQGRTELNPSEEEKSKSINEASLKRVIEDLRLGDLIDMPVSNLSNGQTRRAKIAR ALLGKPEVLLLDEPLMGLDPPTLMTLSPLLHGLAKANDPRLILSLRPQDPIPDWITHL MYLRPECEVAFKGPKEEVLSELKANSGSAVNIPIQSLHEIGRTLTDRGIVEPDTPEET GTPSSTRGDDMEESKEAETGEILVDMDGVRVAYGPKIVLGNWTQDVDGKPQKGLYWKV RRGQRWGIIGANGSGKTTILSLICSDHPQTYSQPVKLFGRSRLPEPGKLGISIFDIQA RIGHSSPEIHHHIPRTLTLRQTLENAWSETFMAIPTLDKGAVAAVEACLKWFEHELRP GAAASDASKPALDSTAWASECLFNDLPFSSQRVALFLRAIVKKPDLVILDESFGGMDE VVRDKCMLFLAHGETKTFQWKGGSRDVIESDASRAGNVAIRGLGPEQALLCISHVREE IPGCVREW VE01_01474 MSMRIAPASGHSSLHSHLGAKSAGAPSAPGLHDTLRVGLGPTTH GSSETLQLDSAHPLESRLAQWTATQDSLKLEGLRRTFGMAEPIRRGMELKITRDGEWR PLVLGGGQPSVHEDILAGRDTGCTWEDVFQGTEMRGVQGFHEELETKVRMGQQ VE01_01475 MESLHPRQVSGPDNTGSDPRPLGQRPNATIELDDLTRSTSSVTT DITKNIPTPFGPIPPMSSMVNREMEETPAQPPVAVAPQEPAPTTTLSEAQEAMQSSED STAIDGEEKSKEVISSSAPLSLGSNTGETAADEGQPLASTVSPSTDVANPVCNIMLLL TSGARHGYRVDERYLLKRQMTIPGVTETGKKDPYSISVIMLKELILKEWREEWDAKPT SPSSIRLIYFGRLLNDNVALKECRFNETATNVVHMTVRPQDIVDEEETERKRAEARQH ERPEPTPGCGRCVIL VE01_01476 MQVDGPSAANNDIDESLYSRQLYVLGHEAMKRMSASNVLIVGLK GLGVEVAKNIALAGVKSLTLYDRTPAAISDLSSQFFIHAEDVGKERGLVTAPRVAELN AYTPVSVLDEPSLTGNLAVLDQFQVIVLTDTPIKDQIVIGDYCHQKGIYLVVADTFGL FGSIFCDFGKQFTVLDTSGETPVSGIVASINEEGLVSALDETRHGLEDGDYVTFTELQ GMEALNNSDPRKITVKGPYTFSIGDVSGIGQYKAGGIYTQVKMPKFIDFKPFSECLKT PEFLISDYAKMDRPEQLHVGFQALHAFAEGHGHFPRPHNDDDAAVVVGSAKLFVEREK LSVEIDEKLIRELSYQAQGDLNPMAAFFGGLAAQEVLKAVSGKFHPIVQWLYFDSLES LPTNFKRSEELCKPTNSRYDGQIAVFGKDFQEKLANTNEFLVGAGAIGCEMLKNWAMI GLATGPKGKISVTDMDSIEKSNLNRQFLFRPKDVGKMKSDSAAAAVVAMNPALEGHIV TMRDRVGQDTEHIFNEEFWESLDGVTNALDNVDGRTYVDRRCVFFRKPLLESGTLGTK GNTQVILPHLSESYSSSQDPPEQSFPMCTLKSFPNKIEHTIAWGRELFESYFVKPAET VNLYLSQPNYIDTTLKQGGNEKATLETIRDYLVTDKPLSFEDCVIWARLQFENQYNNA IQQLLYNFPKDSNSSSGVPFWSGPKRAPTPLKFDPNNEEHLRFVIAGANLHAFNYGIN TKDADGQVIQKVLDNMIIPDFSPNPAVKIQADDSEPDPNAPAANSSFDDSSELQEIMK TLPPPSSLAGFKLYPVEFEKDDDTNYHIDFITAASNLRADNYKIAPADRHKTKFIAGK IIPAIATTTALVTGLVILELYKVLDGKDDIEQYKNGFVNLALPFFGFSEPIASPKGSY KGPNGDVTVDKLWDRFEVDNITLRELIDMFKAKGLDITMLSSGVSLLYASFFPPAKLK DRYELKLSDLVAQISKKAVPEHQKNVIFEICADDESGEDVEVPYIMMKMGN VE01_01477 MLHEIILSLSGHPSPLLTAGSSNGSSDLLSPPEKALLASIAHLS NLHCKLLSHTDSISSRSQSSICQAVATSIRSTHLVMFQQKVLDVESSILQKDSGIVGA YNVVPLTAITGQFLEWTRRMEWFWEITEFMETDGCTGSGIINRLCVEMQTGYSDIEQV ASSLVQVAESAWLKQASCWILHGRLPAFGEHDFFVFEGENGEYEIKQELRPDFISRST ASSILFVGKSLTQIRTKNAKSATSIAALLPKHLQLLSSIPYPISTSNLSTCITSIRKS LSQSALQELLPLSKIIQILALFHDFFLLSRGEFAVAIINEADEKIRSRWRRSDNLAFE KRSGLSDVVVKEGEVAAVLARTWAAISALHGLNDEDEDQLELARSLMDLRIVKPKKED ASLSKANPTPFSTLLLSVPTSLSLKIPSPLDLFLTSKEVDIYADIHTYLLCIRRAHIR LSDLWKITSLRRDHPPPPPPPLGSTPHGRAATIKLRQRGKSRSRQMRRVWATSSAGLY LLGELEAYFHGEVVKGTWESLQEWLNGPMPASASTSGAVPGADEDSDEDIWAAAGKEL PDTKPNDHSHDPQTISVAHQRYLLSLKHQLLLTSPSFTDPLFQLLQQIDHLVALVHRI NSVWSSLDLETDEGVIDAFSDFHKEETDVQGQLVLAAQNVKEAINVLILSLRDIDLDV EGREKRLEQLEAEIVDVDLSGEDIYKPRKVGRVDRLLMKLDFGGWLAPLDTDEGDFE VE01_01478 MALPRVSLNSFLATAKATLNTGAQHAQPITFVVGNESADLDSIC SAIAYAYIRTYTSIPPNVHVPIANIPAADVSLRTELGPILSHAGIKTSELITLTDLPH PSKRTALLSPEKTRWLLVDHNSLQGELGQVYGDRVVGCIDHHDEENKVPKDCGSEPRI VEKAGSCASLIVNHCKEAWDKLSHGDEAANYDSAWARIALAPVLIDTANLKNKNKVTK HDITAAEALEKILRSSSPDKYDLAPVLIDTANLKNKNKVTKHDITAAETLEKIPRSSS PDRYGRDFYYTEINDAKEAIDDLSLNDILRKDYKQWTEGQGVNLGMSSAIKDVRFLLD KVKNEESFWKEVHAFAEARKLSVCAVMTSFTHNGQYSREILLLGLDEAGVKAAKTFEK MASEELGLQGWESGRLDSIDSKTQWRRCWVQNNLGMSRKQVGPLLRKSIL VE01_01479 MSSQASTDTDDTCCHICERMNFRDPAWKQYVPSSHCVLCDRPFC NVHQEQTEDDGDVCEANHGTYYKVHHHMLPGKVFTSKQERQEELGEEVIARQQRERKE SIGWTPQDNEDDSRRVSL VE01_01480 MEIDSVETPPTGSKRKADDVPLDASPPRRIKALNPDVVNKIAAG EIIVAPVHALKELIENSVDAGSTALDVIVKDGGLKLLQITDNGHGIDKEDLSILCERF TTSKLKSFEDLTSIGTYGFRGEALASISYIAHLTVTTRTKDSNCAYRASYASCKLSPP KPGQSAEPKPVAGRQGTQITVEDLFYNIPTRRRAFRSASEEYNKILDMVGRYAVHCSG VSFSCKKHGESSTSLSVSQNASTTERIRQIHGSAVANELVEFSSAESRFGFVANGWTT NANYHVKKTTLLLFINHRCVESSNIRKAIEQTYSTFLPKGGHPFTYLSLEIDPQRVDV NVHPTKREVNFLNEDEIIEQICIDIRVKLANIDTSRTFMTQSLLPGARAPSGTAGGEN PSTPVPKSTQKLYENNLVRTDAKLRKITTMLTPGSGGAEAANLPQGAQLSSNEMEYEH SDREPVTCRLVTIKELRATVRDEMHNNLTEMFASHTFVGIVDERRRLAAMQSGVKLFL VDYGMVSSEYFYQLGLTDFGNFGAIRFEAPPKIQDLLRIAAAHEKERMQPACEEDEFD IEEVVEVVSAQLIERREMLLEYFTIEISPEGDLISIPLLMKGYTPSLAKLPRFLMRLG PHVNWTDEKMCFDTFLRELADFYVPEQLPPSQGEGSEEDIDEEIKQRRNVVRKAVEEK LFPAFSGRLIATKALMKGAVLEVANLKGLYRVFERC VE01_01481 MAPSTRIFPGDEELGKRDDDHKVRSKPIAGWHYRRWLLRRNMKR IGLTLVALIALYYFVKNIPTDLKQPSIRPSYGHSPSGGGGMSGLQPPSPEKTQAAPTG DELDGEKHWYNGPIKFYELATSLYLINSNGRTDFNKNVVFAAANLKSAATLLPLACEM ARWKRNEVHFALMGREDIPMKTLEKINGITADCNIRMHDARPDYRPESTDFRMEVSSG AALGHINNYMHPQAVIVDGSGTEEEYFLRGVRDRSIELGKTLIELPYNAEQSLIWLTR LDSWSLNAYNKISIDILIHAQPAASGSLIRLLTSLKKADYFGSAPPRLTIELPNDVDE FATRFLAHSFSWPPSELPVQGSAQQLTLRRRIPHERLTAEESSIRFLESFWPANRFTS HVLVLSPQVELSPLYYHYLKYALLEYKYSSAAASSMMSHEDLLGISLDLPSTYLNDTT PFTAPPNPASAKAPEANGPSFLWGSPNSNAALYFGDKWTELHTLVSHSLSSASSAPKK RISTSYPSWLEYILTLSNSRGYSMLYPQLTAGDALATVHNELYQPPEEFDKPKSETDT TAEDGNFTADPAQHLSLQHKEFPLAHSNLVNMLPDNQVLAPLHTIPLLTWDGRVVDRF DLGDEAEEFSAKFRREVGGCKKPVPAKTRLVNVGVENLFCDGGEEDEVPDKAADKATD EADNKASKEALDDEDVEPTETEESHSTTPAHSHSTETAAEGHMNANQEEGIIVGT VE01_01482 MAKDAEAPNSVDKGKGKAVDGDAGKPKEVKKDKDGKPLANGKEE AVVGGEDLNEEDQQLKGELDMLVERLTEPDTDLYKPSLEAIKDSIKTSTSSMTAVPKP LKFLRPHYEPMIKLYEQWDEGDNKTSLADVISVIGMTFSDEDRQDTLKYRLLAPTADI ESWGHEYTRHLALEIGEVYPKRLTNDEPSQDLIDLALVLVPLFLKSNAEADAVDLMSE LEIIEDLPKYLDENTYPRVCLYMVSMVNLLTYPEDQQFLRTAHDIYKKYNQLTKAIVL AIRLNDIELIRSDFDSTDDVALKKQMAFLIARQQIWLDVPTETEEEQEIADCLNNIKL PEHFKALGKELNILDPKSTEDIYKSHLESTRVAGTTNTTSAAHNLAASFVNAFVNAGF GNDKMMLVEEQQSSWVWKTKKEGQLSTVASMGTLLLWDVEAGLDKIDRYTYAEEAEIQ AGALLATGIVNSGVRLDSDPALALLGDSDKLNHKEPLIRVSAIMGLGLAYAGSNKDEL LALLLPLVGDTSLDMQTSAMAALSLGLIFVGSSNSDVSEAIVQTFLDDDRKHQLKDKW TRFMALGLGLLFFNRQEEVDVILETLKAIDHPMSKPTSVLAEICAWAGTGTVLKLQEL LHICNDHIEDSDDKKGDELLQAYAVIGLALVAMGEEVGQEMVLRQFGHLMHYGEPNIR KAVPLAMGLISPSNPQMKIYDTLSRYSHDNDNDVAINAIFSMGLVGAGTNNARLAQLL RQLASYYHRDAESLFMVRIAQGLLHMGKGTLSVNPFHTDRQVLSSVSTAGLLAVLVAM IDSKLFITKDSHYLLYFLVTSMHPRFLVTLDENLKPLTVNVRVGQAVDVVGQAGRPKT ITGWQTQSTPVLLAYGERAELEDEQYISLSSTLEGLVILRKNPEWDDTTS VE01_01483 MKLDTKYMRYITQEDWKVLTAVEMLSKNHEVVPTKLIGQQSHLG GSTARSISALAKIGLIARLRNAKYDGYRLTYGGLDYLALHTHNNKSAVYSVGNQIGVG KESDIFVVASPTGRQLVLKIHRLGRISFRTVKANRDYLRNKQGGSWMYMSRLAALKEY AFLVALREHNFPVPEPIAQSRHTLVMSLIDAFPMRQIASVPDPASLYAELIAMILRLA QYGLIHGDFNEFNILVEEKPDPAAKGGVALTPILIDFPQMVSVDHANAEYYFDRDVNC IKRFFKRRFQFTPTEAGPHFADARKLIGKDGAVRLDVNVEASGFSRKQAKELEAYMKS VGVDGDGDPNATRADLEEDANGDGDDDEEESDFVEEEEPEAKPVAKKVWADDVEFTTD RLGNTVEVVKEPEAVPAEKKELTDDVEHTTDPLGNTVEVLKTLSVDDKT VE01_01484 MPVQQLQPATDSSYNNRGPVMYAQQASQAQASRASQTHPGAYLA AGASQNDIGLQSMIGSFAQAHIGVPNGTIPAASNTIQGVNTPQYFLTQEGQLFCMPSA NIYPTQSVATAQLQDGGYATYAPGLPYGTQAGYPSYMPGYAMMPYPQARQGHLSDRQG AMHKDVPGLDNRRGSYSTNESAPGTPFYGSLGHGDHGTVIATVDRSPIYSTPSPQLPY NVNMSQVGKPLPYKSIPANYNAATINQIIPDAVPAVFTPQESMRTLDQSLSSPIYGNR NVYIRGLHPGTDDETLAAYANLFGRVETSKAIIDTGTGTCKGFGFAKYFDVRDSEKCI RGFFAKGYEVGFARESFNSRLKAKGDHSSTNLYVSNLPKDMNETELAATFMDYNVVSS RILHDSQGNSRGVGFARFESREICEEIIRTFHGTPVGLEGLLMQVRYADTPAQKDLKK VTTERRQFRTTEYNVSAYGSPADAANLSPLIASAGVTRMAQISGHLPQLKNVGSWKRN GSSSDNTGSSDGASAPGSAFKDLDTRVTQTILTPSKQEGSEH VE01_01485 MANLDTLDLVVLLSLLAATIAYFTKGTYWAVAKDPYDNMLANAT GGAKFGKTRDIVKKMDESNKNCVVFYGSQTGTAEDYAARLAKEGKSRFGLETMVADIE EYDYENIDTIPSDKIVMFVLATYGEGEPTDNAVDFYEFITGEDTAFSEGAEPALGNLK YVAFGLGNNTYEHYNSMVRNVDKALTKFGAERIGTAGEGDDGAGTMEEDFLAWKDPMW TALAEKLGLEEREAVYEPVFSTTEREGLAKDAPEVYLGEPNKMHLEGASRGPYNAHNP YIAPIVESKEIFTVKDRNCLHMEIDISGSNLSYQTGDHIAVWPTNAGREVDRFLKVTG LYEKRDTVIAVKPLDPTAKVPFPTPTTYEAVARYHMEICAPVSRQYLATLAAFAPDEK AKAEMSKLGSDKEYFSSKVSSQFLNIAQVLDSVAPGAIWSNIPFSALIEGIHKIQPRY YSISSSSLVQKTKISITAVVESIQLPSRPDALKGVTTNYLLALKQKQHGDPNPDPHGL TYEITGPRNKYDGIHVPVHVRHSNFKLPSDPTKPIIMIGPGTGVAPFRAFVQERAAQA AAGTPVGKTILFFGCRSKKQDYLYEQEWAEYKKALGDNFELITAFSRDGPKKVYVQHR LQENAKLVNELLEKKAYFYVCGDASHMAREVNAALATIIAENRGITEQKAEDVVKSMR SANQYQEDVWS VE01_01486 MLKELHGRSAVSPLLQPSPDRNPQETPKTAHRHAPNADAENWNG KGIPTLRAGGRPDGTNTPYSPSREPSPHKLPPLSGTGNPPTSDPYTLGNLSPRYIPSR SRAGSASVENSGELKLMPYGHHRQTSIVHGIQHSGNGSLGPLSPQIIAAAGSAAGDAP TMGRADNDVPPAFSIASPSSNLSFSASAASSTTNLVPERVSPGENSVNTHTQKRIERM HSGAGRREHGHHPSHSRHHYKEESKPVGEYALHVLFTSFIAQADEKIGQCITTPLEPE PQIERICGQSADPNFDQLISALGHIAAQKPKPLIDTLMLWRKKKSDAASAARNELQQY RNVTGAVGGGIARRNTEPGNPGPPADATAQPNLSLATMQNSVAQAERRSTVSIYILCR VLTEVIGQSTLARITPEMEEKLEGIIFSQLKIADTESLASSPLKLANWHLFSQLLGVM SNINFQSVTGRFIADLEKSQLDLEGRNQGNREIEGRMELVLGGMKHLRIKTYPEASWE QSCDFMVSLGRAFAKSHGQRVKYAYCQILDVMLLPIAAVAAGTELNVPKWSEVLVTIG QRLASMMAKPRHWPIAFPLTATLLCASPPETFNTQWLQLILPLQSKLKDRLTRASCLQ VISRLLWTYVNRCTDPPNATYRKLEEVVRMVLPPGKKTHTMDPSIVDPLIEIIRIIGF KHQEFCFRTIIFPLINSDRFAPGKDVHSVRVDQLEPEKMVIGIRAFLAIMADLEKGES GRPPFPQSYQSNLHSERLPTSPILASPKRIPSQPLAKERAERLSRPVATSCLNDVAKD YYKRFCEILGKITIICDETFGGQAVLDEKFNSPTPKTPIVDSFNFSRRDDILSPVEQR HGYYELLHVAVQALPRCLSADIPFNSLINLLCTGTAHVQQRIADSSAQSIKSIARQSH AQQVTIGFARFIFSFDDKYSTMSDGGMLGPTHVENTLKLYVELLQIWIEEIKLRSKEA AGTGSDETGSEKRGAPLDVSGIWAHVEEVESHGLFFLCSQSKRVRSFAVTVLRLITEY DAALGQDNVRLIQILEGDSARVMSFNDEHLTVAERSRLQRGLKRSNSQSALVELCSSD VSYDTTLWFKIFPNLMKISYGRCPVVVTLGRELICDRILQMYKGITALSEARTPQHQY DGSGSRIGFRPSTTPPEVMVEQWKLYLIVACTTLTEMGGPQLQPATQMQQHLRNGSKT ALVQPKINSARTLFKYILPLLSVGHPSVREAVVVALGSININIYKTLLEEFQDAVSRC NDDARARIHQRTGSSSRRSRRTDTLRTEVTQVYRLTAHFLREESVYSDDWILNNLVVY AKDLKLFLMDGEVQMDWEFQKLRRHYCGLMEELFEGINRTKDPSRWMTFEMRKSSFAL MEDWCGYSPNQVQIQHREEIMKQSMIDRQTIGERPTINAAMEKEKNSLRTAALSAMAA LCGGPISVTTESKANLQFDLRRMLLWIDAIFSTESDRMHVIGRRALKNLITHNKEYPY LLEHSISRCYTAEVPKALHSYFEVVTQVLLEHPDYPVPFWRTLGIGLYTLGSDQSEIR SKSTTVLRALEERQNRNSKIQDFDISISDKTKAVYKRAQFEISMRLAKHHSDSAFLIF SEFTVYFKSLKPMAQQNMVATILPWIQTIKLQVDPNGGPTAQSYVLLANLFEITIKSS SALHNEVQALWQALATGPHAGNVQIILDFNISLCLDRREQNFVEYAKQIVVFLSSTLA GVKVVEFLLMQITPKAMIPNEKRESPPTPPDTSAMPYVAELSEALPVGAKQAGFSLGQ LSLILLVDLMVAPVHLLAESVPLLLQVVTVLWDHYTPLVQDQAREMLIHLIHELVISR IDDETTVPSKREIEDLIEAIRRHDSKIVWAYGDSNGKVDDRDNKVPTAMENLATQVVN TFEVTYPGIKEQWGKLSLTWATSCPVRHLACRSFQIFRCILTSLDQTMLADMLARLSN TIADEDAEIQTFSMEILTTLKTLISKLEPKDLITFPQLFWTACACLDTINELEFMESL GMLDELLLKLDLSDAMVVMMLSEGQPPKWDGNFEGLQPLVYKGLRSSSCLDQTLVTLN KLVQIPSGDLVGNDNRLLFAILANFPSFLHSMDQGVIDPAIAANARILAEVADSQACG TISVALGNFAASRYRTSKDFLSQLIAALRDNFFPRWSFQALKFLMGFLTNSLPWFKVN TMRILCVFIPEIDMRLPEIASHGPDMISPLLRLLQTEFCTQALEVLDCIMTMTGTPMD DHHLRMSMAGGRSSKAIRKEYERTQSLFGIPEDSGWSIPMPAKHAETTRSNVHAAFYM CQNAESADLEAAPNADVEFHVDDFQYGYFPPQDRADTMMSDEVRTDGNIGDLVNKLDS LDDFFEDTLQSPSSDGRSSRTVTEFGGEFESGAELYDEQTLPILTQSLGRTSSTTSFQ NGFADMRPGLMSRQPSFNSMNPGAFSKQPTSSNRRSMHSRSVTSPSAPSSHQPDFMSD DEYAAEVFSDGEEGRATPGEGGFFLENMIRPLAQQTRSGMRRLTGGRTRESERLREQV RMEARGITVPKSPMVPKVPREYLSQARQGGPQGKGAGGVEEI VE01_01487 MAKLSLLLLAAGLISTTLSQSLLTISRTAILDPPATCTPTPTTI LHTTTYTLRHATITSTVTSVSQAPHSCYTATEVASKAFCPLFNSATCGPHPDCIVLKT ETVPCKDACCQTTPTVTVARCPTCQTGCATAVETVSCK VE01_01489 MKPSLSLPLLLLPILPSVSAWGSLGHMTVAYLAEHLVAPRTAVY MQQILSNPSSPGYLGSIATWADSYRYTKDGRYSAHLHYIDADDSPPWKCGLDIERDCA DEFCIVSAIGNYTSRLMDADLNPYQRAIAAKFVVHFIGDIHQPLHTEGLLRGGNGIKV RFGARNSNLHSVWDSALAEHLIGGYTPTHARSWAGTLLSSIEGGVYSSLVDEWREDIS LGDVENSVMRWAQESNRLVCEVVVPEGGWEELQGKDLSGEYYEQAIGKVEMQVARAGV RLAEWLDLVAGGEDGRGEL VE01_01490 MPPSPSLSGPPQPPPYPLYNTTYTLHRLSPLYSFTLSSLPSHTT ALSEILTGSTLRGVRIGLDTTDVSLSRAGALRGVSMRPLRNPDGWAAVHAAAEPDDTG IGGGGEDGEVGEGWGVIIEITYEKANYTALLLRDIHNSPATTTTTTTEREGGFTHLPL LLTRLPPPLRTTLLDFLATRFDTRASPMHLPSDFLQKALGGYIDALVAGGGDVRGGVR EVVISLAFPAGGAGDAGEGGGGELKNIDITISRDDIVNFLERGRNTSTTTTTNTPTPP TTTEHHPFWTALHTYTTTHLSLSLTSPSVSVVKIACGAFVIAAEGRVKISAPSARGER EGAEGVVGALIASAARMG VE01_01491 MANIPPSRSRSTESLFSPGQSTPTSSVGDNSSDVHSHQTATTTP STTPPNTPLTSLTHASLLSPSTTSPTTPLSLLTIGFGPASLAIAIALSDHLSSSPSSP SPPVLFLEKQPQFAWHSGMQLAGTHMQISFLKDLATPRDPTSPFSFLNYLFTKGRLQK FINLGTFLPARREYEDYMRWCASHFSRAVRYGTEITRVDAVHNSETGKVDRFNVHWVD TATGAPGSATARNIVVATGGSPAVPTLFAPFITGPDARFIHSSQYATSIPHLSITVLS PPAPQHLVVIGGGQSAAEIYVDLSTRCPESKITLLVKDTSLRPSDDSPFVNEIFDPDR VTPTFEMAAKERMEKRERDKGTNYGVVRLELLERIYSELYAQEVDEPCEEKWRCRVLN SRTVVGVQRLAGEEGQGVRLEVARTGVENGEREVLEAGLVVFATGYVRDAHVRILDGT RGLLEAERGVAETVGAPAVEEAAPVAEAVEEIAVVEEVAAVEAPAAVEEAVEELPAVA APVPETAVEAVAVPEAVTAETVTETPVTETPEAVATPAQALPAAAVVAETTKEVPATE GIPVTTAPAEEPVAETTSTTTTEIATETTAETTTETTPEPESNAASVDEVKVSAEVAR APAVFPVGRDYKVQYAEGAVEEGAGIWLQGCNEKTHGLSDTLLSILAHRGGEVVQSIF GPSNTSK VE01_01492 MPALSILNPDPPLLPGPGLLHDLVSWDDAGDAPALDFLGPEGSD ERYSYTYSELQRCVASLAATLHETLRSSSSSRQQGDPDTAASRPQLIIPLLIPQSPAL YIAQLAALQVGAAFCPINLDAPRERIKFIAGDVKAEVIISTRDNGVAVTWEGGPIPIL IDEHFPTPPELVDLPPFPETTTTASLAYVMYTSGSTGLPKGVGVSHRAATQSLLAHEV LIPTFSRFLQFAAPSFDVSVFEIFFPLFRRATLIACHRERLLNDLPGTINLMEVDGCE LTPTVVGSLLLRRSNVPGLKLLLTIGEMLTRPVVDEFGEAEGRVGMLYGMYGPTEAAI HCTAYTNMAAGSKVGNIGRPFSTVSCFIAAIPDPAVPSSAETLDILPLGEVGELVLGG TQLADGYLNREKENKAAFVTYNNSPAYRTGDKGRILDDGTIEVMGRISAGQVKLRGQR VELGEIEEVVYKHPGIELAFASVLEGMLIIFARAGKGEDVVVEDVVETCEQWLPRYMV PSEIVIMAEFPYLPSGKIDKKKLEAEYLRTRADEDGADEGGVVRETEKIVGRALMTLL KVRVNPTKRLATYGLDSLTAIRLASHLRSARLRISAVEILEAETAREIARVCEERLSQ PDATSQAPEVFDFSVLEGPVTEVLAEMGVTEAFDEVLPCTPLQDAMLLETAVDPVAYC NYIELRINDVDTHRVADALRELARHNPLLRTGFVECESEWSAFSQVVWPALAADQIVI TDESGDERMDDDRGGLEMLRPIRMRVCPVERGVVVRADIHHALYDGWSLELVIRDLET ILSSSLPSTFTSASSPDNNDNDTKPISTILTPRPPFRAIVEHTLRLATRSMEKERQYW KDHLAHFSPGSLPSFHSNVGVARGLDIVGHMTAISTSALEKSAALAGVNSQAMAQTAY ALVLSAYLGSKDICFGSVFSGRSADVEGIEEIAGPCIATLPVRINIGNGTVGGVMAEM QRVGRRHMENEGLGLREIQGLVEAEGGVFDTLVIWQQSLADDSDDVVVGGKGKRVQLV KARDYLEFALTLEITPAAGEGKVRFDANYQTAIFGREMIEVLLRQVEGVLGAFMRDGM EAGVEGIFGGVEGEGVMAVFERGVGGELSLLAAANGEDGGGVTAVGGKSKVRGNRRAN AIARLVTGRDDVEVTLQQSIGGKVRGYLGRVGRGIKVLVIAPQLEDDVVLLPLGAEGE LCISGASLGEGDIEIDGTEWVDCGPVHGMLLRTEDLVRLLPGGEVIYRGRKGEEALVR GQRVDVGMVEGVVLSHPAVGGGECTTVLVGEGRLVSFFTPVDADSGEGDFKILDAQRD VLEGIYADLDAAVPSYAVPVSIVPVSSLPVTVEGDIDTEKLKAAYATLTPNQLAQFAN PSASTAEYTWTPLESIILSAVSSVAKSPEAVIKPNTPFAALGIDSIAAIGLVRELKEV GVKTDVGGVLRFGTVRRLGGWVEGERAKAEEAKVIEDKELGVGGLPTPEEIIFEPTLV DEVRNAVARRGWTVERVLPCTPLQEAMLAASELASVSEPSTAEHSSAVVGDPSTGDKE EGAYVNRVLLSLSVSIEQVEEAWREMVKRHEILRTCFVRAGHARYAFCQVVLGGYEHE SRVVEVADAAGVEGVMTRGVSWQVEGKGEGGGEVRPPYELTYTRVAGGDGGVKLVLAM HHALYDGFAMGVLYDEMETYLRGGELREAVGFAPFLRYMSGVDAGKADGHWKGLLGNF NPVAFTPPSEEVGERGVKTESVEEVQRQNHVLTIPLSMSLTDIEERLAHNDASLLSVC QTAWAALLARRTSSTDICLGSVVSGRTVPVEGLNRLVAPSFRALQEQNVEAVPWQMTG LRRVQALAGVEGGEGLFDTLILVQTPEREMDDKVWRVEEDRGGMDFPVVVEIVPRPGK GSGGVLEVTLHVHDLPLGREEVRAVLEKFDRFLRIALLEPREQIVPGGLKSKWAAKMA ERRDARSHRSDAAAAAADDEAVGKEWTDLEVVVRSVIAAFTTVPEGEIGRGASIYRLG LDSINAVQVATVLRGRGYKVVAGEVLMYPSVRELAAWIGGKSALSAGAVTGGEVGEGG EYDFKSFDERHRAAIIKELEKFGVQREVEGVYPCTPVQNGMLAQTLHSSGVEYVNSYT LQLSADVELEKVKEAWKVVAEACPMLRAGFVGTDKGFAVIVYGASGTTVPWVDETGGE GGNDKGMTVEELARRPWWLEVLRREGGEVCVKFTAHHALYDAQSLHQIFEDVQAVYSH GFTPSYPSFLPLLGAILNSNSPADEERRKSFWISQNLAVHRFPDLTPLKVESTRSVVS KTISRMGLKEIEERCREMGVSVQAVGQAVWARLLGAYVGEGRVAFGVVLSGRGVAGGD GEGGEVPFPTIVTVPVCYEVEGDGGKDGNGKLLENVMKGIARVAEWQFTPLTDVQRWT GNTGGLFDTLFAYQKSSSDVQEGAKVSGEGDEVWKIVEEDAEADFAVSIEMLPEGGEM ILQLTVKESVVPREQAELMVRQFDALMVDLLNPGADGLDEKILSITPPEHSTLSDSDE GDMLLHGFVERQARLTPNKVALEFTTSLEGGEGNTAAWTYDQLDKEANRIANLVRNLG AVQGQLIAICFDKCPEASFSTVGVMKAGSAYVALDPGAPADRVKFIMQDSGATVVLTA GKPAESLKATFEGSEIQVVDLGTTDLLGGCSTEPPILARPIDSQDSSYCLYTSGTTGT PKGCELTHENAVQAMYAFQHLFRGHWTEESKWLQFASFHFDVSVLEQFWSWSVGICVA SAPRDLIFEDIPGAIRALGITHLDLTPSLARLLHPDEVPKLCKGVFITGGEQLRQDIL DVWGEKACIYNGYGPTEATIGVTMYPRVPRNGKPANIGPQFLNVGSFVLKPGTSEPVL RGAVGELCVSGKLVGKGYLNRPELTAERFPYLEALGERVYRTGDLVRICHDGSFLFLG RADDQVKLRGQRLELTEITEVIKRGVEGVGEVVTLVLKHSAQLKEQLVAFFVPAASAG VEEPMELIGAMRDTCTSRLPGYMVPTHFVPLEALPLSPNNKADGKALARLYDELSMEE LQRLGAAGQEGRQWSGVEKEVLGVLAECMGVEVGELKSGTNIFELGFDSISVIGLAQR LQRAGYTGAKAAVVMRNSGVEALVGVLVVDGAGEQGAGGGEVVAAQQRITAFAQRNLL GAAEEMGVDPDEVEGLAPCTAAQAGMIYRFLDSEGPLYFMSFAFELWEGVDVEKLREA WWRVVRGLEVLRMGFVFTPDGCAQVVLKEGEVPWGVDRGFVGMEKGEALVRPWGVGVS EQEGKRVMKLEIFHGLYDGASLPLLLRKVEEEYKGVEGIDYGPSFMSLLPHGPLAAVE GAEAFWKGALETAEFNPLPLLENAQGGDVSVSRRVQDLGHLEALRQSLGVTYQAILQA AWISAFRAQFPASAAPSFGNVISGRAIDFSGAENVVGPLLNTLVFHVDIKEGMTWKEL IQACHSFNVGAMLFQHSPLKDVQRWCGESGRELFDTLFVFQREEEGGEEGLWRELEGE AVADYPMAFEATLMGGGDVRIALVGQGKYVNEEVAGGLLERVESELAALKEPEGEIPG VEGSVRGGRLVKSGNETQAPDTTSDKDFEWTPTASVIRAQISELSKVEEDSIAVNTTL FSLGLDSIDVIKLASRLKKAGVKISVSAIVKSQTVAKMMGSIQLEQGPVEVKTSIDEL ERQLSESLTREEVEGATAVLPATPLQEGMVAEMVASGYRKYFNHELYRVKGGVDMDGL KKAWEMVVAEFDILRTSFVGVEDVEIDVGFAQVVRPASDRSIWRTAGFEEDADLGVRT KQLIEEAVERAKTGSLLQLVDITHGEERYYLLSISHALYDGWSLQALHANVQKAYNGK TLTNPSVRIPLEQLLNANGPEATKYWRTALSGLPKSEFPLHNSTSEGVNRFEFASSIP LDDVNAFCRKSNISLQTLGQTAWAILLASCLKRLDVAFGVVRSCRDTEETSELMFPLM NTVVVRAVIHGDCKGMLQYMQESSNAMRAYQHFPLRKAQALAGRQGGALFDTLFIYQG KAQEATGEVLAEAVESQAEVEFKVCVEMEVVAGELVWRIACRDAARTEGETEELLRDL DALMGRIVGDVEAEVIVAAQGQVALCGLEPFVDESSMVGVKGVAKAPKSGGGVWSPTE LAIRGVLSQVSKTPEGEIGRGDSIFHLGLDSISAIKVSSLLRRRDVRITVGEIMKNSS IQEMGALLAGRVDTEAKTQTKDVEAVITASLKHIDKTALLGEVGVEEGDIEDIMPVSA GQLYMLARWEQTGGAQFEANFQYKLDGAVDAGRLEKAWEALMKRHAILRTVFAFVEDE EVRAVQVVLKERSNPVKYASSSKTAVSLLDPVFLVVEEVGGEKTISLRLLHALYDGVS LQLLIRDLETLYLHPDSALPPPLSFKEFIARDLDDESRKKQKTFWESYLPRIELASMP ESPDLTRRVEIFKPSVPIGDITSAARKAGVTVDALLLAAFSKAYEVTKQSSSEETVIG LYLANRSATTDLSELVAPTLNLVPLRIQCSSDGLEDVASGVQRDLLKLSEVANVGASL ADVYSWTGRSVEVFVNVLKTTTPSSSDDEGGDEKTSNLFAESLSEVDMLRPRAEVIDV VPNTALLTAFEKREELRGAYSASVDIELRLVDGGRGIDVGLFAPGGLLGLEDGERLVD VLGEVLRGL VE01_01493 MLSRAAQRHTRLQTIRSYNAAREQLYLPWLCPTYPRLRQPCHRS LTTAPGDSTIPLRKRRNSKDGASPTVRSLATAAHMPLTDEIPFVDSINQVASKYPGHT QDQSRLSTIMPFDPTKTISLENTLALPAERMRMKNGVTGEQREIFAILEACLRLGRIE RAAAIIKRSVPFLKLSPEELGRLHNEYLKASLERILREPNAEHAHDMHKWFEVMVRSK GIEVTATTIAIMLKTSLQSPRGARQDRLVKRYMDMARGDVGLEVLSMVDIMSAEDLDI INQIAPEHNILAKLDSIVDEEANLEDDIAAADLQSSKTTPEVIMDVRAAPQRGFGLKA LKQSLSLFSRKPEGFNADVLSVEEQRLRQMKLEEDSIISAVDRWRKESVSLSKMGLDT SLQTKSLGARMWKWQEALEQRLNQELQNIDKAEATPEKEKSQLDVERCIYGPFLRYLP TDKLAAVTILTSMQCLSSHGTDKGMPLAAVIMSIGANLEDESITETIRKNTTKQGWQQ LNKTASAGKGLGLARVIRNKRTRQQLTDSLAKLVRGDSAAEHDLSDQWNTEWSATIKA KVGSYLLSALIDTAKVPVTMENTKTQELLTQIQPAFSHTHQYKIGKKTGMILANRALV ASLKREPVHSLLAKHLPMVAEPVPWSEFNQGGFISHPAKAVRIKGGDKNQRQYQEAAI GRGDMEQMFKGLDVLGKTSWVINRPVFDTMLEAWNTGEAIANFPAEDPKLEYPPEPPA SKDPTERRKWIRSLKTIENTRSGFHSARCFQNFQLEIARSLREEKFYFPHNLDFRGRA YPIPPYLNHMGADHCRGLLKFGKGRELGEAGLKWLRVHLANVHGFDKASLKEREQYTV DHIDDIYDSAMNPLNGRRWWLQAEDPWQCLAACHELKNALDSGDPTKFVSHLPVHQDG TCNGLQHYAALGGDEWGARQVNLEPGDRPADVYTAVAELVKEKMAVDRKNGNKCAIWL EGKIKRKVVKQTVMTNVYGVTYIGAQAQVRKQLVAAHTDIPNEGTFNVSLLSGYVATK IFEALGTMFRGAHDIQYWLGECASRISTSITAEQLDKLEQLHEEALDQRAPRLNRYQT SMVDAEQQLQFKSSVIWTTPLRMPIVQPYRATKSRTVQTNLQQITISEPHRSDPVSKR KQLQGFPPNFVHSLDATHMMLSALKCDEAGLSFAAVHDSFWTHAADIDTMNGVLRDAF IQMHSDNVVVRLAAEFDARYKGSLYLAHVKSDSEFFRRVQQWRADVKAGHIENPYRGP QGKKSKKQSQKNMQLVEALLERKRARMLASDNPETVAKGKAMVTPASIFEELQAYNDI KGGNDTPVVGLGEKGAAEPKKKAKAAGAESVEIEDEDADENEEHEEPEEDDSEGEMEV EHDADEGSQDAFSRSVSKKRVRSKGAALSVWLPVSFPTVPEKGSFDVTRLKDSQYFFS VE01_01494 MVQTVSRAIRATACNNCRTSLLRSFTSIAGVQWHANTATATRLW RTPGATQTSIRYSSNTSGSSSQFDHQTLGTTGVSYAANLKHTEATESAVAEELAAEEA IEQEAIETKATPEEEPVLEEDTQAESQASSTPWYLQVDTPTTHIPTLSERQKIPELPS SPPAILQPLLERISVDLGLDDLSLLDLRKLDPPPALGANLIMVIGTARSEKHLHVSAD RLCRWLRTEYKLRPDADGLLGRNELKLRLKRKAKKAKLTGMPHEDDADDGVRTGWVCV NVGTVESAKDAESEVTQPDTGFVGFGRQTDGTKIVVQMLVEEKRAELDLERLWSGIAR RQTSGLLAEVDADGFVDTSEGSVDEIPTQESSPVVKVHDAYATPFPTTASFSPVAVQP KGDN VE01_01495 MADSADGDDFFSDDGLDGLAPDALDEIENNAIRYTQYQATQALT LAQSSDYGDDLDDEDLDDAVVFDGAQVDPTNRLTQFQSSVAIQQARQFQAQRPSRSET AQPLSDRSKIQPQNKPLYKNPLPTSRSVTAGQSTQPDYGRSNQSYQNVDGDVETLRKK LEELEQEKDQLRQDLLAKTGEISIVRSKQERQVKEHERELTALRKLNAENSTKNQKAI EAAKEAEKTRATELEFAKRDLFEESEKVRSLIRQKEKVTLGIEVTTPKKDKTSAFRDG FDDDEIRSPSKFNDRKSNQSSPRKPGAKRKRRGQESPAPPLDVAPGETAINRDGQADS LIPGDALSEKLGKPDDSLDFLKTVLDHKIDRNHLKTIEELTKFAFPSAPDESLTSLIL GKLPTLSTNPPSTDFPIELCELIISLWSRCLTEKYYKPIFLLLDLLSFALELRTVAIA PFILDALLPTAQETAVLIALPRFRQESYSKYEADIDLHACLVTIHLAALGCICNKEYT TRFWRQMRLDFVLMTLSQHQPVEDFDMMLQLLAMSVLKDSIGPISVEPDSQPDQMKYI LDRVGYLLGNNPVASEGKPKCDAKTLAKLRSQILTTLISFSYSTIGSAALAAHPHAIG RIVKALSGSMDALYSYPSFHVTLSQIITKSIRLLYYLFKRHPEIDMQQKLSVVHGGSQ KYLIALARLNYTAGEHGVLEYGIGEDTSQQAHELLENALTPEEGEAVHDAFVDA VE01_01496 MGKTRRNVKFNHRNGSATGLDGGRRSSFSDISEGSSEHTLEQKT GAGGDVSNELQQTKAQEYEKKKQTFITRTIWTLVMVAFFFLALGMGHLYIIAIVTAIQ IVSFKEVIAIANVPSRARRLRFTKSLNWYWLATTMYFLYGESVIYYFKHIVLVDKVLQ PFATHHRFISFTLYVIGFVFFVASLQAGHYRFQFTQFAWTHMALYLIVVQTHFIMNNI FEGMVWFFLPVSLVICNDIFAYLCGITFGRTQLIKLSPKKTVEGFVGAWVLTVIFGVF LTNVLMRYDYFICPVNDLGANIWTGLHCKVNPVFLTQEYPLPIWFPFASSVRIAPMQF HILVFATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGITDRMDCQFIMGFFAYM YYHSFIALNNVTLGDVIETAIQLTAEEQMELVKGISKHLYNQGVISDTVLSCFNGVTR R VE01_01497 MTERLTNILKHITPGSGLSTITSKNADDIVITLAARTALGKAGK GSFKDTNLDFLVYSLLKKVIAQSKLDPSLIEDICLGNVNNGKAAYIVRAASLAAGIPN TSGAYSLNRFCSSGLKATQDIANAIAEGSIEIGVAIGAESMSEVTDGPMKPFHADIIS GSQDAADCMQPMGQTSENVGKDFNITRERQDRYAAESYQRAERAQKAGWFDDEIIPIE TTIKDPKTGEEKKIIVSKDEGIRYGTTFESLSKIRPAFPQFGDKSTGGNSSQVTDGAA AVILMKRSTAIRLGQPIVAKFVGATVAGLAPRIMGIGPSVAIPKLLKQHKLSIDDIDV IEVNEAFASMAEYCKEVLNLPHEKMNPRGGAIALGHPLGCTGARQIVTGLSECRRQNK KILLTSMCIGTGQGMAGLFVNEQ VE01_01498 MAPTPPGPSSPDPATPRPDQSPSPSIRTQTPNLHAPARPSQLRE AHTLSSSPDQGVSKPGDGIGGVDGNSDNNSNDQSPPSTTTPTAGQTGPQTKDKDTASS SPEVQSQSSPSHSREPRNWLGRPPKLARDVSRESTPLLQRPLEISSERAHEGPCDHGT FSPNMISRPASIKSQETTDSARDRGFFRSVAAGIAPSNNAKRNTTARLAEEHGLTLNK TMYVTYYVPFFAWIQQYKWAYVRGDLIAAITVASFYIPMALSLAANLAHVPPINGLYS FVFTPLIYAFLGSCPQMVVGPEAAGSLLVGSIVSSTTDVGHEDGVAQAQVAGLVTGLA GAIIFIAGMTRLGFLENVLSRPFMRGFISSVGFIILIDQLVEEMGLGRIAKEAGVTHG SSVEKLGFLFSHFNDAHKLTCAVAGGSFLIIMICREIKRRLQPRYPNVAYIPDRFLVV VLSAVLCYQFGWDQRGLKVLGDIKSAAGGNSFPFRWPFRTSNMKHIRDSFGTTFVIAL LGFFESTVAAKALGGGESKKGDGIQGIQLSANRELIALGFANLVSGCFMSLPGFGGYG RSKVNASTGGKTPMSSIFLSLITIICILFLLPYFYYLPRAVLSSMITVVAWSLIEEAP SDIRFFWRIRALPELALMAIIFLSTIFYSLTFGIAIGVGLSLLSVIRHSTRPRIQILG RRPHTNHFENAESHPDDLEFIEGCLIVKIPEPLTFANTGDLKNRLRRLEFYGTTAAHP ALPRVRQEYHNKNIIFDIHGVTGLDGSGAQVLVEIVEGYRQRGVRVWFSRGPTEGEVW ELLVRSRIVEMIGGETHYVNDVGDALRLTETVEGSSSGDEHESRIEGSG VE01_01499 MAMFAPALYLHTYNLPKTRQGKVAELRQELNFGGKKDKNHSAKK IALKKIVANMTMSNNDMVALFPDVVACMDIQSLEIKKMCFLFLVNYARMKPETAVKAL PTLEGDMKDSNPLVRALALRTMSYIHVREFVEGTVPHVKHLLKDSDPYVRKTAAFCVA KLYDHDKDLVERSDLIERLNSMLRDDNPTVVASALASLMDIWERSDAIKLTIDYGNAS KMVQILPDCSEWGQTYILEALMSYVPQESSEALLLAERIAPRLSHSNSAVVLTCIRVI LYLMNYIKDDKQITALCRKLSPPLVTLLAKGPEIQYLALRNALLILQRRPEVLRNDIR VFFCKYNDPIYVKVTKLELIFMLANEKNIREVLTELREYATEIDVHFVRKSVRAIGKL AIKIEPAAKQCINTLLELVATKVTYIVQEATVVIRNIFRKYPDQYESIISTLCENLDS LDEPEAKAAMIWIIGQYAARIENSDTLLEDFLDTFADEPVEVQLALLTATVKLFIQRP TKGQDLVPKVLKWATEDTDNPDLRDRGYMYWRLLSSDMAAAKEIVMGEKPPITAESEK LDPQTLEEMCLVVGTLATVYLKPVQQVFRTARPRRLAESPALQKNTLPGYTNGFGPDS QKTLSSLGMEVRPADAAVRNIMNGNAANNNNLAAAVSAADEFFAGVGNRQMAAMNVND GDEGFGGSPTQGTHNMGYVVNQNQPQQMYAPVSGQGANGDLLSL VE01_01500 MSQPMAPPPPPPQWVIDLNSPPISKPKSSAIPDPPGFTGAPTSG SKKQQLAAGKNARKPPTTEETDTLKLKKAWEVALAPVKQIPMTGFMMYMSGNGLQIFS IMMVVMAFKNPLMGLLATNSAFQRFESEGTAQKLMLVKAAYVAMQIASFGLGIWKVNQ MGLLPTTRSDWLAWESAREPLEYAIPAF VE01_01501 MPLALSITKAEGKPGVVYHPLKLANVEKRTPAQGEIQVSILTAA LNHRDLFIRQSLYPAISFTTPLLADGCGVVTSGSSKLNGKRVILTPAHGWESQVSGPE TFIGILGGTTLNEVGTAQEVVVLPETEVELAPEHLNDAQAAALPLTGLTAWRALVTKS GEAIEGRNILVTGIGGGVALNALQFAVAKGCNVWVTSGDEGKIKKAVALGAKGGVSYK GAAWDKELLAMLPEERKWIDAIIDGAGGDIMKRSVRLVKQGGVVVSYGMTLGPKIDFP MSAVLKNIDLKGSTMGSRVEFREMVRFVNEKKIVPVVSRVVDGLDNLKGVEELFQEMK QGKQFGKLIIRIKKDTGSKL VE01_01502 MPSHLPSSFASAAAGQSSRDTRPSGRNEPRGGGDWARKEARSSN GTLTLRRSSTNPNAVPGQAVPQVEQSTTSSASDSFFTQYTNNPGSEAPVDTRYSKDAI LDIYKAHQSSVSSNDDVARLFVNNWNPEQQNSSGARGWGKSNDTRDHNHGPEICWDQS GEVQPIGLQDMSDVERSIFTNDVNSPLKPLSQNTKEQGTPGGATNGRKTSVSHGQGGA AGPFGVTPPTPGRPGTRRRETGESVGYISLTSPATMSRFNRDEPSPFFNRKAGDKDSF QADDRDDGVKHGQTSLPFGGVSRSNTAGSGLGNGPASPWGAPTSGANMSTMGSFGSFA LPSGSNPPATPGEKRPAFGRGESRLAHLMPKESSEELSSKGESLRSDAAKSWRARART DTDPFDDESIRPGSAALGGQDISPPLSQNRRAPGLDTPSRQASGDLGMSDAPGFRDGM HGHGIHTPHERLGGHRGLESPTETNPYASPPDERAQREEDEASIGSNPMHQARPHGLG GVGEQASNPFGGLARGFPNAPFDGSDRSQTSSATGSKGFPSLGAGGLGGLGGWPTSAN PIGTPDRERSFQGAFGNSIFGPMGDMQSPSLGGLGNLGPVSGGLSGSNTIGRGSKLGS LFPAAMQAQMHTTEADHQGEDQRQMSNFGAIGRNAFGPPRETDSPLRSGRGVFDDLFQ ASDFRQGQHPPTEGAQPQTASGSQPPSTSQTPGQAYQQGQTSAEQTPTPGNLPQTQQR TMVMPDRMRWVYLDPQGQTQGPWSGLEMHDWYKASFFTPDLSVKKLEDVEFEPLGQLI RRIGNSREPFLVPQIGIPYGPVTAQSGAPFAPTATTGAPGPQPGAVQPPFAGAFPSFG TTLTAEQQNNLERRKQEEQYLMARQREFLAQQQVNMKQMQMPGGLPSALHHHSSAHSL QSQPSFGSITSPIGPIMPQQQIPTSGSSFFDASARPAPTTGSNLPPDFFREDEVARLS LQDRQQTFGAPTGATQASRTQLPAIFSQQSQAQAAASQHQAHSENDPQGFRARLQEFE QLRAQHDMEQAEHAPAPATTEPIRPPQNRQQSRTETVTTETEVLVERKDQEEVLSLTE QIQKAASAKQTPQPESPWAKVSSGLPMPFPPPPQSTTPLPAPAAQRGRSNLPETLHAG TRSRSETPDTSAAPPSIAPWAKEPVDAPKGPSLKEIQEAEAKKAAKLEESAAAARRAL LEQELRAQPTAVAHGLPTTSTWASSASPVGPAVTATSTWSKATTTKVQNPVPAATASK KTLADIQREEELRKQKLAAAAVAAAPALPSGGKRYAELASKPTAGQPAMNSAWSTVGA GGKVKMPGGPVVAAPSPVMRPASSTAGPTLAKVARPAVIENRANTSSAALSSQGGVST AQQEFTKWANAALAKGLNTDINVDNFVQMLCEFPGEPGVIADSVYANSQLMDGRRFAD EFLRRKKQAEKGIVESAGAGSTGFSTAGSGSASAGGWSEVAKKGPAKVEEPVASGFKV VPNKKKGRK VE01_01503 MANRSPGWRDRHLRAEILPPGWKMGISRLGRTPFYDPLIVQEPV ERLPILPRRTISPRYQATDPCYDDLDQLNPRLYPEHADLVQRQGPGSAGGYNGRFDDR VRDEIYLDSDDDYPPPRTGRVRRPLPERVHEDIVAGPRLTSHDLDVVHHNPHLQHMRD ELRQQSLRPILHDRPHHFHGHHHSHRPPHHHPRGRRRVHFADDLDDDLDWRNPFERRR MPYRFIEEELDFPYDEIFYSDDEIDLHRRYAAHERLLMAPRERGSRERWWELDDD VE01_01504 MSSNDYYGGGGGGYNQQQNYGAPPQGQGGYPPQQQQYPPQQQGG YPPQHQDQYGQQQQQGYNQHGGAPQGYPPQGQYPPQHQGGYDNRGDPNSQQQHGYQQQ GGYPPQGHQQQGQYGAPGQAYPGGGQEGDRGLGATLIGSAGGGFLGHQMGGGMLGTVL GGVAGAVGANVLEGKHKKKKKEKKDKKHRKRGGSSSSSSSDSD VE01_01505 MLNHMYEITSDLTDNRPRQRLKDPDEKPKFENLGSVNGSTTARD TQTGSCKSRGAVLLAWADIEPWRQYNRFIIRSYRPASNSYLKSISSLGYIHDQTVNIY SHLLGAVGFVAAGCVLYSIISPRYHSATWVDVAVFGAFAMGLFMCLTLSAAFHTFNNH SSIVYDYFFMLDMLGISFLIMGSFYPSIYYSFYCEPGPRYLYWAMITVFGSGVIIASI TPKFRHRSWRHLRTALFLAMGLTGIVPMTHAALTFGIDQANRQMGWYWYIREAMWYVG GAVIYMAKVPERFAPGRFDVWGSSHQIFHVCVLLGAASHLTGAIKGFDYNHDPMTRRC VE01_01506 MSLQQLSKLLPLPEEDLQQVLAYASTLPPQEAVEHFNNLLGESP ASIEFISTFNSRRQPAPSSSSNQASSSSASSAVPKSTRAPKKKKPQIHTPAPRKVQET TYAGQGKAYQKKGNDAYVPPRAGPSQQNNNLSLRDPPKKTQTPPPRPPPSAAGRLISD PLKKPSSAPTTRTSSPARPSARPTKISITGGTPMSSASANLSELDALIYSLENASTSA VSNASRACNCIATKHALLAAAPNCLNCGKVICVKEGFGPCTFCGQPILRPEDRDNIVR ELRADRAQEKQAIDRAAHRRVETTKNPYVSRAAAVPAGPTPAEISRGDGEGLSAAEKA QAHRDRLLGFQAQNASRTRVYDEAADFATPDVGVSQWAGPMERARMLKQQQKVLREQE WNAKPEYEKKREMVSLDVVGGKLVKTFKRVDVKYHDTVEDKENIDEGEGVDAGGYEQA DARTSGGAYSRNPLIGGLIRPVFTPPEGKGVEDGEAQTRKKTWRRLQDDYDDNEEVIL DGGVYGSSSMLEGDMREGADEKAGT VE01_01507 MDNFSSLHIDLHLSEAKDVTYTRTPFGKEMRKLFSFDPEYRNLN HGSYGCYPRAIRAVANHFKDQKESRPDPFVRYAYNDYLDKSRAAVAALVNAPVNNCVF VPNATTAVNTVLRNIPWNKDGNDEILSFSTIYGACNKTIAYIRDSTGLVSNRVITTEY PISNADYVSLFRKAIAASRAEGKNPRVALFDTIASMPGVRIPFEALTAVCKEEGVLSL IDGAHSIGQIPLDFATLDPDFFVSNCHKWLFTPRGCAVFIVAERNHNIIRSTLPTSES YRPEGVPEKPSPTGNPHFVAMYEWVGTQDNEQYLCVPEAIKWREQVCGGEKAIYEYNN SLLRKATKLVVSELGTEVLENEEGTLMDCTMSFIRLPLSLEKDGGSVKAEDFGTILTY LGQTMASEYQSYIATSVFQGGIWCRLSAQVYLDEDDFVFAAKMLKDVCERVNKGEYLA KA VE01_01508 MPTTNVSSACELQLQEIADALSKSKKVVVITGAGISTNCGIPDF RSENGLYTLIQAQYDAAAANKTNPNTGDIDDRPLKRRKLDRSCSLGSSELENGIIKEA PLRRQLRSSQSFHTQATDSDSAGSNPDTPSEIPTKEMEETPKDVSAEAVNEPIPSSQA SRRSTASRQSLPNMKGKDLFDSIIWTDKLTTSIFYTFISSLRKKIYNDVTSTTATHKF IRALRDGGRLVRNYTQNIDMLEERETLCTELARGPGTRGRFNPKLRKEAQTENIAGGK QDSGVEVVHLHGSLKFLRCGLCAQQATWDVDRQVMTLAGDAPECPSCLANSAKREDKG RRSLAVGRLRPDIVLYGEEHPSADLVGPLITHDLSLGPDILLILGTSMRVHGLKVMVR EFAKAVHGRGGSVVFVNQTKPPDSIWGDVIDYWVEWDCDAWVTDLRQRRGDIWLEQGT KPKNDKPTTTPKNPSAMRPDLTNGAYLQWNILSSLRQLTGRGEDEEAAKMIKRIDGLH KQAAPKSTVPRRRSLPATTKKQTSRIPLSNLSSNVQGLTIPANGKPKSTNGQRRALPS PPTSDEAVPVLPQPMTPRAVRIKKLTSIDAILSSPLSSPPKVIRWADY VE01_01509 MAGGSYVIGGPRSAWDKQFVWPREGRKGKTPRGWWGGLMSIMTN TGPDIFITRKNDTTPIKPDHWGNWQNRDSYDSPEAQARERRNYAFNADRGNRRYDPHT RKYVLWEFTNNYDQDPVEKYPLFTREEHEWLARHPRKRRPKTKDWSAAGPKRFRREHN DFWRDAFRIGENIRNGIPEPEASPTVNPGLFMDDAWRNLYDRRQGRWPSLRRRTGDDS LLIENTRYNRGQMRGSMHDYGLYEDDRLYDGEDYEDRSYYPY VE01_01510 MSTSTSNAPDPYLGRWSGTSTTKPFNDFTIIDIVYRDGHSYLHR HDRRSPKPLEGQISYTSNNVFMATVPTWLGGAATIYGTFHSNSFGVVLIAKIEGDGLT GLETIEVHLKQGAPEHEKYLAPRLDVAPESHPNNADFMSTAAPEALNMTAEQLDAAIQ AIIRPRSHPDNRVEGLLILKDGHRVHESYYYGLTASDSHPCASITKSIVSLLTGIAID EGFLSLDDPVAAAFDIPSAWTDSPPILLRHALSMTPGTAFSQRDTAKMLTSTSVASLI LNAPRRYGDPGAKYHYDNSLPTIVSLLIERKSGLGIEAFARKYLFGPLGITNYTWTRM HEDSVDGTPFVLPSGGLNMTLTDLAKVGELLLGGGAYRGNRIVSADYIEAATKSQTRE GDYPYGYFFHSNEGGRHVPGDGSVGAYMALGSGGQVIWVAPRQKLVFVAVGSSWMSFE ETPVVLRSFADTVLRGLE VE01_01511 MAFTMHSHSGQFCPGHAKDQLEEVVQRAITLGMRLISITEHMPR THEQDLYPEEVEAGHTSKMLLPRHEEFLEEGIRLREKYEGQIQILIGFEGEWIRPTYG PVIRGLAKDSKVDFFIGSVHHVHGIPIDYDRNIYLNARQMANDSEEKLFEDYFDAMYA MLQDVRPRVIAHFDLIRLFSDLPNQDLKTWDGVWAKAVRCLKMIVDQGGLLEVNSSAL RKGLLEPYPSRSICEEFLSLGGKFTLSDDSHSVAQVGLNYVPVQKYLQEIGVETLWYL ERLSEEVAKTCVAGSLRLKTVALSELDMKDFPYTVNV VE01_01512 MSLEKDGLGVEPQETTRTPISRDTLEKDLDEKTTGTPSPSESIP PASTGFQPANDEAIAEDGDLEELTAVRTGPPYSVFSKKQKKYLVFMVTVAAFISPTSA NIYFPALNPLAKDLNVSDALINVTITSFMIFQGIAPTFIGDLADMAGRRPAYIVASII YLGANIGLALQNSFPALLVLRCIQSAGSSGGIALGYGVVADISTSSERGSYVGIVGAG TMLGPALGPVIGGILAQFLGWRSIFWFCFIFSAVWLVPYVITVPETGRNVVGNGSVPP VGWNMTILDYLRQRKEMKSQDSLSRSTTANERRRAQNELASHRKLKFPNPLKTIYIIA EKDVALVLFYNSLIYTAFYDVISSMPAMFKQTYGFNDLEIGLCYLPFGVGCAVTSFIN GKLLDRNYKRIAKRIGFAIDRKHGDDLRHFPIEEARLGTIWPMLSVGIVSIICYGWAM EKNAHLAAPLVLTFLIGFCVNGSFNILSTLVVDLYPQSPATATAANNLVRCFMGAGGT GIINIMVEKMGRGWCFTFIALVCTVTMPMLLAEIKWGPGWREERRVRLDAKASADHQK RLEESNDALAVESGQEK VE01_01513 MSTDKDMMFGKTLDELICDLEPLKRIEGLEELTETYLQGEYQKL LGGNEAQALFAQFLERLSSDSNGKPKPWTTEENSFLASGRAILIGLASFDAFLQANVT GPPFSSRSLLFGADMTLDDVKKHRQECLLNLAVDGLSVYQLIPHVELFTFARAIFAEY FPRVVAGQTLDSRWMRIRINAYHQRLLSSGVSNTRLSDSVATLQTQAEVDMFALELEI MAKDSSYSTESKVQYFLEKSQIYIMQGLDAKARDNLKQAKEVSQFQYALSGALGKRTK FQENDISQLVVFAKSKEEQRSETEGSQDTATLSRDGASGSTKTEVETTGPTALELNDD TLLESIEFTKVDKDLKTDLPEELASLEPSNQPQLKPVDQIMLLTEATLKDVSAPLDKL NSEEILPFAVRVLDDKPTNWQIYTQALLVRSRIEAHRSRTQERSVLQLQAIVDQVIAE TQEEPTYSGDGVPEIQITQFLPKAKPSESAPITERLKFIHQLNSPTRWEIETELAYAW SHAGSLVSALEIFRRLGLWPEVALCYHSIGQEDNARRVIRRQLFHSTKGPEMDKYGID DDEVRTDKWAGEMRSPHPPHAPRLWCIIGDLEQDPSCWERAWEISNHHYPRAQRTLGE YYTRKGDLLKAREAYIKATIVNRQYGDTWSRLGDIDLATANWDGAIIAFQQAIMIDDD NSKTYSNLGSALLSKHTEMMKIKQLEAANQIQEDAEEVNDDDEVGVHKPQMSQLDPKD ILRQSLIAYKRGASLQYDNWQIWDNVITVAGRMSPPSFPEVLQGLRAVIRIRSPKEGE KSIDVDILRALVGEVLTRERDTNPATMENGIYNPPRGSLARAVIEMVDSDVVPLITAQ GELWALVEKLKFYRRDFAGALACAEKRWRVATAGETWLENREAWKQVSEATDGLVSAF ENYGPQEKADGSGEVEKGWKMKARSAARGIMSKARAIWEDTEEFEMLKERLEELKNM VE01_01514 MTDITPYFNETLKAHGAHTTAGVSLSMQHLDEFLKEAYRINSHI ASLNDYLRGIRQSYLSTTQPPRRQRQLAAETSKPKDKEWRYLTDRQRDEIDAETKQLL RELNYGIRNLADAEQLRQDTETTLIEKKYGNKLGALGNWAVGGIGQSKPPEQEQAESR ANTISTHRENVLWYLRQKLQQCGGLQAAMMETRIMREMEKNKSLLYKSKGVMMMEGGG SGEPPVPAFEYKGAAGRQVEEEYGKNVEDELTPEQLQLFEKENRNMVQHYEDTLNQVK TAQKSLVEISELQTQLVNNLATQSAHIDQLVADSFLTAENVGGGNKELKKASERRSTA KYVFYASCGLSAFLVVYDLII VE01_01515 MAGKHNTAAAFLANTSKPSKSTSTTSTSSGPQARLQKIAAHMSQ PQTTNFPQDAVPQAPEDPLFGLMAAYRADTFDKKVDLGIGAYRDDNAKPWVLPVVKKA DDILRNDPALNHEYLPIAGLPDFTSAAARVMLGADSPAIKEKRVTSVQTISGTGACHL GGMFLSRFYKPKPTIYLSNPTWANHNQIFTNAGLSIAQYPYFSASTKGLDFDGMKKTL QEAPDRAVILLHACAHNPTGVDPTQEQWKEIASIMRAKKQFPFFDSAYQGFASGDLAK DAWAVRYFVDQGFEMCLAQSFAKNLGLYGERAGCFHFITSPAADATETISRIASQLAI LQRSEISNPPAYGARIASTILNDEGLFSQWEEDLRTMSGRIEEMRKALRGKLEELGTP GTWSHITEQIGMFSFTGLTEAQVLKIRKDAHVYMTKNGRISMAGLNTGNVEYVARAVD KVVRETAKL VE01_01516 MVTHQTILPSFESLVHFQPTIPNNQENTQPFMKRPPPPPVRPPL FDLTNSARPPPPIINIDTLPTVKPGPYPVSTACLSKKRTYESTHTSDSPTPTGADAED GDSETDDDADEELLEELDMDCDVVRAQIRAFLAEGNTAVAFRKLIRATPGSYNGFMKQ QGRDAGIAAETYRNAVLFFAKRDRRAKRAAEANLSAAAPVPVAPVGAAASEPVAKRRK QDAPPAANRTVDNSIYDVSDIHLEKEERDAVPVFDTCDDVRIKIRAFFRQPDCTQASL LRKLGAQYILAPRALRSPQVNTFMRQKGPLEGNSSGIFYAAYVYFEKLRIKQGKKKSA KREEMERKWGPGGVDRTAGGGTFWCKQGEVPVQDDYGIVTFEKKGRR VE01_01517 MHTYEEQLASSSGGNAELATERINSEGINAGSPNDCVKMKPPTM ILENHNATKSNRRTLTDPHPSCNLTKLRSSSMTDQYGEACEGGLYRPAQPNVVKVKNA GTQTEITDWDTDQFAIITDDEDEEDEGVQIEEVEFASRRNSIGNLGLGAAGGEPVGGV PATVMDVLDGINAEYEIVVDANAENLDFLAGRTVRKRNSDAAMSGALQPNGKRKVKEA LVELEEGACGQQPAMKKKSF VE01_01518 MSSYAAAASKGPRQSPEEARAPAPPEIEHSESASTASLIDVDSE SVHTVPSDFKEHEVQTNSQAERLEREAESLKAKAIAEEQKLKKQFAEKEQQAKEKAKK AAARIEKNSDNPIFIGNAVAVAALSAGLGFGAYRKYINGELTWKVVGAWTGLVGLFAA GDFYLSKYLFKNKYPERK VE01_01519 MNSVFNTALKQSTSLRTDLATLSSNPLSAPASLTGQISASLTSF SRTLDSYADLTKHELNTQKAEKAAERLTNFRHDLADYKSQFERVKKDREEATAQSNRS ELLGRRPHHGGSSTPDNPYANAQINRSAQANNPWAPSSVGGQQSMSMGSGETTREAHA MREQNFFGNTHSALDEYLARGQAVLGDLGQQREMLKGTQKRLYSVANTLGVSGDTIRM VERRAKQDKWIFAAGVVIFFTFCFLVLKYLR VE01_01520 MENSTGGASPVMKWDPARLISHDHGCEGYALLTLNQPLDNLDLL RSLWERAGYRIAADGGANRLHKVFHGDSTFENLMKRIPLEVIHGDLDSLHQTTRSWAL AHSTEVVLDPSQDSTDFTKCVSYISKHYLPKCDPPPDIIVLGGLGGRVDQGLSILHHL YKGPQIYPQGRIYLVSTSAITFLLTAGTHQIIVKNPEARVLGKNIGILPVGVSAKITT KGLKWDVEDWETSFGGQVSTSNMVLEAEVTVTTNADVLFTIDLDMGEEE VE01_01521 MAPLVDNPQIAAATLHNPLPLYLHTYVWPFMIIWPVFFRYYLSE ELYDKHIGGQEWTFVWCGAIITVQSLVWLSTNWNVNLKSLFTSTTAKTVKEAQLIKVL PVANAGTSEICKIVRDNAGGKPNTSFLFQKRRFLYNEQANSFSPLTYAIDSEPKPRIE TFHKSRGISSSSELSRIQQHYGNNTFDIPVPTFLELFKEHSVAPFFVFQIFCVGLWML DEYWYYSLFTLFMLVVFESTVVWQRLRTLNEFRGMSIKPYNIYAFRENKWVETESDKL LPGDLVSVGRTKEDSGVACDMLLVEGSAIVNEAMLSGESTPLLKDSVQLRPGDASIEP EGLDKNSFLYGGTKVLQVTHASVDEERPKLASGVPAPPDNGAMAIVVKTGFETSQGSL VRTMIYSTERVSANNAEALFFILFLLVFAIAASWYVWDEGVKKDRKRSKLLLDCVLIV TSVVPPELPMELSLAVNTSLAALSKYAIYCTEPFRIPFAGRVDVACFDKTGTLTGEDL VVEGIAGLGLGVSGTDTPRENDGAHSHITKVLDAGLETTLVLATAHALVRLDEGDIVG DPMEKATLTSLGWKLGKNDTLSSKNVVGMSKGPNAIAANTVQIKRRFQFSSTLKRQSS VATVTTVDPKTSKKTRSTFVAVKGAPETIQKRLVHVPADYEETFKYFTRKGSRVLALA YKHLTTDTELGSGKINELKRENVEEGLSFAGFLVLHCPLKEDAKESVRMLNESSHRVV MITGDNPLTAVHVAREVEIVDRDVLILDAPEHDDSGEKLVWRSVDDLVSIPVDPTKPL DKEILASKDLCVTGYALAKFKDQPALLSLIRHTWVYARVSPKQKEEILMGLKELGYYT LMAGDGTNDVGALKQAHIGVALLNGTKDDLTKISEHMRNTRMKDVYEKQVGVMKRFNQ PTPPVPILIAHLYPPGPSNPQYEKAMIREAEKKGITIPTEAGKENGVETVTSPAAQAL IDGASHKRQEQMNKAASLADQLTASMMESELDDEPPTIKLGDASVAAPFTSKLGNVIA IPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQVTISGMLMSVC FLSISRAKSVEGLSKERPQPNIFNVYIIGSILGQFAIHIVTLIYIARLCDTIAPRDQD VDLEGEFAPSLLNSAVYLLQLIQQISTFAINYQGRPFRENLSENRGMYLGILGVSALA FSCSTEFIPELNEQMKLVPFTSDFKVRMTATMLVDYVGCYVIEKGLKWGFSDYRPKDI AIRRPEQIAKEEARKAVEAVEAKKKKAEDAAKKEKETIEKAEKAMADLRAKWSRQ VE01_01522 MEAQIPKQEFSIRKLGTKSVTLYPTKAQIVRNVNGVSLKPGPNQ VTIIGLTPTCDEHSIKVDGTGAATITDLTVELVPNPEVYADIYPDEDESDNETSEEES DAEAEKVTGRLKEVADETAKLENEAEQQYEIQNNVSRRLTMVDLYLTNQACAKNAINL SEVTDGYRDERAKIFAEKTTADKRIQEIGTRLGELAKEQHRLTRESRKAKAKAEKEKA KAREKEERKRREKAEEKARIRKERVKFWPKKVFKITISLEAPSGFTPASSRRSSIDST VKVPEVTKSDDSFDTAEINLLLSYITYSASWTPRYDLSLNTVTNTGTLDYSAELTNVT SETWRDAKVVLSTSQSSYQGLSDTIPTLQPWHIRLVRGDGYHGYNAALQSSDEINQAH QTRKELPPQVYQPRNELFGIDRDVVPLAKHAFRPPQDVHYEAASEARRLRSSAPPQHP APMAMASLAAPTTRGFGSMPPPPPGAAPSGLFSSSSKKKQVSRRGFGGQAHGGGGAEE YENEDEEEGGYYADDADGATLGGIIEPALLFEESSFEESGLTTTYDLPSLKTLSPVST ATKHKIARVEFKAVVFSHIVVPKLRADAFLKAKLRNTSKITLLKGSAGLTVDGSFLGQ STIPRCTAGDSFTLNLGVDPAVTVGYSRPSVQRSSSGLFTKENCEVFTRVATLTNTKS NTIVELTLLEQVPISEEERLKIEIMTPRGLRIGGDAVLAGAPKDGDKPRESIGSLAAG KSALGAVRAGVYGKDSKWGSAVATAKKGGEINFNVKINPGRGCKLILEYEATYPGGES VVSA VE01_01523 MASTTPTDAKTPPTLLTLPREIRDLIWELCLVSPTSRVLPVYYR TFPAANPPSPFVWTAIRPLPLPRSTEDPYYTATTYDVPAYAAALRVAVTRTLHLVPCA PTTSELLSPTLLPLAHSLPLVNRQLHAETAGRFWAANTLVLPDYAVGCEVLAYLGPAR QGIQRVEMLEWLVREGALEMLRLKYVVGVDDGFENQPEAYSLWYHMLLQNREESDWGR CKRELVWEDEMKPDNMLPQLERTQMDMPETNEEVGNFKRANVWFVAGTAKPREIDGST EIGHQFIYQGSYVKYNIQILKGNTNYNISAEYHLEELVKYDVFKLWIRDVFRGKFSK VE01_01524 MFTAVLRRRALQSTNLPFQFARCYAAKSYPPHSIVSMPALSPTM TSGNIGQWQKKAGDAIVPGDVLVEIETDKAQMDFEFQEEGVLAKILKDSGSKDIAVGN PIAVMIEEGEDASAFADFTIEDAGGQAAAEAPKEQASESKESTPAAPKPAPTPAAEES ASSGGKLQSALDREPNASPAAQRLAKSNGVKISSVKGTGSGGRITEEDVKKASSGAAT SAAAAPAAASYTDIETTSMRKVIGSRLQESWNQSPHFFVASSVSVTKLLKLRQSLNST ADGQYKLSVNDLLIKACAVACKKVPAVNSSWRDGYIRQFNNVDISVAVSTPVGLMTPI VKNAEGLGLASISAAVKDLGKRARDGKLKPEEYQGGTFTISNMGMNSAIDRFTAIINP PQAGILAVGTTKKVAIPVETEEGSSIEWDDQIVVTGSFDHRVVDGAVGGEWIREFKKV VENPLELLL VE01_01525 MSSIVFVVSALDAIAASKEAHKKPQLGEATKKALADIKALEPQL PDPEVLFIPLQLATLSSNIALTTTALDCIGKLISYSYFSLPSTTPTENDADKAPLIER AIDTICNCFQGESTPVEVQLQIVKSLLAAVLNDKIVVHGAGLLKAVRQVYNVFLLSKN SANQQVAQGTLTQMVGTVFERVKTRIHMKEARANLSKLGKDTANGSSVTVNASEAESI ADADTEKVDADAEPETTPPGEEGPKLTLKDLEHRKDFDDSHMGDGPTMVTQLKQARKA SRATSNQTSVEDGSDETNDDEMEDEVYIRDAYLVFRSFCNLSTKILPADQLFDLKSQA MRSKLISLHLIHTLLNNHVLVFTSPLCTITNSKNNEQTSFLQAVKFYLCLSITRNGAS SADRAYEVCCEIFWLMLKYMRAPFKKEIEVFLNEIYFALIERRSAPLSQKIYFMGILQ RFCADPRALVETYLNYDCDRAALDNMFQRLIEDLSKASSTSVTISPILQQQYEDRANK GPIDWQSKGAMPPPLSTMYMSNYSENESEVPKEYIVKRQALDCLVETLRSLVNWSQQG IADVTAPAPDSLDPRVSTDDFRASIDPSGGDSASKSGDGNTPSSASTPIPEDDPDQLE KVKQRKTAMANAIKQFNFKPKRGIKLLLSGGFIPSESPEDIAKFLLMDDRLDKAQIGE FLGEGDPKNIEIMHAFVDAMDFTKRRFVDALRQFLQSFRLPGEAQKIDRFMLKFANRY VTGNPNAFANADTAYVLAYSVILLNTDLHSSKVAKRMTKEDFIKNNRGINDNANLPDD YLNGIYDEIQGNEIVLRSEQEAAAAMGIAPPQTGGGIASGLGQALATVGRDLQREAYL QQAEEISIRSEQLFKTLFRNQRRNAANSGAPKFIPATSFKHVGPMFEVTWMSFFSGLS GQMQTAHNLEIIKLCMEGMKLAVRIACLFDLETPREAFISALKNSTNLNNLPEMMAKN VEALKVLLEIAQTEGNVLKESWKDILMCISQLDRLQLISGGVDERAIPDVSKARIVTA RPNPDEPRKSSASQRPRQRPRSTTQTGYSVEIALESRSDEVIKGVDRIFTNTANLSGE AIVHFVRALTEVSWEEIKISGQNESPRTYSLQKLVEISYYNMTRVRFEWTNIWLILGE HFNKVGTHNNTAVVFFALDSLRQLSMRFMEMEELPGFKFQKDFLKPFEHVMAYSNVVA VKDMALRCLIQMIQARGENIRSGWRTMFAVFTVAAREPYESIVNLAFDNVNQVYKTRF GVVISQAAFPDLVVCLTEFSKNMRFQKKGLQAMETLKSIIPKMLKTPECPLSLRSTAN SDGSIPAKDGPKNEPSRASQEEAFWFPVLFAFHDVLMTGEDLEIRSNSLNYLFDSLIK YGGDFPPDFWDIVWRQLLYPIFMVLKSKSELSNVLKHEELSVWLSTTMIQALRSMITL FTHYFEALEYMLDRFLDLLALCICQENDTIARIGSNCLQQLILQNVTKFKPEHWAKVV GCFVNLFKKTTAYELFSAATNPSTPSLSSTGFENGPVDADGADSPEVSSLKINGANGA NGSVGSDSGSMLDDEGAGPSATNSASGLEDYKPQSDVQQQPIPVTAARRRFFNQIITQ CVLQLLMIETVNELFSNDAVYAQIPSPELLRLMGLLKSSFLFAKKFNNDKDLRMRLWR EGFMKQPPNLLKQESGSASTYVSILLRMYHDESDERKRSRNDTEAALVPLCADIIRSY TLLDEESQQRNIIAWRPVVVDVIEGYTNFPEDGFTKQITVFYPLAVDLLNKDVGVDVR LALQGLLRRVGETKLGIPPSSRTTSPDGMLRGPNPSRSRRASRRD VE01_01526 MTPPSPFPEVQGGGSLLLAWQIKNKRVLVVGGGEVAAGRILNVL NADAKVTVVSPRSGLNKEVAYRIEQGQVDYVDRKFEPSDLDDVDMVLTAVDDPEASTQ VWKLCKERRIPANIADVPLECDFYFGSTHRDGPLQVMVSTNGKGPRYANIMRRSIASN LPTNAGGGIEKVGKLREMLRKIAPRPEEGPKRMSWMIRVSDSYSLDDLCSMTEEDMAR LLEFYGPNEVPSLESIKALNNN VE01_01527 MIHQVPRERGISAGAPISDKALLAKHQHHLPGSVRRRDPDGITI RPESNVKNRAVSTPAMAARKLGGGRILGSGKSLAPPNTSSHTRSSSLLSIGESVVSVD SNVSTPLGTSPLKDGGNDLGSRVSLDSRNDGNAANTGSTKLICPICNEEMMTLLQLNR HLDDDHRELPPVEQVEVKNWFEKQVVKAKKFQPLVVINQKLKGLDVFESNDSLAAMPI QTTSSSIHRPQQLVEQVRQSDPDEVVTRTHWQKNGYNDVCTEPSCGKRLGPVNGNINC RKCGRLFCEDHTMYQMKLSRSAQHEPVRGFWCRCCETCYKSREGYNDHSSLIKNHTDA FAALRRQKVDKHHLEISRLEKRLSKLTHLLANPPEDLVASGGGLLSGSRNDPRKAIEQ SVVTWEEDAKVAKCPFCQQEFGNWTFRRHHCRICGRVVCADSRTGCSSEIGLNVSRNI PPGSINIDVRMCRDCRTTIFSRKDFEAELVHKPTVQKSYENLIQFERGIRPLLASFQK TLPMLQDPDQPPSHTQLAEAAKVRKRLIDSFGKYDMAAKRIRDLPTSNETEAKLQKAI YQQASNFLHLHMLPLKSLPKLLKHASPHGSGNPRPARNGGGGALAAIKYNDIDSASQM SSSSSVVAMEAEEKELRERLIVLEEQKFMVSEMIADANKRRKFDEVSSLSMNMEDLSK EIDQVTGMIEHLDFAGAYENGQVGR VE01_01528 MRSSWTRFWDSGHRSHIASETSRLLPSYHEESLASAIPAEEVTK VALRLRYLIEQCVPCELEEDLITKAHSRVITHKVIQAAKEAGGSEYGACVVYALLVNK RWFKRQAMLEIWDAELHDVRATAAEVIAKQIIEGEEDMEYLMSEVMLKRYATINDGSQ TAVANVIEKSVDLHALRVIGSSGYQKTVKYLWKGWLVQDDNDATTFVDYKQKANPNYW VHLNPDRMRAPIYQNATQVIVSLVYLGLYTGAINTVNPTGDLDITEVLLYVFTLGFLC DEFSKFWKVGRFYLGFWNVFNLILYSLLTISFVTRCIALSHPIEDDHDGQRERFNELS YNFLAFSAPMFWGRLLLFMDTFRFFGAMLVVLKVMMKESLIFFALLIVVIVGFLQAFI GMDNVDNKSDATVFILQNMANAILGSPDFSGFDAFAPPFGIILYYIFTFTVMVILLNI LIALYNSAYEDITGNALDEYMALFAQKTMQYVRAPDENVFIAPFNLIEIFGLILPFEW WISKRTYMKLNDYVMAVIYAPLLIVAAFFEMRSARDVTSNRKRGEADDDTIEEWEQLD SSELDLEGEGWTKSVESAKSNVDLDQATVEVRELREELGELKELMKSFLKEKQGQKSE S VE01_01529 MSAHELAVAALGEAVVVDSRPRDGASSPAAHDIAGGVTHATAIK PNSTNSALASSQMSNNALESVSSYNSQSTVPDADTPPSSGFSSQSHDQAHGPTRVAEM PPLAVATNAGQKRTIDGYVRRSSGSSSSDSPVVNGKGRHTRNVSAVSAASSAMSPREL TSELRTRLSYAMVKVNKGWESLPIYEVESLASQSGSPTPSNSTIHGRRNTIASPRATI ASLQGLSGASPKPHPNAAAPTDSQESTSEGQPSSRTYESFWREQSQRQIGLSASLSSP SSSQLSLQPSAELSSASRTQPNYRRSPVKSSKYHMLSAASSDLSQSSLSSGGAAPNTP AQDTKPPHRGDSVLQTPTQKSLQEQDAIETLLFMSSPGNPMLNNFPGAQSQGSRMQSP LKTEFGAQGTSDGKSDDSSVTPRAYETGTARYRGRRRIGVLGRGRLGEDELDRMLDTM ENADSSDDEIELPTTPMRSTIGRV VE01_01530 MHSKLYSLILVTVFAAFVLAIPTPSTSRIQKRSFKVHRKRNPDF KGYDGPTQLMKAYQKFGMTVPEGLHLSVGSRHRNGKNRGAGRGNGTATGAAAGAAAGT AAGNSTAAANGTVQAVAPQVSGVGSVTATPVEPNDLEYIAPVTIGGQLIDMNFDTGSS DLWVFNTQLSAQSSAGHTLYDPTKSPDFKLIQGATFDISYGDGSGAAGNVGTDTVDIG GATVTGQAIEMATDVSDSFIQDTNSNGLVGLGFSKINTVKPQKQKTFFDNAMATLAEP IFTADLRQDAVGAYEFGKIDTARFTGDLTWAPIDSSNGFWEFTSTKFSVGNGKVLNAI GGTAIADTGTTLMLVDAAIVNAYYSQVAGAVNNAQVGGITFPCDSVLPDLNVDVGGTY TATIEGKFINFAQVNADTCFGGVQPTTGNLQIYGDIFFKSQFVVFNGGNNTIGLAPHA VE01_01531 MDDGFEVIVKIPYHLTVPKHFTTESEVATLDFLQSKGIPIPRVY AWSSKSDNAVGTEYIIMEKAPGQPLEDRVRLVTSYIELEIKLFSLRIDAFGSLYYKET LPPHLQADIYAADTPDESGDAKRFCVGPAADYMFWRGKRDGLELDRGPWRDPHAYLRA IGTKELELTRRFGKPLENEFPHGDMLEGKIAPEAYIELFDKYLALSSYLLPKSRDNLL NRPTLRHPDLNPTNIFVSDECEVSCIIDWQHTTVLPFLLAAGNPPIFENPDPTPPKDY VAPTLPENYASLNPDEKEYADELHRRRMLFYLYMIFNGKDNNDHFSAMRSPLLAQRQH LIDRAGMQWTGNTVTLQGALMRVVDGWGLLALEGHGGVECPVSFGAEESEAFYELEKN WFNANILVEH VE01_01532 MKLSLTLLAAAAALTPCLADQTWPSSIDELEDIMFLTAGYRKRT FTDSVIPCEKSPNTAGRINAAEWIRTAFHDMATADTYNGIGGLDASLQFEIGRSENVG PAFETTFIFLQAFLSVRSSISDLLALSMYTAVRACGGPIVPIRTGRIDATESGATGVP VPENPTQTFVAQFARMGFNTSEMIELTACGHTIGGVHAAQFPLIVPVGTAKNEYALFD DATQAQSKFDHGVARDYVLGNTTNPMVVGIAKANGRDSDGRVFGVDKNVTIKALANPV VFHDRCKVVLQQMIDVVPPTVKLTEPIQPYEIKPVNPQLTLVAPGTTLSFTGEIRVRT TVRAADKISSVKLVYKNRDGEAGGSITTTSVGAASGFDDSFTFYKFAASIDSTKSISS FTVEVAVADGTTETHDNNGKGYPVEDSVILQSPQSCLEIFTDTDNNRVLTIVAAKRTA VTGTPAVDLASLKARTGGLVVPMLTHSSLPMTAGKSYGPYTFYSVTTKIGSTLSLRAT FDVTLGTAKDVFKRTSNLPDGCVPFGSDTSTSMAPPTTTQQSSTTVSPPTSTSTTTPP QSSGWASLGCYTDSTSSRTLPVIASPAGGSAALTNALCQSTCKSLGYVLAGTEYSGEC YCGNYISSTGKPATSGCDMACHGDAAQKCGGSDRLSLFGFGGAKAAVAPVPQSKKTVE GGYKYQGCYVDSRDARVLSGAELADDALTLKKCAFFCKDAGFKVFGVEYGRECWCGAA LGAATKVGEEQCNQACGGDATEACGQGDRLSVYVK VE01_01533 MYITEVLRATATDNDRLLKIISETNYGPAALQQSSNYVDNLKKD ITAQEKLLRDTKITIAREEAEHREYQTSHVKRLAYRLGGKREKFEQKASKEEQDWVEA VQRGFEVQKKLDLLNQNIAEATKTCAEMETVVATYKQAEYELDSLYKSVFQGPTPDIP GEDQLEGAVYQATTEFNLAQGNVDKGKQVRNLLTSAMKFLYEATQDVESARSNAKMDA FGFDSTFMDIAEANALSRVRQNVSQAEMLVTQARTMDPLIGNIGAVVHAQSHFMSEVL FDNVFSDTKKYNEIKRSQESILKAKNSLQTMIEASTGRLEVAVVESMKARQSLEEKRL QLQQIRAEAYQKAARGELVPVQQGPPPGYQW VE01_01535 MVKPKTSKGAKGAKSSAPSDTITDPRFAAFATDPRFRLPSKKHT RTKIDKRFSRMLEDDDFSNTATVDRYGRKISSSGKKKALQRLYQPEEEEEEEESGPED EAEVEDDAVVEKELRRAEAGYDPARGGGFSSSEEEEESEIEEDEETAVDETGAFPDLQ AEQTAVPMGEVSKRIAVVNLDWDNIRAVDLYSVFSSFVSGAGRIEHLAVYKSEYGKER MEREEMEGPPREIFAKQKAEEEEERDSEEDTEDEEERIKQDLLKPDDGEEFDSGALRQ YQLERLRYFYAIITFSDPQVAHRVYEATDGTEYLTSANFFDLRFVPDDTEFDDVPRDE CSAVPEGYRPTDFVTDALQHSKVKLTWDADDVSRKDTLNKAFSGSRAEIGENDLRAYL GSDSSESEGEDEEEVVADDVPRLTKKEAARQKMRAALGLSAEPTKSKKVEGPVGDMQI TFTAGLSTTDKDDGGVFLNKPIIEETTAEAYIRKEKERKARRKEKAKATREGRDVDAE AEVVEEDVAEPEADLGFDDPFFATDEKVKSKAASDLRKAARAKKHAEKKALQDAADEQ KKELELIMTEGVDEGGSREHFDINEIARAEKAAKRGGKRGRKGKSGEVEDRKGALQKG FEMDVADPRFGALFESHEFAIDTSNPKYKATEGMKKLLEEGRRKRKGDEGEEGEERVV GKKRRREKGGGEELGKLVESVKKKLAR VE01_01536 MGTRHRNDSRKNTGTTKPCEIDGSTEIGHPFVYKGSYVKYCMQT LKGNTNYNIGANYHPEELMTDPHDFNHNSNRAAATRAPRRLERHNDKRPATARIPQQQ QSHRDTMETPTPRPAALDPPPPTVSSAQTGLGGRFYHGSLV VE01_01537 MNRIKSAARREAWSDSRNPFSRTRSHDPRTTYDEEEAVPHVRHA NTEPVPHSPIEPGIINRPGSHAGSATAPAISAADKEAGITSEDTIVPGTGSTARPRSE EEKARSRFLSRFGKHSKEEKRPETTDSEETKAPKHANKKFTVASQIRSIFLGSWVNIF LIAAPVGIALGATHQNFIAVFVVNFIAIIPLAALLSYATEEIALRVGETLGGLLNATF GNAVEVIVSVIALTKDEIVIVKTSLIGSILSNLLLVLGMCFLFGGLRREEQFFNTTVA QTAASLLVLAIASVIIPTVFDLWVSNTGVDKVAAISRGTSIILLFVYAMYLLFQLKTH STMYNEESRKVPMKPRKKHLTPGNIQRGLAAAGAVGAAQGRTAGDSLNEGNEQDGLMN TMAYEQTPDEPEEPQLHIYTAVILLAASTAVVAVCAEYLVGSIDAITATGAISTEFVG LILLPIVGNAAEHATAVTVAIKDKMDLAIGVAVGSSMQIALLVLPFTVFLGWCMGKDE MNLSFDGFQVAVLFVTVLLVNYLISDGKSHWLEGIMLNCLYVIIAVTAWFYPKVEGLA VE01_01539 MSTDPTAEEASQTPRPMGQLLETPTPPFPPPTTLEGRACTLTPL STTHYPLLHKALCLPPAPPGLWDYMLRGPFPEFAEFEDAMNSLVNNDRDSKQYFVIST DAGDGAERVPMGMVSLIEINPTHRSLEIGNVIYAPALQRTVAATEANWLLLGHSFKLG YRRVVWKCNDLNEASKRAALRLGFEYEGVSKCHMVVRGRNRDTAWFAMVEGGWPVAGR ALEEWLGEGNFDGEGRQSEKLEDVRRRMVAQ VE01_01540 MHFSAAAILPFLSVAAAQYGSSDYGSSSTDTTSNAQAATDTTTT TADGATVHKVLVGQTALTFSPWNLTAAVGDKVEFHFYPTTHSVAQAAFDKPCEPSSDT AFFSGGVTTQAATSGKTRRQTAADMKIFTITVNDTKPIWYYCGFPNHCQGGMVGVINQ AATGAKTIEAFAAAAKKVAKTVAPSTVQGGTWGAAEVANGTETGGASTPSSSTGAASG LMERGSYGTVVAAGLVAGLAGIFGTLLV VE01_01541 MEDTSDLVAALRLDAEFIAGGVKHMRRVPKKKSGETLARIEEES SMETEENLFIDIEEIWDIEPGSIIGQGSFGIVRFERRRPLISDPTQSSQNQVRAVKEI RKLSTPDYMKEVQAVANFSQAQYEHFFIQSYGWFENTESVFITMEYCRLGDLARIRNR EGPFPEKTTGLVARQVLEGIKFMHEINFAHRDLKPGNILVVSTSPWLVKIADFGISKQ CHEGTQLQTQLGTTEYMAPELKGHFRSSHSISVYSISVDIWAIGVITMELLLTHPFLY SSDQEDYTRGIKPLRFDEPPGMNISKPCQDFIKLLLIPNPIHRPTAEAAISHSWFADV GLLLERENLLSVQDEVIPSQRHDIDASSPMPLLSWSTFGNLRLTSGSRSSTSGSRSTR GSQSTQGSRSSQGTQSSTSTLGTDNTVIDTYEGRPFDPSLLALSFRDLSFDKTKYFVL RSDNETDMETSFALGQWSAMKDANTRLDNGYRRSGGNVIIFFSIVRSQKFCGVARMTS PMDWDTTSEDWLPREDGREYQGQFTIEWLCLNELPFAGIRNVPAYLGKGRRAVAVMDG TAICPESGYELLRAYSEEDRRSPCLLERRRIEGVGA VE01_01542 MAELGLAVVGAVDICIRVANEIKTTCRRFRMYDEEIEEKAILVE AVWVRIEIQMRFLSRISELLEEELANSQLNLLRKLEGKLLQTVSQLRVQKRDGDVNDF SPKQKIMGYLSKWRWAIRDSLKELAAELEAWQNRFDPTWYLTILNSSKVLDLELRQVN AELPNDPELRLDLLNNQLNPLMNMRNLRYASKPDYKASLYFDATKLRDPRETLIMFSS ARAILREQDGQTRLLIVEAVTSPNGGHGTLDISQIKTDVESLAGRLQQVDPGTFCLLH CKGLMELRDVTTRTLNGIDMLYGTPRNCALPVSLRGLLLDRSLVPSLSSIVRIAKRQV RSVSFIHTCGFVHKNIRPENILVFPSKDSSLGITFLVGFNQFRRVNQQTNLLGDPAWH RNLYRHPQRQGIHVLNRYVMQHDIYSLGVCLLEIGLWRSFVHYPLLNMNAAPVPPASF EIQISDEDFTAPHLTARLRTKDRFIELAKRDLPSRVGNVYTEIVLACLTCLDPGSRMF GNNNQEDEDGIIVGIRFIEQILARIDSISI VE01_01543 MQLSTTILDFLLVAASTVSGAAIESDAAIELDSRGLGINCRGST LCSGAGSLKDIADNVAKISQDRWYNAGELIACVQDLAGSGKCAFLQGNTGGLPGSDIL PLIHYLQQHGCGGCGSVPIYFGQGDNNPDTHGILTVNYKRNTQGCNGAC VE01_01544 MSAQASLRRGAAARPSSIPPHRLSNDNNPLPSATVNPPKTTAPS HNEAPVERQCVLWVHDEKFSKEEVIFNHTLLPAGRYKLGQLMAIVPLKNDALDKKPGS LAASQQLDASIADMRSSKGSLDTQEDAEKQYLFTLGEMNKETASKHPNLEISVAKHVA DVFGFKHRSNVLVTTTTAAITTASHVEMSFKDEYLSRSDMWRLTLAKLSNKTVYKGQK LVFMGTIKAQITSVYVSGRKVQSAFFSTNTKPIFRSESARYVLFIQMSKEMWDFDSEG SGEIVFTKVVNGFLPALFKRWVALKAKHLVSIVLFTRVEYDTGLTSDMEGSGDSYYTG YHTTGSRKPYKDFYRVVVSEMASGEWTTILYQLKVEFHFFRHDISMFRLNKYGSKQPT GDFSMGSVPGTRVEAEPTFAVHGNLLEAINLASSSFSSDYIDRDLIRTGISIVIITPC AGLFEVDYEKLKATTDSLIGSGIAIDLVCLPKIPLHSVPLLRYRNPLFDVYQESLRVK DIGSESSTPRQSTTAFGSFNTLQESLSPSKASELQQRLSTLAPQNAPEEWNYAIPHWL DVSFWTGASQELLAKQGGKISKAYRRKGIVKPRKNFQIRCKMYEIEMLGHVENTEISV PPLPDRIRQLGRSEGDEPSIVKAPRKPFSGIGDPLYGPTRPVVDKKSTTAEKDFFELL EAFDEKAAVVSKPGRSKIVSPKLDMKKYPKLSNETHEKKKSIDGKISAPLPHREIPKA QNQTLTIPETNNNGLLGTTSRKTSISSTNSQATSHSSLLARPFKVSRQISFGFRGFGI AAPKAAVAEVHTEHANAARLTSQSQTSTPQKDSSSAMASHLTSSSRFDRTTNRPASSR GSIRSKESDRNDNAEDTDKFTSRPIAIKSPFSGFEANPQSQARSILGSLYEGGEVRDD DDLPTLQKLLSNDTIRTSKSKLLAGPASDFASLPQTVALSPWLNVLNPSNPTAADNDA SQYKRWQHIFPGPVVTKAMKWKSLCSPASVPLTTEYFPTKVQLDTEFQQKPYNISQNI DEDMLEVPKTRGEFLRELVALRLSHGFQIVVGTAVAEAFGQKALKIANAFEDDHIAED GTSIFLSMGNIIHQLSCVNGTEVEVNMFTRKSATFLQNENAETQNYKPAIRTYFDSDY ACRDVALQKPLPEYNWNYVDSFIAGHNEDITDNLRYWRARFVLIPVERPAFLHRPKGE DTEEEIRLEGIKALTQMWQRHRYTPPSERRFQNLSSRRVKDPNPLDIWYQTQDPSVVI AAELETLPILETGEAQIKRGQLLSEKERFKKSNLNIGMLAEAIQAPVEKGGVRMQNRR WHFRLHYNCFIGSDMTTWLLENIEDIETREEAIEFGNMLMVNDEERRANTDSKEKEKD FGIFVHVEKRHPFRDGQYFYQVVGEHAKPRPETRTGWPFGPRRRDTKDPKDISVPTTP MTENAPKESPKPERTQANSINDENKSTDPGTEPPTPTARKPKVSLSNVMKYDVDPRKR SYRPERINLHYDRIHNPDNCYHIRLDWMNVTAKLIEDAIASWTLTADRYGLRLVEAPI AEACTISSSHPFRAPYHLKLALPPPEKQPPTDFDATSLAPISSPQKHYYQKAIMKKFN FVLDTEAAANFPTNVEVIYSWGKPNYAYSQYIHRSGTGFAQITDEGDFLLTSNKMFNS RTHIVRDNDRFVPPPRQQTPISSPMLRATMASPGLKPSPVAKPSAAVPTFAGKEKQGP DVAIKDDMERFCNDVEGLRRFYAEVWEKATTSAVMPTPPVFKAGAAGAREAAATAAGL VVDDANIPVLGLGPGLTWMGGNNGPIGSFRDARGELREGWGSFRDAGGFGSLRTPSFR RGSEHASDSGSGSNAPKGE VE01_01545 MSQDTDDLSKEIGLMNLNESHMDGLSISLKPICAGIQRILSPGL ETISTSSWASVESLLQSTAKNISSVYDTPSDIKDAAVYFSTITERIRAWAKDLQQAIS ALHSPEEKQKLVSLQVVEKVEAAERSAKLASTISGSCQVLLANMGKRTEWEVQHPIEA AQEFELREYSRSLSSSLLQSQGGKALNDIPGEKWAEAERQLRSLEDYLKEHKIQAVRI RETKMDKVMVALLKAFERFDETGINRQKVDVARAERIWGLVDKLGSGMSYLLQVDARR REGEAVPTTRSIFLLKSAPPANIKYSASPSDWLAKRLSKSAAYLDAVVIQGNIAVNDE RGWSGVFQELSALEDLLVSGVTLTNAKESKIDETLKAMGEKIRGLEANRNGKDEGDLS LMYVSWELALRISAYFNELEA VE01_01546 MAEEGSWTSRPVASPPANSPPAASPPAAAAAPQDFENEHLPIES DESDIDSGFGSDIASSTTSIRDSIRDYRVLNGRTYHNFNDTKYWGPNDEDANEAMDIG HQLFTLLFDGRLFLAPIGDNPQKAIDIGTGTGIWAIDFADQFPSAEVIGTDLSPTQPS FVPPNLHFELDDAQLDWTFNDGTFDYVHLRCLFGAISDWPKLYGDAFRTLKPGGWIEQ VEINVQIVSDDGTVGEDHILTGWAKPFFKAGDLLGKSIRTLDQTKELMENAGFTNVVQ RNYKVPIGAWSSDPKLKEVGRWSLLFCLSGMESWAMYMLTAVLEWKLEDVQVYLAQVK AAFLDRKNHGYYVVI VE01_01547 MVSDGLHKLCTGKESVSALLAENPTLAPGDAWQQLYGSYVPSSK SAARSDGDSEEHSISAEELQRAAECGNWGPSQPSELFLKIYHDALGPVDQDITAAMVS PSLMGSCGVVPLTIISVVPDIMRHMSNMIVRAEKEVYLATNYWQNSVASSYITNAMKE LSARAGRRGERIVMKIIYDRGSPKQLFEPHYIVSEKEYLGDAVNIPKPEEIPNIDLQV MNYHKPMLGTYHCKYMVVDRKYAVLQSNNIQDNDNMEMMIQLEGPIVDSLYDMALISW HKALEPPLPSYNSPAVQGGIGSFGEASHHEMFYQAGIQANAISEAEANTSSQLEAADR RRIHSTNLIPGENDGVLEGERLANVSNDASYNLSPARNVIEHAVNAPHLSPLDDVNIK GAPSGLNNEQERFGKDAITNSYLRSGSSSLPSSIISQPPQGRPLPEHLSTDPHYDIDI AGEVARVQQSVSPNDNLTRLEATAAHLNHTKNKDFPPSIKEIPAGDEFTPYIPHAVYE PFPIAMVNRPPYGTPNNNDVFTPQNEAWLAGLRYAKKNVYIQSPTLNAEPILKEILNA CERGVDVFAFICIGYNDAGELLPMQGGTNEMISHALHQSLSPEGLKHLHYHFYVGADQ TRPILAKAKKRSCHVKLMIIDEEIGIQGNGNQDSQSWYHSQEINVMLQSKEVCGNWID GLRRCQRTGIYGKVDEDGVWRDAEGKEVEGAIGTDPGKFSWFKGIAGAVRRVRGAGDF VE01_01548 MASDFPESDRFPNQPMDPSLCTFDTCPLSSSIFRYQPNLAMAVV PLALFGLLTIGHIIAGWRYKTWTYTVSILIGLALELAGYVGRILAHGNPFLDNYYLLQ IVVLTCAPVLFCAAIYICLGRIVVICGPEMSRLRPAWYTPIFLTCDVISLALQGAGGA LTSTANDDVGRQQGINIMLGGLSFQVATIAIFGFLFLEFLWRTHASHKVSNDFQNASL AQSKKWTAYLWSLGSATLLILIRSIYRVAEMAGGYDGKLMKDENTFLVFEGILIVIAV MLLLVFHPGAVMDGFGGSAKSKVSDKTAYGSSGEEGDSEMNPLHV VE01_01549 MAEPNQVPKIWEAAIRRYEDVTNKKLDGPSIRSLTTVTELRDAI EDQNKVFADFRQKRHGLYAALSAAMGPIELVGAAAGEAASMAFPPSIFVFAAVQYLIG AAKGVSEKYDAIVEVLETLKDFTVRLETYAHHLMSRGLGGKMAEILAVILEILAISQK EMKRGRLVSFGKSLIGATDEGKEAMQKLSKLFDSEKAIVGAETLSEVKGIAIAVDKLH IDVSNLANSQSTHAAQDQNPLRKIRDILDPSGRPDEMYHTFKRNRVSETGAWIRDEPN FKAWIGGEKPILWISGNPGAGKSYIATNVITDLVAAYPKRHEDTSTVSIGYFFFKDDN PRTRSFHQGLRDIAYMISQGDQAYARHILATCDTPEDVSSLYKVWQRLFISFFKDNDT TQPPRRKIFIVLDGLDEAFQEERAEFLELAKDIGQSSQIQLVMFGRLQVMDDIEQYLD MPDVPTIHVTAETNSQDIRRYIRSTISKAVYLRKSSKVLLNEIITQLSKKAQGMFTWV NLIFTDILKMRGEGVIRKALQEAPRGLYKMIRHVLEGYSISLRESPDVLHDFNEILIW VAVAARPLKLAEINSVLKWRSDSGEGMIGLERSLRTQFASFFTLIRQDGLSTADLQKL QHGAETSSIDEDGKLCSQSASEDEYWDEPADESDSDPLTTEVTFSHASFGDFFHDENE GPVSGGQNTLPAGVDIRNARVRVFCACLDIMSCEPGSPKEPVALELRSYVASVWLELL RKIDITKTSEEEKKAIAHGLMSVLTRSPGLADVNWNTQLTSRDSLDLLKLWLESSPVP EIKEWYSLATAENDADLISNVIRRIGKRWLATSSGDWLLDARAVAGFMAFRSGEEPKP GLVSDETILKCANWLSLDQDALWHLRLGATLRDLGHYTQALEHLETSTSLAPKEEQWP IRHSKSWLYMEMCKYNTAVELDVEMYQELEALHKEQDANESKKWDIDLHKVCHRLAIC YRELKNEDQEIAYLEKATSYDMRCYLCLRKLITLLWFRQNFDKITATLTRMDVQLPDK EYTGLIEALLTGIWTQDEEDFKYIAVAALYSQKLEWLLTAYNTAIQTAKKEHRTVVAV SLELSIAVIYDKGINRHDEGAEIYKRILDIYGDTRIDLMLVRSLVNAKCYLGSYLIWK AIEDDGPLSEAGQEYGRQLEDLVQRKLRLVVDDNVPSYADRELDMDLYEKNLIKSSVA FMGHSICYLYLGNYYKLVGRMDDAMTQYAEVVKGCLEILDNDDAADDFVAFSVLFQVV ASAIGPEEAVDIFYLSWANRVMLAQLWAKRTDIITTEHEGSKSTIQIRLAPSNNMSRA ESEKDEKKIEETSISPQTLSWTVEEVECLGCWNDFKPGQWEKHYS VE01_01550 MYFASDNWAGVHPAISARLAQEGGGYAPAYGTSGLDSELERLFN EIFECEVAVFFVATGTASNCLALTLESKPGGVVFAHREAHIIADECGAPEYLSGQLRI ATVDGPDGKMDSRLLRRIVERSAENDVRRGCPSTISLTQATEAGTVYSLAEIDAISAV AKDFNIPLHMDGARFANALVSLGCTPAEMTWKRGVDMLSFGATKNGCWCAEAVVLFDP KKASGFPFVRKRAAQCFSKSRFISAQFQAYFADGLWLDLARQANGMATELANIFSGLH SSRLLSRPQSNEVFVVLNKKTIANIRARGVEFYEWPAPSDLKMTDDEQLCRFVTSFAT TAEDVSRFSDIMQIASSDSEFLYSKI VE01_01551 MSTPQDLSMGLPIIDLDIFLNGSQDAADVQAECKKAAQALITYG ALLLHDSRVSEEDNITFLDLLEDYFAQPEAELKKDERPELGYQIGVTLENTEKPKCAV DEPCLRIIEKLDPAERPLDITGHSPDPKCRFFWRMSAGPPPYETKFPALNADNIVPEA PHIREQWPQVMDKWGSSMKNAVEGLSEMTAVGLGLPASTFKEEGTYGPHLLAPTASDL SKYGSKDTILAGFHTDLNFLTIHGRSRYPGLHIWARNTGKRIPVKIPPGNYLLVQAGK QLEHITGGLIKAGFHEVVVNAQTIDVIERRKVEVPERPLVRISSTFFWHLNSDFDLAP IPSLAEESKKARAEQFNLGKDEGEEVVYPAMKVGQQVQKELQHIELMV VE01_01552 MALPPKVYQFLVGVFVSLGSITFGYDLGVVAEVIASETYQSRFK PTDAQTGAVVSLFTAGAFFGAMFAAPSADYVGRRWTIVIGSVVFILGGILQTAAQNLS FLWAGRFFAGVGVGFLTMIIPLYQAEISHPSIRGRITALQQFMLGIGALIASWVSYGT FIGIKNEGQWRIPLGLQLLPAIFLGALIFLFPESPRWLIDNDRGEEGLQTLARLHAKG DVNDVWVRAEFDQIQENISFEHEHEAKSYGELFRNRSCFRRLLIALALQASVQMTGVS AIQYYSVTIYGQIGISPDAALRYQAINSVIALIAQALCILLIDRFGRRWTLIYGNLAN MVTFIVATALLANFPPGETTNVGASWGFIIVTWVYNFSFSATCGPLSWIIPAEIFDTR TRAKGVSLATMMSFAFNTMIGQVTPIAMTAIKWRFYLVFVVCNFTNALFFWAILPETK KIPLEEMNYLFTNAPIFVPGTDKSQYQADYNADLESRARAFEAKGVAEAERDEAAEKK A VE01_01553 MAPTQSKLVSQNPTERLYYLDNFRTYLTALVICHHVAAPYGGLG IWFYSSKLYPPGSSPTLSAFNALNQSYFMGSFFFLSGYFSKKALKRKGAKSFLKTKFL KLGVPLVVYTLLAAPAQIAILKLYNKEVLGWDILTDYWKALDGVKGTMWFSALLLIFD SVAALCPSIPAFLAQSTTLPSFILDIGAACLTRLVNPTGAKIVLLNLKPVYLPQYVAS YVLGASLESPPTPPVTKTARNVLLASTIVSSTALVGLGLNKLRPYSANAILGGTSLPA LTYAVWNETTGYLLGTTILRLFKTSKWLNRSWGSIGRYSYAAFLVHPIVCVAAQVWTD EWHALPVVKATVLSVVGVVGSWSVGWVLVRVPRCGAVLL VE01_01554 MATFTRIPDGETPVIDVDPSRRVAKIDKNIYGGFLEHMGRCIYG GIYQPGHASADTHGYRTDVLKSLQTLDIPVLRYPGGNFVATYHWQDGIGPRESRPTRP ELAWEGVETNEFGTDEFLHWLTVLGNCEGGVGKWTVEPYFALNFGTGTLDEALAWVEY CNGKGNTYYANLRRKNGREEPWGVKYWALGNEMYGPWQVGQLNAEDYSKKAIVFAKAL RLLDPSLVLVLCGETGYSSWDFEVLRSCIPYVDMHSIHIYTASSDHMKNVSAPLIAER AIEATAAFIDVARIENNIAPTKPRTTICFDEWNVWSPTRAPGNLGAEEKYTLSDALAV GVWLNVFVRQAKYMGMANIAQSVNVISPLMTTEKGIVKQTTFCILELFSRYMRGWTVH THVRGGVYTGDTEPAWLKGVQEEGINTLDVSATVGKDGWVSVAVVNMDENKDVEVDLK IGGAVEGGVETHTVTGENVNVVNTEEEEVRIAEGTWDGKGKYTFKKHSFTLLRWKSDE KIVGSE VE01_01555 MTPRPLRIAILECDTPPPGVQKTHGTYGGLFTSLLRDAASSLSP PLPESDLIISAYDVVKEIYPPSLDDLDGILMTGSKHNSFESDPWILKLVEYTKKVLAQ DRVRIVGVCFGHQIVGRALGAPVGRSEKGWELSVTDLTLTPAGKEIFGQEKLAIHQLH RDVVAAYPEGVEPLAHTDQCLNHSMYIPRRVITIQGHPEYTGGILREILVARNASGTI DDVSFKDAMARLENKQDGVLVARAFLRFLLE VE01_01556 MPGSDDENPGQSSISAISTDAHRRRAVSEDTPLLRAATDVPYYH STQSPDETFRDHELAADVELGPDDETQSEYESRLGRTISYSSQTGIEPGSLESPMLRD TSRRRQGGNKTVNGIRSSSRGSAATGAEGDGNDQEQKSPFRGGISVRQFWLIFLGLMG NMFIVCFDSTIMASSHPVITSHFHSANSASWLSTAFLLTSTAFQPLAGRLSDTMGRKP PLIFGMILFTAGTLWCALAQSMTSFILARALCGLGAGASMTIGSIITSDLVPIEIRGI YQSYINIVYGLGSAMGVATGGAMADHLGWRWEFGIQVPALVLILVTSCVFVPPNLGLS HNMENESFLTAMKTFDYKGSLVLTAGTTFLILSLSLGGNIYPWTHPLVLTALSIFVIS TPLLIYIESRAPRPIMPLYLFTRAPRSNLIFSNFISAATINAILFNMPIFFQAVRLET ATSSGLRLLVSALAGTICGVSTGFLITWSRRLKWPLVLGAALVFLGPVTLTTITKDMP ILIILIFLALGSMGQGFQFPGTFMAILAVSEQSEQAVVTSTLMLWRSIGTVIGVASSS LVLQNALVKYLDAFVSGPDKEEVIRKVRGSIEAIVDLDLYYRDQVVAAYSASLRATFV AAAGVGLITLLLVLPIRMPRLGKRN VE01_01557 MAYYNQPNSTDYDDLPDLLPYEPPSNSPYGEPVDMAYPRSMFMG DMFPSPPRHRDTPSSSYSSATLSSSPYNESTQEPSQQPTQESTHTPTGPSTSSPGHYS PCSSRRTYSSPSSPSMPDLEDVPRAQYQPFSEGEDTEAENTEYAPSQSERVEPGLEYD TSCVCSDDEEDEEEDGEEDEEDEDDDEEDEDEDEESEDEETEDEMSTRGRPTKRASTK GRHARDDSTLTVLARSLKESLVALRVQELKKAAQDNEKNRPPPLWKTISKQFLILLLL SVAAFTTVWVVNEVHYRGGLPYVKLFRG VE01_01558 MYTHKTRTDTVSSALSRTSRRSDESYTSTTSTAATSVCDDHQHQ PSFAPLTRQQRLRHTSTRIFHDSRRDSARSSCSSSRRSSGADFYAEPEEYGEDDEDED YTSPDYTTNDDDIPAQPASTTPVPTTPHDFASFFPSHRRLTIAHDTSAEDMAMNLVIS TTPEGGLKTPMQLFHLRMHDVPTRAFSLRRYCRESGREVCHSVLRQGGEKVKQQKKPT KHPKLQRSMSTALATVTRGGVKRADSWGASSNYNKSSFPPQYPTPLLTIPTRHDSGYA TNSDDDDDFSSSSSDSENDEEEMPIPSSLPGKNGKKGKTNTTHLEFSNYAHVDLTRRG SAGKGKRWDFEYWGTPYSWKREGESYHLVPTSNSSGNNAGAVAHIVPDVLTPSQIREE EKEGGWIPKCSFWISDPKILRGGDVADVVVATGVLALVDDKARAASRRPAARYRRSIK VPMSPVKLDLEVVTPREMMRSVFRRGSRDERPGTAGGRVGRREESKLRFGRAVEAC VE01_01559 MGVTKTTTQEGTGAQPQANQTVTIEYTGFLKKADGSKGTVFDSS VGKSDFRTKIGVGQVIRGWDEGVINMKVGEKATLDISADYAYGNRGFPGAIPPNSDLI FDVWLKAIN VE01_01560 MVITDPESALEEWHNLPSPPPTLRPDRVDSAKEKVAITHIGKNC SSGSSLSNTLNEDDLPDWETTTHTERYWDGGAESAHAIDVDAIASKLQVDLQHGLEGK EAAARLERDGPNKLASAGGISAWKILLRQVSNSLTIVLLLAMALSYGTLDFIEGAVIT AVILLNIILGFVQDYQAEKTMKSLQGLAAPTCKVVRNKGKVDAVKAEALVVGDIVQIS VGDVVPADLRIFDGVNLEINEALLTGESLPVIKMPKITLSMLDLPLGDRTNCAYSATT VTKGRGSGIVIATGMKTEVGRIAEMLQDRKSTKETNPFKRFFISLRDGAKNILGLVGT PLQVTLSKFAIMLFGLALLLAIIVFSVNKWHLSDEVVIYGICVAVAVIPESLIAVLTI TLAIGIKAMAKGHVIVRRMQALEAIGGITHICSDKTGTLTQGKMIARKVWVPEIGTLG IYDTTDTFDPTSGHAMIDEVAMDKSMELNATLEKLLHAVALCNLSTVYHNIDENSEKA TPSWTAVGEPTDIALQVLATRFGLGKPDILDTEEMTFLAEHPFNSAIKRMSVAYQNGT DTIDVFLKGAGESVLPMLTSSPAEKAEIEKRMQELAREGLRVLCIATKSVPSTSKKDI ESREWTESNLEFLGLVGLYDPPRVETAGAITACTKAGISIHMLTGDHIDTATAIAKEI GLITDLSFTTSNANTIVMQGGQFDKLSEDEIDALPSLPLVLARCSPATKVRMVDALHR REGFCVMTGDGVNDAPALKRADVGIAMGLNGSDVAKDAADMILTNDDFASIVKAVEEG RRLFANIQKFLMHLLICNIAQVLLLLIALAFRDASGASVFPLSPLEILWVNMITSSFL ALGLGMEEKTSDIMLRPPHSLRIGVFTRELIVDKMIYGTLMGSLCLAAFAIVAFGAGG GDLGDGCNDGYNSSCDVVFRARATVFAVLSFLILVTAWEVKHFSRSLFALDPAKFRGP LGGIGEALWYNRFLFWAVAAGFLITFPVVYIPTVNTAVFKHMGITWEWGVVVGALAVY VAGVEAWKAVKRRLGLFGSKRSAVDGVGGGLA VE01_01561 MATNGTSANGSANGTAHAAAAPTDMRAYRAPSLLQPHRARDALR DAHEGKIPPLQVYFLMLSAPSIIKVIAQVGYDVILIDQEHSPMDIGEMTRMAHDIQLV SEGRTMAWIRICGHDHANIGYALDTGASIMVPQVETVEDAKHIVSAAKFGKKINGTRS APPGRWLPGISDVGTNSELTLWENLNNQAAIIIQVESKEAVDNLDAILTECGEHIDAV WLGSLDCRVSMGLPGFWGEEPEFMEVIQTMNKTLRKHDMPYAGAAMGTPEQVKAMGAG KAFTAVQGDVFSLLASAVENLTMAREVMPAVNTSAANKKANELDAK VE01_01562 MSNSEPKLLYAVNGIKAYHIQNGVEESLTPSGPQTLSLLMVPTA SDFSGIENTDPATAPQEDFYLHLHLPPELDLPLPATTQIYHQPPNSYLIPRWDLGPDS GAFTRIEFPAPGSAKGLQEDVDTFETILAQCTAFLERAPAPAKGVASSSKGKGEALPA YNPQEFKPGEGYVQGSSSSHHGGQIVLIDEENGSVVGELGEGFTVVEDSKMQAGSKNP VILSLPEDGSHNINVSPASQEYLEMAMHPAYKGSRLVSRAAEASRLIVTTSSYVAQTL QSGADSFTKSTKPNTTPMTFTPTTHARVRKINTFTGSAAGLSSKTVGQVSKFAQNIGA GMLSKGERAHKGVGPDGKMVTGYKPGFLNKSLMAFSTIADGIDQAGRGLMIGTSTAAS TVVGHKYGPEAGELTKHLGGGMKNVGLVYIDATGVSRRAIIKSVAKGMVVGKVRGGGD LVVGGGNGGDLTDDKVQEVPPKNWKEAEAAQGRYVEGKDYKMAGGQDSPNLIDFGDST PPAYGGGSAAAVNGKKTPVEKKR VE01_01563 MASQDDHDTLPEETAGFKVGQKKTLNEIQNMDAEDESLQRYKAS LGLSTGPTISDPSDPRQCIILSLTMDSEGRPPVTIDLSQKGAESTLKDKPFKIKEGSK FTMIAKFKVQHEVLSGLQYVQIVKRKGIRVSKDQEMIGSYPPNTQDKQFYEKRFAEED APSGMLARGHYTAISTFVDDDKNKHLEFEWSFDIAKDW VE01_01564 MPGLPSSVDLDECISRLYKKELLAESVIEAICAKTKELLMQESN VVHVQAPVTVVGDIHGQFYDLIEIFKIGGYCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPTRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN NDIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLEVNGMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERYFNVFAAAPENDLQQNTETKAIDPGALPEYFL VE01_01565 MAAEGPPSTDMGSQQAPAKGNAPTCYNCGDSGHYFDACPEPARK IPVGLAAAQAAKANAGPQSHHGGYGGQDHRSKRQKTGSGPVVTRYPVPGPVVTKFGPP PNQHPPYGGPQGYNGMPPQQFPQGQYGHPQQQFAPQNGYPPYGYQGPPMPQGYNQYGH HPPAPYGQGYGPMPPQGQSYSYGLPSGPYYGQPYGSQVPYGPPVPQQYPHQAPVPYPP QHSQVPYQQQNMQQPAGYGPPQHAGPYQPGPYPQGGPHAPPNLYNMPPQHPQAHAQGQ PPVPYQSFQGQGTQGYNENNGPYPNQQSQQGVQTPVPIHGSPAAGGPSNNSDQGSAQA GKSSGQPESAHDRPIDDGAAIKNQPTHSTNCAESTEGSLSHDTIDEFDIDWEDSVFND LLTVVAIAILTPLSTQLDEEPLTSDASDAPIKSKYVRPGNLEVFILPIQKSLHWAILH TDPALAKIDEAYPAVPFEMWEEYREERKKRKMLEARLRDNTWDNQLHEDVQVAADDQS MRESSTESMDRQESNRSGVRQFSAGSQRDSRSRSRTPGYSRRGTPTLDDEDELWASRP DEAPVETVAYVDPAEAVLASLGVTGAPKPVSVNTAVYNDGQKEPSSPRRQQMRQDSGY ASARPSIGRQSSWNNGPAFRRPSKPTRHRSGYDGCIDSPISEVSMRSHNSERDVSKQG SKSMSPVESPLSPTSAALVGEAPASNEDRYRSREPLRQNDDQYVKKRPQPKVAEAYSR RW VE01_01566 MESLSRISSLLESARDLTIDAAQAARSSRSTQKSLSTAQIRKLL DSRNEREVLDGLRKVITLMHRSQPCLPFFSSVVKNVASPNLEIKKLVYIYLLSHAEAE PDLALLSINTIQKSLSDGNPQVRAMALKTMSGIRVPVISQIVSLAIRKGLGDMSPHVR RAAALAIPKCYRLDPGTLPQLLGYLSTLLGDKQYYVAGAAVKTFMEICPERLDLIHKH YRGLVKKLVDMDEWSQLATLQLMTIYARRCFPRRTTTVKKKNGTKGFYEDEEDQAEEE ISEEVAVINPDLELLLKSIKPLLQSRNSAVVVAVARAYVNLGTPSYIESTIGPLVALL RGPQDIQHIALYNIVSVAISQPQSFVRFASHFLVRTTDPAQVWELKLEMLTLIFPHCD THIKSLILNELEHFASGSDRALVRESVRAIGRCAQSDAQTSGRCMRLLLKQISSPDGN LVAESLTVIRHLIQQDPDSHIKTVIRLAKSLDTTTSPKARATIIWLVGEFSGIGEEDN IAPDVLRILAKNFTDEAEPAKLQIVLLAAKVYLHYLNRLQASAEPAPTDSGSRPDGGD DASPSQQEDFKQPHFASPDEEHPIVLLWNYIFLLARYDTSYDLRDRLRLYRSLLSTPS STQLATLMLLAPKLVPQVPSPSESRKGFMLGSASLVIGDSLGGMGIKGYEGLPDWVKA GQEPDPSLREWEEEKAYGEASAKSVPAGEMLDRAAGSGKTVVGRSGVNGDGGGVSEGE GKGKEKAKTLDDWLAEEESEEEEEEEGSEEEGSDEEEDEEESEEETDDEDDGESEEES DDGAEGDQLIKR VE01_01567 MAPASPIAVPPVTPRVLLDYILTSTSYPTTLLVCSPRAAFLSSL QNSASTSSTLQSSTSTLHLLSLSPHIQTIFTPTLSHLRAWLSVADSSCAAPAPASDPV SKDAIDTVKHGNGRSQLVVLGLVNMHKGTSEWSVQGLSSTAAALVEAGQRMGRKVVLV EEAGSDATREGEDDEGEPVGIEGVEAEGDLEEIHGLNDNGELVDDRPVEVEQVIKRQW GIYHKRLPMLNGSSKTDANDLEAATWSGRTVEVGIVLKRWFRFTGEDMIP VE01_01568 MASLTHSSRALLRAAPRTVGSVRALSTTTQKNASGESGVNAPAF ESPFKASASKANTSQIPDFSKYRSKNAKSSNLLFQYFMVGSMGAITAAGAKSTVQDFL VNMSASADVLAMAKVEVDLAAIPEGKNVLIKWRGKPVFIRHRTADEIKEAEDIKIESL RDPQKDADRVKKPEWLVMIGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGP APLNLEIPEYDFADETNLVIG VE01_01569 MVKQIIGCVAAFLVSGFFSTATASAVPDPRHTCQYPTKNALEGC PKNSILVGQDSSVAKFTSIQKAISSLPDNDTAFTIVVLSGNYTEQLNVTRKAPITIIG QTRTPLEQSENTVTVYWSSINGAGSGLTDNAYTSVLTVAPNLNASLTGSGPTGFPVPA DTPFGNSDFRVYNIDFRNVATEIGAGPSLAVSVSRANAGFYSCGFYSYQDTVYVGKLG NAYFYKNEIAGQTDFLYGFGTAWLERTNLALRRCGGGIIAWKGTNTTFANQYGCYVSN SFINAANSSIAPAIAGKCSLGRPWNAQHRSVYLNTFMDASVLPAGYTKWSSNPLTNNY NNYTFMGEYGSYGPGFNLTARLAGNVTIVLDKKTAKKYLHPRDVFITHEGKPNVKWID PRYL VE01_01570 MQLSYVLTLALQLLAVDALTVPASNHPGVPGVPKTRPQIHVGPK TLKTKLPRNSPPRHKTCHVKGGTADDSAALLKSFHECNNGGHVVLDKGVTYTIGTVMD LTFLKHVDWDIQGTLTFTTDTNYWQANSLKYKFQDSSAFFAVGGKDVNIFGGGVIDGK GQVWYDLFVKEPTAKRPLLFVIDGLEGGTMSNLNMVNPPNWFNLVANSTNIVIDNMNL KVAAVGNPAKNTDGWDTYRSSSIVIQNSIIDNTDDCVSFKPNSTQILVQNLRCNGSHG ISVGSLGQYVGVVDIVEDVLVYNTSMSNAGDGARIKVFPGAPDNVTMNSGGGSGYVKN VVYDKYDVQNVDWPIEVTGCYTVKDAAACAARPPKFHISDVLFKDFTGVSNKKYDPYV ATVACPSPEACENIRTENFQVKAPSGKSQAKCSNINVAELGLNCTAAA VE01_01571 MLALSLNLLLVALGATSTFAAPADGLVPDRVAHAKRATCTPASL DDTSKDDTPAIKAAITSCGNGGTIVLPAGKTYSIRTMLDFTGCTNCDFQFEALLKVSS DTTYWATQEAIILMKNINGAKFRSLTGTGVIDGNGQNAYDIFAVDSSLKRPTVLNVLG GSNLVASGFRIKNPPNVFINQKGAATNMNYASLTMDAASKSTNLPKNTDGFDVGESTY TTIKDVKITNYDDCIAFKGGCNYVTVDGVTCSGASHGLSVGSLGKTNADTVKNVYVTN AIMNDCTKAVGIKLYNGGSSHGSSTVSNVTYDGVTVNGCEYAAQIQTCYGASSDADCA ANPASASLTGINFKNFKGTTNSKYAPVVSNLNCKLTGSCDIHFTNYAVNPPSGTAKNL CNGVSSSAGITCSSGASG VE01_01572 MKFTLLVSGALALLGIGEAMAAPSPALVKRTARTSTPTGCLTVR GSGTKSGEYSTMTAALAALGSGSASACIFVYSGTYNEAFRIAYNGPLILYGYTADTGT YKSNVVTFQRSMSSTDAGNLDASSVANIVSANFKAYNINFKNTYGSGSQAVAVTANGD KQGFYGCGFYGYQDTLYAKNGKQYYSNCYMEGAVDYIFGNAAAWFGECTIASNGGGAI TATSREDPADASRYVFDHSTVTAASGASVVGKVYLGRPWRVLSRVMFQNSVLTNVVNA KGWTTMADGATPIYTEYNNSGAGSDTSSRQFLTASSAAISKETVWGSDWKTWVDTSY VE01_01573 MVFFKTTKKRWYNWYISLVAAACMVLYGYDASTYNACQNSPHWV DYFDNPNSYTLGLINTSYNIGGIVSGFFFGGPIADRFGRRWGMGIGCFMTIIATFMQT FAPEHNVGVFIAGRVIIGLGQGIALTSGPIYIGELAPTEIRGQIMGFWQLFYSVGSFI AYWIAYATGLHSEALGQWDWKLVIIFQIMVPAIICVLLPFIPETPRWYIQKGRIEEAR ASLMKIRDTPEEVEEEILMIREAMAYENEMNSGNQGLSQYKALLTDRSVRKRLWIAFI INGGQQLTGQGSLNSYSSQIYKGIYKDKHTIDLINAINGTCGILFTLNAVWTVDRYGR KFLFIVGGIGMAVCMIAFASVGIATPDTFYLSKGKEVLTKEQPVGIALTFLLFLFIFF YKPTWGATTWIWTAEVFSMNVRAQAVGMCSQWQNVSNLIFNQFFPVFLAKASFYTFYF FGGINVMLAIFVLFIVPETRNVMLEEMDTLFGGPNHIEKGGAQLFENGDDKVGTTANT ELKNIAVEEEHAPAVAGSKV VE01_01574 MSGLFEQPRNAGTLFLGGTKISGSDIRDQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVELAQQQDKEVGDGTTSV VIIAAELLRRGNDLMKNRIHPTTIITGYRLALREAVKYMHENISVKVDNLGRESLINI AKTSMSSKIIGSDSDFFANMVVDAMQAVKSTNNRNETKYPVKAVNILKAHGKGALESI LVKGYALNCTVASQAMTTHVKDAKIAVLDMNLQKERMKLGVHITIDDPQQLEQIRQRE SGIVMDRVEMILKSGANVIFTTKGIDDLVLKLFIEKGAMAVRRCKKEDLRRIAKATGA TLVSSLSDLNGDEKFEASSLGYAEEVVQERISDDECILVKGTKVHTSASIILRGANDF QLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAGTVGSREQLAIGEF AQALLIIPKTLAVNAAKDSSELVAQLRSRHALSQRIKDGDANEDEKSIAKKKNYKNYG LDLTKGKVVDEIKAGVLEPSMSKIRQLKSAVEACISIMRIDTMIKLDPEQRGDGDDGH GH VE01_01575 MASKGPEAPAAQQIIDNAANKISEAVGGVPAVQQVVGNGTSKPA EGASGAPSETSKSALKKAEKQAKMAADKAAKAAKNALVVGQGKKTDDIIGITVSKEED FSAWYQEVVVKSEMIEYYQEIAGFFILRPRSMFIWNTIKKWFTAEIEELGVEEASFPM FLSQRAIEREKKHVEGFAPELAWVTKAGDKDLEAPVAVRPTSEVILYPFYAKWIRSHR DLPLRLNQWNTVVRWEAKQTTPFLRAREFEWQEGHTASVSEELAGKEVLQILELYAKV YEDLLAVPVVRGRKTEKEKFAGGYYTTTVEGYIPSNGRGIQGATSHCLGQNFSKMFDI TIEDPNPKAGEKPGHMHVWQNSWGMSTRVIGVMVMIHGDNKGLVLPPRIARDQVVIIP VGINKSTTAEDKERHYDQLQAMKATLKKVGVRADYDIRDGYTPAWKFNDHELKGVPLR LEYGPKDAAKNVVSYARRDTGEKGTIAIANLATEVAELLETIQKDMYTKAEANFRAHR ITVTKWDDVIPALDAKNVVLIPYCLAEKCEDKIKELTTVDDSAHEGPLEKKAPSMGMK SLCIPFEQPEGIVKGETKCLNPECGAFAEKWCMFGRSY VE01_01576 MKYDTALILIAFFGLSQAAPIADKRSQLLPRYAIRGREVPQEHS HNKFLDSVRTSLNLNNPDQIQDPVFGLLGNAAAAAGQGKVTDTDCLHQATADQAFTNA KAAGDVVGQTNALVFAALERNTGSVGLESVLCTAIKAVNPEIAALSQHQDPAATGAAA KNKAITLELARQIASIGGDPTVALQSGTFAPGTIGDPTGAGNTCDTVDDVEGCIFSQN LLVEDATIDEINAAVAGVTAGGNAAAGGNAAADVAAGSTCPAQVTSTVTVVAAAATQA AANCPVQVTSTITVNAAGATQAPATGNAATGATAGTNIQTFTGSLGGAAPAITKSAGD RPFTVNGNTFVNIGAAFQRSCDIQNNACFNAVNSGALSGGTAQCQQQQQACNAAGNAK RDNIPSLFQRQSAFGSCADPTILFADGLEGRDTAAFIPANLGDFNHGSAQKIGIIAQF ICSQLESSCKAGADAVAACNAGEQAAAALTGQAAADAFNAAVTGGSVVAAAPAQAATD NANANADASASTDATAATGTNIQAFTGNLGGAAPPVIQGTGTKPFSVNGSTFVNQGGA LQRSCSVQHNACADAANSGAISGGVQQCEDQEKACNAANA VE01_01577 MSTPTPLLSALRLASRRTATSLRPLLPASQRRALASVTPQHNFP IRAPAAPVPIPSYTHRAFASSSGRSPADEIAERLQEMYAEAKDEFEIACESTEANATY AQDDRDVTREELEKLKKAWAEAIEGEGEVQQELKGRAFGQRIKELEHAVIALEERAIE ES VE01_01578 MVTIAQMYGRAIDLATNPAHSRWQSPILLLVDAALCFVIIWKVP YTEIDWEAYMQQVAQFLSGEHDYVKIAGGTGPLVYPAVHVYIYSGLYWLTDKGRDIKL AQGIFAALYLLTLGGVMACYRRAKAPPYIFPMLILSKRLHSIYILRCFNDCFAVLFLW MAIYAYQRRAYTMGTIIYSLGLGVKMSLLLVLPALGIIFLLTNGVWTGLQQATIMAQL QVLFSTPFALENARGYYGRAFEFSRVFLFKWTVNWRFIGEEVFLSKGFSTALLLGHIN VLAIFAITRWLKTAGRPIETMITRTLRLQEPLGNVQNLVSQRVTPQYVLTTILTANVI GILFARSLHYQFYAYLAWSTPFLLWQSGMHPILQYVLWAAQEWAWNVYPSTDLSSQVV IGVLALTVAGVWWGTGREEYAEIQPEVRDDVK VE01_01579 MGRPKRNLQAAADETSTPPPALTPTQSIARVVKAAGNNLYLCAL PNTKELLFELPSRFRNTIWIKRGGYVLVDTKDGEVRENKLGGEILNVVRDEREWRKEA YWPKEFVKVDPYADSGDEESTVGKMPPSSDEEDDEQ VE01_01580 MDQLMHLRDSILGYITPSKYRRSTVLPVTPSPTPNHTSTNNKWH TESHGAKTGAVLGGRISKKYLSPTDTKRHRQKFKSKGSQSSYVDDAGGESTEPDDATT RTPRTSAGGQTPASPTPKIVESIETDDTETISLEDKVGQFLEHQKAALEAGIGSGDDW HEDEHALFEELRMRGLQPLLPAHWRSDFRTVPPALFSYDPEETFINSASGHDFRGSTA LLSLVTLGSRVRSMIAGSRTREEVIKKEVENYIKWAEFDGGYQNKDYIPIIAIVASTP GQDIDSISKAMTDSLESLATEHREDLLLEGSDGGTPGGAPTLYARVPPLLYGIVIAGA KVIFITFDAAKEDSKPRTIAHFDFDQATMDVWNGFAVAILVVSVRNYMMTIREHFEDD AMEISDPDA VE01_01581 MVRKRVRDAEAAPEVSMTGVDNGEDSGSEDDVDMINVEFEWFNM APEVDFHGLKSLTRQLLDVDAQLFDLSALADLILSQPTIGSTVKVDGKETDPYAFLTI LNMHEHREHPVIKALTEYLIEKSKSGTGLEALGSVLSSPSNQVGLILTERLINVPSEI APPMYSMIVDEIEAAVEDKEPYEFTHYLVLSKTYLEVQSTLDQEDAPRQKKKKQVGKE TFYFHPEDEVLQRHAAAHGGWDYTKDEGDSKADSKRAFQELGIRPQGHAILIEAAKFD GAVKAVGEYIGLQQ VE01_01582 MDAAIDLSDVSKALDLANIRFQLIRLEDTIIFHLIERVQFPLNN TIYVPGAVKIPDCDLSLLDWTLREQERLQSRIRRFQSPDEYPYFPDALEEPILPPLHY PKILHPNDVNVNDKIKDSYINHCLPAACIDFGRDERGEAQENYGSSATCDIACLQSLS RRIHFGKFVAESKFRTEREKFTALIKAEDREGIDKAITNSAVERQVLTRLALKAKTYG TDPSLGSPGQPGQGKINIDAVVSIYEKFVIPLTKIVEVEYLMQRLEGPDVIES VE01_01583 MARPDSRDSGGPSDFFRAGPADDESALISTRGLEAFGRKVTTTA SHMMAPLTDSSGGHYQTAMADLHKQLRQPSLQRSVFSFAKTSPTDMVRSSLSRTEIQN RALTHLSDELLANIPEDENSYSLFQGFQATIPEVSEKKHKHRVSRGRKLIDDNASEAS DGPASMHKLKKERHSLNHRFEMLGVRKNMASCEIREIDNKIANLTSMRRIVLDRLAGL EQDEALLEHDILDVENRIEDAQEQLDIAGEQEQMTPTKSEADDQEGNPDRLEADSSFM SQSIYEKLPSADSTPSKAKPKRHKTIRRKSMPILHEHFEPGSKIRELQAHDDHITALD FDVPFGTMVTAALDDTVRVWDLNAGRCMGFLEGHTASVRAIQVEDNIAATGSMDATVR LWDLSRAQYEPQLDNRINKDSDFDVEDDEDEPAFDIPAAAPPAPPAGSMADCPLFTLE AHVDEVTALYFKGDTLISGSADKTLRQWDLEKGRCVQTLDVMWAAAQASATMGSSEGT WRQTGGRTSDEKADFVGALQVFDAALACGTADGMVRLWDLRSGQVHRSLVGHTGPVTS LQFDDVHLVTGSLDRSIRIWDLRTGSIFDAYAYDHPITSMMFDSRRIVSAAGEDVVKV YDKVDGRHWDCGAGPTGAGEAGKAASIVERVRIKDGYLTEGRKDGVVGIWTC VE01_01584 MSFFGFDSALPRDRDQGAKAPGFGQTPDPFAGLSYAGADDDDAL DFEDTYDGLGDQLDETDDVFNDDTFGGEDTTAKKAVGKDFDFFGQTAKVSNAISEEQM RFTRQQPASRHTAAVTTAQSSHTYTQPARSGYEKYKDLDYVPDLQADADLWGTSSKTV PAAQALPSQPPAASAARKMMSVEEVEAAMRAQAKKPTAPAPQQQQQPQHPDAQFAQGQ FQHQQQYQQYPEPQQFPQHVQQFPQHAGQQMQGPPRPMNGQYYEPQDRQPQQIHAHQP VQILHRTQAPSAQAVTATSQAQAPPIQILQNPNRLSGEQQRQAQAQSAGRPGQGPSPG PPSGPGHRHNNSGIITHPHQLSHLNEEERAAYLMEDAKRAKRNHKIFLLSKDNGLMTP QDKNFITRIQLQQLVTATGNPNEQGTDNSLSEDFYYQVHNQIRGGPRQHPNQPLTNFA QTYLFQTGGRHGGMRRQARSGDNHMQRMEQQVQRAVEAAKNKPKNKQLVIEGSLGKIS FSNSKTPKPLLNIKRNENEHRQKVVSSSDRKTVLRDIENVYNTLMQMEDHDRHLPPPL TEDVDPALVGLHMDWQEKTQKLNNKLWQDLKVHEPIGATATHPFIAFLSFSKGKKAIP RVFRHITQQQRTTILTMIVVHLDQLDVVRQALLAPGETQLTSQIRENIELFSLAVMPS LFGFLNEAGLDIVDALLALIMAKTNIEIDARTRIGVSMLTMLLSRAELIKQATTVDDQ EWNHWLSTYNSFFDVIEPTLPYIFPGTVNTGEDVYVWQFLAAMGVGASPEQQQRLVMA VKDRVMETVNLAKTLPPAMSSQRLANVNLFMRSIGLDVDLLV VE01_01585 MAAGHARYFRYILFAFFGLAVIYFISSSSNATQRLPTAQEILRQ GKDWANSHSDNGNTKPAEAVSSEPTSPNTPNGFPPASSTEPRMNATFVTLARNSDLWE IARSIRQVEDRFNRKFHYDWVFLNDAPFNDEFKKLTTALVSGTAHYGEIAKEHWSFPP HIDQDKARKVREDMAERKIIYGDSISYRHMCRFESGFFFQQPLMLNYEWYWRVEPSIE LFCDINYDAFKFMADNGKKYSFVLSLYEYVETIPTLWTSVKKFMKNHPEHIVEGNSMG YLSEDGGETYNNCHMWSNFEIGNLNWLRSKAYTDYFNALDQDGGFFYERWGDAPVHSI AASLMLKKEEIHFFNDIAYYHVPFTHCPTGEQTRLDLKCHCNPKDNFDWKGYSCTSKF FEVNGIAKPEGYEKEMD VE01_01586 MRATILRRFLTPAVWRPAVPMAAPLISKITPAARAFSSTPTVLT TLNQVAKGCRKPQRARKQTSPALSTVCAPELKGVCLKVGVTKPKKPNSAERKTARVRL STGRVVNCYIQGEGHNVQQHSVVLVRGGRSQDCPGVRYHLVRGALDLSGVGNRVTSRS KYGTKKPKKVAAE VE01_01587 MASIARVLRPAAARAAVVARPMVRTPAAARVAQATRTLSTTQPR RNEAIDITDIPPTPITHMSDIEASMQEAVSKFAADVIGPKVREMDEAETMDPAVVEQL FEQGLMGIEIPEEYGGAGMNFTAAIVAIEELARVDPSVSVMVDVHNTLVNTAVIKYAS KELKEKFLPKLATGTVGSFCLSEPVSGSDAFALATKATKTSSGYTISGSKMWITNSME ADFFIVFANLDPSKGYKGITAFIVEKNMKGFSVAKKEKKLGIRASSTCVLNFDDVEIP AENLLGQEGQGYKYAISLLNEGRIGIAAQMTGLALGAFENAARYVYNDRKQFGQLVGE FQGMQHQMGQSWTEIAAARALVYNAARKKEAGEDFVQDAAMAKLYASQVAGRVSGLAV EWMGGMGFVREGPAEKFFRDSKIGAIYEGTSNIQLQTIAKMLQKQYTA VE01_01588 MNTDEEALSAVYKKIEREKALITAANAMRQQTQNEQVRSKLDTQ MREGRRNIQFFEEKMRELQIRTVGQNMDSMRLGGPEGSGQQGGGLRNDRDAPPTPPPK DSRGNYIEQSGTDQGGYGSGDYSTMFKSETPSGMMPPRHPYAPPAPGSAIPKARANYS KLDLIKYDTPYLGPRIQLMLSQLAFKLDVEQQYLKGIEKMVQLYSMEGDKKSRADAAA RHKDSTQKIMLLRQAKKRYEDLHIDMQSSADAPDDDSINTPNLRKPLTGQLSIRVTAV KDVDHAATSRFSRGPETFVAVKVEDNVVARTKTSRTDRWDGETHNLNVEKANEIELTV YDKTGEQALPVGLLWIRISDIAEEMRRKKIEAEINSSGWVSADRMGSGSAAPAQSQQS PQFGGPTSPGMQPGFNGGGMAPAPNPSLPPQPIDAWFALEPSGQIQLSLSFVKQTKDR RPFDVGLNRKGAIRQRKELVHEMFGHKFVSQQFYNIMRCALCGDFLKYSAGMQCEDCK YTCHTKCYSSVVTKCISKSNAETDPDEEKINHRIPHRFEKFSNVGANWCCHCGYMLPF GKKNSRKCSECNLTAHAHCVHLVPDFCGMSMAVANLLLEGIRLEKAKQDQKGKSGHQS NLAGKTLRPVTAKSPVSSQAPTLDQRSSYGPGDRLPQQSPTYEGASGTSQESINAAKA AYPSQVQQQRQGGDRMSAAATAASIAATAAISGQRQGSYDTSSDYNRASGGYAAQGKP GYQERPAQQSTYNPADYANVGGVGAYPPALQPPLQQQHQQGAPVIPQYGAIPVAGMPP GAEAQMAKPQAPPAGAIATRKPIVTPSATEAGAGGRIGLDHFNFLAVLGKGNFGKVML AETKASKRLYAIKVLKKEFIIENDEVESTRSEKRVFLIANKERHPFLLSLHACFQTET RVYFVMEYISGGDLMLHIQRGQFGTRRAQFYAAEVCLALKYFHENGVIYRDLKLDNIL LTMDGHIKIADYGLCKEDMWYQSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLI YQMLLQQSPFRGEDEEEIYDAILADEPLYPIHMPRDSVSILQKLLTREPEARLGSGPT DAQEIMNQPFFQKINWDDVYNKRIQPPFLPQISSATDTSNFDSEFTSVTPVLTPVQSV LTQEMQEEFRGFSYSADFV VE01_01589 MELDTNRPTDAEAWTYLVKHGDHITQPLARNVRLAIIEVLKKRW EAQCLSKINLRNFALIWLDPGYKILATKLAIGPAAEVFDIDTIYELKKCRLEGYWDVH LENGIHWLQMVFKESSLISHEDFNEILTCDYLYDYETGLNRLFWNGGEVHKESSRREE FLSHLSLAEYSGAYNTITRDQPNPAWDFMMIMDEIREYGEFANKIMLHVALWYDPDLK KSSSRQNIKEPIHHHLKEPFTEILSEKPHADASDDWESKALGLQRIVVDFSKENRPKR KGLFMANTLRFNQPPEVYHERFGKGTFWDRLAQKIHREMPEIPFRHPDLLPYNSTNGN IYHSDQRRAPSSTHPMEDVSSIVDLTTEKEGLVAPEIEDLIIQEYCPDTSSKKRLSNH GLRTSNSTGNKQTPSASTHTNHLAPGKDDPWAYTSPPPLPPGSTGLRKRKSPNGLTPS GSRRRKLVRLKLQQTAVVGETSTGPSASASDGQQSPIDPTPPPQRRKMNTNLEEDRES FRLPSQPPALTAPRAPMFSMPPEEIPPRTTEISEVEPPLSEGMQAPPPGVVSSAANRG EPLHSASQSAPAMTTEISQAGPLSSEIKQAMRIMLDKFADELNIEGQVASIQGQIAGI SQAGPPLSNEVKQEVRTMLDEFVDTLNIEGQISDMIEQAVEQQVIADISERVDKMEDQ IIANKLIMEKQAAANELDINDRVTVINGQVVAIKEQADSTKEQVSAVKKQIEVDNAKE QVATIKKQVDTDRLNTEKQATAMNDQLNVMKKQADADKLAMNEQAATMEGQLNATIER FKSDTRNIIKQVVNKLDMKEEVDAIKKQVATIEGQVNADKLNMKEQVEAMTAQAHTMK EQVDAIKDQINADNIKGQVAAFKDQIDAMEEQVESDNIKGKVATMKEQVEADKLNMNE QVDAMKKQVEADKLKMEGLFNAEKLYMEEQVAAMKGQVDAMKVQFAAMNEQVASIKPT KISQPEAPSKTSLEAIDIVNEFFDELSMDDRIAVVDAVLIEHNALVFLRVSAGTRKAW LYRRIGINRDERDGTK VE01_01590 MRQPLLRHAARSIFIQSPPASACRHAATPTIQSPRQALTCIQRH YLSQQRRHFADEKRSTPSSSSSSEPINLGAPPTASQQSEPHPSSSSADSPTATDLKQG AESSSAAPPPDGLTPNNGELPSATERRRSHISKRLTNIMDNLQGNIFLASQHLNDLTG YSGIEALKRTITAHEQHLESSQEEVRVSRQNYKQLVADRAASQREVTTLLARKDTWTP IDLERFTSLYRSDHGNEHAVTEAAQRLADAERTAEQAGSRLNAAILARYHEEQIWSDK IRRMSTWGTWGLMGVNVLLFLVFQFGFEPWRRERLTKGFEDKVLAALEREREVLRIED GEASSGAAGVEAVEAKEQSLEVESIGVTTPELAAGIIETTGSSEVAPLETEVKTPEFS LQWRQPNTWKEGLVSPCVARVQNLFSEEKVSLRKQDVTLVALEGLATGAAVAGLAVMY ILRPN VE01_01591 MGSSTPAQLPAMATSPKHIFFTDFDGTITSRDSNDYMTDNLGFG QPTRLALNRQVLANEITFRSAFKQMLDSIPTPFDQCTNILLERIELDPGFRAFYDWAK ANNVPIVILSGGMTPIIRALLDKLLDEDSSWMQIVSNEVGARPGKSINEEKGWEIVFH DETGFGHDKSSTIRPYAALPADQRPTMFYAGDGVSDLSAAKETDLLFAKKGHDLVTYC VKQHVPFYEFEDWTTILTQVQSIVSGAKSVKQVSAEGVEAFTAALKA VE01_01592 MAARESQAVEGTRCPATGNRKQSYKRGGPGTRRLTKSVVLLSIL ATVPTALAQQNCISLSGSKACPAFNLSSVSVTGGVADLFPFLKTVTDTASFDQKLLSY VKTSYVQQKYQTLLGCSNIDITNTTELYARFTTSVICNAIIQNSKDNCQLTADELEPL CADACSQQALSEGRIINDASLCTTSGFDPNQQVEQIRADFITCSLPANSLNSGKCING IENEPDNCGFGTSTVGLCGYCGAGGQNSTDTCCYNSQAESVCVGVVLPSITKSITLPS SSAGSSSTASTTPTTPPAAGSSGGSKKISGGAIAGIVIGAIAGIALIIGLVLFCLRRK RGGSQNGSVFNQPSPPRKGASMVQAPGSGRSGPQPPAGFEVLPGGRIARMSALEGGHS ESPTRGSSIVGGSAAGAAAGYARGRRHGGSSSSEYGESPHSDQRRGVLRPPPAGRRNG SLSSGSALGEDPTSPMSGSGNELSSPHGIASQQSEQLAYFKDYYSQDEIHPGDKVSTL WAYQPRAGDEFMLERGDMLKVVGIWDDGWATGVIVDERAEEWDAQRNAQRDSGVSNTG RVDPAPPVNGEIKAFPLVCVCLPEHWKKTIEGDGSTETNSSAAAHP VE01_01593 MARLSHVGNPSVYEAGDQRGPRTEAEQRQHDIEVMKDTGHTSKK AAEHEHRTSQQRREFPHKREEEKETEMLKIDPTLPAQMHSNEPSRGAKIDKVLKNEDE AEIRQKDEAVAF VE01_01594 MGQGFSLQALSAGPGGIDVPELSDLTYEKSMGSARFMKSIRARH DDGVVLVKVVVKPYVMKLDKYRKRIIEERAALADIPNALGYERIIETENNGYLVRQYL YSSLYDRMSTRPFLEDIEKKWLAFQLLCALRDCHARDIFHGDIKTENTLVTSWNWLYL SDFSSSFKPTTLPEDNPADFSYFFDTAGRRTCYLAPERFLSPGQEADPKASITWAMDV FSAGCVIAELFLESPIFNLSQLYQYKKGEYDPLTRINGIADKDIRDLVAHMIQLEPEA RYSAEEYLNFWRNKAFPEYFYGFLHQYMGVITDPTSGRAPITGSSVNLGEADDRIDRI YYDFDKISYFLGYQNSRSEGEEHRLNASQFRLQLLPVHLSIPNNDHQISSSGSRPEDD GTLIFLTVVVSSVRNTARAATRARACDLLLAFAERLTDEAKLDRVLPYMVSLLNDKSD IVKVSAIRTLTQLMTMVKVISPVNAHVFQEYILDRMAPFLANTKTNPSPIVRATYAAC LGSLATAASRFLDMVATLKADGSLPTIDPEADGNAPVLYGLFDSARAELIEIFETHTK ALITDGDSSVRQAFLGSVPELCMFFGTADSNDIILSHLNTYLNGRDWELKCAFFETIV GVATFLGGVTLEEFILPLMVQALTDPEEFVVEKVLRSLGHMAELGLFQRSKTWELVDV VGRFTMHPNIWIREAAAMFISSSTSFLSMADRQCIVLPLIRPYLKSDIRDFSELRLLD ALKKPLPRPVLDLASNWALKVDRGVFWKSAPKQKTFSFGSSNHTIPSFSAKDTTQNAL AKVPKNEEDEHWIVRLRTQGMMAEDEFKLVALQEYIWKTSHLKTKEPVKHPEYLNEVI NLRKFGITPQTVMFDEGFEEAPGSGKSPSVDADKTTHTIADALLDASLTIDDTSSRWR QKGYSNHQARLEEHNSTLSASDKGSKEPSPARSPPGNAMQNARQSSAANQRQRPTSDQ SREPRSSGRASDDDSVSITSTRRGGRQQPSNGAMSLLGRNDTAKSFPKTSTTSTNAFG RVEGPFKGTSHPAADHDLMQKTEEAKKKDIKYRAAHTYSGNDPSILQMLDAMYVENYP DNVLEFGPMVTPVSRRKPVQRSNIQAVEKPWKPVGILVAQFSEHSGPINRVVVAPDHM FFLTGGDDGTVKLWDTGRLERNIAHRSRQTHRHAAGAKVKALCFIENTHCFASCATDG SVNVVKVDFVYRTGVASARYGKLKVIRDYQLPKDEYVVWCDHFRVETSSVLMLATNKS RIIALDLRTMTILYELENNVHHGSPTCFLVDRKRQWILIGTSHGILDLWDLRFRILVR SWGVPGATSISRICFHPYRGRGKWVCVSGGNAHGEITAWDLEKFQCREVYRSGGGEDR PPRSYDPWPVDDDKPESMLERFAATHDLTTSNASAQGACAMVTGTDAYEDGSELKYGF IIAGGADRKLRFWDMADSKHSEILSGQEIDDPKPVYTISRPTTGLIVNVERLPRPQPT AQNAAAGSRSSSSNSKGQDKKSSRPTVMSLHQQLLLRTHLDAITDVALIEAPYGMTVS VDRSGCTYVFQ VE01_01595 MRLTTELIQSSLSYLNPLKERELDLRELISISGIAGHKIPSIEN LGVAGPQDAIDFTDNDIQLLGNFPLSPRLSTLLLARNRVAQIQPSVAQSIPNLTTLIL TSNNIAELADLDALGTLPKLTHLVLLENPVTRKEHYRLWVLFRCRAVRFLDYRKVKDA ERKQAAELFGTTSEPSELANKIMGIKSRTFDVPSANGAAAASNKNYRVKLTDKERKKV EELIRNAKSLQDIIRLEKELNEGRVPMGAQGADEMEE VE01_01596 MSITYGVVGSTSTLAVIGLYMLLTGDGEAFNVGQFLEDVSPYAW ADLGIGLCIGLSVIGAAWGIFITGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIM SIVFSSKINVVEGDAIYSGSNYYTGYALFWGGLTVGMCNLICGVSVGINGSGAALADA ADPSLFVKILVIEIFSSVLGLFGLIIGLLISQRAVEFK VE01_01597 MAANASPFYELYRRSSVGRTLMDTLDELIGERRIEPQLAMKILS HFDRSITEVLQDKVKARMTFKGHLDTYRFCDEVWTFLIKDVTFKMDNTSVHADKVKIV SCGTKKD VE01_01598 MASASPSSATSTSSTTAPHPRQALRSRNLPPLPEFTFPPSPGPE DSAPTTPRVQIEQQKKKEGDMAISDLSKKAQWITFALSSGACAAINGVFAKLTTTELT TTFATFLAGLINLEKGEQAFEYAIRAIFFALNLIFNGIMWTLFTKALSRSPSTTQVAI LNTSANFMITAILGLVIFSESLPPLWFAGAALLVAGNVIIGRREEKEISVAGEDEHRT SEEGSGTYSDAGEGRALLADDIELETDGEDTMPVKRRDDEDILDLQMPPDEPKRVG VE01_01599 MTTLRPRRPSVASRISIAVSNATDLGEGGPAPTGAEHQIDEEIE EIKRYEDFTTIDWVQDAAREQQRREARRRENARFFTRGGKAGWRYQLWEAYNAGQGWI VVTLIGAAIGLNAALLNIITEWLSDIKLGYCTTGFYLNQNFCCWGEDNGCPQWHNWTP IAPVNYLMYILTAGIFAFTSATLVKSFAPYAAGSGISEIKCIIAGFVMKGFLGWWTLL IKSIGLPLAIASGLSVGKEGPSVHYAVCTGNVISRLFDKYKRNASKTREILSASSAAG VAVAFGSPIGGVLFSLEEMSPHFPLKTMWRTYFCCLVATAVLSAMNPFRTGQLVMFQV RYDRSWHFFEVIFYIIIGIFGGLYGAFVIKWNLRAQAFRKKYLSKHGVLEATLLAVGT AIICFPSIFLSIDMTESMEILFKECEGGEDYHGLCESKHRWSMFFSLILATAIRTSLV IISYGCKVPAGIFVPSMAIGASFGRAVGILVEAIHDANPTSAFFSACDPDLPCITPGT YAFLGSAAALSGIMHITVSVVVIMFELTGALTYILPTMIVVGVTKAVGELFGKGGIAD QMIWFNGFPFLDNKEEHNFGVPVSQVMTSDPVTLPTTGCTMADIEAVLADREFQGFPV VEERHAKILVGYIGRTELRYAVDRVKKNHGVLPTARCYFAAPASSASLAPQTPSTPLT PAVTVDGSSSSVDFSRFVDPTPVSVHPRLPLETVMDMFRKIGPRVILIEYRGKVLGLV TVKDCLKYQFKAEASETPRVDDRGIVEGQEEWLWGLILRGADWASDKLATVSRGRIRL NSDVSRRGSAQRRTGIMDGTEDIPDDGVELNRI VE01_01600 MPSSAIPPRGDTTGTSQLPLSRVKRLIALDPDIAACSNPAAFLI ALATESFIQHLSTSAHSVVRSERKPRKNIQYRDLAAAVARMDTLEFLSDVVPRTVTFK EVKAKKAREGKENGEPGLDAGQTTLAAKGFEAVVAETQNGRRESVQVDGTEDADDEDA DPERQLQMESQDARTSFGSEPRQKKGRTDGDGDVDMS VE01_01601 MSQNTLRAAILIVSTTAVEDPSTDKSCDILKDVFKQEAGGQWEW DVVETKIVGDVVEDIQEAIKHWAGQDNPVNVIITTGGTGFAVADLTPEAVSPLLHRQA PGLVYGMLSSSAAITPFALMSRPVAGVRNKSIIITLPGSPKGAKENLQCILKLLPHAC LQAAGADSRSLHAGGIKKLESEAGISSSGPRAGHHGHNQGHNHGHSHTHDHSHSHSHG HGHAMPVRHTRETDNPQSNDPAAGPSRRHRSSPYPTLEVSHALELIKNETPAPQIISA KVDGSLVGFVLAEDVTATEAVPAFRASIVDGYAVIAPEGGESSAGVFPVASISHAAPG EIKPLLKGQITRITTGAPLPPGATSVIMVEDTALKSTSEDGTEEKEVEILATDVKVGE NIREVGSDIQSGSVILRKGDQISATGGELGLLVSVGRTEVNVYRHPIVGVLSTGDEIV QHDRPGPLRLGEVRDCNRPTIMAAVRSWGFEARDLGIASDTPGSLEETLRAALRQVDV IITSGGVSMGELDLLKPTIERQLGGTIHFGRVAMKPGKPSTFATVPVKSNDGSPVKKL VFSLPGNPASAVVALHLFVLPSLHFASGVQPAGLPSVPVLLGHEIRLDKQRKEYHRAV VSIGRNGRLVATSTGAQRSSMVGSLKSANALLCLPIGDKIGKGEEVQALLMGPI VE01_01602 MSLATQFEISTPPADVISAVKFAPDSPNRLLVSSWDKYLHLYEV QGGENASGTLVNKYEHRAPVLDTCFGAGDDEAFTAGMDWQVKRIDLSTGEQTVLSTHE APANRVVYSKEHSLLISSSWDSTLHLHFLSDPSKGPTTIPLPAKPFSLSLSPTRLVVA MASRLVNIYDLKSTALLSSQTTDGKSEVEPWQKRESSLKFMTRAVACMPNDAGYATSS IEGRVAVEWFDPSDSSQARKYAFKCHRQPDSSGDGSDIVYPVNALTFNPIHGTFASGG GDGVVALWDAVAKRRIRQYQKYATSVAALSFSTDGKYLAIGVSPGFEDGKDFSPDGLV KVFIRELGENEAKGKGQK VE01_01603 MPFAQLVVGTAGAGKSTYCDGMQQFMSAIGRKCSVVNLDPANEH TNYTAALDVREIVRLEDIMRDDELGPNGGILYAMEELEHNVEWLEEGLKSLGEDYVIF DCPGQAELFTHHSSLRNIFFHIQKMGYRLVVMNLTDSYCLTLPSLYISNLILSLRAML QMDLPHLNVLTKIDKLSSYDPLPFNLDFYTEVQDLSYLLPHLEAESSVMKGSKFAGLN SAIVNLVESFGLVGYETLAVEDKRSMMHLLQMIDRAGGYVFGGAEGANDTVWQVAMRE GLTTMDIKDVQERWVDAKDEFDERDRKEQEAQDEAERAKAEAAQKLEAHMGDDEVDED FGDMSLPPGGSGIKVVRKNK VE01_01604 MVRLKNRYLLVNILYPEATALPSSKVPDVVAFNQPTTDDLTPQL LIKAIREAVLELFGDYGSGAIAGSLMVKYLSPATSTFIIRVTRAHYRIAWAALSMMNT VPVKDGKKCVYRVIRVSGTIRKAEEEAIRRAREMILRAKRALGDQSAATLESILGKPK DNRP VE01_01605 MAGNLTSPFQGLNANVPPRVVTALSCEQFLYSLARTPSPARFQR PRSNAQILSGLNNTFQTREIRDGAIDSPHDAPSAYGLNSVEGPNSEPVGENPVPQVEQ IVDGANKRQYLIPNETAANFQRLAPEVESRSNFHAAQIPTPISERKTVEELGKTAVAS VELEECNTSFDLGDESGYDSNDSSGEESASLYTNTNIPLSGSSELDHATTQSIRVGCL DSNTRIQKPSLGQQDESSVINGSPIVTDTDQTSPGPSKKRLKRPTRTSYKTLAQDDAL ETIQEEIPEDIVPERICRTFNQLQLTEERAVQRSNPESGEFLFDDDSSVIESQHVVPE AALLSIRQKRFPEISPQMPRKKRKRTYPKTKMMSSRIHFKDLTLISRASKPPDCPKPV PTRLDFCDGFEGEESQPIGRTKATSRPRRLPMPGVLRRSKGYSCQLPLVQSRTFGDQQ SVQEGNWDFKERVDIRFGREHLADDSSYGHKEQQNSTRGAKQGAAIISQPTVWDTKPA GQMSDADPENIAGYMSPSIVEDAGMDMDPNPHSEGSDNDSSFSDSMQLETSPKATTFK RHVTFNKDVEVIRQQLSMVSAPLPTSTASSDDESDEDHIDEDDDNNHSEGSDSHASES GSEAGSSADEHSIEPSSPQHDRRSLNFTLPSTPIRRRIPTEASESSSGDEADAEMLDG EMILDDTASMVSKRYQVSDAVHLWGENYDDERMNLSPSCPWAPRRPTIARHRIEVDED IFASPSSRVPRQKTVNNIRLIQSQMRLNQSQMDWSPTQLATPMPHSERYHREPSIELA DADWPPRSFFSQSMADSQASSVVHHSHQSRQFMVTDVPSYFTAASQNFNQPPYWSTAL AMRSKSVPLRSQYFENYGQNWDGDENILSNFVPEKKVASFMGSQDERGTSLRALTRHI SFGFGTPRERRRNPLLPFRPPLKHI VE01_01606 MHLFTFILPLAIQAAVIHAVPPGLPTKYAYQRDVGNDASLTVST VGATPAVGIHNRDLSPDIAGTTVGHHIPLMPPFDPPCATTTSTALKSIKSLAPLLDRV LVQRIKAQTQTAGGIFLPESSVKELNEARVLAVGPGGLDKEGKIVKPSVKAGDKVLIP QYGGSPVKVGEEEYALFRDYELLAKINE VE01_01607 MSSGAQRSVPGSPAVRFASSSQEIEPVQLDETSTTSRTGEQLLD VSGSGGQLRDLSESLSGTHLQSRRMSHFNFEPVSLPASRVRTVDRELPGVESASISVV RIRSPILTFTLWDNANTTTYPSSERIQRGDWEGASDLTTNNPNIRIQLFETSVADSVT GYRKSAFAIGPSRQSSERSDYSQSVPQSREPSPSRGGYSRPFTPAGEPDDPYASNKRP PQSKNLDTIEPRFVFSGLNSRHRTSPSSSTTTLPRSCRSSADLRSDKKSFSSKKDPSI ARLNDDALPARGSMSELKRFLKIGSHHKSKRNASPSSSIKSGIKTPTSQKSLQQLPFG EDHGLTSKYGKFGKVLGAGAGGSVRLMKRSSDGTTFAVKEFRARHAYETEKEYTKKVT AEFCIGSTLHHGNIIETLDIIREKGKWYEVMEYAPYDLFAIVMTGKMSREEVSCSFLQ ILSGVTFIHSMGLAHRDLKLDNVVVNEFGIMKIIDFGSASMFKYPFETEIVLASGIVG SDPYLAPEVYDERKYDPQPADIWSLAIIFCCMSLRRFPWKMPRMTDNSYRLFASPPSE STEPRPDATSKAKSETEIARIVAASQTLDGKAAKAVVEAPTTKPAAAAAADVPAAPPA TGKSPAVVAVPVEKKPEVIKGPWRLLRLLPRETRHIIGRMLEIDPRKRATMAEVLEDP WIGGTVICRQDATTGHVISATGHTHTLEPPSAPAAPAK VE01_01608 MSKARSATDVVVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHITLGVPIPARVTVRPDRSFHFELRTPTTSYLLLTAAGAPIRKSKLKGAMNP GKESVGTVTLKHVYEIARIKQSELRLSGLSMEGMCRTVIAQAKSIGVTVVP VE01_01609 MMNWAKQALANVAGTQEPIYGPSAIRSVSEQAATVPYTELKRED LKWVNMDSTSVETQVFYLTADSGHIAFVQVIHSNVAGIRRTSQFNTKIFYPGGAKPIL WASDPLSDVDFSDDGVNYYAENLAVELSEDGKTYTIKSMTNKSAIVNITMTQTAPGFQ AGNDGTTLFGTDLENPWGKMRHVFWPRNKVSGTIVTNDGPIDFTGKGMFVHALQGMKP HHAAGKWNFVDFQGEKFTAVMMEYTTPPSYGSTVVNIGAIVKDGEIIMASSPNRATHV TTKSDSDNDWPEPATVRYEWSGKTKDGKSVEAVLETALGERLDRIDVMAEVPGFVKTI VANTAGTKPYIYQYSPTTAPSLKLKIGDEEEVVETEEPILKGELGAHGRFRIDMVHLT VKAAENFKYQVWPVDQTHTWIAKFGLPVSTPHWRQVKGYTFPQLHSVDEDVVNHPSLS PCSNARGSTQVDAQTNHNNQLMNLEHVKTRNLLKTKAKRVTEGGTTTPKKGTGPKVKV TTQKPKLAKAKNVRQVKMVAKPGNIANVKRTSTSKKASGIRKPPTAQKSKAQKPRKVQ KPKAQKPRKVQKPSAVHKPSKARKPPKPLKPQNMSKPPKPSKSPKGQDQSKARK VE01_01610 MTGRGKGGAFGGAGGKSRFGGAGKGTAVPNSSGTRGVGARRYRR LARRGGVKRISAMIYDDTREAMKAHLQLILKDCVIFIEHANRKTVTVGDVLFALKRIG RPIYGFGNGYLQSDAPNRKKRKD VE01_01611 MANVTVVLGSQWGDEGKGKLVDILSSKAQICARAQGGNNAGHTI VAEGKTLHYHMIPSGLGLVNEKCVNLIGSGTVVHIPAFFQELEALKAHGVIVDDRLFI SDRAHVVLDLHQRVDGLEERELSGEKIGTTGKGIGPTYSTKMTRSGIRISEIFDEELF ETKLRRIAAGFKKRYGDLLEYDPEAEIASFKEYREKLAPFVIDQVPFLQSAKASNTSI LVEGANAIMLDIDHGTYPYVTSSNTGLGGILTGLTLGWRSLKDVIGVVKAYTTRVGGG PFPTEQLNEVGVKLQEEGREWGVTTGRRRRTGWFDAVVVAYSHQVNDYTSLNLTKLDV LDDFDELKVAVAYKYNGEKLDSFPASLDILEKVEVVYETLPGWKSSTSGSTKWEQLPV NAQKYIEFIETFLGHGLKIKYIGTGPDREHMIVR VE01_01612 MATERLYQLLYPLPSPPPRPRLSSSPMQILALGLPRSGTDSLRT ALHTLGYTHIWHGFDLPSTRPHDCAIWVPLLQSKARGDSGPGREFDWDVLLGDCDGVM DMPPGIFAEELLDFYPQAKVVLNRRRDMDAWHRSLNEAAKMILGSWGIWGLSWWDAEL FWWYRSAVLWMGIMGEGKGGFEKNGKEWAERYYERLEGKLEREGREYLDWEVRDGWGP LCGFLGKEVPHEDFPWGNKGGNEFEKNANKAIEKMVKRAVVRIAGTVVVVAAGVGGWW WRSQ VE01_01613 METLVSSPASPSANGVAGSHFSTIDPTVVVEHLARLIEANLGAT RRELESIGSLLSKSRYPETVQRCTRFATESQVALYVQKDIVGTEEDAKQSSDGQGGFA YTLTGDPTLSPLTITSLIVTKRSPAIDPSIPVALQIHSIVLPGAAAQSTTTGGSGPTI EVLYNLIHNGLGPFFDAYIKNQAAANGNSGRTEVDSKTGIQVTKKRIADLDLSLRHLQ ENVEIPELSLPLHPLVQNAADEAAARGAKPTVDYISESILQDSTFLNSLQTTVNNWIK SIQTITKMTRDAKTGTANQEIDFWLSKESALESIEAQLRSDGVQLTLEILRHAKRFQA TVSFTADTGLKEATEIVQKYNQLMRDFPLDELNSATALSKVVEAIGQIFNHLNKKLRI CPYPVPRTLSLVEAISADLDTKLHSLLHGRSLMHLDYRDFKVLMTTADSIFAAWDESI KEFTNVAREVTRRRNDKFIPIKINSRHAALQQRLKYVNTFRDNHEQLQRTIVNVLGPK SNGGGVDAGSANGVVLIEEMGDVDAVEEVQQAYAALKNVDLLDTSPEGTQFWAQQETA YNERTARVENSIIARLRDRLATANSANEKFRVFSKFNALFVRPKIRSAIAEYQTVLIN NVKTDITALHERFKQQYGHSEAHAMAQLRDLPPVAGAIIWARQIERQLDGYMEKVENV LGHDWALHAEGQKLQTESNMFRKKLDTRPIFEAWLHDVQRRQINITGLLFAINKNRSA GNALELAVNFNPQVIALFKETRNLVWQGYQVPHAINNISKEAKRVYPYAVSLMESVRT LAQTNRQISEMSDVSTLLSGYQNDVQALIAKGLPLKWESFIHSYDVHIKQTYLANGTM DHNSGNRNESKHVQFVREFGVTVSLLQNKTEVLASINTTVQKALTDIETCPYNETTFQ ERLETIQMAVDQLNLENFVNLDHWVHGMNQRIENTLLGRLHQAIKTWIEAFQDVQSET VDSDSRRRLTTGPNDASVSSLPVIRQLVHEITMRNQVIYLEPPLEYARANWVSELHGW LGVVCNLRKIKASRYQMSIAASSEAEARFTDLPRNCADTLLEVYTTIEDQLLEISRYV DKWLQFQSLWDLQSEQVYEILGDQLSKWLQLLREIRKTRSTFDTTEVSRSFGHVTIDY DQVQTKVNAKYDQWQHEILTKFAGRLGTRVREVHSEIEKARKDLEVQSLEASSTAQAV QFITIVQACKRKVKTWGPEIDLFRQGHTTLVRERYQFPADWRSNEQVDSEWDALNELL NRKSKTVQDQTDALRAKIVAEDRLVGEKIAEIIVQWNEEKPVSGTIAPEVASSTLTSF ETRITKLHDESLMVSRAKEALDIPGSPDAALAAILEEVQDFKGVWAALSTIWKSLNDL RDTLWNSVQPRKLRTSIDGLIKMTKDMPSRMRQYAAFEHIQNILRKLLKANSLLAEMK SEAVRDRHWNKIYKSLRPGKRYSPISMTLGDVWDLNLAASEVVIRDIIAQAQGEMALE EFLKQVREIWTNYSLDLVAYQNKCKLIRGWDDLFAKCSENLNSLQAMRHSPYYKEFEE EAASWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPVESNRFQNINTEFF SVMKKVYKVPFVLDVLNIPEVQKSLERLADLLNKIQKALGEYLERERVSFPRFYFVGD EDLLEIIGNSNDTLRIAKHFKKMFAGLSGLIMDQDAIISGFTSREGEEVRLKKEISLV KTPKINDWLALLESSMKSTLAELLAEAYSEFNALFTAEDVDATLLNEYIKKFPAQIVV LATQAVWTNAVEASLQAGGSNLQSLYDQEVKVLRLLATTVLGDLDPIERKKCEHLITE CVHQRDVIEKLVRLNATTPTHYMWLLQMRYVYQPEGDFLNRISINMANAKLSYGFEYL GVAERLVRTPLTDRCFLTLTQALSQRLGGSPYGPAGTGKTESVKALGVQLAMGRIFLG ICQVGAWGCFDEFNRLEERILSAVSQQVQNIQLGLKQGVEDEKSQIELVGRQLRVNAN TGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVMLYSQGFNQAKQLSKQT VPFFDRCGAKLSKQAHYDFGLRALKSVLVSSGGLKRARLTVSGGDLGPEEEVEPQIIV QSIRETIAPKLIKSDVEIMRAIEEESFPGVQYIPAALEELKASMQSIAAERNLVVNDT WMTKILQLFQIQTIHHGVMMVGNSGSGKTAAWKVLLQALQQVEGVEGVCHVIDSKVMS KEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEETKRHWIVFDGDVDPEWVENLNSV LDDNKLLTLPNGERLNLPSNVRIMFEVETLKYATLATVSRCGMVWFSEDTVTPTMMVD NYLGKLRKVALEDLDEDSVATGQTTAKALVIQGEVSDLLQSYLKTEDFLLTALEHAEN FNHIMEFTVARVLNTLFSLLNKTVRDIVEYNAQHVDFPLDSDQVESFVSKKLLLALVW SLTGDCPLGDRKVFGDYVATLSTFGSPLLEGNSSLIDFDVTLPQAQWVSWQNQVPTIE VNTHSITQTDVVIPTLDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLFSALRKLPN MEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVILSPTQIGRWLVLFCDEINLPSPD KYGTQRAISFLRQLVEQNGFWRTSDKTWVTLDRIQFVGACNPPTDAGRTPMGARFLRH APLIMVDYPGQLSLKQIYGTFTSAVLKIVPSLRGYSEALTNAMVQFYTESQERFTPKI QPHYVYSPRELTRWVRGIYEAIRPLDTLSVEGLVRIWAHEALRLFQDRLVSEDERKWT DDAVRRNALEFFPMMDETKALAGPILYSNWLSKNYVPVDREQLREFVKARLKTFCEEE VDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMNGLKVFQIK VHGKYSGEDFDDDLRDVLRRSGCKGEKICFIMDEANVLDSGFLERMNTLLANAEVPGL FEGDELASLMTACKEGAQRQGLLLDSQEELYKWFTQQIIKNLHVVFTMNPPEDGLSSK AATSPALFNRCVLNWFGDWSDQALFQVGSELTHSVDLDRPSYTPSDSIPVAYRELSLP ASHRDAVVNAMVYIHYSLQRFNLKLLKQQSRVTFLTPRHFLDFVAQYVKLYNEKREDL EDQQRHLNVGLEKLRDTVDKVRDLRISLAEKTGQLERKDAEANEKLQRMIADQRLAEQ RKTTSLEIQSALEKQEVTVAERRELVLNDLANAEPAVIEAQKSVSNIKKQHLTEVRSM SNPPRGVKLALDSVCTLLGHRIDSWKTVQGIIRRDDFIASIVNYDNEKQMTKNLRVKM RNDFLSKEDFTYEKVSHASKACGPLVQWVEAQVNYSEILDRVGPLREEVGLLEEKALE TKAEAQAVENTITALEQSIARYKTEYAALISETQAIKSEMSRVQFKVDRSVRLLDSLS SERTRWEDASKSFETQISTLVGDVLVAAAFLAYSGLYDQQFRKNMMDDWLGQLQMSGI DFKQHNPITEYLSSADERLSWQENSLPVDDLCTENAIILKRFNRYPLIIDPSGRVTEF LQKECKDRRLTVTSFLDDSFTKQLESSLRFGNPILIQDAEHLDPILNHVLNKEYQKTG GRVLIQLGKQEIDFSPAFKIYLSTRDPSATFAPDVCSRTTFVNFTVTQSSLQTQSLNE VLKSERPDVDERRSNLIKLQGEFKVHLRQLEKRLLQALNESRGNILDDDNVIETLETL KKEAAEISKKMSSTEGVMAEVDAITLQYNIIARSCSAVFAVLEQLHYLNHFYQFSLQY FLDIFNSVLHDNPRLATETDHNVRRDIIIEDLFVTTYQRTSLGLLQKDRITFAMLLAQ ASPFKMDKSLIDLILDDGIDGTDLSTETGRKEEVFARAARVPALKGKLDNIPPADLDR FLCEEMGENFIPKIWDDSTSEIDKELYSLLVVKIFRLDRFVPSAERFVTAVFGSRIFD MTEDLSQTVEQVSASRPIALCSSPGFDASYKVDSLVERVQASCTNIAMGSNEGLSTAD KAISNAAATGNWVLIKNVHLAPTWLQSLEKRMDSLKPHPDFRLFLSMESSPKIPVNLL RASRVLMYEQPAGVRANMKDSLSSVSTRAIKTPVERTRLYLLLSFLHAVVQERLRYAP NLGWKGFWEFNDSDYECSAHVIDTWVESVSGGRSNVAPQNLPWDMLRTLITETYGGKI DDEGDFARLTQLVDSIITPAAYEIGHKLVESTPGLTVATDGSDGSLVVPSGTGLKEYM EWVNKLPEREPPTYLGLPANAEKLLLVGLGRSMIGNLGKITEILDEGEQLMAEAAVAA VE01_01614 MVQTSTIVTATVGTIATGLVAYAIYFDHRRRTDPGFRKQLKKES KRQARAAKEEAEAHTNRQREALKAVVEEAKEEGFPVDVEEKEAYFMNEVARGEQLAQD GSDNLEAALCFYKALKVYPQPSDLITIYDKTVPKPVLDLLAEMIAVDPSLHVGPFQSG GGSEGSDNGIPGVGLD VE01_01615 MSDDRGRGISLRTKRKARPVISAPKQISGPIQQTPDVPRNAGGK TGIEVPKQRQQAGGKTSDLVKRRYSTRFNNLPAGFDATAPPVPSTSPAGRVVRPADRD GGKPPSRGAGITVDLNALRDPSLQPQQYVASILGDASEQDIEDYQQALKKMRNRTSTD LQQNVYQNRTQFIKISKEAEKLKGEMRALRNLMSELKSNTTALRSASSSATADTSGFN GSMNTVLSKRDKRSSVADRTALWNSQLQALWKAVSGSQKFLPAALGRHVVQNAGLWVE LDNATWKPRRSMQIILLNDHLLVASRKKRRIEGNNGSDPRQAPSKLVADRCWPLLDIE MVDLAGTNDATGSRNKVADAIMIRGIAQESFTYRTENADSSEKTQLLLNFRKAVEELR RGLRSEIESNNKTKETINYFASRDPGLLEKADLLETLSDMKERPNVFIEVDGKQQNLR WVEGQVDELDIDIALQQFDEAVNRLEKLKVLAKGLKNNAVAQDFINFKVNERAVKLAG LITRELVDSHNELKKTKRNVGWLTRLGFEDGARETYLKARSLTIHKRSRQCTFEGNLH QYIWEISFVYFAIIKNTVLTFQECFPPLTMSACVKWAKEQVDAFNVILNRQLSSADRE GNVWKECMEQSQEHAKMLSEVGLDFKNLVGRQTEAASGGNTPVGLGLA VE01_01616 MDATMEDVGRVVEPTRLSPVSEPTSISTLDGWIESLMTCKQLAE VDVQRLCEKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLFM GDYVDRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNAN VWKHFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLL WSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNVV TIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL VE01_01617 MRISTLLFLIPLRPAAAAVRPDTTPLALKMLDSIIAREQGVVVD PSVKTSVIEGGLLLLGISEVLENMPLTQELDEKYESYLDLVMSGLVPVLQNVTVDVRS PLDEFSVGTQFIKQYQKTGNLTLLSTIQTLHQTDLLRNRQSDGSYWYYVYPNVTTQDG LFSIPSFHSAYAHEFDPNNALTAYQTSALQFSNIIDRCLSHSTGGLLYHGYDPTRSFP NWGNLTSRGHSQSIWARAVGWTCMGLLTTLDVIPDVPATAAIRKELRGIFVQLMSAVA RAQDGASGAWWQVMNFPGRQGNFLESSATGLFAYALLRGLRLGYLGTEDGDKFSARQY RRSADRAYDWLVNKALLELGDGTLGYNLTVDVCSINSTTAFDFYVAQPLKPQSLLGEV GFLLTDLEMQLAKK VE01_01618 MIFSNPLAGATLVFLLAAETGAAAVPLQERAGAGCGIVRDFKGD THTGKTIESGGLQRVYDVYLPPNYDENMPTPLIISYHGANGNSAKQRALDRFDDHTWN PDHIVVWPNGYNGFWEGPTYAPPGVSDKKFTSDLIAHLRTAFCIDDARIYAAGKSNGG GFTGTLACSPDHGANFAAVAACAGAFYTDVVQDPNNSCHPSRSPFPILEFHGSVDGTI PYLPTKNGSGGPLPKIPDWLSTWGQRNGCAANYKPTVSQLNGDKTVQKTLYTCNGTNV VTGYLIDGMDHSWPSTTRNSDQDSHGDKPVSINASPMILDFFRGIKKP VE01_01619 MATVAHCLYCFDALAANLEDRSPMALSAIEESWPDYPKGSDDGD SDAEANDDVEAEADFTTTDTLKIPTPSSLSATTPSLGSSSSTSLRSPTPLTPSSAGTS APPSRPSTCAPSPYHPIGQSLSSRSAQSSALTSTPLFVTWNILTPPLQSRQLRGCIGT FEAQPLDTGLATYALAAAHSDNRFNPIVARELSALEVAVTLLTNFETCAGPLDWELGV HGIKISFYQKSKRYSATYLPDVAVEQGWNKEETLESLVRKAGWRKGGGWREVGELKVV RYQGRKESVEYEEYKRWSDWTAAQK VE01_01620 MKYSLAALTVAASVVSAYNLPDNLSQIYNKHKTGACSNKLASGF TDGSGPKTFEYCGDVKGAIFIHSSGNGGQYANMDIDCDGANRTGGKCANDPTGQGTTA FQSEVQALKAGIKDLDSNLHPYVVFGNEGKSPSFDPRKHGMEPLSVMAVVCNNQVFYG VWGDTNGETSVGEASISLADMCFPNEHLDGNNGHDPKDVLYIGFPGKAAVPSSSTKWN AGSAKAFEDSIKAVGDKLVAGLKA VE01_01621 MRGLLTVASVLPLSLAAAVIRDVFDDARLQKPRADSLLERAACT GPIASKPSKYWLDEQDHTGAARGIAPFTDNSDQYPVFRNVLDFKVANDGTGDQSGNLQ DAINSDGNGGSRYQNGLTTKPAEIYLPGGTYQISKVWDLRVGTIIVGDPNDPPVIKAS ADFDGDAVVNGYDFGVGAPETSFMTLIKNIVIDTTAIDKDKAVMALNWGVAQGAGMAN IKIVMPEDSKGHTGIVLKGGSTIAVTDVNIHGGAVGIQNSNQQVNFKNIYFNRSSTAY AATGGWTSLLQGVTFDTCGRGVDLTKGGNAGSLVLLDSKSVNSGPVIKFADSSNANGD RNNQIVIENLEHDTTNPIAVKADDTVALDAADTIDTWVWGNADPGGYVTGTQYKTVRP DALLKDGKYFTKEAPTYGEFASDQIVNVKSVTNYSVKGDGKTDDAASLNAILLDNAAN CKITYFPYGVYIVKDTLYIPPGSRIIGEAWAVVSGAGDKFKDDSNPAPVIKVGNDGET GVAEIQDMRFTVSEVLPGAIILEVNMAGTSPGDVGLWNTIVTIGGTADTAIHESCTDQ DTHSCKAAFLAVHLTKNSSSYFQNLWAWTADHNVDGGPNQIIATGRGVLVEATKGTWL VGSGSEHHWLYNYNFGAAENVFAGLLQTETPYNQGDQATLTLPDPWTAEAAYHDPDYT WCAPDDQKCRTALAVNVDGGKDIFLYNSASWAFFHGPWDGSYSKTACDGTCQTNMNRV ANDPDNLAWYAINIKSADTLVLDGKGNPSKDNNPGSWGGNLVAYREFANEG VE01_01622 MTYECEYLFRRGSEGWSLSRIEDPSDEDPVRYVVLASLAEALVD AFNWKLDLGFRRGGRPCDQSEERATNFVREVAPEWTGKVGAVEKRVSLIDRESEPFAK ADDNFSRRNIESSMGYLYTV VE01_01623 MATSSLTIPAYKTAFLESCLSANVLTFGTYTLKSGRQSPYFFNA GSFHSAPLLSAIAQAYAHTIVSFLTANPSVPKPDVIFGPAYKGIPLACATLLELHRLD PETWANVSYSFDRKEVKDHGEGGSIVGAPLKGKNVLVIDDVITAGTAMRDTLVKVARE GGTVVGFAVALDREEKMPGPKEKEGIDDGEARGSAMGQIRGEFGVRTASIATLGDLIE LLRGKGSEEDVKRMEAYRARYKASD VE01_01624 MIYNSFLKIFITVGLSVLPAAGQAVPPVTTEKGVSAYAFDLSQV TLSSGRWLDNQKRTESYLKDIDIERLLYNYRITHKLSTNGAATNGGWDAPDFPFRTHM QGHFLTAWSQCYAVNNDISCRERAIDFVAELAKCQANNNAAGFSTGYLSGFPESEFVA LEAGTLSNGNVPYYVVHKLMAGLLDVWRIIGDTKARDVLLSLAGWVDTRTSKLSTTQM QNMLATEFGGMNEVLADIYHQTGTTRWLTAAQRFDHAAVFNPLALNQDSLNGLHANTQ VPKWIGAAREFKATGTTRYRDIAQNAWDITVNTHSYAIGGNSQAEHFHAPNAIASYLN QDTCEACNTYNMLKLTRELWVMNPSSVSYFDYYELALMNHLIGQQNPADSHGHITYFT PLNPGGRRGVGPAWGGGTWSTDYDSFWCCQGTGVETNTKLMDSIYFYDSSSLYVNLFA PSVLKWTQRGLTVTQATTYPVTDTTTLVVTGTSSSNWAMRIRIPQWASGAEVSVNGQK QSISTASGTYAVLSRSWKSGDTVTIRLPMKLRTVPAKDNANIAAIAYGPVILSGNYGS TSLSSVPTLTLGSIKRSSQLAFTATANGQTVNLSPFYDAHGYNYNVYWAISGQLPTV VE01_01625 MEHSGQFFDTTMLWIRYLRCLLAERQWSSSLTLDENVGLSYKTS YELVYQTLLNDFRPKNQGIFSGDRIEGIGTKHYSNIATCVRALLPLSSLRIPTLDHSL LSLLSLYYGSLHGDAGLEEFAYSSYTIALGQYSLLLNRFLSKDTEVSTPAYQAFVYIS ISMLMFEHLRAAATQSAEYLPHMQGALAALQACGPQPLLTSCGMQKAFCGLRGVAVFT AIEQREQTFLAEPDWLNIPFENVIKSTRDRLTDIGVHIPNLLQCFDLFSANTTTGYTD RDFETGMSLLTQITDLEQKFEGWLSALETTTSEPLYWSRSLPTTLDRKHHDPECVPKY SNKFHQLVFHSGPVAGLLVHYWSFRLQLGMTSIKLQQSLLSHVGQVPELMLVQEKLSQ DLRSQQESADSTAQLILGAEPSLSSCFEGFVCLQPPLRIVTSYFESLNPPLAVV VE01_01626 MTKLIVIIAITGNEGSSVANVFLNDGGWKIRGVTRDPSKPASKA LAAKGIEIVKGDVDDVDSIKAAVQGASIVYGNTAFSEAFSNPAEAHVAKLSAGQTLRE WCYEKELQQGKNIADAVATVDNLDLFVWSSLSAATKWSGGKYKGVFHFDSKAHVVDYI NSVHPQLAKKMSILQMGLYVTNWKWGQSSVPWEKRTDGSMILRVPGNGDVPIPLVVPS DAGHFVKALTKLPAGTNLMAFGDRLTWSDYVKLWSKVTGVPATFEKTTVLEHSKLAPG GYGEEIAEMYAYSQDFGYDGGDPSIITVQQLDFDVPVTRIEQYIRNEDWSPLLLPKVA VE01_01627 MSKTPIYLISVNKTPERAALLVGQLLDSLDNNNHGIVHIANAST LQELEVVVDTLVYPPGILICSSQWTAEEQDQAVTIAKASLSNIGVITIPPGLDVREGS EGILSFLKGAIQNLEVADDSK VE01_01628 MAQKTALVLIDVYNDFLHPDGKATAALNDSLTNSDTVAHIQQAL QAARAAKIPVYYSLHQQYHDGKYAGFERWNPMLRSVESSHSFEEGTFGAEIYKGLEPD PKSGDVVISKHWNQSSFKNTDLDWQLRQRNITDLVFAGLVANTCIETTARMANEEGYK VTMLNDATAGWTIESMKAAVEHSWPSFAHEVISTGEWQKRLA VE01_01629 MAATHPSLKTSRASFFQAAALNFVLLQLLFFGLLCYLFGSLFQQ TSRSHSLNVLWVDYDGGVIGDAVRDAYRTLQSDGFPTLIEHSRDEFPSEKELRQAVCD TSYWAVLYTAPGSSKGLGDALSGAASQHNESNVLFYIWNEARYPTVLDGAISSNLQML SNAARVAYTARNSTFALATIQPNDTTALFTYANPWTLSSINIQLTTQGSRAVYNTICI VLILIQDFFYLASINGLYAQFKIYNKISPTRIILTRDIISGTFTMVGSLLVSAAIWAF KDGWILSGKQFAINWLIIWLFAHVNFLAIDVFTIWLPPQYIPMALISWIITNVTSILL PFSLSPSFYRWAYALPAHEAYEALTNNWSSGCNPHLHYALPILFAYEVIGLVATAIGV YRRCHFAVVAEEAAQEAMRLRIEVALKLEREPNDHLGPSDDGSKANGLQQDSNGEPSV LNTSTTDLQKNMPKEMRNTAEDKNRADMETEIDELRSW VE01_01630 MAILKLATKCLFLLSLTQPWHGFAGAEVLKQTCINHSCSAKRVT LSNPPVNLWDANVITGFNALMLSLNNQNETKVVVFDSDTADFWAAPIDLNIFLPDAIP GRNSSALLEMYYANLDLLLTTPVIFIGEVNGRAWGAGDEHLLRMDMRFAGPGAQFGAP EAAVGLIHVGGMQQLVRLIGPGRASEYMLAAAQVSATEAARVGWVNSVHPTAKALRAH VDGLAARISLFSTETIRATKASIAEQAPLKAALENDRARFDQLAALPLVQQNVEAIIR LSHNQSKSWEENNNDNIVQKLY VE01_01631 MSFGFSVGDIIAAVELANRIRKEFVNAPSQFKAISDEARSLSIV LQDVEDRLSGPDLDEKQAKELREITNSCCDVLSELKRTLDKYSELKSGYAGAGSRAKR IWNRLKWEPDDIKELRSRIIVNVTLWNAFQGKFDSQISVGILASLDQLHVRQDSQERH KEHDVVLDWITPLNYGPQHNDFINRRHVGTGKWLLESPEFKEWVETSKTLFCPGMPGA GKTILTSVAIDELNNRFQDDKSIGVAYLYCNFRRQHEQRAEELLASLLKQLIQRQRSI PNNVQTLYNRYKWEKKQMSFAEILSSLRLVATTIYSRVFIIVDALDECQVSDSGRTKF LEAILNLQAECKTRINIFATSRFIPEIRERFTDAIQREIVAHPDDVRRYIDGHIQGLP RCVRQSPDLQDEIKKRIANAVDGMFLLAKLYLDAIKGKKSPKAIRKALEDLPSGFQAY DETYDKAYEDAMERIEGQISDERELAVQVIYWITCAKTPLTTSQLEVALAIERESFEF DEDNICPVEDMVSVCAGLVTIDEESGIIRLVHYTAQQYFKRTQGKWFPQIETNMAAIC CTYLSFEQFGSGIWLEDKQLQQREEDNVLYSYAAHNWGLHAREDSTLIPEVATFLDKQ DQVEAASQSQLYGVITQIAIVRLHQPRKMTGLHLAAYFGLEKAVQFLVGRQSPDAKDS NERTPLSYAAENGHEAVVKLLLATDGVDPSSKLTGLRVTSLYFAAGKGHEAIVRLLLA QEGVGPESMDSYGQSVLSLAAENGHLPIVKLLLDIDGVDPNRKGGRREETPLSFAISN GHVPVIKLLLARCAKDGINTDTQDGLGGYTPLHSAAQRGDEEVVRLLLAQKGVNVNNL SMQTYTTPIVDAAEGGHEVVVELLLAHENIVPDFQDSPFKATALQRAAENGHEGVVRL LLAHKGVNPDVYGRGESALSLAARKGHMGIVQQLQDHKSINKA VE01_01632 MAQQYGHISAQFESTISSFTNESGFVVVRTAYADDDATDAAQWS TALAKLQELTLPSDKFALPVIADPALKGASYDAVRTAFNTWVNNYEEGHMWQSDVRRD CCLVLDGPALASLLQAPEQGGSPKQATGQTRRSKQPAPVPWVVVVDGNHPSTIPYNGG GPYLGWMRVPAHTVEDLFVDIEVTSLVEACPTRVYDGQLPLYGRELIDPEGGVEGRYK FPQGTPRGVDAGNAMLDDIERALGKEAVTQSRGVSGKVRQRGSVA VE01_01633 MLISRRGPVKEEKKMIQRRLEETEDLLVGLLRVIPDELLADGME LRPSAQRQREAASSSKDNDLLTAQLASFGPRKSVWDDAPLSTVAEVRRWQVDYDTAGV TSSTPRKVRQDSPVPDEMDLGTPFEQGSWEVGNPQMLQSMPDTFDYQPQNRDAIRPTH DDKTATMADPHNNTTTATADPSNTSIGGPAEAIPNSADVLTRKEVLPVLPSHKSSPLN SSVGPSTIDPWSNSDLSRQQEEDLFW VE01_01634 MSASSIFSAPEIGCLTATKPQETRFTGSSSGVFFVNTVKQAFAS LAHGSPQAQNLPPSEETLVSIDDNNGRTTYRADGHGQYHSSGVSGLGILPPRDVAQNL TTEYFRDWHPLFPFLSGPDFLSDLGAVYDDQERDPAKMSGPQLSRFVIVQGVINIAGS QSPGVLPVACQFSDPTSLLQALAPLAFRYQTSSIQAYLVVQLYLTLNMSLRHAASIGG FLWRSLAQCGLHRCPFRYGQLEPQDQIMRQRIFWSAYVLDRYLSQAIGIPLGISDSDV DVCIPTRIELHGSAGTSQHHLNGGSSHDPNVSSTDANTAEDGIFVAKEQTKDQLPFQK EEILANFVEYGRLLGRIVETFHKSIHVRGWDNQSVLVLRSDVDRWFNNLPDALQTSRM HSNPMAPGLVAIKARFGPFFLILYQQLIILLNRPSLSVRDHSGEFQTALQSSISAACL TISAVQTEDRLLWSGYLSSVWMSALIITFACQLGLYNRNKSIR VE01_01635 MVQPRPLILFFNPVRHALADYQALGKVADTQTVTSKSREEFFQD LSTKYQSAQVIYRTSASGAVAGNFDKAFIDRLPDSLLTICHNGAGYDQIDTAACKARG ITLTYAPDPVTEATADLTIFLLLGALRQLNPGLLRLRQGNFKKDVGFGNDPSGKTLGI LGMGRIGKAVAQRARAFGMNIIYYTRTKPTTTEAEEGTYVGFDELLSTSDVISVHVPL FAATKHLISKPEIDKMKKGIVIVNTARGAIIDESAMADALESGHITSVGLDVYEKEPI VNEKLISNERALLVPHLGTHTTETLAKMERMAMENARRAISGEQLLTPVPEHCN VE01_01636 MHKVSVLGAAGQIGQPLSLLLKTSPLVSALSLYDIVHAPGVAID LNHIDTPAPVNGFLAADDGLRKALTGADLVVIPAGIARKPGMTRDQLFETNASVIRDL VTEIAKVCPKAFVLIITNPVNSTLPIAVETLKKHNVYDPKKVFGVTTLDVVRASTFTA QALQISDPKSLRIPVVGGHSGATILPLLSQANPLVKLTDDQRDAITYRVQFGGDEIVK AKAGAGSATTCMAYAGFRFVQSILKAASGEKGIKEECYLYLPGIPGGDKIAKSLGVDY FAVPVEFGVSGAEKALSVSEISKHEETLLATAIAELKGNIQKGIAFCSS VE01_01637 MTSKVDIEPNAHHLESVDMAEKDTNTPRDYAGAVLTRTPAETKL PILWLMFWLNYLDRNAIAIARLNSLESDLNLKDSEYQTCVSILFVGYIFGGIPSNMLI TRVRPSIYMGSVMAVWAIVSALTAVANNYIGLLLVRFFLGITEAPYYPGALYLLSIFY TRKEVATRMAVLYTGNIIATAFAGLIGAGVFHGMDGLAGLAGWRWLFILQGAVTFVVA RKGSLQCTGFIRTLLAKLGKLLVLAVLKDALKDRRVWLFVFIQHMHLAANGFKNFFPT VVETLGFDTTITLVLTCPPYLIAGAISVYWSWSSGKYNERTWHITIAKGVAILGFVLG CATLNMGARYFAMIVFSVGTYAVNSIVLGWVSSTFGQTKEKRAVAISIVVSTSNISFI WTPYLWPKSDGPQYVTALASSAAFSFATLAGAWAMKFILMRQNKKIRQREGDATLFFA Y VE01_01638 MTNKSKNYQIACIPADGIGPEVISAAVDVLKVLTDTLKTFSLEF TDLTWGSDHYKKHGRYIPEGGVESLKQYDAILFGSVGAPDVPDHISLWGLLLAMRGPM QLYANVRPVRSFPGTRAYLTSMTKPIDWMIVRENSEGEYAGQGGRSHLRQPWETATEV AIFTRVGIERIMKFAFEMARSRPAKLLTVVTKSNSMRNGMVLWDEIAAEVSKDFPDVI WDKMLVDAMTVRMVTNPHSLDTVLGTNLHMDIISDLAAALAGSIGIAPSSNLDPTRKN PSIFEPVHGSAFDITGKGVANPVAAIWSAAELLKWIGEAEAADILMASVERVCSKGVV TADLGGQYNTKEVTAAICEDIKENK VE01_01639 MFIFNAGVLSPLKSKAVPGATWTAKGTNQHVQAHGGEITKVGST YYWIGENKLSGSAFQSINCYSSTDLVQWTFVNELLTLQPDGDLGPNRVVERPHIIYNS QTSTYVMWMHIDSSDYQEAKAGVATSATICGDYSYLGSSRPLGFESRDIGLFKVAISF LKTHRSNGLRIDKLSPDYLTVESTVHILDDYESPAMYKSNGIYFMFGSSMTGWNANDN VYSTATSLSGPWSGWNKFAAPGSNTYYSQTTAVVSINGVLMYMGDRWEPNNLMSSTYI WLPLTISGTTATMDNRNSWIINPSAGTWSPAPAETVTEAEAKTNTLTGSAIIVSCSGC SGYQAVGWLGGSGNGALKFPSIASSASTTTTIRIQQENGDTEQRYGNVTVNGVSNIVA FLPSRNGNTPGTSVLTVPLKKGSANVIKFEAYNGGYVADIDQLILPSS VE01_01640 MSAQKPKALTYRVENIPFGTTKEQLVRNYFYVKDQADITVKSLV PAVETIEGEDGDLTATIMFHPYESIPGGPRVQDDSITVDKDFRGFTPVYVPPVEKGPI VADVIAVTGLAGHAFGSWAHSETHMWLRDYLPRDAPNARILTYGYHSKLQGSDSVSIL QDHTNKFVHSLIDMREEGQCDSRPIIFIGHSLGCLIIKKTLTDAISLGINSSRIPVRE IIFLAAPHRGLNITALQTLVKGEATEQMVLELQSESPTLTWLNQSFTRFARDIDILTC YETKPTKTAIDADGAWVREGPPVMMVSRDSAQQFYPREKLVSADCDHSQIAKIKRGQN GIYPAVKAAVKHGLVSTAKIVAGAGAAMNESSRFESKFRDLHIGPNQSSPPPYPPGNL NDSGSRESAIEPGGEFPLAFGTAPDPVQEPSITLEAEPTSTTTTNPKDSSPPCTPKSK NSDVLITSPTQLQEQNETSHAAMVKGANVTSLFEAKESTYLAELDDDLKAMSREFKEG FRDNLKNAQDVECKRCGDVMAALGYHYSCPECEFTPFICQECYDLIENCRIHHKKLLK RDFKPWDTVFGIFYVDPNVTTEDNELIRALKQNNLVRLRQYAQIRTLLDSQDRLGFTP LHVAAQLGLAEGTALLIECGALFETRNHLDHTPLHTAVDANQIQIAQILLDGGANIEI TYGKLGTKALHLAASCVMYHIVTLLVRRGAEIDAPSDNGTPLCRAIGEPKSHKCVEAL LVAGANVNCKSRGNLETPLILACRIEDHETASTIVDLLLRYDAEVDLANNDGYTPLMA VAEMGHLDACKSLLEKSPQLDIQSSKTLSENAIYFAARGGHEEILDLLIAEGASCLPT KAVSGRFSLGTPKWKSLEFLPDVTSECRKKILSKLRAAKHN VE01_01641 MASTTATIDHALHKELENDEVRRWRSANGQEATMRSYGGNPLAH MNTGESARYAAFGGEFQPGLYKPTTSRKFGNPAPLGLSAFALTSFVLSLINVQAKNVT EPNIVVGLAFGYGGLIQLLAGMWEMAIGNTFGATALSSYGGFWMSFAIVFTPGGFDIK AAFDGGDANDFANAFGFFLIGWFIFTTLMLLCTLRSTVAFFLVFLTVDLAFLMLAIGY LNAEGGEPNANCIKAGGYFGLMTAFMAWYNAFAGIADSSNSFFVVPVAHFPWSAKARE KAASESERESV VE01_01643 MANNEYFGADIASHASENYFMALWHTYRIPLILTALALLLITRT VLHLKVGREKIVYTPPPTPSEKAPLAANPQREQLVEKAPISAEVKKAGPKRVVGKVTR KKSPLGYKPASKDVKFQVLVFYSTLAGSTERTAQTLTTKLADTLKANAESSQYEFLAP IAHDLAELEDYDEYFLGLPKPEDDSQDIKYFYILLLPTYNIDTMTDTFIEHLQETHND FRIDTAPMSALLGYAVFGFGDREGWPTEAEGFCSQATEVDRWMAKLTARKRAFPLGMG DTKVDAKERLDEWRQGVEDVLQNLGQTGNLGEGVVGSGDADESDVEEAEDDDVEVQSD GAVKLKRKSKKKVTSSMDDVEDIGRILQKGQDESEEQDEDEGAIDFTTYGKKNPKPTA APKEMVPKGSPTYNALTKQGYTIVGSHSGVKICRWTKSALRGRGSCYKYSFYGIASHQ CMETTPSLSCSNKCVFCWRHGTNPVGTTWRWVVDQPEDIFNGVKAGHYQKIKMMRGVP GVRAERFAEAMRIRHCALSLVGEPISYPYLNEFTAMLHKEHISSFLVCNAQHPDQLAT LKPVTQLYVSIDASNRESLRKIDRPLHRDFWERFQRCLEILREKRFEQRTVFRLTLVK GFNIEDEAEGYADLVEKGLPCFVEVKGVTYCGTSSSGAAGLTMQNVPFYEEVCAFVLA LTAALDKRGLKYGIAAEHAHSCCILIASDRFKKEGKWHTRIDYAKFFEHLESGKPFTP EDYMGPETPEWATWGNGGFDPRDERVYRKGKNKVPLRVEGEEAEVKVETKPALVEI VE01_01644 MAPINPTPAPAAPFDIVQTYHHLLTSDPDLTMPVAAIEALIQLL QHSHSTTVFETLDLLAAQSKLLQSRVRNPIGLSAGTDLFQRYMISSLRASGERSFDAV RAHLLANGRVFVSRAKAARERIAGHAGGLVRDGCTVLTHGGSRVVSAVLARAAEAGKG GGTVRFKVIYVDSGNGAGAGESRDIVRKLRAKGVPVAVIGEGAVGYAMGMVDLVIVGA EGVVENGGVISRMGTYQIGVLARAAGKPFYVVSETHKFVRLYPLGQYDLPIVQRVVEF TTNTGKEGEGKKAGEKGEEALGVAGEVPSQKSSVDTEGWKEYFTTEGGRGGEDAVDYT PPDLVSALITENGVLTPSAVSEELISVFV VE01_01645 MLGKLFSNAASSLTPSRGSPSPSRPTSSLDSVQEDIHTRNLIFP DAEALYAHQHDQVFPMTSSTVSMLTAAPGSFDTNPEIELEARDVRVVVMQEATSTFNS AALMYDSHPHLDAAACPVLNTSFATSGRGGRGAGAGGANTTATARRTSIGAKPVVITQ EAPRFGAFDRRPSAHSRQGSYVETEGQRTSREYREEIATIANCMFGASDVMAHKGTGS KVHILPTEPRGAQYPFEGGHGSLGRSSMRSGSRLAQSFTSESLGRGEGNAGGGRKRVL VTRIFPVPLLGDGEEETPGGFEGQGGFPFPKAGEGGEREKERKPKQKRTPMYAIGLVI QLPATQNSPSTPRSAYRGVGSYAENESVSSSFNSLRPSWTVLGSGFGVESLDSSFISD VDDRIDMVTQHWDIIIRTLDHLQAVASSDIIALLKQVDVASPDPTAGRAPHHTRTASI SVSGKRVEENINVKPLKPIRTNVKTVQLMPYALAHNHKLRAEIEFARHRIVGGIKNLQ VITRQGRWGIWRDEARWVRRWAGGKEQGFFFYNLLTVFLGTHTEWLEAIGPTWYRRRN YKQQRGGGRDEDMPIKARTIIVAADKMAARRLIFLLSAFLPNNQHHQVPFVRQHRPGT SVSGGAFSQSPPSYVPLNPREESLRRRVNRPKIKPSTANSAQQAPIVVANQTTEQPHH THQRRPSDAPSLPPGALPVPAAYNPSRQPGLATTSTANPIPALPHFSTRRPVRGTGPA PRPGSSGSLAADDLIRSLKRGDTGEESSSRWSGVLGSLWGGKRRGSTDVSAPQPSQGA GGEERGKLAEMMHAQRREERRGGTDRDGGVRETTSTTSPQPVAPPPPSSAGATQPIHP SGAYESPVKTSITEDGVIDIDVPLPSLFPSFPPPHSALSSPCSSGIFSSSAGMGVGGE LEGFENFTGGGGGDDEGALNVGGWVGRFHPDFVLQALPVSTVEAAPAATSNSNPKSPT QPAPPQPSLEDQIRATMAAEPTPPVPVSATRNGEEERWITISTALIADTVAFTLKRLI LRRLIRLPTSPPASAGESAGNSYDGRASIYGNPYPGVLGSTSPAEGGGGGEVIKEEWE VEMCISFDTALIEAVERVMASPAGMAGAQIAAGGVMGVQKQRSARASKNPSAGSSAGS SRSGSRIRVVVPAPLPSPAPVLVSGAGPNSSSAPPGSSGATGNGNGAVGERRGETGTA GEVKEEEVPRAEVRTVLLSALEGIANEVAEARSSVPEGALVGGEGDSFLREGVGRWLE GVDEGVLG VE01_01646 MSAHDLPAVDMPNKHVQESITEMQSSEFAKTILPLYSGAPVTIY IGSADNKFILPKAILSLRSPYFAAMFERGFNEGIEQTTTLELIDGIVTVRAFEILIQW LFTGQVTIKEATRGESISVGIEFSRLADMCGVMGTEDQVAERLRVVIRDGRVKDSWSY SAGELETNTQNITSEHIISASQLPHGHAVRDILAAASVEGYIQRKSHRFSEECYDIPE FAADLLLAVKETLLTLTMGGHTIEFKDPMSEERFVLYGSLPLDGPENQAVTSSWSRPR NADSNTLHITPEHILAASKLPRSHTVCRVLAMASVEGYLQRKTYKFSKEAEHILGFAV DLLVSQ VE01_01647 MSDHATPAQDELGGAAAPGSQQVVQDPNPGSSGTNATDDAGANT LAGPSGAAAAQTVTLAAAATGTGATESTGPSQTTVPATATANMGQLKITVPGPSTEST EPPDMTLAELAAADPNTLLPSNAATARLATGGGLPLPAANPLTRETTGNNNSSSSGHI NSTKRPATTENGESTNSAKRRRLAHYRDTVPPPGYGNDPAATSSPSHGPVVTQTPFAP PYQSVFLRQNPDLARALAHVNCSYLTTHDAADPNDRDSRANTAPTLAELKAHARSLLL LIRSMSISSSATLTDNAAFQDPTPEGQDSASIPSDFYSNLDAFEFLNDLSSPYRCRDP AENEPLTNLLNQLIPDHSRKIPASTEACPLATVKVITKGSDLSTFRPGVNPLPHSQIN RLMAHADELLLQIDAALSTSGGLLAALPLDLPASDPRRQTYIGQLIHFMRTLVGRLHV LDRDYGQALGLLAGEATIPAELKLNIEHPGQIEAPLVAVQHKFIINTASDTYNKIWLH LRNCASDKAVRTSGMGLLDVEITTRYRALRGGKTIFVTPIPDEPMASEVVGRPTVVAC TQPGFGTRTSEWERKKGAALRETGELRARAEMAEAEVERLRKDVEALVPEGVWANGEK EDVLKMWGKVKAEKDAMAGERKQMELFKSRCKVLEKKNSEFGMELVKEKEKMKKRREG VEEGLRAELHKLMNQAGAK VE01_01648 MEGQNSPREVETDPKLRTSTGYQLPPDSVRTNLEETSGSCSYSN FSPWRKNTILFIVSWMTLAATFSSTSLLPAIPEITLEFSTTTEAINISNAGVIIAMGS SSLIWGPISGIIGRRNAYNAAIFVLCICSVGAAAAPDFRTFTSMRVLGGFTGTFFMIA GQTILADIFEPKVRGTAVGFFMAGSVSGPAIGPCVGGVIVTFTKWRVIYWVQVGMVGF GLALSFLFIPDIKKANENGQDEDNNIEIDNRRSLSTPEILAKFDPRGIFRLLMYPNIL FADLTCGFLAFYQYALLTSVPSIINPRFHLTTPLVSGLFYLAPGAGFLFGSIVGGRLS DRTVKRYIVKRNGVRLPRDRLNSGLLTLFFVLPAATLVYGWTLQKEVGGVAVPIISAF WGGAGLMGSFNALNTYTAGNTNANQRIDEEVLPWSRPEVIGGKYIIQYLFAAGSTAAV VPLISSIGVGLTFTISY VE01_01649 MTSHFATVPALNEDAAFGIMRLARVDLHPKATSLNAGLHRDEKE NPWILPPSGGSRGARIASFKWPNYAETNRPIRPKIDYMKIQLPIMSTCQFVETPSY VE01_01650 MAEVDSLDGIADRAPARKKTTAYLQQVLAENERLKRNTATVRST SPTTGITDVPHLRQDSSNPEENLSNPLMQDRAWFVPYDVANPPVYIGEAACTAFATRF RQALVEFQGSAPHIPRIHYAQDDNLFSALHSPVSWPSRAQAQLLLKVALININRSFHV VLTKPTLASLDQLYQDARPPGTLATCKFFALFALGEVYSSRAVIPSEGKFPGVSYFMH SCSLLPILPERATLEHIEILILLSFFSHNLNRRNSAYLWIGSALRLSLTLGLHHNFPR TIAMDPVARQHRVRIWWTIYICDRMWGSKSGHPLMIPDRDITVDLPSSSGLNDEERTA FPDPDHIIASIKLAKIAGNIITTVYCRGHPPPFIQSVQKVLGDLNAWMAALPDSIRLA ETAGCTSRHVVSLHLSFNQCVILATRPVLLHVFTKSRDGSSPTNDMTPVTSTLADACL HTARHSNELLTQLWIEGALSTFGYFDAHYLFSSAVILAISSISGIDSKDKDRLDSAAQ LLQSMADSGNLSATEFSGHLDQVRSAINGSRSDVSAPSTLETELGIRTYSTFNDTVNP LTAEMALLEQPMQDFITQAEFPFEFPNPNSASSYPHGINILAYLKTANEPLITCLRIE SKAGVENVDAICAVPSVRINVVDGGLILLLHFYMDQFSKTERGSGQEYGSWCFWSGAA QGDEVRRSYQCCPSSR VE01_01651 MFPLRAAARIDSLDHLVLTVKSITASTTWYVNNLGMRAESFRSP SSPDVTRHSLVFGSQKINLHESGKEFEPKAFKVLPGSADLCFLTSDIVSEVRKRLVNA GVEMVDLGAEKSDDGVVVRTGARGKIMSVYCRDPDGNLIEISNYIDEKTST VE01_01652 MPRQIIPADIISAGPDNATQIAAPPTSKRKARRLGLNAKGQPIK RRAAKACQVCRSRKVRCDVVEHGAPCSNCKSGAIPCTVPETKHKKTSSLKTSGVDGHH SHSHSRLHSSLSRTDVSNDGADPIDISSPDIDDNSSRSSSPPSDEYESGSDMPHPLVS GKTRLGQPLNFDDIVNSVGITGDLRASRIKSISPPPMATTIDCESPRDFSDGFLPAYI QPLPTCMAAEDMMHLWNKGATVIPEIAFRNELLWSYIEFVHPYMPLLDVHDFLQIVNK GTGENGRVSLLLFQAVMFAGVAFVDKSYLTAAGYPTRRAARKAFYLKTRALYDFDYES NVVIIVQALLLMSFWLENPDGQKDTWHWIGAAISSANSIGLHCNPVNSTTDVKTQKLW KRIWWSCLMRDRLAALGLRRIPRTKDDHDVPMLTLADFDIRPLAANNTIIPSKCKMIR DVEMQKDLAMLCISQAKLSLCISHVLSTQYSVLIRNQGIAASQDWNTRPSAVLLPRNL EQTEEVNICDSELADWVQSLPSQCISKDPTLEEIESGASTVIVQRTLLHMFYYATLAA LHRPQLRRYGKLQEDSRTKVHKASCEITRMSQSLHKVELTGYLYNGGLTVLLPAIIIH LLDLKSPNEAIRYKALQRFCQCMQVVEKLRENYAIIDSETQFLDAAIQKSHINIDMHL ISSNVSSAEIFRPKRTVQSVSELVAAGRAARFTPPPENNEVQFSMPDYSTTANSIGGD PPVLFSTTNAFLSRISDSFSLGNDDSARHTMGFIDRREETQGHDCGDEEYEGLIDTSN GFENGTGFTDCEEWGGLALNDSLGVTGELGGFMTETDLMNYDEIADGYGCSAGSPFGR GQELGRECSEERHGKTTKELSEEDIAMSFLMEWCEGNVD VE01_01653 MNIQALSFAGAALVAGGSYINARYGIETDIREIKREKNGVKRLL ENFSQLGESCTIYSVFSRADLTSDALWFEGQTWTFGQLKHEVDRLSSFLHEKGVETGD FIGVFMSNSPEMVMTILALQKLGAVAALINTNLRDGPLNHCLSVSNCSLVLSTPELSD ALTCSSPHFSLNFVAFPNTPAPKNPGITLVTPSDLPTTPTALPTAKRSLKDLACLIYT SGTTGKPKACAIRNAQMITTGTMHSHDYAKPRKYFPLRTYSALPLFHGTCLFTGFCYS FGNGSCFVLARKFSASRFFKDVTESRATRILYVGELCRYLVNSPPSPYDKSHNCIVAN GNGMRPEIWERFKDRFGIPEIREFYRSTEGLGKFDNFGFGAWGAGRLAYGGPIRRWYE NDTFIVKFDTVTELPYRDPKTGFCVKCKLGEAGEVIGRVKDRGLLTEYLGNSSATEEK LITDVFVKGDLFQKMGDLVIQDSDGWVHFHDRIGDTFRWKGENVSAGEVRDHIAALSG VEDVVVYGVALNGYDGKAGAAAITLLNGDETKFMKKLYGSLRKTGLPVYAIPRLVRIT KEISTGVTFKQAKGDLLKKSWIEGEGGDRDALYWLNGERFERLTEDAWAVIAQGKAKL VE01_01654 MSSAQSRLSSVMGHLGSPADGKARLLQKNLDDIVITLAIRTPLT KARKGGLKDTPIDDLLIAILTQVREKSKLDPSLVEDVCVGNCLGSGQAYVARSAVLAA GFPITTAASIVNRFCSSGLLAIQGIANQISAGSIDVGVAVGAESMSTTPDGGAPKMSD KIMNHPIASQNQMSMGYTSEEVAGQFDVSRQAMDQFAAESYQKAEKAQKEGWFDDEIT PIVVTIKDPKTGEAKQVTVSKDDGIRYGTTAESLGKIRNAFPQWKPSRTTGGNASQIT DGAAAVLLMKRSRAIELGQPIVGKFAGSTVVGLEPRIMGIGPSYAIPKILGKVGLAKE DIDVFEINEAFASMGVYCVEKLALDKKKVNPRGGAIALGHPLGCTGTRQVVTALSELR RQDKRIAVTSMCVGTGMGMAGIFVSEH VE01_01655 MTTADTTNGKMDIDQLEMARNESAMPNSKSDEGLTPYFDPVLDK KTLFRLDILLVPLVASMYLLAFLDRANIGNARVAGLQKDLGISDTQYQIAITVTYVPY IAAELPSNLILKKIGPRIMLPGMVLAWGIVTTLQSQIKTYSGLLACRFFLGLCEGGLF PGIVLYLSGFYRPHELQVRIALFFSAAALSGAFSGLLAAGIQQMNGIGNMHGWQWIFL LEGLFTVCFASLCFWAMPNNPQGVKTFTKAHADHCDYRLTLDANSVEGAKLSWKSLLS TFKDLHVWINVIALFCNGCSLFGLAYFTPTIVQTLNFNATHTQLLTVPPFVLAFIVTM ISAYTADHYKQRGLTAIATTLVAIVGFSLFLTAKGFGQKYTALCFLIIGVYSSAPSMI SWIPNNSASHTRRATAVALGFIMTNAGGILSTWIYPKKDAPQYAFAAKLNLSFCVVTV ALLAANLVWLKSLNRKKVSHREHLLRDVAHLSLEEQREALGDHHPDYKYTL VE01_01656 MSNSSDRAPVADQAEADAWFPSPYSLTLYTSTKTDFDSANYPNA YTGGKWKILLIATQERYLKMADGKFFSTGNHPVEMLLPLLHLDAAGFEIDIATISGNP VKLEMWAFPQEDEAVKGIYEKYKEKIRSPLNLHDVWGKGFTKDTPYIGTFIPGGHGAM NDVPFSETVGKILRWGDENQRFLITLCHGPAGMLAADVGKPKGSKFIYDGYEIVVFPD SLDTNANVDIGYIPSKMPWYVGERLRKLGIKLRNNSITGETHRDRYVITGDSPLASNN LGKLAANALLEDVAKRT VE01_01657 MHFPLFITALLFLAPLTFALPSPSPNANLEIRQQQQAPIQTITC ADYSRIANYTAINSNSSLRAAFLQASPQGTDPTRVILDRASVEFTAKNLKFDQALNAQ CGNLSTVAFREVNSNFSQGVVAGWKVVAPVGAVIGAGREVWCVVVFVVMYIGFGASL VE01_01658 MAYTETPDSHRTILIVLTALSFLPQLYQLWSKKDSKGISISYVL ANLLVATEQLTLVTYVTINVPESAGGSFTHDPLSTGDWLNLVQTLVTWLLFLVLFSLC LHLSPPRHNSRPYINMYALFLPISLIPEAIDLVGGTPNSTSWPRQDYQQMFAFFHAIL INPIVTLIGALSFFFQAAQTQHYHAKQSALSLWGLAVQTVVFALVATSWVWRVVYAEA WDPLFGSDGFWHWYFSYGYPVVVNGVFAAVQFGLLLFVVWRRWGRVGEGGKGGDGETE PLLGP VE01_01659 MFLSSTLLVAALSTLLGESSASAVSLSKRWDTTLCTSDTSGVPI PDADNFQLPLENLRQDMCWDLCVGDQATCTTKTKICYDFHGPNLIFTYNAVSGYTYTE ADIWLGLSAPASTTPTPQYSTSNGFCTISADQTTVSCNIPYDTIVPGGALLDVLAEMC PNGDREGYVFYLYTNAQLTGASGAVSATGRLSCTDYPACTSYYPNTYWVLTYRCTKCP YTPPPPPPPPTVRYCSVGTAFGYSTSPLSPALNSLVPKPNTCNRWGWYVTPTAAQLSA GIGGPLYVGAGGNVISKATNVGTWSAKSQGTAVSVTYALTGPYYPDQVHVDIGCMPFK KCAPGQYAYSNEKLAGTGMSTFTTPAGALKVPTCSTGIYLIVHAAVDTIETVPTTSQL STCHAPLAS VE01_01660 MAGITTLRRKQFSSCDSCRNSRVKCDALEVVTVEGIHALRESCS RCTKQHTLCTFNWVQKHKSHRARNRHLLDLGMSPVTQTEQAMAPRRYTEERRMRVDHG LHLNARSIHDTLWELYHQNFEAMLGTWIGACPCLDGYDMSAFRCDEYSKSSDGVVKGD TYLNVISITRLFVTLDKWVGYGQLYRDL VE01_01661 MLHQLRVQLSASQFYTSKILRSIKDGLWNSMAKDGYEAEFTSME SAAYAAGMIFDNDPPLIETCRFSLSAGLLGFESEPIFGLFKPQACIFHDATETWRRDG FDVSNENVSRIVLATATWTYYFWKLVAIFKEALREGYDEKIVATNYSEVLDTIQKFKI TFRPLMDTCEQRLQFLPWRLKYGWYNTMLRYHLSVLKLSEAIERNKRTDLLPNLKAAR SYSEQGAINVLKFGLHAKAPVRQGCEKIARTKAGSISMNLPGTASFIAIDPWPDNIIA TVQLVSRAINESRKNGLIGPDAFNNLRDTLFQTVEQLPSSSKHTSTTRNSLYGSMFDE PDKSIDPSCPTLNVYSQAPTNTTINTYDHVPTRVQYNQEHNQRELYCDNHLSSFNITD RGMYHDLVAEVNNIGFSRLSYLISSNDIKG VE01_01662 MSTQVVQSRGIFHGLPVFPENVKGLTAVITGANGISGQHMLRVL LQSPERWMKIICISRRLPFMKDGAVPGVVEHIALDFLDTPQAIANVLRERGVKADYVF FFSYVQVDPEPGAPIWSNAQELCRVNTLLLSNFLESLALNSIKPRRFMLQTGAKHYGG QFGSNLAPQEESDPRVLLEPNFYYDQEDCLWAYCKKHDIKWNVIRPAFILGAVPDAAM NVCFPLAVYASVRRHLGQPLDFPYDLTAWDMSVTQSSALLNSYLEEWAVLTDGAANEA FNASDDGIFTWSKFWPLLASWYGLDYIRPDDEGKYKDLILPHNPPPRGYGPPGKIRYR FSLTEWAKQPEVTQAWKDIAQQNDLSVKELHDVDRIFGFTDFAMSVSWPGASLSMAKA RKLGWHGYVDSNESVREVLLDFEKLGMIPHAPALAQSGAPANTASSLTEGYAPEWLEL EKTLGTRPLLTGTVEEMQGQFAALSALLSASQTPPDDTIESKDETADGIPVRIYKPKD AARTKLPIVVYYHGGGFVLGNLDTEDAWCRYLAKTTPSIVVSVDYRLGPKFKMPVMLE DSLTAFKWVYANAETLGGTKSRIFTAGTSAGGGLALLVTDALIQEGKNSHVKGVITAV PITAHPLSIPEEYKSQYTSYEKNGSGVPVADASTLNTFFEAAGCKYDDPMTFVTLSQN LSQFPPTYISTCGKDPLRDDGRVLEAMLKREGVKTKSDNYIGQPHCFWLFPNVNGEEF LANVVKGAQWVVLNSE VE01_01663 MTFSEAFNEIVRDAPLGQLVRFFTKNRLFKYPEEQPNFKLPEPW IRIMNYADHDITPQDKTATSSSASVSNADQEGGEASDVNNATQPALESNEFAEEKPTH LTPKVSHAGTILVDWYSEDDPANPHNWSDLRRGLLALIICLYTFVVYLSSAIYTPSTE GVMEKFGVSNLKATLGLAMYVLGYGVGPLLFSPLSEIPHIGRSPVYIITLFLFVVLSI PTALVNNFPGLIILRFLQGFFGSPCLASGGASMSDMYSLTALPFALIAWVAAMSCGPS LGPLLSGFAVPAENWRWSLYESIWASAPMLIAFFIFMPETSSPNILLRRAQRLRQVTG NQKLMSQSEIDQRHLTVSDISIEALIKPLEITLKDPAVMFVQVYSAIVYGIYYSYFEV FPLVYPVFYHMNLGQVGLVFFCIVIGCIIAIIAYGSWLYFVVTPRTKKVGMGPQENIL LAGLPASFGPPIGLFLFAWTARASIHWIVPTIGITIYAGSVFTVLQCMFLYIPFSYPQ YAASLFAANDFFRSALASGSILFAHPLYQNLGIAKGTTLLGGLSAIGIVGMWILFFYG AKLRARSTFAT VE01_01664 MAPIQFGILAFAYQVLDAVGPTDLLFSANKSTMETLGQYGPIDE DLISRAPEFAFHHIGVTRDAVNLGTSQMTIIPTTIVDECPELDILLVAGPYLGNFELH PKHADLIQRHVAAGKILFTNCTGASLVASTGVLDGKKATVNNVEYEWVRKRWPKVNWT REKKWIIDGNIWTGSGAIAGTDMVAHWIKENYGLDVLIQAAVTLDYEPRDSDGLFNVF PRRFASNGEKVSTHVFRHHAE VE01_01665 MLLLRLLTFGFGLLLCAVADNISVPNVDITFHKSPKPFEIHVDR HFIEDTRQRVANARAPLFIGARGDGPSTEIFSTVRDFWVNEYNWTATEESINQKLEQF TTIVEPVIDNASYLVPLHFVHHRSHRNDAIPLLFIHGWPGSFLEVSNIIGNLTNPPNA SLPAFHVVAPSIPGFGFSPTPQKPGFGPVETSHAFNELMCQLGYSKYVIQGGDFGGVI LRYQAHLFPKHVVSALSNFWIIQPEVNDIRMLAEGLATPDEVAYINILETYINQASGY RLIQSTEPLTLAFSITDSPLGNAMWMYALMSKVIDPAIMVWTPEEIITWSMMYYIQGP YGSMRFYKEVLSEGGLAALDFGTLPLVEVPVAISQFPYDISFRMPLEWAKRGGNVLRR TVNTHGGHFAAYEVPGLLLNDIWSWFGDREVSGTKVFRL VE01_01666 MGEIDPSLHPLGQLSTFSIDYSVPVKAGLDDNFETLREIHDRAL NAALIEENPIDNSQTASQSVSLPSPSSLSNQPHLISDIWRQPLFNIASAESLLNSFKS MVNYLPFVILPDDSSVLHLAATKPFTLLSILTVASGSKMVQKHALYDDEFRKALGLKY VSGGERSLEMLQGLLIYCAWYPFHLWPKNGQLVHCLRMAADLVQDLHLDENFLTMRDP WSPKVTGDELEKIRAYLAYVYLVSTYIVVWKGETILRTHFPPWASSAIDTLQHNAQVE SDNTLVALVRLSNLFSDASEAINERDVQTVQNSRLILIGLEQQYQEMQGSMTPTVLGS EPIRLQTMFVEIFLDCGSLLAFPVAKTYLSANITPFPPLLSKICTATKKLRAFLDYIS DLEDSSLLSFTINDWTRLIVVLTLSFRLSFPLLLCPDFDSAWARSELQLDQFLSKVSH GADIATASNDIISARRAVLSVMKSKYDHRLGSLSEPPCTAPASRIFGCPMMDGSLRTS LGQWDPELINISGSSTDASEAKNLPLFNDVWASMTMGWGNTSDMSWDTFEDSF VE01_01667 MSLNPTLSCPICGAKEGLSRCAGCKVTFYCSQEHLISDRPDHEG ACKDVKKARIALEDEEATLRAAPGDFMPAGETIFEDEAGYFWGIVKTRPYMRARYALV EALLEISTYAAVDAALNHLLDMLRLCRSDNMGVRDAVPALFLRLGKDQECYDFVKWWA STGERSDYDWGDLDEPYLDLKDQNALEPPVLFARADLSHSISVTLLKIRILFDLQALK SAAAIGQKLPQEVLDNIRNQMASNIISQRRDIIESDNLDPLIDDFQTQVSDMYNAVDK SNRYFWPALLRPGDNLTARPGSYSSGSKEEMQLKLLYSYNSWAETPGAIDYIRMLAAD RNW VE01_01668 MSTNEVSKTEVAGDAIVEDIHTHFPVVAEGSGKTANNEGAKERE VYNAELYAAIQESRIPPWSKAARKLYLCVFIAFCCACANGYDGSLMGSIIVMPHFQSR MHNGNDGWQVSVMTSLYSVGSIVATPFAAAVSDKWGRRVGMVWGCFGIIIGSIITASS FSMAQITVGRFILGAGIQFMTVAAPAYSMEVAPPQWRGRFTGFYNCGWFGGSIPAALV TFGCQYIDSEWSWRVPFLCQCFACFIVLGAVHFIPESPRYLFANGREAEAIDFLTEYH GGGNRNARLVLLEIEEIQESIRQELNDKKIPWWDFSCLFKTKEARWRSSQVLMMGVFG QFSGNGLGYFNATIFGELGVKSVAQQLGYNVLNSVISAIGAGTAVSQTDRMPRRKVLV YGTFACAVMLAINGGCNVAFGRDQTNINAGQSALAFYFLFNVVNSFTYTPLQGVVPVE ALDNTRRAKGLAFYGFLTGCLGFINTFCTPIANKTIGLNYIWVFVGWDCIETVCWYFF GIEAQGRTLEELEWVYKQPNPVKASQHVDRIVQQADGTVTEKIVSENDS VE01_01669 MATSQMAHKVEQAIGYDNNAITAQDVSNPALDPEEYGDPNITMK ALAWMGKNKVQIIDCPKPKLIEDRDVILKVTGSTVCGSDLHLLHGSIVEMQKGDILGH EFCGIIDETGPEVKNFKKGDRVVASFQIACGECLYCKKKLSSQCARTNANKSMNAMYG GRTAGMFGYSHFTGGFAGGQAEYVRVPLGDVNLLKLPDDVPDEKGLYLSDVVCTSWNC VVDTGVKKGDVVAIWGAGPVGQMCAEFSFINGASRVIMIDHNWRLDFVKEKYPKVERV NYSELKGTNPVVTKLKEMVDGYGPDVALECVAGEYPKGWLHTAEIAVGLETDTSEILN EMIESVKSFGRVGITGVYVGHTNNFNIGSIMERGIRLIGNGQAPVQYYWEDLLKRIQD GQIDPLRMVTHRVRVEDLDKVYYKFEKKEDHMQKVFAQTKFSAPPCEGSPRLTIY VE01_01670 MAKFNSQAVQDMPHLTLYGAQLASAAAIAKAQEIQVPEDIAIVD ASQQLLHFQRMPKAKYASIDIAINKAFTAAGFGLPTHAYKENVSPGGPLFGINNSNGG RFMTIGGGLPIEIDGRVIGAIGCSTGTPDEDRQVAQAGVDAVLAHVKKENGS VE01_01671 MDGLFKRADPAPTDSDKDSSVSGLVATLIPTLVVALVYVSIFLV LRRSHRRFYAPRTYLGTLRDNERSPELPTGMFNWFGTFWKIPDTYVLQTQSLDSYLFL RYMRILVAICFFGCLITWPVLFPVNATGGNGAQGLNILAFGNLNKSTDGKNRMYAHVF IGWIFFAFVQLMVCRESIFYINLRQAFLLSPVYANRISSRTVLFTSVPDVYLDEAKLR KVFGDEVKHVWITRDTKELDKLVEERDKTAFRLEGAETKLIKLANKERLKAAKKSGSS NDEEPVVATADSESGSIAARWLPSKKRPTHRTGLLGLFGSKVDSINWCREKLEKLIPD TEVAREKYKAGGDKLVNAVFIEFLTQSAAQSAYQSLSHHQALHMSPRYIGMHPNEIVW SSLRISWWQKVARRYAVQAFIAALIIFWAIPVAAVGLISNVPQLATLSWLSWLNKIPP KIMGVVSGLLPSVLLSILMSLVPIIMRILAKVSGEPTLARVELFTQNAYFAFQVIQVF LVVTIGSAASSVAKKIADNPSSVTSLLAEKLPLASNFYISYFILQGLTIASGVVSQVV GFFIFGLLYKYLTSTPRSMYTKWTTLSAISWGSILPVYSNIAVIAITYSLIAPLVMGF ATVGITLFYLAYRYNILFVTDNTIDTKGLIYPRALQHLLTGVYLAEICMIGLFGISAA IGPIILMVVALVGTVLFHIAMNSALDPLLYNLPKSLEAEEELLALESGTSTVTPGVVG AQNGEKSLEDGESANPALLASEATGEKTVVALPAAPHAKPNILTKFLKPHIYADYATL RRLVPHDVAGAVSNYSPEVERDAYLPPSVKSETPLLWIPRDEMGISKQEIAHTAKVIP ITDEGAALDEKGAVYWVAEKEEGARPPIWEEKTYY VE01_01672 MTTLLHSLGLSPSLALHDVFSLTDPSLLAFVPRPASALLLVFPV SEGYEKYRREEDEGLSEYTGKGFAIDAGEGKLGEEEGGNEGGVLWFRQTIRNSCGLMA LLHAAGNGSAREFVDPDSTLGQLLKDATPLPPTERADLLYNSPSLEAAHSASAQQGDT AAPPADDIVELHFVTFVVVNGKLWELDGRRKGPICRGEVGDEDVLGEKALEMGPRRFV GREEGELRFSVVALGPGLD VE01_01673 MFSDGTIQEKADNNDTVHHEQAGRDNLKSASPPSLDANLVYDND EEEPEIHTRTYLALLAMFTLNLVRVLALQGPPAVLSYIGKDLNDPVRETWVPNALSLV QAVVAPVISSASDTFQARKSLLVGCSLISFIGAAIAPGSKDIYRLIVAQILIGFGFAT VPLAYCVPSEILPKRWRPMVQAGMNVAAALGACIGPLIVGALTRTNSHTGWRNFYWFQ MALWGITALGIFLGYRPPKRHTRLDHLSFAQKIGRLDLPGFALLTAGLALFLTGLNLG GSIFPWRAVETLSTLIIGLVLLIAFGIYEWLFTKTGILHHDLFVAGKDTGRTFAICVG LMFIEGILLFSYIIFYPVLTTSLFETDAFLLTAREQPFWVAAGLSTIPYGYVSTKLRN IKGPLFVGFLLMTAGIVGLATVEPSHSTNAVIFSGLAGVGFGAPLILIISGVQLSTPH HLIATATAVTTSFRAVAATVFTAIYAAALSNRLASYIPSYIAAAARSAGLPTSSITEF VGALASGNATGVAHVPGVNPLIVAAGTAALKQASADGIRVVFIIAAPFGAVACITCFF IGDLRKVMNYHVDAPLEDLHAKNHHAVTA VE01_01674 MPSWTHLIRFVAVEDNQSHIGQLVDPSRDVGLDTLEGREVKAYE IVGTIFDGEVTENIFTVKFLQSPVERESCNYIRCLGLNYKDHAQEGGFAIPKVPILFT KPRSALIGPYPATVNIPKCAQDGTSDYESELCLVIGKTGRDISEEDALDYVLGYTASN DVSARTMQMITAQWSMSKGLDSSCPIGPVLVATSVIPDPQTLQIKGSYNGTTVQDGHT SDMIFNIRQQISYLSKGTTLEAGTIFLTGTPAGIGYFRKPAVVLEDGSQFSVYIEKIG TLVNKIRYE VE01_01675 MQNGYESQTSPFPTVHLTVAADGNVHDMRTQTTIQSDSQRLFME NLFPDPTGNLTGPSWLVGYDFDLEALNTSVSTTLCATEPLFQSQVNRTAMPSALQLGE IPEAEMHGERKDVTNECVRRGWFSHIDPFDEKDDHGGATTGEMTPVTARDQYDIGDSF RHRISQRLRARTIDEPLPSTKFLWENTVLSDPTEAASMIQAALVGQTFGFLSGISKHL AIVEAFHGSIIAWARRCQMFNYRTSHPQVEHLSGASLHEAWKAWARSESIVRTVLGLY IHDAKLACMFHHEPLLRHDSILVPVAADDDIFNAPSAAIWREKMLRQSASRIPVHECL HVNHHHDHGSRLLPQELSWKNSHFTAYVILYGISSTISEKQQMGQIRPASANFNKYFE ALICWYRTFQRDVGVSENQNIQRPDTLCLMVLWHTVFMSLVTDFNVLERAVGRNGAEN WTLDADLAYATSWATSREAQRCILHAHALLYSLGALRLDAEAAIHIPHCLFLAGIASY CYTRFRRPSSFETHGHNQTSHLASPDSQLQPTMEFPEFTVRGVPIPQHLFGSPAASTS VGGTFPATDDASNAFSPEEDPTTRFRPTSDVGAAMMCTLIDMLQRIGHWGIARKYAAT LSTLVDADSDEDWRFIMSDH VE01_01676 MVSTVADITNSGGQKAYPDLASKPGPLNNGNEGYSLPAKEAMKM IGWDSMSISDWKAREKIDQSKQIRLVCLSHMRYQQPDFTEISIFLENFGMHCVKKTED AIWYRGYGSEPYVYVVEKGPKKFLGGSYTVESYADLERAAAIPGASKIEELTNAPGGG SRVTIYDPEGFPVNLLYGQELVEPGQLPEKLVYNFEEDKPRIGSFQRFTAGPAAVHKL GHYGLCVLDLQKQVQFYTRTFNLVPSDFMYVQDPNDPSARIEVALFAHIDRGDDYVDH HTFFMSKNPTAHVHHASFEVHDFDTQILGHQWLAKKGYKSVWGVGRHILGSQIFDYWW DPTGFMIEHYTDGDTVNKDTPIGFGPAGSESLAVWGPEVPADFLE VE01_01677 MSSAVDEEFEIIICGCGPTGALLSANLGRLGVRHLILEKESDIT TDPRGIVLDEDGIRSLQGVGKYEALFRDVGKSVGMFRFIEGGKGLTCKPFLQINQDTI TGGTGHVGFMSHKQPALENQLRLAMNKTFCNLRSNSTVVAIEEVEEYVYVEYRDGAGQ NRKVRAKFLVGADGKTGFTRKNYLEPRGVIMEKSREFHYEAIWVALNWRLVLPNPKSH PKFPLWDLGYTPEEVYDLFFPPYFNFICDPARPSVCGRFGRTEDRLWRFEFVVKEGED KNQMSSQEETRRIILPYLRHPGKKYGLPEDVSWPEDCIECIRSRPFSFSARSCNRWSL GRVILCGDAAHVFPPFGGQGIASGFRDATSLAWRLRLAINPSCKDYDFLLRGWYAERK QQLERSLAATIANGEYCNEPSRIKAFVRKWVLWAVQLVPAWRQQLELGPRAQGMTKYD WVPGMPFLPQFGGGKSFPQVFCAPINGPAPPIPMFTDDAVFKAEKNGCFQIVALLDSL QQLPVALEEVKSVTTQIGNGDILDSMETTYLIQNQRGSAAGPASAHLDLLQDTSTCVR IIDAEEYTAAGAGTTGFTRPNPLYYDANRIRKDLGANARYIIVRWDRMVFAACKDAAE LQKAICLVDGCLNGSAN VE01_01678 MSVDQFDSTAAPKADEIVASLIRNGGCVLRNFISDATILDIIER DVRPHIQADRPWEGVDFFPPETRRVMGLVGKSRVFTDTIPANRLYRDVCSRLLSSTCR SWYGYQLNTTVSEPQLSNTIVFSIGPGAKRQELHRDDSIHHNHLPDLRSHQDYRIGRD TSVGLFVAGKKTTRANGATRFIPGSHLWGEERCPDEELAFYAELQPGDAFIMLASCYH GGSANTTADEERLVYSCFMTKGFLRQEENQYLANSSEQIRQYPRDVQMLIGYSVSKPF LGWVNLDDPLKVLYGDDFEGQGMR VE01_01679 MDCRVCVRRRIRCDRTTPSCLKCMKRSIECPGYGRNLRWANAVA VRGRFKGLQYPKDASQDVVPADGLSVPSKSSWAEAAAKIAKMAAEAPNASEIEHLVSY YSRRIAGNMVWVDSPLNPYRRLVVPKARSSPIILLAILAVSAEHMGPTQPSIVAFAPK ARDVVVLRITQELSRITERVGCEEPREILDLETAEWILAAMLILSNYECIGDTSTAWC SHRLGARLLVNGFSDSSAESSELFRFLRGQFSIHDVLASTTTCLYLGIDDVVLPRHGD PEALLSEYMKLIHQVTLYITDIGTAAHRVPTPAALRIQFEKTRGLTLMSAATSAALGD ESTRLNFIHLVDVHHIAALLYAYQCVYNFTPADAEMFLTMQELFEKLENCACNDALIQ HLTWPVFIAGTECHGCEEKQHLVLKWYGDIIKKTGFRNYEVVISFLKELWKNKPGCWL ENAKAWERDGKPLLAV VE01_01680 MAPSSNPDYYSVLDVPPTATPQQIRDAYKRAALKTHPDRVPSDS PDRASRTRKFQLINDAYYTLSDATRRREYDLARPHPAPGGFTSGPSAADDEDAWDIPR PSDGAGGNWWENFGFNTHPNREEAESAQFGDVFEEMLREEGMANEAGQATGRFWSLVG GLSGAAMGFIVANFPGMVAGAVAGNRLGAVRDARGMSVYSVFQELPQGDKARLLSQLA AKVFAHAVGGGGSSGI VE01_01681 MAPDISNGLNGHPATDDSNAELWRHPDPTSTQMHAFKERVNVKY GLKLDHYEELHKWSVENISDFWGEVWDFTGIKGNRVDEPVLPPNAPMYPRPDFFPTTT LNFAENLLYPSSSPDPSSPAIIEANETSSHPISWADLRERVRRCTLALQSLSLAPGDR VAGFLGNTANAVVAALAAASIGVVWTGVSPDTGVHAVLERLVQIEPKVLFVDNGVGYN GRTHASGVKARGIVEGLRGKGLMAVVVFETVVGLECGVEELAEVMDEGAKAWEYKDFV DSVPNPSAPQTFTPLPSSHPLYILYSSGTTGAPKCIVHSALGTLIQHKKEHIIHGDLL PSSRLLYYTTTTWMMWHWLVSALSVGSTIVVYDGSPFRPAGEMSMPYLIDSLKITHFG TSAKYLSILEQKHTQPLLPQPDGSNAASLATLKAIYSTGSPLAPSTYKYIYANFPPTV QLASITGGTDIISLFGAPNPLLPVYVGEIQGPALGLSLLAVSPTGDPVAPSEPGDLVA TVPFPAQPTTFFGAKGDAKYFDAYFAVFPKVWHHADFISFVPHTDAGRAGTNNAASGL LMLGRSDGTLKPAGVRFGSAEIYNILLAHFPEVSDAVCVGRRRAGDADETVLLFCMMA PGETWSEELGARIKREIGTRLSARHVPGVVCEGGGVPVTGNGKKVEVAVKRVVSGVEG GVGESVVNREVLEWYREWAGKN VE01_01682 MHLSKTEPLNVEALEASNTYFGQAIRIHRHEVSQLNKSNADAAL LTASVIRLCALVLLQDREPTPYTPPTEWLLITKEAGFVFVEAYPYVKDDPLALSREIL DNNKELTENGAVFAGKRPWDLETAFGAVVASKKPWELEGPFTVKNPNCLAHLLERNQD HERDEPWNADIEEVYKKVISFIGTTKSAVDAKKNSGDVLRLLILFPILTPKLYIDLVS EKQPRALVLLAHYFALLVAYKEVWWVGDIGRREINGIQSALPVSWRQYMNWPLEVVRE GVVRELKNHPMLSSLNLGSKNR VE01_01683 MYPPRVADPKSLVIASLAIYLLLLQPVTYCLWKHGKRGLLGWMA LHSLCVIRIVGNAVQLNAYNNHSTGGVATLILQSVGLSPLILGAVGILHEARRSRDPT LNRKFEWILVIQYHLTVIAAMVLVIIGIVKLQDGASVGNVLMKVGMGVVLACWAILAV WTLLSFRSSQEYAAGGPPADGTKLLHGVAAALPLIALREIFAAGSSYGPSSSFTSSLA VKICLSVVPEMLSIIVLSVAGIRTRGIANKFQRTTK VE01_01684 MPIQLPVGPLDQFLLFGDSITEKSNDQEHGFAFASALQNAYMLK LDVINRGFGGYNTNNALEILPAILPAPSQARVRFLTIFFGANDSNLGPPLVDTQYVSI PDFTQNLRDLISHPLIAAHNPPPKIILIAPPPIEETFIAREDVRNGYTEVMRYNRNTA LYAEAVTKVGKETGTPVVDLWSVFMAKAGWVGGYHEDGVSMPGSIAAGFSDVLKSFLD DGLHLTPAGYKIMFEEVLKVIRENWPDQTPEALKSLRDLHGLKFWYEVE VE01_01685 MKIATILGLAGTAAAHGYVSSIVADGVTTSGWLVSYWYDLVNHV PIPQTPGWYEEALDLGFVPPNEYQNPNIACHKNAVNANVSATVAAGGSVKFQWTDWPH NIGPVLTYVAKCSGDCKTADKTALKFVKIDESGIDLTSQVWAAGKLMADGNSWTTKVP KTLAPGHYVFRHEIIACHGCTSLNGAQNYPFCVNIDVTGSGTANPVGTVASSLYKSND PGILFNPYVTMTSYKIPGPALWTG VE01_01686 MRFSVTATALFALVAATPSAANQWTSQLTKDLQGLALSTRSIWG PAGIITRVDGPLFADNLGKFPEIVSGLKAVVKKVNEVTASLPHAFPGVELKDLYPIDW AYDDFLIDQQILMNVLNLKSHKFSDSIPIKADPLIAVLNELEPAINCFADAAKLLFLP EPRLLENKRSTLSKTIKAVVSNYSQKAPKVRRTFTA VE01_01687 MSPIPPQYSIYKLKNPDNFEEWRSQLQAILLITDPDAWDTVALG VETLKERDLPPRDYDRSSKIALGWMLLTMEPSKRGSYRQMKNPNELMRLLFSHFTTKF TVWGSFEDFIGEAEA VE01_01688 MAALRCQNALRYTVCGAAPYLRASQRRWAQVHDIRFLVTHAQPD RVLEKYKEKLAKKAKAEGLSDVNELKEAYKDKITELKRKPATPSGTIDGHPPPAPEAI NEAAAKSPFPPPPPPPKVPSSKSSTPGVRTLSSYLDVAKTRELPYKEIETIWRLRHAS NPQSLCATVPLETYKTIEASAKKFPHFILPLPREGQGAEIHLLQWTFPAPDTVTVLFT HLAEFKLRGEFAQPHTTITHHLELAAEKEVVLVQGQVVENRGVTVDEAKFLLMCLQKF YGFGSESADRKRLLELFGKGDPAFKVEDLVEETEKIF VE01_01689 MVTKSSFPDIDVPAVDVWGLLFERKDRPFSDNKVIYVDPETNRS YTFAETKKAAIEFGKGLKAQWDWKKGDVLALYTPNSIDTPAVIWGTHWAGGVISPANP AYTPAELAFQLKDCGAKALVTQKAFLADARAAAKSVGLSEKRIIILGDERDDKFKHFT SIRNLSGATRYVRTRVNPEKDLAFLVYSSGTTGHPKGVMLSHRNIVSNLFMLAAGEGN NLSSEGGPDGKGDKLLAFLPFFHIYGLTCLIHYAFFRGLTIYVMAKFDLERFCSIIQE NKITFAYAVPPVVLQLAKNPVVDKYDLSTIRMMSSGAAPLTREIVNALYDKRNIKVKQ GYGLSETSPTTHSQRWEDWQTAMGSVGPLLPNQTAKFMSADEKELEVGETGELWVKGP NVFLGYWKNPEGTKNALTDDGYFKTGDVGFQDKKGNFYITDRVKELIKYKGFQVPPAE LEGLLVSHPDVDDVAVLGIYNEDQATEVPRAYVVPKAGVPGTPETGKRIADWLSSKVA GHKRLRGGVRFVDVIPKTASGKILRRVLKQQAEAEEKKVKSKL VE01_01690 MPRPKKPGAPEPKKRSRNGCWPCKARKVKCDEAHPTCLSCQRQN EVCDYSVRLNWDGRGKRKAETTGGRQTIFHVNTLSADFDVSPKEAVKVEASISPLSGT YYGTRPSSREHVADQVPTHTDSYRRTEKASNTTVPKLATWGMEPPSLDSIKEPPTDII SIDPVLTGQDAQQPCASPPFQYGSLNSLYTQPFEQSYERYRDEHGIKSPSESGMESPT GSSFVDFAGDTRSSKRIRYGPSSSEIHSRFTEMALPIPSSSRYNTGIHFSQPFAPMST SSQSDDGQRSLSVKLAPLTSNGSHLSEWRRLSIDSLLSGPPGMSNAMGTAGSTSREQT PLPYGDPRDDIITLGVDKGLRDLDIGKNDDANAISKEYSNLDFSFGQQTKVAAFEKGG YYESPVWVKIPRSFHPLPPILQENPMNVLYFHHFISHTATLLVPHHCSSNPFQKILPQ MALQCSHLMKLVLAYSASHRARLLNHREPITRISLWVQGFFSYLRDAIDDPKKIVTDS CLAGAIMLASLEIICPKAFGVEIPWQQHLTVARQLIVARGEANPNSEISATFGFLLRW FAYLGVLGRLTGGHKNFLSITEGLVAYDSEGEHGLQIVCLLGFTSRCASILSKIADLA ALCAPRRFDDTCNERLGWQPADDVRQQAEQLLEDLEHARTSSLIQHCPHLHSSEEETY QWDSREMAATNEAYHWAGLIHLHRRVLGKPSTDKDVQTAVREIVSLLHRVRKASAAEA CLLFPMFSAGCDAQDAEERSFIMERMRNVEGIGMSQVRKARNLIQNVWDTGKPWEMLA SGEFVG VE01_01691 MSSNAQVSNKRPYNESNAVNDSPKGGPAGAEEDESSDDDMGPQL PSAAPKKKRRVLPYEKLYVAALPTSARYSKSLMHKEQVAFVTMTPLTDFLITSSIDGY VKFWKKGNEGVEFVKEFRAHNGEIKSVSVSADGRSFATAGADKTIKIFDVITFDLLTM LTVEFTPKCVCWVHQRGASLPLLAVSDEVNHSIRIYDGRGDNLEPIHTVKGLHRSVIS LMAFNNVYNCVVSADESGMLEYWRPGGNYEKPDNVFEYKSSTDLFEFKKAKSVPASIT VSPTGSQFATISFPDRKIRVFDFPSGKLHRTYDESIQTIEEMQQAGTALQKLEDVEFN RRLATEREIDTPLLRNKVNVVFDESGHFILYGSILGTKVINTYTNRVVKVYGQDENFR ALNLATYQGQPQKKGVTTIAMAASNNPLLQESEVRDPILIETGVGKQRFYMFSNDEEI SKSERDVQNEKPTNLNALKAVEKKVAETGTSAVIHTTYGDIHVRLFPDAAPKAVENFV THSKRGYYNNTIFHRVIRRFMIQCGDPLGDGTGGESIWGKEFEDEFSTLRHDKPYTLS MANAGPNTNGSQFFITTEKTPWLDNKHTIFGRAIQGLDVVHKIENTKVHKEKPEEDIK IINISIT VE01_01692 MAKSKSPISASRKHPLQRFESPSRAISFFLHALGLCSFSGSFWY MINFPTQMNQAYGWHYQYLTIIGLLVSTITFGFGLLADITLSHRLFNIKNSLSLWCAP LEVLITILYWTISAIDRELVIPPEINLDPYADISFHFMPSLLLVLDLLLLSPPYTIKN LPAAGLSTALAFTYWAWIEHCFTHNGFYPYPLFDILSTTHRAILFGSSALLMTGSTIL LKWLYAKINGVETGNKRTTPANIKGE VE01_01693 MAPSFDHLPDPEEEEEYDDEEELDFSDLREKFEVQLQQGLDTFV CVDGLPKVTEETKPKLIKFLLRKLNSVGKTKEELVFMPVGESGQTDGFAFVEYASPAE AAAAVKSLDGVAIDKKHTMRVNKLTDIERYGREGAVPEDFTPPRIEPFAEKEHLRSWL ADPAGRGRDQFVMFRGDNVGVFWNNERDAPENIVDRAHWTETFVQWSPLGTFFTSVHM QGVQLWGGPSWTRMKRFPHPFVNLVDFSPGEKYLTTWSNKPISIPEEGHPALSMDDDG KNYVIWDIETGKPLRSFANLDVPGASLDEAGQPVKRKVQWPAFKWSSDDQYVARLNQG TSISVYELPRMGLLDKTSIKIDGVVDFDWAPATVIRDGVKTYEQLFCYWTPEIGSNPA KVGLMSVPSKEVVRTLNLFSVTDAKLHWQSEGAYLCVKVDRHSKSKKSLATSLEIFRV KEKGVPVEVVDSIKDTVINFAWEPKGDRFVAITTAEVVAATAVPPKTSVSFFCPEKVK GGAAVGNFKHLRTYDKKNSNAIYWSPKGRFVIVATVHSQQSFDLEFYDMDFDGEKPEA EKDLTANLMLMNTADHFGVTDIDWDPTGRYVATSASVWKHTMENGYHLYDFKGEQLRE EPVEKFKQWLWRPRPASLLTKDEQKAIRKNLREYSKVFDQEDADRGASADLAVVEHRR NLLDEWLAWREMVVEEVLAERRELGLPEDPLEGLIKQTDEGEDQVIEEIVEEIVEETE EIIA VE01_01694 MASFNPTQIFQSDVTEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTGEIMVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVTVL AAELLREAEKLVDKKIHPQTIIEGYRIASQAALAALEKSAVDHSSNKEAFRKDLYAIA RTTLSSKVLAQDRDQFAELACDAVLRMKGSSDLSHIQIIKKAGGKLSDSYLDEGFILD KKIGVNQPKRLEKAKIMIANTAMDTDKIKIFGARVSVASTSQLAELEKAEKEKMRAKV EKIKAHGINCFINRQLIYNWPEQLFSDAGIMSIEHADFDGIERLALVTGGDIASTFDH PELVKLGHCDVIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDALA VLSQTVQEPRTTLGGGCAEMLMARAVDAAALKVDGKKQIAVASFSVALRQLPTILADN AGYDSSDLVAKLRTAIYDGMTTYGLDLMTPGGGITDMRELGVIESYKLKKAVVSSASE AAELLLRVDNIIRSAPRRRERQ VE01_01695 MPRRPPAEGTAANRILLAVVILLLPWLIDAQQQQQNAGRDVGDQ YKSPREAIKADPIATLEATTLGNKAQRLESPVNAGRAKGTPGANKNKRENNGNDASAI AAFAPAGSAVRAPSTGRSSIENNAGLSPQSARSLEDWDVEDFVLLATVDGKLYARDRK TGTQRWALDVDRPVVQTTYHERNGTDGEEHQPVDDYLWIVEPSADGSLFVYIPSGPQP GLVNTGLTMKKLVEEMVPYADTEPAVVYTGDKVTSLWTIDARNGAVLKWFGSAGSFSP GEATCDRPDGFQDPDGEECSTRGTLTLGRTEYTVGIQGKDGHPIVTLKYAEWGPNNYD QDLLRQHRSTLDGKYMYSSHDGGVFAYDLTRSKDRGALYNARLPSPVIRVFDVARPVD ADTKNPELIILPQPIPPLVDDSHESIRHARIFVNHTETGDWYAMSGNSYPLVIDGPEQ AQCDTANWLERARFHEPMNNAQLQKALVGLHSIDGRRHEPLLTISGPTDQDDKLQSEN ETIHKDAVANMTSPALGPKPSVLYHVRQLPTTAVTSIIELVNNPITTLLLLTLLFWYN RDIARWIKSTCNKYINIISKLTGRLEEDEDVDDFSNIDAPLKQDAPAPETLPDVATVG SSDSSDDAKPTETKKQDGKLADVSTEARREPLGEVNANDGSRPPKQPKPEGKKAHRGK RGGLKHKKGQKQTPVSDGPIPSSPATVEDAVRDAKMLGGTTRIEPDVETLPNGVNEIS GPILRMNSLEVNMDKLIGTGSNGTMVFEGKFDGRDVAVKRMLIQFFEIASQETKLLRE SDDHPNVIRYYAQQQSQGFLYIALELCSASLADVIEKPQLHRDLAQSGERDLPNVLYQ ITNGLQHLHNLRIVHRDLKPQNILVSMGKDGRPRLLVSDFGLCKKLEGEQSSFRATTA HAAGTSGWRAPELLLDDDLREGSVTAMESTLSSSHSTSGIPLVSGDLMHNRRATRAID IFSLGLVFFYVLTKGSHPFDCGDRYMREVNIRKGEYKLSPLDVLGDYAFEATDLIRSM LEQVPKARPTARQVMSHPFFWSAKKRLNFLCDVSDHFEKEKRDPPSDALIELERWAPE ITRGDFMKPLGKEFTDSLGKQRKYTGSRLLDLLRALRNKKNHYEDMSDKLKKDVGPLP EGYLSFWTRKFPNLLIGCWNVVYEVRWDEVDRFREYYEPALL VE01_01696 MGLSEEQIRQFSTHIDNILSKSDLATISVKKVRNQLQEVVGQDL SEQKSAVNALIEERFDKITSEPPSSPDVPISKGPRPTTNGHVKTEVKDESTPDDTDGG SAPPKKKQKKQSAADSDAKLAALLQAQENSRGRATRGGVNKKPAKAKSTVKKKKSSAK VKAADDSDIELNSDGEKKVVERKGGFHKQYALSEPLAALVGEPQSSRPQVVKKIWAHI KGNDLQDPSDKRQIFCDNKMKLVFKQDTVHMFTMNKLLGKHLYEVEELAAEAAAAQN VE01_01697 MADTNATGPESAQTTAPPRAPRGDNARGGRGGRRGRGGRANNDG EGAADNNQNESRAPRRGRGGNRGAGARGGNSTLTPADLATRFAQKATVAEVPAATSPR ILEPKDEEEDVDAETEAPYVIFTDDATKRFEDYAERDFASTDHNIGIKYQTQEVMGDS LILLHYNCPEPTCDIACRAWPELHRHVRGTHHKKMCDLCTRHKKVFTHEHDLFTDKEL QMHMSKGDDNPGAKDQSGFKGHPLCSFCGQRFYGDDELYVHCRQKHERCFLCDRADSR NPHYYVNYESLESHFKKDHYMCMERECQEKKFIVFSSEMDLKAHQLEEHANTLSKDVR RDARVVDLSSFDYRAPYYQERRGGESQRESRNRGRGRDPNAEPIPASTAQPLRRDEQA FQRQMAIQSAQSVTTRTFGGQLTAPTPAQSAAATSGRNTGAINSSRPSTASRAAPAAQ PQAPAVAEADLSPQEQARRQHHMSVISKATQLLNNDNLKITQFRNALSSYRSSAISAS ALIDAFFALFSDTSSSALGTLIRDVADLYEDVKKAEGIRTAWNDWRAINEDYPSLPGP SGITGSASVGWAGVTASMPPNVTIAPAKSSRVLKLKSSTQQSSRSSQSRNGTWTNTAT PSSSRSESAFPTLPPSSSSVQPTPRVTTVPWKPSSGNASSSQSTPRATPPTSRPASRV AGGRGGATGGDFPSLPPAPKPVSTIFGYGRGMVRRDTGQGSGAGASAWGASQQEEVQQ QQEEQGGKKKGNKGKKQVLVAWG VE01_01698 MPETTPPTSEAADPSPYEEATRRSTSTSRYAAHGRGGAGNISAA PPRPVSPTDLETPTLKEEVYTTGRGGSGNMVANTGKAGARRAQDVVALPRRESEGAGA HVGRGGAANIVKVPTRGQGEESSVEGRDEKDGREKKEVKEKKELKGKGKNNEGAPAEE GVVERGRRWVAGLVRRGSSS VE01_01699 MAAVISETVTDTRYFPTMRRGDERQHSPPRYRSSSNPRRRSPPT QRVRQPNEIPYRIANESYDRPGQFPRRPPTDSSSEDEATVRQPFASQQYFEQHGQPRA GQHAPPARSDYIPQHDGSVLASPANSTSPPPRPVWTADDALRQESSTIKVRDLAHIQS FATEEFLTNRASEYRALGREATPKYEIAAMPVTDIIEMVAGLLTKITTTNDRQHEHLH MPLPPSEGNTNMSGLTTSVLAFHGKNVPSITILSYLSRVHKYCPLTYEVFLSLLVYFD RMTERVNAGSTDSTRETPSSDGHPSRPSTGRSTSRHEDPEMPRTPMSGLSVNGSVEDT ARGQYATPPDEDSPQYNLAHYFVVDSYNIHRLLISGVTCASKFFSDTFYTNSRYAKVG GLPLAELNHLELQFLLLNDFRLAVPVEELEAYGTMLVEFYAREVVAQREQQRSQPDHK TKVLNELVQPNPPPPPPPQPSLPDPTNQKSIEPSLLGQINWNLFF VE01_01700 MEFGNSGMLNEDGIHVDMDRLKKGEVNLGTSIMAINFKDGVILG ADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVHYQLGMYGIQNGAP PTTQVAAAMFQELCYNNKDRLSAGLIIAGWDERHGGQVYSIPLGGSLHKQSYAIGGSG STYIYGYCDANWQEGFEEAAAVDFVKGALAEAIKWDGSSGGVIRMVVLTKKGAVRHLY LPDNGYKVNVA VE01_01701 MVFPPPPVDTIDWNDIGFKVREVNGHIECHYSKKTGQWTAPKFV TDPFLRIHGMAPGLNYGQQAYEGLKAFRTPNNTIQIFRPSKNALRMQHSADFISIPQI PEAVFVEAVHAAVALNAAHVPPFETGAAMYIRPLVFGSSAQLGLSPPEEYTFCVYVLP VGVYHGVHPVKALILEDFDRSAPEGTGSAKVGGNYAPVLRHSDKARNEGFGITLHLDS QTRSEIDEFSTSGFIGVRTEGDKTTIAVPDSKNVIASVTADSVLEIAKSLGYTVEKRS IKYEELPTFTEVVAAGTAAALVPIRSITRRSRDDTFPYIAEETEEPGPVFTKLLTTLQ DIQRGKAADPYGWCELVKEPEAGKYE VE01_01702 MSTPNTSHGNSQPETSAGAASTEGVLVIEDKIKISHEAIIDFSS PIITDEDVVCSQTDRDGFKAFVEDQGESDLGKTIRLIDYIVAKEIYESSQADLVNEPR FHGVTIQETATLVVMRIRNETFTKLKTSEAAWELFLMALRQDGVACDYKNETEALIRT LGGTVPMDVWDRIWRQFMPAWRADVFRASSAMANDLVNFIDS VE01_01703 MEPMAKPVAMDLSDFEIIDGPDDSSDAYLPHQPSKGEANSPNTR MGTIYIEAQVKPAYTGSLSELTTKVEVIFRSQCIHAVELDHELIGDDLYAECFFPDCL QSLKAVELVGADDDCRYILVKEYMVQINCYALEDRKTVETDEALSMCGEAILLPSAEF DDAWDRLIFDEEIKQKLVVFMTNLIGFSYRPRQSPQSTVNRLVLLSGPPGTGKTSLSI GLAQKLAIRLNKTFGDAILLQLNAATLLSQYFGQSAVKIHSIFEALASQSSEKPKTLI VLLIDEIESLAASRETASARNEVHDAVRATNALLTGFDMVKNNANVLIVCTSNLSDSL DAAFVDRCSRHIIIPQPALAARYEILRQSINGLIKREVVEGRFTQLPTFKRAEYRGAL DYKTDGCALRRLAHKLEDTGPIGQVVSARWLSQLAEIALANELEPGALCTVTDAVALM DRYVETNCERKTGGPKSGKRYQNELDQECSDTENGWHNTAKKRKCQPRYGSSHKHAEL YMFGDTDPEDIVGIAVGELEKLRKKTGNKITKPSPAEAERIADMVHEEWASRGGCLCS ATIRQIALDYVEHGISVDKFGFC VE01_01704 MDPPPRPSMDRFRIRVAVFSPEEAHNLEAVPKHTFVIARSQHFP LQDLPLRELRDVAIRRYKDIYPQESLFQIAQSTDAYGADLYLNDRVGDIFADNEVLRV IKGSSIRDSLPPDYVNGRAGSVQPSYPLQRKRSPATSNLGDNSRAGKRQKVYRPDDPV PSRERDPSDSEEENVLPEDILIDEVIPDSQVNEVEDVARHIKQEKPSQASRPSYNPPS PVLGYRDDGGVVISDSQGKETSGRNTTRQTRQSLRNTTEAREDLQIAAKATPKPSNGA ARRPSNKRRERSDDISDFEEHTSITPTITPSRGISDTYSDFETDIEQPSSFLRRRGRV TPLRKNKKASPISAKPKVIQYGKKAATKSKASGSDNSTPKAITPSTPAITPRSASMAN SGPILPGMTRQPDSASTDNVDDKSEEGVDAGAEEAAQEVSRLTSESAGKKRAAELEQE SRRTGQSEGRPEKAMDQARIEREAVEKKSTATAIATAKIKAAKRRRVDAARKRLAEEE RLKEEAEKEEEEEEAEKEAEKEAEREAEMEARATEAKLKRDHLLAEEARRQTEANEKA EAEEREQAKRREAEAAAKAEAVKRKEAAKGEKEASDKKDAEVASARKRSTSAISPGGM LGRAVANFNSETASTSPSANVVDMPPPPAAARGRLSMSPALTRKRSEDIRSTPILPPR RSSDIRPASSVELGPAARRVSFAEPSPSVAGKTPSRATQSPAPVQTPKTVNGIPSFRQ SKLVPPGTGAKSVTPKAPTPSSSQAPPSGQQVKSTPTPTPKTRADITAALLNTINKGS SSAVKAAAAAKPNAIAISSDEESSDDESVGELELPPLPPPSSHSIAQSQIAASGDNAN DTASNTSRSASVSTNRSQRESRSPVRFVNSSFHSNAASSTQSFDGSRRAQQGHSNGSE TSDKSTSGESASEDEASESELSPTRSKPTIPSSQSAASQRILPPSSRLSQLRDGSTTP KPQNVGARKVSSGSDAETSVQKLLDSQLTEESVASSAPARGQASPAPSSSQFSARNSS TPVPRPKLTNGNTSDRGTPRTSERPAGMASMPSLKLRTEAALEESHRRAEKARQEGEA KAKALKDAEVADAEITEESEAESSSDSDSNSDDGDESNSEAGSNDDVEMGGMGTPKAQ KPKAKVNFSGLSKKFSKGLAGRR VE01_01705 MKVSHYAHDDPTGHGAQYNMSPPMGNPNYRPDAPQYQGHQDQPH MQHAPNDQQQYGYSPNPQGHRASQSSQGQGGQPEMLGRVPTRGPNPLMPLDSPSNHNG ADGTPILPAVQQQASDHPPSFSMIELGRRYTLEVMQQPKRARMCGFGDKDRRPITPPP CIRVVITDVATGNEIDTNDIDHGSFVLTVDLWSADGKHEVNLVRHSSASPAISSTIPT SYAQAQAGDHPVYSNPMPTPTGPPSIVRDPQYPYHSNQPLLQGQYNPYPGQPQVNAYG QTQQQFQQGPPQGQYGQPQQQGQYGQGQERQNYHPGSGGEPPNHHIFYHTGDAVHPVA SNAPRPSDNLMYDPAVAPRPPISNPPQGMFTRNLIGSLAASASKLADPHDKIGIWFVL QDMSIRTEGNFRLRFSFTNVGGPSKTPNGNPANQMSVLNTGKAPVLALCYSDVFTVYS AKKFPGVVESTPLSKCFATQGVKIPIRKDGGGKAGQGREDYDED VE01_01706 MASLRSSARLVAASRSAFRPATAIRSFASVAPAATSPATAPSSE PAPAAPRTKTFHIYRWNPDEPSTKPKMQAYTLDLNKTGPMMLDALIRIKNEDDPTLTF RRSCREGICGSCAMNIDGVNTLACLCRIPTDTTQESKIYPLPHTYVVKDIVPDLTHFY KQYKSIKPYLQRTTPAEHGKEYLQSVEDRKKLDGLYECILCACCSTSCPSYWWNSEEY LGPAVLLQSYRWLADSRDEKKMERKAALDNSMSVYRCHTIMNCSRTCPKGLNPGLAIA EIKKELAF VE01_01707 MPPRRHVTLALIAIVAFIAFSFLLSSGGQQRAAFDTSIVPSDSV LHGVATAPKLENATVKAELGNAAWKLLHTMMAKFPDQPTEEDSTSLKSFVYLFARLYP CGECARHFQLLLEKYPPQVRTRSSAATWACHVHNEVNTRLEKELFDCSKIGDFYDCGC GGDDDEKAVATTKPKVGSGSFTPLKLEKDGGLTRGG VE01_01708 MSTPSAPPAQGAGRGGRPRHYRRPRRGGAQNGTAPIPGAPRDTP AQPTLGNATAVPPAPVAQAAPGSNPNPNRRNNGQSSRGRGGRPGQRGGAPRHVMGGAG GRAFGGQLTSAGGASGGSAPLSLQADAPAFTPGQTVVPRTTATHNHPPPNIGRQRRFS KSQAADIATRTHEDILHGLYECPICTSEVLKNSKVWSCKTCWTVFHLSCVKKWAKNEG STQQNRQQLQEGELPPARQWRCPGCNLPKDDMPESYTCWCAKDVDPKAIPGLPPHSCG QTCGKPRAPRNCPHPCDLICHAGPCPPCPHMGPVQACFCGKDEVSKRCIDTHYDSGWS CGKKCGDMMPCGEHECVKGCHEGLCGSCEVLVESRCYCGKVEKMVPCSDRGDEKDSSI VKDDNEVDNWTGSFECGNVCKRAYDCGRHFCEKSCHEQTADVPHCPLSPDVITHCPCG KSTLKDLDCTRSSCEEPIPHCQKLCLKQLACGHECQQKCHEGECLPCLKVVPIVCRCG RTTSSTICHQGTEALPQCMRICKTALNCGRHECGEHCCPGEKPAGERIAAKRKQKVSR GTTEDYEAEHICTRVCGRDLKCGNPEHTCQELCHRGACGACREAIFDEISCNCGRTVL HPPLPCGTQPPPCPHQCNRRTACGHPAVNHQCHGDGESCPKCPYLVQKTCMCGKKILK NQPCWSTQISCGQTCGHRLRCGIHSCQKPCHRAGDCEDALLTQCPHVCGKTKTTPGCG HICLEPCHAPYPCKEDKPCQAKVIITCACQHLKQEVRCLATKATPVTERKTLACDDEC LRLQRNAKLAAALNIPSDHTDDHIPYSAATLDFFKGSPKWCQTQEREFRVFAADTTAK QFRFKPMSAAQRAFLHSLAEDFALDTESVDPEPHRHVVLFKAPRFTSAPMKTLSQCLK LRPVVEAPKNAPATTGVPFNALLLANPRFALTLDELRAAVASDPATAQIAGWKIEFLP SEEIVVRASLADGVDEATLQRLKPALTKVVLEKELAGAVALCAVDASLNVARREDTGS AGGWSQVVKGAPVKKRVVDEWAASGKNSFTVLGSKAAGKKKEKEKGKERERQESVVEN WEDAVGAWEESAEGATGAVVAGAVDETEVADASAAEEAPVPASGQTKMPDVE VE01_01709 MSDHVSKTARPAPSVPSTSFNYPFAAAPDIIRSHQKDAYFEGVL MNHISDILRQLYGARFLHKWTAEASTFADLSYLALTTLIGNRTLGEEYCDIIQIEDDT LRLPSITRRAGYILTAILLPYSLNRLLPSFRARIRAKLERNLRRLSKDQQQSSRSYKF QSYILRHLAAITSPSPIHAATLTVFYFTGSYYQLSKRIWGLRYIFTKKIGESEARIGY EVLGVLLVLQIAVQSWIHLNSTVAEFSQPAHTANAQGSGTAVLDNGVEISLNENSFTS SSELLLDASTGAGSTINIERAMHTPVLSVPRVELKGDDVMAWIKGAQQRRCTLCLEDL KDPSATQCGHVFCWTCIGDWVREKPECPLCRRGCLAQHILPLRS VE01_01710 MDGDPSVEPQLDSFSRTLPLPYRCALIIVAAVWAWGANLQYLSF LKIDVPALIRYPGRQSLTEAAHHLSTYRLATILSGSLGLSLVTFWIFSHRDAELVIFY DWMPMTYLLFLALLFVLPLRYHSTTGRYHFLKTLRRVAVGGIAEAKDGKFGDILLADV LTSYSKILADLFISVCMFLTTNGSATEQPDRRCGGRFLVPLIIAIPSAIRFRQCLIEY GRVRDANHRTTSLVSTGSGGVHLANALKYATAFPVIILSALQRSSSDASTTATAAETS LYRLWLLAVIVNSSYSFYWDVANDWDLTLLSPARSNPEHPYGLRRKMVFRASEIYYVA IGLDFLLRITWTLKLSPHLDQFNDWEGGIFCIQALEVFRRWIWIFFRVETEWVRNTST GLGQDDILLGDYSSGKDDDD VE01_01711 MVSFSCEGCGDVLTKKKLDSHVNQCRGASFTCLDCMVHFWGTEY RSHTSCISEAQKYQGALYRPEKEKKGKNNNNGARKAYIEDAPEVEDHNSHIAIVDAPP EAPMPPSAAPDFEHQEPVNVFDFYVGAETPNPSTVNLAAAERRRLEDAAPPTPSPAYA NGSGAVVRFSQIEDEGSEALVQYGTGPVPTDVRTPAPKERKSKSKDKVTSASKSDKKR KRLHVDTSATSSVDRDLEMTDAPPVLHSGLTGGLQKMMARDGAFPPSPDYSGDNVEAS PGSPLKRSKRTKETKKHHSEGGFGTAIMQMITTTKKPRKSSKEHKEKKERKHDEDRPR KQSRTKHRDADQKMIEYKSSAGASQDPGQMVVFKASDGPEELAGMLLGFVSKGPGSER GVSMNKALKRYHRMRASSGLGAGKGAEEKELWKSLRMRKNDRGEIVLFF VE01_01712 MSAHGSAAPSYLELLTSFGVTCASWMRVPVIVSSGFAVILSSAL YFKQKALIYPSHVPGDARTKVPKPSHYGIDNYEDLQIPTPDGEKLSAFFIRAPNQAQA VPTTVLMFHGNAGNIGHRVPIAQMIAELMGCSVFMLEYRGYGLSTGSPDERGLMIDAQ TALDYLTNRHETKNNKIVVYGQSLGGAVSIQLVAKNQKSGKISGLILENTFLSMRKLI PSVIPPARYLALLCHQIWPSETIIPTITEVPVLFISGLKDEIVPPEHMRKLYELCQSP TKIWKPIEEGDHNSSVLEPGYFHAIQSFMESLEGHGDFSQMEKDRKSVQVERKA VE01_01713 MDSSLTADQNFYAQSNLHQPFQDENNETAFISGASSELRSGDAD HNKELANNSLQPMGMAGYTNSGGAAADLVDSPDDFYKGFQGKLDTTAGIPTSKPTSGQ RISSTPLLNSNGTTAKYPVIAGSHTAAKQSFRSVSAPMNDGRAKPAPALKGVPRSQQP SVRDLLKRFDPNGEQASSLPRKTPPRQPPARPLDASNRYRPAASIQKQQPGIRPGQAT RDAYAGPAKTPPSRTTQRNRFAVEDQRSNNTLSSAARSPNAKNQFGEFTNGSRSVSNL AISIIPPKPAATDATRKPLFGEIVPTESGSQIAYGISDPRSRARRTSDSSLMSQSWSH RRSSSDVDVSPTSPTAWYLGVTPKLDDLGMGAAPKHARTHNRSQSDFSGFPPSSVLVG SNEMAFMKLDEKTDEKSTPKAASKSRLPISSKRTSHSSASSTPSTRSSSPFATKMIAS NKKPDTRPWSPPGHRSTGSRLSARGQGRSPAKISTNNASLKAYISSPPPMKSPPLRSS RPRQPVSAATTASSRNKVSDASSRASSSFSNRAESRIQEKARINRLASAGPVDYAAKR ARIQQAFSKTIQETEQKENDQAPLEGAATQNEADLQGPSTVDQRTEYVKEPKLVVDTS FSGSAAPLSKDSPTLGMPGSFPRQQAEIDESPSSAVSNVTAVTLFDNELQTEPAQHHS ASNFPQDSTPQPLSSQRDSIGSPDDYFDANDGSIQIVLDDASNGESQFPDFADSQRQV LDGEHQLEPLTFVDIAPQPYEQETESTSFVRASSPHSEFEPGPIISDVHPDDAAALPK VDHSMSYSEVITTSDNDGAVQSDTGAFAPPTSWPSRHSSPSRSSLGLSKLDTLLYSSP TKSPNPPDIEGSPVTEIDYGSSGSVGEAEDVDQHDNHQRASHPSNWSDITVDASNRSS WYVNTNSSSGLFQEPSIPPPPPPKEPILPAKPDVPPKPASYEELFSPRPDGDESYDNS SEFYSSMTDLTGINRTIKPPRSKSTIPPIPAPPPWLAGAPSSPAHSTTRRRTPPPSNV WNRHPPPSLHRYSFKDPESRRVSGDDMYSYRPSTSTARSSAQISVEGDAGETSLSSTD HLSIDAPQNSDDSVAPGAAAAALRHRKMLIQELIDTEAVYLKDMNVVVEIYQGTAEAC PKLGTGDIKAIFRNSDEVIAFSERFLADIKASSTAIYIPRSTKSKQADAAKSVESTDT GDRPLSLAEDESDWAKDQKTTVGENFGRHIEEMEKVYANFLKNSEQGTNRLQLLQADP TVNVWLEECNAVAKDLTGAWDLDALLVKPVQRITRYQLLLTGIVKNTPELHPDYPSLQ KSLEDIKRLLDNIDGQKKRIHKVNEIVTGRKRKESDIRSGLKNPFTRRERAETAVLHD RANEDQEYLKYCDKFHSEWIHLQFIIRDIDLYLENVTRWVDDFLRYLSAIELVVRASP SSYPELESKWARFNLSMRDVGKVALEEHKAAIREHVLEPFSSLTKAYIPPQAALKKRN KRRPDFQRFETLKSQNKKIDEKLAEQVEQYTALNDTLKLELPKLSSLNENLKDLCLAR LITTQVAWYSIWNDKLKTVLEQNELPKDVNDILDKFNRDFKHQDARAQAMSILNGSTV ASARSRLSQSTARDDEVSLKSKSRASTTNNRTRGLSTQSEATDQTHRSSEQPRASLVA NSASLAVVALGGRPDARLSVESSDRPRPSGESANSGHRSLFESPYTPTRWAEGLPPPE PSFSDAFNSALPWSNTDGSSQNDASRRSSQVPSEHRDIPRTSYKILYVVASLHEFNIE ATKTEAGYPYLTYEDGEIFDVIAEKGELWLAKNQDDPMETIGWIWNQHFARMVEY VE01_01714 MARRRKRHRAKVAIDEANKRPTLPYSSHAPVNVVQQSLLSRFYP EVLTLREYLLVKLPSNSRIRRKKVLTAGRRDRDQSDRETAIDDADSLGPFLDSTLVGI PHHNPQSEQRTTRWNSFTNQADLSGLDATMTSIDLGHSQSEIVDFAVWLLFNNSTREN TSIKHLLCQGFSKIPSAGRMDANNAAVRRLVPVFPNKHFDELKLDPWPQVLGLLGKGG DRVMIDLLVDCGIFLAAGNGRGNYFQICGLPLGDLQILDQGGRSELSGRTPTLPIDRN PSSIAFLRSKILYARPTLNSRGAVTFGLRHIPCLEEGSIHRNTLQVIKYIFPRQFGLH NVFDSVVDFRETVHPFKDYTLREDEIRRLPPNHKGASSTRIPRRLRGPLVSLVRKLQI LHERCPYGQLVAHYCPSNALATFPQPSNDDASRNFRTQKSTPGSHNGTGPTLVHLPKK PKKDSIMELATPSANVSAFCRAVLGRVIPDEFFGTGEDQAHNIQVLMRNVDRFIELRR FETISLHDVTQGMKISTVPWLNSENINSSQPDTRKKWDMFYEFMYYIFDSLIIPLIRC NFHVTESGVHRYRIFFFRQDVWRNLAEPAMTSLKLAMFEEVDINIANSVLDSRTIGFS QIRLLPKEKGVRPILNLRRRQLRRDSKRSLGPSINSTLAPVYNMLTFEKNLSPAKFGS TLFSVGDLYNKIKKFAEAIKPVSNLYFCKVDVQSAFDTIPQAAVVKLISALPTSDEYQ ISKHVEVKPGDGYRHGQAKPIRKWTSLARAAGDQPFDLMTNGKNTIFTENLAVQSHSK DQLLSLLSEHVQRNLVKIGKKFYRQKKGIPQGSVISSLLCNYFYADLEAKHLSFLNPD GSLLLRLIDDFLLITIDPEHGRRFIQTMHDGLPEYGVSVNPEKTLVNFETSINGQKVK RLVKGKEFPYCGTFINTNTLHISKDRERHKDLAVVDSLTVEFSKTPGKTFHRKVLNSF KIQCHAMFMDTTFNSPTVVARNIFESFVECANKMCAYTRCLPTQKQPGADIIIRTIVG LVDLAFLLIKSKEKNPKNLAYRCAISKTEIRWLALRAFHSVLKPQQSRYREEMMWLDD QLQPWAARRG VE01_01715 MPTLLDLPLEVLEVIISQVHSIRDIEALAVQCQRLYGLCNMATR KMYHRISLNGDCNLRKLTKLLLSILRKPILGTYVRDLEFCANLSEAEYTREKNGWIKD YDEDDEDEEDAARVYSATRKAGFSGTEERRVAEFILKKAEKFPKLPRGYSGIYSLSSE DNPVVLKLQALAALFISVSPNLVSLCLSPLVDPTRGIFSTRMVLAAGNNPVPSSPLME FLDRINVNIAKTGALQRVRHITFILKNRDLWYVHRCFALLENLNLVYQLPNLESIRVG AMRENRSGGFVIENADAGQFSTPRPNSSDISKIYFESSYVTTRYLERLIDVCKRLTEF TFWTRPPLDINHPNPRGIGVIAPKTLARALLSHRLSLEVLDVEIGDVIADFDTEELPL PLEPSLGERNCRAQSDISIENLMGTGRILVHFTSLTRLTINLRLLFYLAMGDKPVSGS GQDHHDSPRYSYRDRAGQRSQQNNKTAIHEDLEAKHMHGSRDEKYQTLIESLPPKLEH LCILGYHYGYDERHDSLLSGLMADFQGGRLLPQLKEVKGVDEPFFRSPATGKAKPYSE ENKADAQLDGEWRHMGSQEPAQEWFDVLRYQLATMTYAAGAAHYHHLPGLRSTFKGLF KRLIKKMLRRGQ VE01_01716 MVDLRTFNLSGTLSALSLLFRPSQCIPQAVVRNFNDLPLPLSRA FDNDKRFGKVNIRAVVLDKDDCFARPGENEIAPEFKAQFTRLRAAYPHPSMLIVSNTA GTPSMDPDLTSSALLAAATSVPVLAHRTKKPGCSAEIMAYFHSHAELRDLKPEEVAIV GDRLATDVVLANRMGAYAVWVREGVVAKEEKSLFARWEYGIHDWLKKRGVQSPVPGSP FE VE01_01717 MTSTIGIPIKLLNEAQGHVVTLEITSGQVYRGKLLEAEDNMNVQ LKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRGVKGRGVGLARGRA TVSRARASGRGAPRG VE01_01718 MSDEPKADAPSAAVENNAPPQNLTIDTRAADGRGSSAQRTPSPL SPLSRTPLPRANTAPIPPWQPAVSPALSPISPTGRDRGYSLRRALFTRGVVATKDIEL AESGPPQPPQDGYPFPPTAAPIEEKKEDTRSEVAAAPAADLPPIQESKSNFMTDSLPN YSQWVIQQRKHGLISKVETNCKKTYKELRKRILRIQDIPPSKDGRHIYLDPSRRRPCM DERTNKDYIGNSIRSSRYTLWNFLPRQLLFQFGKIANFYFLIISILQMIPGLSTTGTY TTIVPLLVFITLSMAKEGYDDVRRYKLDKAENRRETQVMREVSESETVSDDSDVWVAT KWQDVKVGNIIKLSRNDAVPADIVLLHSDGVNNIAYIETMALDGETNLKAKQPSPNMA GKCQNANAIAKCDALFVAEDPNIDLYNFEGRVTVDGDTLPLTTAEIVYRGSVLRNTNT AIGMVVNTGEECKIRMNANKNPRIKAPTMQFVVNRVVIIIVFFVLGLASICTIAYQVW SKNVESKSWYLSGARVPAGQILSSFIIMFNTMIPLSLYVSLEIIKLWQLLLMNDIDMY DPISDTPMQANTTTINEELGQISYVFSDKTGTLTDNVMRFRKMSVAGVSWLHDFDIQK EAALAAPAGKATGKGKQKARKSRGSSSTRYTIDSTSADDRSSEAEYGKRALASTQFLK TAARSIRAQSDQLKTQQLLEYLRHKPHGVFARKARFFLLSLALCHTCLPETQEDGTID FQAASPDELALVRAAQDLGYMVIDRAAQTITLKTRPNGSTGPETVEVYTVLDVIEFTS KRKRMSIIVRFPDGRRCVFCKGADSAVVKRLKLSHLATQKRAEVERRSSMRKSMDAEQ ALRRRSDAYNGSPRNSMSIDLNRSLDLARTSLGAAPRTSGVGNRFRPFREEMKSWLED RETNTEPHSAANSIEYASPKTSLQMPRKSFASVIIDEDEYFDHMVDESLAVNEAAVFE RCFQHIEDFATEGLRTLLYGYKFIDEQEYNVWKKVYHDAATSLVDRQELIEAAGDLIE QDFDLAGATAIEDKLQKGVPETIEKLRRANIKLWMLTGDKRETAINIGHSCRLIKDYS KVIILDTTLSTVEQLMATSLLDIGQGSVAHSVVVVDGQTLSDIEANETLSQLFFSLAV HADSVICCRASPSQKALLVRKIRLRVNGSVTLAIGDGANDIAMIQEAHVGIGITGKEG LQAARISDYSIAQFRFLQKLLLVHGRWNYVRTGKYVLGTFWKEMLFYLTQALYQRWNG YSGTSFYESWSLSMFNTLFTSLPVIFLGILEQDLSAATLLAVPELYSQGQRGEAFNIR KYFGWMFMATLEAGLVYFMVVSLFSWPAISGDDGIFAMGDLSFGICVVIINTKLLFIE KQNKTLLSLLAFTISISLWTLWNIVLNYIYATKESLAYNVRNGFIKSFGGSLPWWITG VVTFTAFLIFEFGVASVRKTFWPVDTDVFQELEKDKAMKRKFEMACRDVLSGTKGATE AEILEEQEKEEEEREKRRLEEEVSQLLHKRAIEAAAQDTTVRK VE01_01719 MAQLAGQPHFSRSGQHLTYGTPSQQRPSSLKRVRPHEELDMNLG LEDDGLESLGQQSMVGYGQPPPPQHHHHHHIPDSGRPSKMSRHGADDSMMSQGAPSVV GQPGMPSPAQKPRGPKLKFTPEDDQLLVDLKENKSLTWKQIAEFFPGRSSGTLQVRYC TKLKAKTTQWTDETVQKLRVALQDYEQEKWRIVAGKVGTGFTPAACREKADEL VE01_01720 MSSIPPPPPPGWSSSAQPAQPLGAPPGAPPPPGYRPPQDPHVAK FAQKKKDWVRSQKNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDVSQKKFSSDKRS YLGALKYMPHAVMKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRVIEPVFHAQWA SMWVVMRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDENEDAAV YEWFYENRPLLDTPHVNGPSYKEWNLTLPQMATLYRLSHQLLSDLVDKNYFHMFELKS FLTAKALNVAIPGGPRFEPLYKDVDPNDEDFGEFNAIDRIIFRAPIRTEYRVEFPFLY NSLPRSVHISNYSYPQIVYVRAEDPSLPAFYFDPVINPISSRSVAPKNITVSHEDELF GYGNNEEPEEDAFVLPQEAEPFFADEELYTSETASAIALWWAPYPFDRRSGRMVRAQD VPLVKQWYLEHCPQGQPVKVRVSYQKLLKTYVLNELHKKKPKAQNKQNLMKSLKQTKF FQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSR FGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYK LMHQIRSCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNL LSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHL SEAWRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTV AKKNLGRLTRLWLKAEQERQHNYMKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPS VSYKHDTKILILALERLREAYSVKGRLNQSQREELALIEQAYDSPGTTLERIKRFLLT QRAFKEVGIDMNDNYSTINPVYDIEPVEKISDAYLDQYLWYQADQRHLFPAWIKPSDS EVPPLLTYKWAQGINNLDKVWETENGECDVMIETQLSKVYEKIDLTLLNRLLRLIMDH NLADYITSKNNVQLTYKDMNHVNSYGMIRGLQFSAFVFQYYGLVLDLLLLGLQRASEI AGPPQSPNDFLQFRDRETETRHPIRLYTRYIDRIWVFFRFSADESRDLIQRFLTEQPD PNFENVIGYRNKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIEWDDTFASV YSRDNPNLLFSMCGFEVRILPKSRNMNDEFPVKDSVWSLVDNTSKERTAHAFLQVTEE DIAKFNNRIRQILMSSGSTTFTKIANKWNTSLIALFTYYREAAVSTVNLLDTIVKCET KIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPTSDKRWSKQTDTGVT HYRAGMTHDEDTLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRLEANQQNRRLTLEDLE DSWDRGLPRINTLFQKDRSTLSFDKGFRARTEFKTYQLMKSNPFWWTSQRHDGKLWNL NAYRTDVIQALGGVETILEHTLFKATAFPSWEGLFWEKASGFEESMKFKKLTNAQRSG LNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQ KIHENVVMDLCQVFDQELESLGIETVQKETIHPRKSYKMNSSCADILLFASHKWNVTR PSILFDTKDVIEPTTTNKFWVDVQLRYGDYDSHDIERYTRAKYLDYTTDSMSIYPSAT GLMIGIDLAYNLYSAYGQYFPGLKTLVQQAMAKIMKANPALYVLRERIRKGLQLYASE SNQEFLNSQNYSELFSNQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTG QLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLE VHLLDFPNISIRASELQLPFQSAIKLEKLNDMILRATEPQMVLFNLYDDWLKTISSYT AFSRLVLILRALHVNADKTKLLLRPDKTVITQEHHIWPSLSDEDWIKVETQLRDLILN DYGKKNNVNTSSLTSSEVRDIILGMEISAPSMQRQQAAEIEKQQQEQQQLTAVTTKTQ NVHGEDIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRANNIYISSDDIKEDDHFTY IMPKNVLKRFITIADLRVQVAGYLYGSSPPDNDQVKEIRCIVMVPQIGNTRDVQLPHQ LPQHEYLEKLEPLGIIHTISGNEPPYMTAADVTQHARLMNSHNSWDKKTITMTVSFTP GSVSLASWALTPQGYKWGAENKDLGSEQPQGFSTSMGEKCQLLLSDKIRGYFLVPENN TWNYSFMGSAFGGIEKKPVHVKIDTPLPFYNDQHRPLHFQNFAELEDIWVDRSDNFA VE01_01721 MPSNRLTYRRRNPYNTTSNKVRVIRTPGGKLRYLHLKKKGTAPK CGDCGIKLPGVPALRPREYAQISRPKKTVQRAYGGSRCANCVRDRIVRAFLIEEQKIV KKVLKESQKAR VE01_01722 MSSSNKPLLAPDRPSSEHSFEMSASEEDTLLTGTRAREASPQRR SPFWREVGLFIWAVVATAAVIILGVLTQHRRETTPGDVGNKPSGKRNLVFMVSDGMGP ASLSLTRSFRQYEGKLAIDDILQLDQHLIGQSRTRSSSSLVTDSAAGATAFSCGLKSY NGAISVLPDHTACGTVLEAAKKAGYLTGLVVTTDLTDATPACFASHVNLRSEGDSIAA QEVGEHPLGRVVDLMLGGGRCHFLPNTTEGSCRKDTRDITKLAQEKYGWNYINDRAGF DKLKLGKDVKLPLLGLFAGSDIPYEIDRRNQNDIYPSLDEMARTALTALEAATKDSDK GFFLLIEGSRIDHAGHGNDPAAQVHEVLAYDKAFGSVLKFLAESKDEGVVVGTSDHET GGLSIARQNNPTYPEYLWYPEVLSKATHSAEHLGFELFDRAEAAKSTSEDIEKFVRNT LVKDGLGITDAKDDEIRAILDNPLVASPLFANMISKRAQIGWSTHGHSGVDVNIYGSK GSEKLIGNHENTDVGKFLREYLDVDVEAITKELNKKSSAFGVASVGEGWTGPIPTEEE MLKAADHYDASLNHRRH VE01_01723 MMQPLRHLQRASARPSIHEIARSILSPSSRCYSTRRPATSLLTP RALSSPSRSLSTTLVSMHKPPSRDRGPVSEESTQTDFSNLDVLGGTPVPSTAIDACLW DGFHLNNGVKITNGAGVLLVNGEVFSWKPWNANRAEGEENKRLANDKGLWEVGDESWG VLGMVWPKPDLLILGLGPNMMPLSPATRKAINSLGIQVEIQDTRNAAAQYNLLATERG LGSVAAALVPLGWRDGVGVVSGKATAAKR VE01_01724 MSPTLMNTSMHMASSLRTTPPSDFLDRHEYGVIKNRTKPASTGG GRAWSEEEEVYLLQTRLQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTASMSS AASTACLSPPPPTIPSPIRERPISPPSYSTSPTPTTPLITLPRLLPPVAILPKPSPAT TTPLTQHAPPPQAALRLNCSVAASAMTPNDIDKERLRRIYDNHRAAFWYAIAAEYGAQ APPALLEEVWRRGGWCAPPTPCGSPEGDVRDTTEKRRSSISDLLGIDASPRSPREREL IKRLEEEGRMEMV VE01_01725 MESQLNPIAYQPARHVVLQGLLVNIPKATRGQGIPSGYISSLEE RLIETEIALFEALSFINTHFHQGGNTQFEPSQYHDAFTNHSTALSKQAKVEEWKRLPL TFEDQQKAWLQEKLHVASRTDYRNDSISESPGSQETEHPWPGSPPTLFARHNEEQLDP RRQSLAHSENAQGNQEVIQADYQPVMHDNESLQVGPNYTSPTLETPQRTPVVNAWTNR SSQQRTGEAREGDGRVYDSYLGEANLQFLDDQRHSPRSQTITEQVPMGLNVPSSLHDR QISVSSGLGRHSLEARKVSSKEWQRYF VE01_01726 MAPEHSPNNRLMREDQSEDTVGITDDSNMTVEPSAKRRRVFLQT MGQQLREVGVLQTSPREVASDFVSGARDASHVQPLDGTPRTGGSQHVNVQTQTPDSDA VPGMDDQPLTGALMSASKSLWLPGEILTVPIEEGGDTFTFEDLLSWTCSYFDNWHPAY PFLHAPSVLEHFGHVIDHGVLDSTDSLKHKLTILRSIMSVSLIDRRQTATTMRPVPSQ LVFASLNDAIQSVQCMLTDESSIVALQAIVSVQLLFISMLRYNAASRLQGLAVRMAFH LGLHRCPMKFSDFPRKEARLRQRLFWSIYCIDRYISIRLGVPLAIRDIDIEACFPTLE RHLEGVQSQSDTDGRLDLFGFLARHAEIRGSITELRNKSVLYKQTEVDDATVIDAKLS KWWNEVDEYLESNSHESLPISQYHQVTLVVLRYESIIALNKYTVATSKKGSVYDAALQ NCITAARCIISTLHKALEPQDVGFSTTHEVAGSCGLLWPSFTWAVWMSAFLMVYAARE GQVPQIVSTRLVDRAFKVLEHLALRGSIWPNACAIAIRDLRAQLVNQRIHSKQTYTRS NHSYKNDQSRNILRTSSGFIPPRSNSGNDFSVGTSNSTRWQNPETSGAGSNLSRGNHD MESNQNMPSIQEDSEPPSTNRLSSVNFSGVPQSPMNSHNRMFSELSGLMPSFRDAEGN GYVYRMGGELGLDLQMPNQDGVELFYGLDTPFWLNGDQWRGLGDNP VE01_01727 MSVKAEKEAATAAHMEETTMNKDEKNKRSDYSGAVQKTDAAEIA LVRKLDMRIMPALFCMYFLNKLDQNALANAKLNTLEKDLKLHGTQYNTAISILYVGYL FMQIPSNMLLSSRKVRPSVYMGVCMMAWSAVAAATAGVQNYIGLVLVRFFLGAVEAPF YPGALYILSLFYTRKEIATRVSILYAGNIVAVAFAGLIAAATFSTLDGAHGLAGWRWL FIVEGAVTFGVAFFTIYMLPDYPNNTRWLTVEERELAESRIRRDTAGLEESKGVRAGF LEAIRDPRIYLFAFMQNMHLSATSFNQFFPSVVKALKYDPIITLLLTSPPTIFAGAFG VFIGWSSGRYNERTWHITICMGVAMVGFVISCVTLNVAARYISCFLFASGVYSVNSVI LGWVSATLGQTPEKKAVSLSLVNVVSMASFIYTPYLYPNSDAPKYVTAMTSNACFSFM TIAAAWGMKIWLGRINKRLKEQDPYSTIFYAY VE01_01728 MGSASTTALDLRGLTPAPVTPFTPNGDIDYPAIQRIGSWLGSIE GVKGLVVLGHAGEGTFLTQDEQADVIRAFVKSTNGRVPVIAGITAEGTKVAALEAQRA KAAGAAAGLLYPSHGWLRFGYQEGAPQDRYKVVYEESGLPLILFQYPDVTKATYNLQT MLDISAQPGVFAMKNGVRNMRRWDVEIPIIRRERPELQILSCHDEYLLHTAFDVDGFL VGYGTIAPEPLLEMIAAGKAKDYKKAREVHDRLLPLTRAVYHRGSHMEGTVALKHSLI ARGILEHATVRSSLLPLEKGADKEIYDAMSSTGLPKAPKAYQA VE01_01729 MRLPGFLVNVSIVTLAAALTPYDSKECSAAGSIQFNELIPEDVF VRCAETTYECNSTIHGGKWFKINSTNEPVPADCKKDLYNLQTAAAFSGPLAMPGLTEL TSIAFRGGYVKDESTGEFTIEATRISTIDLPDLVNITRWGFVIDNTASISSLNVPKLK HVATILQLNFTGGPALNLSFPSLIDVGVGIQLHGEIDALDLPALNSTGIWIEAKAFDL PYENRTGYSISVNSTGNLDCNAFAASVVNATNYDGLGVTCNSKKGSVTLKQVKPPEDT SAASKIRGGFLGSVALLAFMFAL VE01_01730 MREYLALGDVGGSTLLVKFIDDTEYEDFGFLLFCTDFSSDARCE RFVVEWDLLLDKWLGEAGPETRFQRIAEKVFTRMVDDDCMGGMGADNVALYVLLPLPL N VE01_01731 MKLLDLLFVAFALSGVSSAIPTTQENTLEPITGTIISTGVTENG EPYTITEDFVDLTDAELALFENYPGNSTSSLDKRANGTNGNCNQWHSGKYCYCGGEIS NRGDSYHGSQDFCKKIKFQKFRNGSLHHVKWLPTVKIEYWVTNSCNEDRSVGDNCGDI FLALIDWCDWGWMTTKGGHHKYNTCLQFRFDVNSR VE01_01732 MAAPKPTLFLVPGAWHPNTCFAPITTYLSIAEFPIHLATLPSLN PASPTISATCTADALALRVQLLPLIEAGKDVVVVCHSYGGIPAGGAASGLAKTERAAR GEEGGVLGLIYLASFVVPEGVSLVEFLGGQHAPYVQQNQPSPGLCEVSPVIPVLYADV PTPLASTLAASLLPHSLSAFDSAAPAPAWAEPAFAGKIAFLKCLADAALPTFLQDLFI SKSGVQWLVTEVEAGHSPWASRPEEVAGIIGGWVEVFAR VE01_01733 MFFQALLLFLLEQLLSAASSSEIAHGLTAAFSSACMSLATSLKL PNVIVNFAHFVPAGTVLQFQQDENLVTCNRPNQTIASDICRVAMYVSTSSRSGITLEA WLPSTWTGRFLSTGNGGQSGCIQYEDLGYTSSLGFAAVVSRLQTGVTVGKALTQIFYK RAHTKSYYLGCSTGSRQGLESAQVFPETFDGILAGAPAIDRNRLVAWNGHFFGIIGTA NLSDFISAALWNTIHIEVLRQCDGLDGVVDGIIEDPSLCNPRPEALLCKPRNSINCLT PNQAQIVRNVFSDYIAEDRSLIFPRLQPGAELTSVSDQFSGMPSKYIGDWFKYVVFNI KDATYSIALNPANVESFKGNLSAFRAHGGKALIYHGQQDSTVTSPNTARYYDHVSRTM DLDSSSLDDFFRYFRIGGMSHCSGGPGAWRIGQTALGALGGEGGAGSNVLAALVEWVE KGVAPETVRGVGFVNGTVALGVDFVREHCRYPLRNVCVDPKRYKHPKSWKCV VE01_01734 MVHLHQITCLRALLVGLLTLGPVDAANAGRVDRGTRQALPAIWP PPQQISSTGSNIALNGPVTIVTGNATDSATIDAVKAAVVSAGGKPVIASKPSNHGTQI FIGTEADDGDAAAIAKALTGNSADGLVADGYVLASGNYKRQPTIVLNGVDARGAFYAS QTLRQLVTGHCIPGVKVRDWPLMSIRGSIEGFYGLPWSHQARLDQFVFYGKHKMNTYI YTPKDDPLLRANWRTLYSGDALSQLQELIETANANHVDFTFALSPGWDVCYSSDADLN VTTTKLDQIRELGVTSFYIALDDIPLEFHCDSDKQRWNDTGNWHWIADAQAFYLNRIQ KEYIEPNALNDLQIVPTNYAGSAPDPYKGEFGTMLDKKIRVQWTGEGVFSDQITVASV VQAGKTYVTDNLYIWDNFPVNDGRRNRLFLNPLTGRDPQLYKNLIGFTSNPMEEAYAS MPALANYADYTWNGPAYDADASMAAILQELAGSGRGVHDAVIAFADLNQNWPYRDAIV NAPLLNKDIAAFWTARKASSKRGNGKKDGTAALRNRLALLTTLPGVLPRMAMKGFAAD VAPWSTVAMQWATACQHLIAMLDALDKGDKGKAGREFDVAQAWVNKTLAKTVNDSSDN GTFIPNSITPTTGDGAFDTFLANSTAIFKAQ VE01_01735 MVRSSLLLAAFAAIATVHAATKCDLDNHCPESQPCCSQYGDCGK GAYCLGGCDPLSSFGLDSCVPAPVCQDKTYTFKDMTGITSKTKYLGDPSKTDWVMDGS AVPYKNSLLLTMAPSTVGTVLATSRYMWYGNVKAKFKTGRGKGVVTAFILLSDVKDEI DYEYVGVDLKTAQTNYYYQGITNYKEGGNISLSDTFNNYHEYEIRWTPDSITWLVDGQ VGRTKLRKDTWNATSNQWAFPQTPARVQISIWPGGLASNAQGTIDWAGGVIDWSGPDI QANGYYYAQFESVSVECFNADNAPGTNKKTSYTYNNKAGTNDTVVDGDKPTILKSLLG TGTDMNANYADSGSSSADPSASSGVAVIPGLDGAGPGTDGHPDASGSTTSSGDGQDGS GTAADAADSTETGFSQGLETNPSGAVAGKTWSVVAAVVAGGVVLGMGLL VE01_01736 MSNIGLALPNNASSKHRQHEVTQPNLARQTPTRPHRTSGVPQHT YPILPQYTIPDAYSHSMSKRMDFDPTGQMGYPQPQGMGNPYQQQQQHQQQQQQQQQQQ QQQQQAAQQQQQLLPPPEHLSASAARKRRLQAPLIPPQQSPNMPLQPPPTAVMEEQQP INAAPPPMQPPAKKSRTNTPWTAAEEQRLKNMREAGNSWAEIAKTFPSRTEGSVKKHW YKDMHYAEFAEDEQSAALLNAIKEYETNKWKVIGQKVGKPAKACEQYAKEHFGNKT VE01_01737 MEAIGLAVGIAGLAGLFSTCLDLIDKANSYRDYGPESRSVVAQF EANKLLFRRWAQSVRIEEGNPKNNHHSALDNPATALVVLKILSSIQETFNKTENALLN MQHVSTADPSSKSFDYTQGSNQNVESKVSTSKRHKIGWALMGKAKFIQQVL VE01_01738 MNLFKLIVSSLPNCTFVLDGLDECAWLEANPMATDGDGRTSFLT SLIDTIAKTTTRIMVVSRDEADIRSGIYSIHPSQGVYEHKISPVDVQSDVSLFSKSIV NKKLKNKDEMVRNNLSQRIVDRSNGMFLWVKMQEGNLRGGKSILQLQETIDETPTGLS HLYDRNWKKIIGLQQSDRTRALLILRWAAFAMRPLTVLEITEALLVMDDDGYDNILVN GLLDEIDEEYVNSEIKGLCESLVETRGASTKGSFASMTVHLAHFSVKQYLLHNMPTSS LLGNNISLQSSEAIQCNELAKTCLRYINFRDIWQQRVCSKDSVDSRPFRDYAATSWHR HLFPAGKNYTDVLSLVNRLFHPENINWQSWAAWFDSVEPLVPTKDLNETRSMSPLYYV SLLGIYDAVVYLTKELKLDLNYVGVGHRTPLYAASWKGNIDVVKVLLELGADVIVADS NGATPLKRASQHGHVKVVKVLLEHGANVTVAEEGETPLYIASYNGHVDVVKVLLDYRA DVTIALRGWTPLSAASSGGHVDVVRVLLERGANTTITNSDGMTPLYLASIYGYVDVVK VLLEHGADVTVANITGWTLLNGASSRGHVDVVRVLLEHGANTTITNSNGMTPLYSASI YGYVDVVKVLLKHGADVTVADINGWTLLYLALSRGHINVVRVLLEHGANITITNSNGM TPLYLASLNGYVDIVKVLLEHGADVTVVNINGWTPLNAASNNGHVDVVRALLEYGADV TVANSDGLTPLNAALIYGYVDVVKVLLKHGADVTVANSDGLTPLHAALVNGYVDVVKV LLEHRADVTVVMDNGWTLIN VE01_01739 MRGLSVQDASIAAANFNGTSTGTRCDLIKSSATRPKLPVTNGFK YNDTNNISGPLSSLPLSLKDPSVSSLDRASGYYLDYYNRCICKLFIVYDSDQNPFRNL ISLALGDSTLLKAILALAARHNANRHYLFRQQPLLATPPASSTSDKDALLYKCQAIQA LSLALNDAVSRRQDTIAASIFLLVFLDLLESGCDRWNFHLEGAKNLIASIWPSSGLET ANELDPGRTVQAIRSFITRQIYLIETLGATFARPRLLSQPISLDQSGTPPQESVQQSF LGCPDHLLHAIQFFSLQRDIIADQAPSDDTAILSHTRHITTMLESVRDFDSYMWASGL LPQLPSPSMQGIDKLCKLSQSFQLGSLIYGRRVLDALTGNVTSQDDLVCALLGVIEAL KDDQILFKCILWPIFIAGLEFAIINNANTCDYTTTVFEVPLADFLSWNPSLASVDPCM LQEGYRYCGLNGTSFLPQDDFLEYYADHPHPGIISTCTCFTAVDGRSVGLQLCSDIAE DFDITPAQLTSWNPWIGTNCDTGVYSGLGVSDSRAVCVGVGGSGGGGAGPIT VE01_01740 MYLRGIHAEAHIPALQQLIRENPLGILTTAIRSPQHPLILASHI PFVLDVPETADGTLSNGILRGHIAKQNPQAKVLMEAVAARKEQGHDTLELLDEVLVLF NGPHHHYVTPKFYVETKPDSGKVVPTWNYSAVEVYGKVRVYCNSQSDETSSFLRKQLG DLTQQSESLIMGYTGGDKSSPWEVSDAPSPYIEIMKKNIIGIEIRIDRLGGKFKMSQE LSKGDREGVINGFENLGTEVGEGIAKTVGERGQLKDSHKRG VE01_01741 MPVQEHNHPATRALHADDPLNLVTDVAPPMHLSTTFRYPSELEK LIPSEDPVAEFDGKNYVYSREFAPNATRFEAILSSLLQGHAVCYATGLAALHAALLLL NPRRVSVGEGYHGSHEVISVISRLSGLQKLGLDCPASDLEKGDVILLETPINPLGTAF SIEEYAKKAHERGAYLVVDSTFGPPGLQDPFLWGADIVMHSGSKYLGGHSDMLCGVLA TQRQDWTKQLFEDRFALGHVMGNLESWLGVRSLRTLSIRVQHASRSSAKLIAWLHGSL NEPNPIPNSEAHVVQAVLQKIFHASLQDEPWVRRQMPNGFGPVFALVLRNEEFARGLP RKLAFFHHATSLGGVESLIEWRAMSDARVDRKLLRVSIGLEDWEDLKNDLLQAFRSLV EEQ VE01_01742 MQTYLPLLAVGAFALVALTQASVDVQVMSPNLKFRSLAFDQIES PLRLTNITRWDAPSSATTRDLDIAPRGPTGVSTYLEHEKRVNNGVLAAVWAGIAAGFA KSLEATKRAIDEVVWSLDPQYIPTVNSSLRSTLAATAPHDKRDGSDGEIDWSAYIYWG DVDESELDYEKLNYDTSGVIDGVAIAYTDYVENNQLTVACADTYIDGYSDNAMVSLWY WSPTPNFVL VE01_01743 MSRTIETTTATPPQRDPSQSHVVVSRSPRQSAFCAIFTMLIAVL LFNLAAYTVTAIYTSLTSTPTVLSSTKLRASDCECPLPQQQQDWLVPAPAITKLCFIA NLMLGMASGALLVVAFLWWMTSASEVSISVRNYALRWGIGAGIVGLVLVHILFMKRLV EGGGLVMAVNTILGLALTGLVFGTME VE01_01744 MVASKDMHPLPKNSGKIFWNNQFKVKIELPTRSEFPSLKGKVAI ITGSNTGLGFESSKQLLTLGLSHLIVAVRSTKKGKDAASQLTLANPSATIDVWPLDME SYDSIQTFVHKCNTELSRIDYTILNSGLAPANFSTTQSTGHETTIQVNHTSTILLTIL LLPILKAKSTRDNPARLTVVNSLTAHLCNFSNQHQRPLLPSFDNTTITPWDSNNRYGV SKLLAQLFLVKLAEHIKPEDVVINMVDPGLTKGTGLFRDAKGALLVAMKAFLAITGRP VDRGAATYVDALLGHGKESHGCFLMNTEISPLASFFYTAEGSIAQDQIWEETLGELSF AQAEEIIASMR VE01_01745 MQLNFSTSLQAILLCLSLATAATLPASANLSKRQARIPNKINLG TYNNLWVAWTNGVDPCKRKTYIPGPTGQSLPGDQNPCNIRFSVPDTDFQYSMQGCGGD GLWIMRNGLDNVGDCYWAPGNNACIAGESFKGQWQCVLNDGNM VE01_01746 MGDVLQAIGFVSSALGIFGFFKANLPSNAPNGAVIRMKVGNQDY DSESYGGGIARVIGYDQQNRIVGNAYNKKISNGDFQDITLDQETDGIQSKYIGIVSTG DAICLSWITLKNRDGASDSAWIGDIGKSCGQNWAWGNQEAGYVQDTGERISPSCTWLD ADHTNDIASGAMKINFSAYADNLNDAISSGRACSATSFSYGAGEIDGAPGKKRDVSLK PRRPESMTKRIIISTENPTHNATELCTHPMSHSSDVVGSDGYYCNMETRELHPLCSSF NVDGCVTVDIESGKIQKRKSLGKRTANVHYRSYEKVSVW VE01_01747 MFPLVMIASLVALGLLVVYYVGGYLFQNRSLSDIPAASPWAALT RFWLVREARFGRRYLTVDDAHKTHGDFVRIQPNHVSIANVNAINAIYGHGNGFLKRQD LYIPDFYDAFVAVSRGLFSTRSRADHTRKRKIVAHTFSAKSVMQFEQYMHQNLNELLR QWDLICQKAPANSKFARFDCLPWFAYLTFDTIGDLAFGSPFGMLKNGQDTIEYMDYPG GPSKYMHAVDALSRRGEAFAVLGCLPFLKKIGHLLPDSFLRNGVRSGSQVAGIAIARV GERLASTATKGTERVDILTRLMEGKDVKGQPLGREELTAEALTQLIAGSDTTSNTLTG IFYWLLKSPGVLAKLQAEVDTVILSPTDEVAFQTVKNLPYLKACMNEGMRIHSTSALG LPRVVPIDGPAIEICGRTFEPGTVLSVPNYTIHRLESIWGADSDQYRPERWESLTDDQ KKAFVPFGHGPRSCVGRNVAEMEVTLAMATLVRRYDFELYQERFETWEGFLRKGFCCE VGIRRRMT VE01_01748 MSADAQTKPIHPNQQRLIDLAREFFAEVENLTPGKDLEARLNTD YGRGNRYYDGFCSLIQGGLTNNEGWVATDPLDGPKYRRSKVAWPSEENRFFSITTVYM ESIEEYRGQYHQHPYGEINCVIQLTPGAELMGMNGWQGEGWTSPGPGTHHYPEVRGGA LIALFFLPAGRISYEAKPGMPQPISV VE01_01749 MDKTPPASSLSPEERSSMSARLIAQALHFRDASVADVQPPLFSI PNTPPKNVSRIADDILTNEELKITSFDAPELVKLIRDKSYTCEAVTRAFLRRAALAQK LVNCITELLPERAIERARYLDSLPEPIGPLHGLPISVKEHQGMKGCTTHASYVAFIGQ QQAVDVSVNDVLWEAGCVFYARTTQPQAVMQLETASNIYGITLNPHNTDLTPGGSSGG ESALIAMRGSVLGVGGDVGGSIRCPAAHTGIYGFKPTPGRLAKVGTKLAMLGQEGIPP TRGPMSTSLSGLSLFMDAYLSYEPWIKDDYLVPIPWRSVKLPPKLKIAVMWSDRIVTP HPPITRALREVAKSIRDAGHEVVDWVPEEHDECWNITQALYFEDGGRAEDRVNAEGGE DMLPLTEWLVKDNPNVKYQSIESLCALKVRRDRYRLKYNKLWLSTGKDDGHPVDAILL PAAPGCAPPHGNSKYWSYTSQWNMLEYPGVGFPVSVVDPEIDVKDTTYLPMNEQDKFN YDLYSPEQYVDAPIGLQLVTRRFEDEKCLAILKVIEQAMGRP VE01_01750 MSATDPDFMAVVPPKTWVRLNNPAIQNFITGLVIACSAGIYLAL TGLGAGGGKPSSQYLASTSNSILYALFAVSGWVSAGFLNAFGPKITMAIGVLGYPLYA AGLWYFDVSGNEWFALFGAVMNGIGAGLLFTGAGFVQFAYAEEKEKGMYIFWQHTMLS GGAIVGAIVTFAINFHRAKPSVPTSVYAIFVAIMTSASLLALAFIISPKKIIRHDGTH LAEFKKTTVKEELVGMWRAASTPKVFLMLFPMFVSEFALGIQTSFNGMATFGYYFSLR TRALNTILYNVLQIILPAILAALLDAQWIGIRKVRGIIGVIFTAATTISLATAELIYF KQKVDRTKPGPSMDWSDPGYANIMILHLLWGGCFCCWTFMVQWIMGSMSNKPEVLSRY AGLFKGTSALGVCVAFAIDARKPQYWVEMAIHFALYMVAIFIMFYVVWFSITDTDYLK EDGVIVPTKVAEELIGPDGVSLDTNKSYQTEFVEERK VE01_01751 MSLMEELPPFELQDMEPHLPSDVGDLKDLVDAYFTSTCGIIDIF DVAQFMSEFRHWINGLIPPKCGTAAVIYLVVALGAQARGSSLLDSRRAQSFYHHGRLI ALQELTNDPTLETIQAFLLISMYMLGCSRRNGSYLNLGTAVSAAKSLGLHRDEANAAF SKEEQQLRCRIWKTLRFHDLFFSAMMGRTSAISARESNISHAQHPVPESPSLEFLRAI SLEESARAFLIIERIINEVYTKRTVPIALLQSIGGELKEWSYRLPAALRTSVNPRHPR ESIFLSREVVLRNTHVACSYYFAMMLLMRPFLIAHLKAKSMSSGLGRSAAEERETDVS PEIYDGAIACIDSAIYTLQLLRELLVADMLFKNMPLAIAWIFVAALVVSSSHFGGIGP EKEIEQAIRNTDELLHCFAANSPQAARYHHIVKRLFKAGVDHQNRLKQRARLSRTTVV AELFRLSPRESTENATDGVAPKDSEDEEVAYQNLWSTPPENELPIYTSTYKGQMTPGA SNIAPDGASGQFIGSGGTSLQGGEYPVRRQMPGFVAQTPGLAVHEQEDARARMANFEH LFSGPIDFGPMLDHNSLMLTGSGNGNGNGNGNDIFDFHWV VE01_01752 MPDAPDAAEKTSRLETPIVKHINVYSSSSSEHENRDEIKHERLQ DQEVLELVRKFTSQAEQHYLESPFSAAENSRLNPNSDRFRARDWAKAFYDLRYSSQEA IPRVAGVAFRGLNVWGKGSPTDFQSTVGNYILKLPSLFGRGTQKIEILQDLDGLLLPG EQLCVLGPPGSGCSTLLKTIAGETHGFQVSPESYLNFQGIPARKMNTEFRGEAIYTAE VDAHFAELSVGDTLYFAALARAPRLIPGGVSRTCYAEHLRDVIMAMFGLGHTVNTKVG NDFVRGVSGGERKRVTIAEAALSFAPLQCWDNSTRGLDSANSVEFCKTLRTQCDVFGA STCVAIYQAPQAAYEVFDKVIVLYEGRQIFFGPANAARSYFERLGFECPASQTTPDFL TSMTSPSERRIKAGYENTTPRTSDDFSRCWKESPEKQILLHEIEKYGQDHPLSGDNLE KFAEARTLEKSRKQRQKSPYTLSYWGQIRLCMWRDIRKIKNDPSVPLAMLTINFFEAL IIASVYYNLKETTESFFMRGGVLFMMVLLNAFGSLLEIFSLYAKRTIVEKHNRYALYH PSAEAFSSMIVDMPYKITNSLIVNSTLYFMANLRREPGPFFFFLLVAFTMTLSMSMFF RLFASMTKTIAQALAPSSIILILLVMYTGFAIPVQYMRGWASWFRWINPISYGFESVM VNEFHGRQFNCSAFVPSGPFYENIAANQRACAVQGSQPGAEFVSGTAFVETAFQYQYG NRWRNYGIIVVITFALLIAHLVMSELVASERSKGEVLVFRRSSMKTKRKRDTGDEETG SASAHDGEKISNSDRPEHNVEKQASIFHWEKVNYEVEIKGESRTILDSVDGWIKPGTL TALMGVSGAGKTTLLDVLASRTTMGVISGNMLVDGRGRDESFQRKTGYAMQQDIHLET ATVREALEFSALLRQPPEYKREERLSYVNHVICLLDMEQYADAVVGVPGSGLNVEQRK RLTIGVELAARPKLLLFLDEPTSGLDSQTSWSICDLMEKLTRNGQAILCTIHQPSSLL FQRFDRLLLLAKGGRTVYFGDIGRNSEVLLDYFARNGAPECPVGTNPGEYMLEAIGAA PGAHTPTDWPAVWKESKEYTNVQIELARLRDLASQPSATMDSSHGSHQEFAATFMTQL RAVTLRCAQQYWRTPSYIYSKALLTIGCSLLIGFSFFKGDNTMQGLQNQMYGVFIFLF VLIQLLFQIMPMFVSQRTLYEARERQSKTYAWQTFVFSNIAVEMAWNAFMAIFCFLVW YYPVGLYRNAEWTDSVNIRAFQTLLIIVAAFLFASSLAHMIIAGLPNEPIAGAVATLA SIMLYAFCGILAGPSALPRFWIFMYRVNPFTYLVSSFMSSTLGQAPVSCDSTEFQTFF APAAQSCEEYMREYISVAGGFLRDPQATGECDYCQLNSTNQFLERINVDWATRWRDFG LLWVYVVFNVAAAVFLYWLCRVPKGRKA VE01_01753 MPQQHGSMQHLSERLELLERQISRPGTECSLPTTSPPHECNPPF VATTGIDPVLSPTASLMTGDGQESWVYRMVSDVRRNLQSQETPISTPTPRIDDAMLSL NEALDDLGKLRIRTDAREVDSNLSSKEVRASIDAFVELMGNMVVPGIFAVAIDADLLR VLPDIIKSSYVKVDPGVYVMYYNALYYGLHQIRGPGDALAQSMYLKVLEAVPAWLDAS ANTDLDGHTAALTAWTAIVNNDYQLSWKFHCKSCHYIKIRGIDTLDVIPAKTFEEEDK RDSLRYLYWHILSTDALYRLIYGKPTVVCLLPMVP VE01_01754 MTAEMLNEIDNNLSRERDGGIQNKVDDFCIQLENLMAEWKMESI MRDNDMPQTLRYLIADHVMNIYATIIGIKRLIKPAPNTNPVDDITLRAARKVAQITID FTIDPVPADTAQSVYFYFITFYPFCAVFSLYENILACANLEECEQDLRLLESIGATMA EASTLRTDFVPFARTINALNKVLRTIQDERRKTGSRDDATGETANTMPEFDMSALASF SEFPSNLEEYNQPLGFIRALENDFTSRNWNEGWWDVGSDLTHT VE01_01755 MAVLSPATNTAELLQYLPAYKVVICATCRYAIQPNAIERHLKEL HKFRRDHRRPFMQYISKLDLNLPDKVREIRNTESPVPLLPVHDGLQCMHEGCMHLCVS AKRMKGHWLSVHGRSGQIDFDWHPVALQTFFRGNLLRYFTNSHGYPATDSTRSNIPIN TCDRYTEKLNWNGGEVDDTDPPSISRLLLQSQLDESDSTLLHHYITSTSLSLATDART KTVWQVTVPHIASQFPFLFHGILACAALHLAYLDPSQGRELMIRGRVHQDRAMPLFRS AIENPNKDNCDAVFAFSHLLVIYSFAAEREDEQLFLVESNTLEVLPSWLYFIRNGCSM LCDVWDQVESGPVGSLVSVWEIPITFSEAEQEPLMDSLLSAIPLQGFEDSWSEDVCEI YRDAATELGVAFSCTQDSSVSFTAWDALRIWPMRISEAYLNLLSQRHPGALILVAHYC ILLQRLDSHWYFEGRAKRLLFAVMSCLDRRWHHIVKWPFGEIEDMSFISPKT VE01_01756 MSFTHTALITGGTANLGFQCALGIAQQHPEYLVVICSRSDPDSA AASINETTHQKNVIFLPIDLSSLANVRAFAESWKAKQFPTIIALVLNAGLQFPGEVQM SGDGIESTFAINHVGHALLFHLLFPHLADKARIAITSSGTHDPAQKTGLPDAEYVTAE QLAHPTPDSAKSAGRQRYASSKLANVMWTYALHRRLSAMPKRNLTVVAFDPGLMPGTG LARDGNSLEKFLWFRLLPRILPLLRFIISPNIHTPQESGANLTRLAVGADVEGKSGVY FEGKEIIKSSKDSYDESKQEDLWEWTIKATATSEGDRREFELMN VE01_01757 MGQAELTWDTPNALDAGDNYAPPNQNPRKRGNSHLSDFDADSAL AITRKIFGSQKSRAFIHRATSAIPGGGCRDTSGTRTLNNVLSPLVPVFEIIGIELPTY EVCNKLLETYFSAVHWFSLVVYEPKFRGRYNAIVQTGLASRSDHGFLLLLLMVLTMGC WYTPKNKSSDLGLSVNDMEGMRSQFLKVVQRDFMELMDEDCLEFVQLCALLGSFYLYH GRPRSSFSILGAATKTAQAMDLHRDSEIRWNFEDREERKRVWWTVYTWDRFATITYGR PLGINDRDCNVQTPSEILENVHFYPPLQATQICLSTYQCQLNLVYKIASPLLEDIYGM RTSHNMDRCSQQKMVAEANQALLKWQQDLPPHLSFDRLSDLTTYSSTEEKMHSLQALS LQLTYDNIMVVLHRPLLAGRGSLERSVAPETMSPISPFSDDMRDISFKRCLRSALRIS NLQQKPNLLSLARTTHLVSFLGMNLFTASVVLFICALSDTLSDTAQEAKRGLKRTLQM QKSLSNHASLSMQCTTILEDLVQLILRKEMEEMLLDNSTSDDNAPTGSATRNEELHNA GMNDRMNEEMAPNRSESHTAIHSTEFDITSQEAAPAEGSNFNQSLRTLQKVFYDSSLL RHQGDADVDLGARKDYENYVATEHSSNPEGMIGSQDIGFNDGGFTGVEDLGQFWLWNL EDFNY VE01_01758 MPVSLPSPSIMTSSTDGSTLHVSEENRVFVLRRKGEFHYETRPT PELPTSRHVLVRIMATGICGSDIHYWKHGEVGPFVVREPLVLGHESAGIIIRCGTDVK TVQVGDRVALEPGVPCRTCGFCRVGKYNLCDEMRFAATPPIDGTLATYYTVPEDFCFI LPPHISIEEGALVEPLSIAVHCTKLASITIGQTVVVMGAGPIGLLCCAVAKAFGASTV VATDIVDSRLEFAQTYAATHTYKMQQLAPEQNAKTIMSRCDIPAGADVIIDATGVESC ISSGIFAMKKGGTFIQAGLGNSNIIFPVGELCAKEGVYKTSFRYGPGDYELAIELLKS RKISLKELITHSFEFDCAEQAFVGAGRQEGIKSIIYGPRK VE01_01759 MEKEDIPKSSEHETMASLSDNQRPIEFPSPTKLTFLERALKPTV YIPRYPKWMIGKRLLWATCLFGSLGDALFGYDQGIMSGLLVNEVFVKRFFGAYGGLHG NAGTVNPSLIGITVSCLQLAAAIGSLLAGVLGDIMGRKRCVRVGGFIYLSMAFVQAFA PNLACFIAGRTIQGLGVGFLSMTVPVIQTEIAAPHRRGLMVGVEYTFLIGGYMLSCWV DYGFNFLLPDNVSWQGPYFVQMGLSFILFAMSFFLPETPRWLARNGFTQEALRTVADL HSGGDTSDKDVQHVFLEIQEAVRYEATLGKVTFREMFTTYRKRTIVGITAQMFAQLNG INVISFYLPSSLAAAGFDNRRSLLFTAANAVIYTSATVPVWWLADRWGRRPLLIFGGI AMAVALSIVCVFNQVPGLDVHVRANGIYSFVVIYNSLYGATWGPMPWLLPAEIFPLRA RSKGMALSTCSNWIFNFIIGMSSPDAFAGIHGYYYVVIAGFCLCSVALVKFYYVETAN HTLEEVAVAFGDKAFLRQDDHVMASAQLSRRVSVKDGVDV VE01_01760 MTQHTEGGGPSNATNGSLSLRQRGQEALFPTSRRDIDLFIENAV STGRTISETLADELRHGDFANNEENNLRPILTLAEELRNYQSPVEFTIGVVGDSGVGK SSLINSLLNVQRLAKAGADGTACTSAATEYRKKRTSDPDAYNVEIECMDDGEIDGLLR QCVVDYRQYHLRDLDHPLANSEEEVLQKKAKVAWDTLMAAFGNTPGCTEVRFQDQAIS IDYIQREVRAWKDGIQWPVGFNAPGVLIHSAVPEDCVSGIDTFLRGRIWPFVKVVRGI EQRPCFHDANSARVSIAETRLYRCDNIFVVTDIGRASANQGVNQLVRQLGAKFNSLRR SQGIAIVCTKSEVSRTQEAEILRDVPSTAEFNTQITDRLWNDIADERDDNRPTLHLEA RRTNLFIFARNQHVRRLLRTTYETRTQTRRIEIFCVSNNLYGEAQAEGRELDARIKRP GRRTTNATEQNQAIQAKLDGSGIDQLRDFCQGIPSRSQIAETRHFLNTRVLDLLQKVE LWCNARSASEDHRQAPVELLRALQAKLRNDFDASLATTYDELYDAKVEMLRRPFSQGV NSRLWELKAISFTETLSGWAVPTLDAFWRQDGAYRTQASQGYVDWNANFIQAMVERFE PTEASFQSRSNEIFEDLEILVRSNLSELEVGLRGLEGVEFFMQTFRRRRRNLAYEIEQ VTTVFCSQLQLVFHDLLKTHGTSYVRRHMLPMYQSVVEPGSGKRIRIIQKLRTTIRGN REDRKLFSVMSDLFLTEMDNLLNETNEKIRAATDRCCEDIRIDLRLLDVAAPAVDQGF FIRTLSSLLERSKTDRDQAQSEFDSQFPEPASSV VE01_01761 MDNCRQLTILEERLEKAEALLRSHFTEAQLAEMMDGAAAVRPAL GSLPLYPSSSSATPSSHNNIPAPEALPSGSSEATSSSYLAGTSQLVHGIELGVEPLSG AGHSAGSYELAPSLANDFEWNESSWSAYGPAMDAGDSEAPQDIVDGMASLSVGDHRGY LGAVSGAALLRQILSARKDGEGAEAGVQPQHLESLFQQQTDQTQWYRSQSILTRVVVG NLIDAFFSFYHPTFPIVHEPTFRAQYDGTLPRPDKENWNTLANILAALGSFASSNCSD ATDLPIFQAAQKSLFADNLEVGNLTLVQAFGLSATYLQKRNKPNTGYNYGGVALRLGI GLGLHKEFEQGNLPPLQMEIRRRVWWTLCVLDVGATVTYGRPLNWPQAGVETALPMSI HEEDLVSDSAPYPPEADGLTLYTYLRIQSSYHLRTMGIYNRLITGSFPNAAELITLDD ENIGAWLAQWPHYYVDFPPAGSKHALGVGISKWRYRNLRIVMYRPFLVRWALSSSLYD QQASSSTESLAVFRCLDAAKETILSVEEYWMSRSHFRLAAWYVLYFLFHATLVPIHCL RHNPRHPLAPDWRSQIRASLAVMDAMSELSPNSSKCREISLKLCWPHLQEEGTQFYND DTAFLPSLADGEAASVMNGYDAWCALMSNTGEGVPLYQWPNLDDEGLNFFYGLDSGHG L VE01_01762 MADQLSKEGAHAAQVDKPTSEGIENFQKTAPNENAVGLSSLADP EHRARVEKSLKRKLDSRCALFVLIYIMNYLDRNNIAAARLKGLQDDLHLDNNQYATCL SILYVGYILMQVPSNMFMNKIQRPSLYISAVMIVWGLVSTLSGVVNNFAGMVLIRFFL GFIEAAFLPGALLILSKWYTRRELTTRNAILFCGNLISNAFSALIGAGVLSNMQGVLG HAAWRWLFWIEGAITMGIALSAAFILPDLPHNTRGFTKEELELAQLRMTEDVGEADTD SEDQGAFGGLLMALKDTKMYILMLTLTAYVVGLSFNAFFPTLTKTLGFGYVPTLLMSA PPWVCSCIFSLIVAWSSDRHQEKFWHIVGPICVGLVGFVISMSTLNVAARYVALFLQA SSYAGFIVLYSWISSSFPRPPAKRAVAIAMVNAFSQLGNVAGSYVWNLPANGFRKSYG IVTAMFGITIVGCFIFRFVLTNLNKKLEEQERALDTEPDFVKEVMNQPDESMRMQRGF RYLV VE01_01763 MSLTNYQLGALQTAERVSSVFSVVGSVFVISTYLYSEAFHKPIN RLVFYASWGNMATNVATLISRSGIAAGVTSPLCQLQAFIIQMFMPADSLWTLAMAFNV YLTFFHRYSASQLRSLELKYLLFCYGVPFVPAIAFVFAGTEDKGRIFGSATLWCWITV EWNALRIAIFYGPVWVVLLITMTIYAFVGKVVYKNHRQFRNLHTTTDNATTTAIPNDG ADSGDAPVNSKTTEVHITSESVLESGFDTAFDSDRDNFKARPSAEKYPRYAVNIESSI EPTARNDGQAPQRRTTSTADRAAWAYLKCALLFFFALLITWVPATINRVATLVNPELV SFPLNFIESIFLPLQGFWNAVIYISTSIPAFKALISPPRRSFIALTDLPRRTVMGTGT PESKSESMVDLRGN VE01_01764 MKTASSNDELASEKIEVVQKESANSTSDSPSDALPDYEDEIKPR LTWQMALAFIALTMQFNAYILTLLIPSTTLAEINRSIGPSLNYSWVTISWTLAASITV SVSGRLSDIFGRRYFMLGGACIALVGCIVGATGQNINQMIASGVILGTGSGIQEMAYA CIQEIVPNSWRLYAIGLFDLMAIISFCGPLIAWSFIGTTSVGWRGAYYFMTGFHAAAL VLLFFAYHPPTFQTKHRIDGKTKLQLVKEMDFVGLFLFAGGCILFLLGINYGGKQYPW KDAHVIAPIVTGVLSLVALGFWEAYADLAYPLMPPRLFKRWRQFTMVLIVCFVGGMLY YSMNVLWPRQSQLLYTGPDPIQKGLYAEMIPLGTTISAFITIFICANVGHERWQLVAF TIIQTALIGSLSTVGLDSKKQAIATIICLSSTVTPPQLMSFTMLSLGIDDQTDIGIAV GLAGTFRLLGGAIATAVYTAVINNGFSSAIAGDLESNLEVFGITPASSTWPAFLKAAE LNTAAAYKNIPGITQSIIDASGLAVKQAYVSAFSTTYLVAIAFGVMAIIASACTSSID LKAKHSGKAVQLENDKSDVPNEKVVV VE01_01765 MDPAIQPKPLLGALGMFCVLSAGLTVTYFVVLSVYRLYFSPLSK FPGPKLNAISPIPSIISLLSGRGPFDTKLMHDKYGPVVRVSPNELHFNTAQSWQDIYG HRNGHVNFHKDPIHVGSVEPVIGVSTLTMSDDENHARQRRALAHSFSLKALSEQEHII QGYVSTFIAQLGKMSARDEKFNMVDWLNFTTFDIIGDLAFGEPFGCLEDGEFHFWVSL IFETVKAGAIEQATRRMATAGTTAQNFLLSMIPDRIRKMRRQHLEFSREKVMRRLATK TEHRDFIWYILKQAEKYSLAQDEIIVNSALFIVAGSETTANALSGILARLVYNKEKYQ KLVHEIRSTFADEKDMNFSTLSDLTYLNAVLEEGLRIHPPVPTGLLRTVPKDGDTVDG LWIPGGTAVSVGSWAACHNEANFRDCDTFIPERWIGNEYDSDLKKAAQPFSLGPRGCI GRNLSYMEMRIILARLLWNFDLVSVDGAPNWNPAGEMGRARAYMTWEKPDLNVKVVPV KR VE01_01766 MEHTTQPVSDGLNPEKPRDAQVENSEPLQAYANTKALKQDAKQA TAAEHSLSFWKAIVTYRKAVFWSVMVSTSIIMEGYDVTLIGSFYAYPTFRKKYGHDYG GEAGYQLSSAWQAGLSDMGEIGKIIGAISNGYFTDIYGHRAVMLTSLTALTASIFIVF FAPNTPVLLVGEFFCGIPWGVFATMGPAFAAEVCPLALRGHLAAFVNICWVIGQFLSA AVLKALVNNTTQWGYRIPFALQWMFPLPLAITLWFCPDSPWWLVRANRLDDAEKSLQR LSSNADADDIRQSVALMVHTTNLEKDMQSGATYKACFQGTNLRRTEIACMAFLSQVTN GGALVYSAVYFFQQAGIKTDASFAINLGGKGIAFVGTVISWFFIPIFGRRTIYLTGFT ILVCALWIIAILACVPQFAAIKFVQASLCLVWLGSYSMTVGPIVYTIVAEIGSTQLRT KTVVLGRTTYYIGNIIGQVLEPHMMNPTGWNWKGKTAFFWGALALLTNIWAYFRLPET KDRTYEELDIMFDKGIKARHFSKYEFRDDED VE01_01767 MDGFHYPKSTLRTFQDPECAFRRRGAPFTFDGEAFVELVKALRE NPVTEVDDPAQSFHAPSFDHAVKDPIENDIYIPSSQRIVILEGNYLLLNEHPWDQIQH LVDESWFVSISRETAMDRLVKRHLEAGIETTTEAAALRAEENDLPNADHINENMICPS FIIESSNL VE01_01768 MENTEQLSSHELAVEPPQKKGFTLLFENPYLFGVALFSSLGGFL FGYDQGVVSGVLTMESFGAAFPRVFMDSGFKGWFVSTILLTAWFGSLANGLIADRLGR KLDIMVAVVIFVAGSAIQAGAVNVPMLFAGRAIAGLAVGMLTMVVPLYISEVSLPNIR GGLVVLQQLSVTIGILFSFWIDYGTHYIGGVRCAPDIPYSGGTSANPTFDAYNDVGPD GCTGQSDASWRIPLSLQILPAFILGIGMLFYPDSPRWLLMQDREEEGIATLARLRRKP ADHPSVIAESLEIKATILLENTYIRENYAGLSGLRLDAAQYISMVTKFARFKRLAIGC CVMFFQQFMGCNAMIYYSPTMFKSLGLDGNTTSLLATGVYGIVNCLSTLPALFFIDRV GRRPLLMCGAAGTFISLVIVGSIVGAYGEGLSENKAAGWVGIAFIYIYDINFSYSFAP IGWVLPSEIYPLSIRSKAISITTSCTWMSNFIIGLVTPDMLTTITWGTYIFFAAFCLL AFAFTYFFIPETRGKTLEDMDLVFGDTEAHEEKERIRGIEAQLRGVHIDGTENEKDAA MAEHREV VE01_01769 MTVSITPCHLARYLNLTAAEDRIRRLEEAFSELLPDANIDEILS PTENGTHHAPPQRNPAPVSRPGGGMSAGRASLRDSASPGAEESLPQKPDGFDWVEETT FSSLSDGMAALSMKPEGTGYLGSTSSVMPLRALLVGDLGLESLNQVPMNNSAIVTATF PGPTAPLPGYSENFFIDAYFRYYHTTYPFLHEQTFRAQYGGQSPRPRGETWPILLNTV LALGAWSIGEEDSTLDDTFYHAVNRHSQDTSVFEVGNLALVQALLLLSNYTQKRNKPN TGWNYLGLAVRMALSLGLHKEFPGWKISDLEREMRRRVWWGVYIFDSGASITFGRPVL LPEISIMDANQVLNIHEEHLTPTTTSLPDEIDSPTVYSSLIVQSKLHQATNPVYHRLI SNPPPVAHELLSLQQPIDDWEASIPPYFQLDNPDVHQHDALILARYRLTWRAANLRII LFRPIVLRWAARRWTAQDISDAEDPEEAQCRQLCLQSARETIASISQYMTTNIPSRLG SWYMLYFLFQAGLIPIIFLITTSTSPSAPSWLDDLRVTKDLLSYAAITNQLAGRCLEV INRFCAMLFDAEQPEAMLQDPGLFDDVHSMFVGEYGDGMDFLDWSSFGLQPGSLMPLP PGDT VE01_01770 MSDLWKPAPEPETELGRYRILSPTAGIRVSPLQLGAMSIGDSWS SFMGSMDKNASFKLLDAFAEAGGNFIDTACNYQNEQSEAWIGEWMTARKNRDQMVIAT KYTTDYKSHALGKGHAPNHCGNHKRSLHMSVRDSLKKLQTDWIDILYLHWWDHTTSIE EIMDSLHILVQQGKVLYLGVSDTPAWIVSAANTYAKAHGKTPFSIYQGRWNVLIRDFE REIIPMARHFGMALAPWDAMGGGKLQTKKALEERKKAGEGLRSLLGSGEQTEEEVKMS EALAKVAAEHGIESVTAIALAYVMSKAPYVFPLVGGRKVEHLKDNIQALKIRLTPEQI AYLESIKPFEVGFPHNFLGEDPHVTGKTSGLLAGNASLSWVRSPAAIGAEGK VE01_01771 METDLNESRRKRRKTTPPEDNSDRNYYPKLENSATPRDLNGGIL PPYYGVLGGAVENNGNVPRGLGFENAHGAPFLTHGFEGRADYNLNTLEAVRPNTINTM SKGEQATLEPVGKPMDPILCNEKPKKILHFNIKTGTIGSPPKRSIKDQAPSKGRKNRK SLVITLKYGSDTGSRLSVGNKIDHILSTTKPVQGPPKQKLEVETRPVKANTTKSPHPF FLGKTAMKPNRSGSNNTSAEPAASAPQQAALFRAPATGRLLPTPSGPPPNSSSFAAFG NSNSLMKFPGAVEPIWPPSGMTHIRGLENDPSPTPMGEPSTLLKSENHKSKYTASEAT ANEDILNVIAAKLEINAVRKELKDLSANHFNAPDRCLRVPERHFESGYKLQRRIRPQL KSAVTSGRFTDDYSEDELSRDSLGSSPHPVINKLFGSIPTTLSAFDKSQYQTQSWIHK YAPHSAAEVLQNGREAFILKEWLQSLTVIAVDTKVADSSNTRASPGPVKAEKDGGGKK KRKSKKLEDFIVSSDDEANDMDEVSESDEESPRGGLGRPKKTVIRHGDLKSMNSKQPN KLLNAVVISGPNGCGKTAAVYAVAKELGFEVFEINSSSRRSGKDILEKVGDMTRNHLV QQTQEQKLDDCEDLQRASDALDADLKSGRQVTMKTFFKSTARKAKEPKAKKGKPDSET ASHSTDPTPAKKPKQQKQSLILLEEVDILFEEDKQFWATVLTLISQSKRPIIMTCNDE SLVLNQLLPLHAIIRFAPPSVDLAVDYMLLVAANEGHALKRPAVTALYKAQKFDLRAT MTDLEFWCQIGVGDQRGGFDWFYPRLPAGIDKDSHGNTVRVVSEDTYRNGMGWLGRDS LCDPSGINDIEEEIIKQTWNGWELDAGDWHTTLDLSSYASKPVELSKKKRLKALQSYD IFTDAMSAADLCATGTLDSSNYILLDTTDPPISAKTLADLPIGSTFLAATPLSPPPLP LVLSGTLKSLARSYANNSLPRPIRTGLSPVTEPQLLTHITQSRSSPPGGISRAHYSLA FDPLGIPEKPLFPPPHLEASCVDRPMALLATDIAPYVRGIVACDARAARERVKAGNLL ETVHGYLERTRAVLESLASSKSALPSPDLSHVHSVVKSLEGEVGELARMIEAVVRQML SADSRRRKIMPALKWRHHRRDIIRRRDKVRQIRTALAEAVMLLQPDQSLQQAALVLEI KEVSELGFDKINKLLDQATKSFQEAINKISQQVAEGPAISQTNISVRQHTESSIAVLQ VLKEASQANHGVRSRLEAINPTTNVPSHVGDYSLITQKCISRLSSIKHIMSQKLEQLE ELQPSQTTFGDTEIHTNIQSILKEYEYDAARLQEAVDHLSIQKPPHLSLAAVSSPTTA QSLGLGQVTSSSNEDEEISPREKPKHDDRMANLSRNPETTLLQVQATLNRLCPKTCRC DCHKMGNMKTPGLVSSIVGQFLISYNSYPIWFPRVCSHPSCLNHSQSSIRLNYIFPQW IIRRAVSFSVSWKNSLDSQGASMHLKVPRVIPETHDVWAAITNNNISRLQYLFAQKEV LPTDISQRGESLLLAALRYYKCWPTARFLIDFPHDSIHLDDTGSSPHAMAKWRLVVDE KDLHEDAAHIYHRIIDQSEEYSHTTVLHDAVLGYQNMSLTTALELDPSSINMIDDCGC TPLHWAAWKNDISAVRLLLSWKADLDLRDFEGRTALSIAVAATKLQCAEILIEAGTDV NAKDKWNWTPLFLATREQSVEMVKLLLSNGANPQAMDDDKDTALSSPIYARSVVENTV LVKMYAELVSAGGDMDFPNNDGRTPIMKTIRANKPGMFRALWLNGAGLDLIDLHGQSV LHLAGMYSNLEIIVELQKAEICVDPNLKDEQGQTAVDYFRERTNTPMHALKGDQTKPS EQEILEFEALIEKTRARYGEWIWSKQA VE01_01772 MSSTFTIEKPLVVASLPRPVDHSTGRYFVSDVHGGTPGSRKRKR AELAVGISGEGVNLYDIQSSKLVTSYALPPQALITCAPYSLRLKQGKGLAERRTYAST TENTPRITVFRETTTAEGQADSSSAIHLVKGSTKPVVFLGVAPEQIGSSSDNLLLVKS DGEIQCLDGDDLKLKWTSPSAAVSKNSAMPNVAHKIELVNLTDAHTASKGLLKGQPEA FSIFSEDVDANGFNPTLLVLISSPVDATGSDNRTLHVLALPRAGDSASTTQVHSVQTL LSVPIPWLHSQPPSNFGKLQYDIHVSSGFLYELGTRSLTIYDLVDGTIKIKSQLNIDN VASFLRLSGTSVMTATNETVDIYNPTYHSIQASTNLDSIQGETASRKRKLDDAEDSSS KQCELVAYSTKHNLVHAILGSQLVAFSIEARKDIPSRRRELGLLIDSVGCGRRQATDG SSGKLHRVPLSSLSSYIPGSNPAVDSTMQEQFSKLDRCVAEQDIDGFEEIMAPELKMY RRKIAPFVNGLAVTNGVKSSDGTAVPEWIWPSTRSGYLEVDPRWVQYALSRVFSWSSQ NGEQKLLVVFYPHNVVNWLLETGNLNKVNIETALKHEARSTESYVVPAGQLVSSLVDI DPEMKVLLSLISNNYLDAPELVHAIRVLMQSLEILDDGTKADKPLLLTENETPANNGD METENEIEEADAALRLAEYQLGDGASIRGQALSLALAKLHSCPSAAVVHALQSNLTSS EIVSLIYLLRFELARGAWTSKYLDVVDSIDEDTGSQDSTIVLISSLVNSCIDAIGSGG WLSGDAILAGGDRFQSEELISSLKLEVSAALEGIEEATYLKGLTSEMVRYGEAVQKAL PASAKKQKVDSSQKGGNVRKNQPITVAQVGKDATILPFGLKADQLISLIKIGAGGELQ RRTARDIGRLKSKKVGKYSQERIVI VE01_01773 MTFGSNPADIIIVLEFTRRLYRQCKNADDAYFEIGREIRALHTV LRHLKYEVQAPESILNRDRALYARDLAPLISDCRFTLEDLEELLRKYGDIKNNDRGGS TTGRLWNQFKFGSIEMDQLGTVRVKLINHKTNITALLDTIQLHESSRVAMTLDNHGGQ LDIILDKVDNIASRMGQRAASLMTTYEDDDKEVWKSFRRELVAEGFSSDVLTRHKDVL RAYIRQIDQNGLLEDIPVAAKARQPVLSVNTQEWLEQVQSPASASGPPSFNTTSSDSS IKELRVGEDNSKFLQTMKNERPKPESITTGWKEGIGDTKAPPVQPLPPQVPILYAPPV QPPPVPALQRSGSSNSRNGYGYAVAASPPTSVQLRSPIPEPEICTTESILADDSANES RIRMLEAPRADGRPRRQSDQNDRDQGNSPQGSPASSKSASSFTDANAMAYRPRPQQLP VPVPEGSLYSASPRGSGALPIPVRAASHHPTDRYGHSPRNITTSVPAGLAPDSQGREI PRDAKWTKIRRRLVSTEILEEDRLRYEARPEYVAILGVFSRSQIQDLAIRTAALRESR RLRSQSTRAPRPADPIPAPAPPKKSVHFPDEDTRISDTSDESSSTRSSADRRYSRDRA RRREEDGDRDRDRPQFAPRKQGQYRMPQSWMESSSGAVSSEGRERKGREREGREIGGA VKGGAAKGSRGSQNKRWSERFTAASIGGAAGSLLSVLSEAAQDISL VE01_01774 MAAKVTPYQERVYALLVQIPSGRITSYKSLSDALNSSPRAIGGA LRNNPFAPEVPCHRCIASTGFVGGFMGDWNKAPSGINQEKKIELLKGEGVEFDEKGML MDKARWWDEFKV VE01_01775 MASYLTESSRWAALEAHDPLADGSFVYCVRTTGIYCRPTCGARL ARRANVVFQDDASAAQEAGFRACKRCKPDLDKHDPQATLVARARHTIEKIQAEKGSIP SLANLAEEAGLTKSHFHRVFKKITGVTPRVYAEGLAKDGNAELKSPRSIASETPSLGY LASKTASEGPATPVLHQLPSVPEGPAAKGSVSSHMSPIRPQSRAVGMNETDLIDDLYS VASGLPSRVAVPKPRPKEIFYTIQPWKSSFVLIATSPNGMCLMDIEDSSDALLNILST RFPDAHLALSSWSPSRSSVGPKGHQNSQEAIFENIMNALVNPTGKILDIPFDLH VE01_01776 MAWEHLSINKPHLVYLILGGFTSLFMLCSSFIKERLYIGEATVA TICGIIFGPHAANLIDPSTWGNVDQITLEFSRIVLVVQCFAVGVELPKYYMEKHWRSV TFLLIPVMTFGWLVTSLFIWWMVPTLNWLESLVVASCVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFVYLGLYIIHYKSQPSQVAFHWIVVSILYECVFGAI YGFVIGYIGRRGIRWAEKNDLVDRESFLVFYFVLALFCAGSGSLLGVDDLLVGFAAGV GFSNDGWFTQKTEESHVSNVIDLLINLAYFVYFGTIIPWDQFNSPELGTSAWKLVIIA LFVVFFRRIPIMLALKPFIPDIKSWREALFAGHFGPIGVGAIFIAILARADLETGSTT PLAVLPAEGTPHYHLIALIWPIVTFLVITSIIIHGSSIAVFTLGKHINTLTLTMSYTT ANEEGPSWMNRLPRISSQSKSMSKMSDTDADDIKMEDLPPGALPPVGVPGAFLRRQKE EDTGKPNARSSSIIPRRRKKSKWDGYGPGGPVSQSAIAPQPRNQSQNTSATLTPESTS HEGEGEGEEERGERVIGKVQHDEEMEEIMHHGEEEERPEHHKSNAERHPHTYEAYQEG DHVIIENEDGDVLSVEQSPPEEGKEEKLGEVGAKAKEAMEHPETGYKQFRKKIGEWRH RDEGEEQKPKQKSKRGPALAYQFGNTIIVEDEDGEVVKKYDLPSHRDTKKAEAGAAKP KTPRKDKAGEEAGAQEGESSEAQQTGQSKLIQGLNKWSGFNAIKETQIFDSFKENKDD YKDDEHIRFTIGSAGRRLTKEDFLNEIRSLDPKGRAAVLERSDAPPAMKDLARRDADA SIPGSNRLFSAKNVQSGASAAAAKVVGAAMAGAHAPKPEELDGAASSSSSRSSEDAEE HRAVARKHRALFAHAQDSEDRGAGSPGRTGVIASTGSPSGEVPESAAERRRREQALKG VDDDDVPATKRVATPADRRRESATTARRNLVSGATRDDSETPAEWRRRIAALGHSEEQ EGDEDEASPTVPTLQSQSSTVSGKSRGIRFAEDPVRGRK VE01_01777 MEVVRRAMKRLPTVTDLPTMKEAGSKNKTSRWAFFRRPLRLKGN SAVSVPLGVVLLFPFMVVVCILVLFVRHPSSPGRGLLTPGGSPPAIRKISEKYDKVFV TGCDVPDTTKPRANAAFVVLARNKELEGVIQSIKSIERHFNRWYHYPYVFLNDGDFNS TFKETVQNYTSSSVEFGKIDPSMWGFPDWIDPRVAKEGIAKQGDAAIMYGGMESYHSM CRFYSGFFYKHELLQKYEWYWRLEPEIKYFCDITYDPFQKMIENNKTYGFTIAVKELK ETVPNIFRYASAYMRTNNIKSKGLWEMFVEPDPEGPKKKRPEDTPGYKPPIPEPILRK GSSLPDVDPEAMEGEKYNMCHFWSNFEIASLSWFRSKEYEDFFQMMDRSGGFWMERWG DAPIHSLAAGALLSPSQVHYFRDFGYRHTTIQHCPANAPARQLKRDPWPEMTTTNPRK RREEDEYWDSWDDPKENGVGCRCRCDTDIVDVEGKEGSCMAEWVDVAGGWASP VE01_01778 MSPKITSKSLSYDSALPPFLQRLRAENTGGSTAAPRARRAPNPD ADEEDEPVYVDEEGAALDDDELHSLGVTKKGGKKGEQAAEEAKPEAVEPERKEEKIAA IGAARKRKVGKVVGASEEEEGKGSDKAAVTPGKDKQAPPQKKKKVKKVKLSFGDDE VE01_01779 MVEVKRTVKVVTEQSTIPGRAPEVEGFPMKLWSIEIFLLDEAGN QIEANIFSKATYNLHASFANPTQTFEKPPFRCQNEGWGEFDLTIDLHAVEKGGKHTIA HDLNFAQPRYEAKHVINFKNPNPNLLDVLRKSGPVPDDANGARKKGGDADARKKKKTG QVDMDRLADGLVKLQEDDLLQVVQMIHDNKSDETYTKNDVDQGEFHVDLYTLPDALVR MLWDFVQSKA VE01_01780 MSTAEEKVDIAPEAVVSSEEKKTVEPTTEATTTEEAKVETPTVA PTSVVESATDAAATAASTVTAAATNMQDSVFSMFGGGAKKEKVEDEDRGDNSGSAKAQ KAAAAGENPEDEAPESEDVHFEPVIHLTDKVDVKTGEEVEEQTFKMRAKLFKFIRDSN EWKERGTGDVRLLKHRENSKTRLVMRRDKTLKVCANHYVVPEMKLSPNVGSDRSWVWN AAADVSEGEAEAVTFAIRFANSENANLFKEAFIKAQQENEALFNKPAAAAEASTEASA VE01_01781 MAIADRYNENANNVHMSQKGESCDGCLSKAIPLLHAHLSGSISP TCLHEIWLSKKQRDADGAAGISDPLQVLDPSKAFDLVTFFPLFSKYIYELCNDAESIS YSTKSVLQDFQDDGVVYLELRTTPRLIKQAGITKEAYVQLVLSTISSFESPTMVTKLI LSIDRRNSEEEASEVVDLALRYRDQGVVGVDLCGDPAKGNVEIFRSAFARAKENGLKT TIHFAEAPQSSTEHELRTLLSFGPDRIGHVIHVPDDIKEVIIERKLGLELCLSCNVKF GMISGSFADHHFMYWKGTGCPITLCTDDVGVVGSALSNEYALTAEHFGLEPKEVYELA RSGIETIFGGDDEMDKLRKLMW VE01_01782 MSNEVSPEAMQSRIQQARREAETLKDRIKRKKDELADTTLRAVA QQQQEPIPRNHMMKAKKTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYSTNKV HAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYNLNSNREGPTRVARELSGHSGYLS CCRFINDRSILTSSGDMTCMKWDVETGTKVTEFADHLGDVMSISINPTNQNTFISGAC DAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRADRELN QYGSDAILCGITSVATSVSGRLLFAGYDDFECKVWDVTRGEKVGALVGHGNRVSCLGV SNDGMSLCTGSWDSLLKVWAI VE01_01783 MAAQTPAQEEFQAILDKASRGGAPTDRHPEDANGGADEIDEADE EARYRARQMEEAMRAPSGGGGGGRLNLPPPSFDSGRTTGVKGVIADARSFEQARREGS RKVGGKEGRVNGGGEEEAMDALDALEEDEEFLEKWREERRRELEREGSDIRNRRTSPS VRRFGRFDEVDALGYLDAIEKVGRETVVVVFVYDIECGVSQVIEEALTPLVATHPEIH FVKVHYDDIEFDNAGVPAILAYKQQGELFANLTYIIDQIPEDTNFDTQALKNVLRKHK VL VE01_01784 MELKANFNQTSTTPMASNTISRLLGRYKNSDSRSATSLQSPNIS HFSKEPDLTPKYQNWHEFNPSTQRWSCIDATSSYHASSTPQATDHDTNLVLATWNIDA GEALPQPRTSAILSHLSNLTPSPDIIFLQEVSRPSLELLLGDERIRESWFSSEPDSKN WGGQIFATMTLLSKARFSGRARMGPVWRVKFQSKFGRDALCCDIFLPDPGSASGEEGD EKCVRLVNVHLDSLAIVPSCRPHQLATMASSLRSAERGIIAGDFNPVLPEDKTLVEEN GLVDAWHELRKGEDGFTWGIDGKASYPPNRMDKVALLGLVVRDIEVMDSGTVVEPGGR DVGQGGGRILPWSDHSGLRCTFGLA VE01_01785 MPLPSAHPVPDGAVPEGVDERVANWLEDHPDSAEDMATNTPPRE ADAGSLTDSTYEFVDDDTESRDGNATESVASADYCRPDDVTSLADTENSFDDTESEKS HATGDTPGLDSNNEEAEAQEIGQSAESFLQTTTGTSDQPIIFEEPQAHRGPIIASLPI KDLSEDEIKAITPYLKLRGNRVPDRISASLSQTMMSTRILTTVVPLRILYVGSRGAMH DIIRKIGSAVAAYMDSDPSSSSSSATFYNVIPVSDFGSHHAPEIELMPSSGWNFQVEE CLKAVNFPAESTQGKEGILELTLDKNTYRSVPQNGEFHVQPKWELPHLSIFYCSENDT EEMRQTRMAASEFTSRHNIAQIFITHKPMLEKPLEWEIPLGHHPIHLSLEAMFSSSMP RGTVHLRMPIDLASFMSIDARQMNKNLAYITFRAMTEQGETSEAAGSSGSKVVRQIPK TTPAVKGLTNVKATNSRNVSRFLTGLGWRDLVPLGLLITGILASIFANFYSFKPMPAN LVSINGNLTSTHPSPVTVITSTTTTESAVISGSASYTARVQTKTIIKTIDVEEVRSSS TSISLVQSKDVSAVAEPYDGHTMVCTAERLDDQEVLIRIPSATKLSWLAKEAMLVNVT RNNETVDIERVYSSHYGIILHFARHEAHGNLQISVITTKHPKINRILHVDLGSSWTRG FHETLDKLCQRVYDDVLPKDFNLDETLTRIDEWRNQAVLHAQFLAHIGRQQAEVQGAR AAEAGRELATQAITTGVELADRVREPLGAALLKAQVDSRAQWLRLTGRVDEAKEYQRK ASEAGVTTGKCSQNTCGWLGRKVTKEAVKEKEKPKDKAKPLRDSLKEQKEQKETEARK VVFKARIAEKKKETKARRDVLKERKASRKTCSKKRKACRSKR VE01_01786 MSDAATSSSAAAARPASNSLKANYLLGYNAVSMFSWLSLLWHAG LSLKVEGLEYVHPQVDTFWKVTQSLAVLEIVHSAFGIVRSPFMTTLIQVASRFLIVWG TVTPFPEVAKSWVFISLLIAHGVTEVIRYGYFAMALSGETIEFLGWLRYNTFIVLYPL GIASECWLMYLAIPYANEVSELWGYFYYAMLAIYIPGTYVLYSYMMKQRRKAMRGKAV EKKNQ VE01_01787 MTPSTAPQVKDPRRILLIAPSDATASTFLKDLTGTAPPPPSAEV GTLAGSSHPLSLKTTYYVADVQIWIDIINPDGINVWADMFCKKEAAEVLAAVGGYVVL LNRGKGRAGWNELERVLKEVDRVASVSEGDAGEVVRLGVLLDATAGEDDKGWAEGWEV VDGAATGKDESGEVVGVDRVREALEANEWSGGDGGVEGLEKELGLGFEGDLEGGFEIG EEDVEGMRRPIFGKDVEEEEESVEGLEALMLKMQAMRDLGAGMPEAERKKFAAKAVRD LMKTL VE01_01790 MSTAIVPSGGSDNSNVFTQQGTVDWTLLSGSTVNFTIEILARLS KAGVEPITAAMGQAIFAGFNLDPDGQIRFSSTISRLKAFSSYGNVMWFGFGVKHIIRT LSETEQGATCAAICACLSVSYDKFFCSKVLKALADQQKAPNTLVPSLSQWAALANICA GAVSGSRFPQLVEVYSRIMQSYDGDSRNALQETTSPDKLARAILELGKVSSGTVQSVT FIGGVDCGWLAALAQWLLSLKVDIVAADGSVLYSSRTSVDPSYYPQVSIVQGLGHNGR GSSTLFKRSVFVMPGKLFFGAKWDLVDRQRHFFSAGRSEWRTILHDTFGADFDTLLHP EIIQDFAELLCCGFSVSDSDNGQRHVDPWRGLSTTSTESRLLQLSFAAQRLPELIPKT DRYDDSFRTDTIKDKLFQKPLMSKAIELFTGLPETDHQWAWGLSAGCKAGVCAYLPSL KNPTTSPPEQLRVIVVAGHIEWNSKTFSMVKDGRSEMVEVSLGDLASTFVQTYGLNVD LKLRVQETFTSDVLEADLWVSSKPIEPLQRISYHDGHIVDPPPASSSPTRIQTFGAAK IRAAIISSRFTSSCHSESVETNALSIDGPICWSGPCSDAAKYLWVDGYNNKSYSPTNE EWALISQSECCQEIVRGPYQLLYSVICRMKGKAKIRLGSATCLMCLLGTHRNLRGVEH IRIVIGRGNHGHSRWSYSHIRNLERVTVHSFLGSRPSSQVLLTSAKFPSGMPTKQPPL GPDSAVSGINQESNSGVVPGRPMLLATPQTAQSPSEPENTISSNNQQSDSSVAPSPAT LPPTSRPAQPPSELGHTTPGIDQKESDSSVIPSQEIKGGIPHRGRDFKSPNPREIQRA RSL VE01_01791 MSEHGDNEPKKMATPSPSPSASASNSNSASRRPPRKSTLTQQQK NQKRQRATQDQLATLEQEFLKNPTPTASVRERIADEINMTERSVQIWFQNRRAKIKLL AKKGIEGGEDIDNIPEGMRQYLGLQTMESGRPMGANFMGRDGLVSYRAGGMMGADQGP QGKVLIHHFHCRSLQIGTWKRIGQTMMDLIIFYSPKKECMTYYINNDQAGYKIEYPFA YIKNIYLENGDIDGGSQGGLVVELNRPPLFFMDCSGSGGFVDVGDFTEEHQASQVLIH RLGGHPKVLSGQLAKLANLDSFINRHNPFESQHQLAVSAPNSPIGHRPASQPNYNAQA HVGMFQEQWGIGVHPGARGPGHKRQRSRSVPTAIDFSMFSAPMPSFLIQHPAEHQSHH NPNIFAPIPQQPNNLTPMGSNLRIDTSTGYGMDFRQYPMSAATTTPPSDYASPSFYSQ GPETGPLPASSYNTPYTVPFLSPMVDPSSMVPPSVSPLSFMSHGDPAIVDQSPPLSMM HRSGSADAYNMNHASLSDDGSGLNEMYSKHSLTLPMHQNSPSPAYLEQSQADMEMSQL VEFEPMDPAGLSPEPNSGHR VE01_01792 MAIDPSAILSENKRFFENDATTLLRLGDPKVYLIQSASRIIRDC PPKLPWASLFRGHVHRGLFVGPTAIAYFFWTLSTKHPDLEIEGKLPVDWCKAYIELGQ DSVPPLLEESCGITNEFLTSNAIKACLYQDESRAMEVISALRSLETDPTYCEWMKGRA GALYILRIIRKWLPNLATAVNEVITLLIDEILPQQPWSWSDRKYIGAVHGDIGILTQI VLSDPSYAPKLESNLLEILELQGDEGNWPVIEGKEIGLVQFCHGAPGVLISLLPIRQY FPALHEKIDKAIALGRKVTWERGLLTKEPNICHGITGNALVLEAPQKEHFLRLATPEK VAQGVSDGVFEKDADPFGLLWGEAGRAWVWMEVWDGSEGKLILYSDV VE01_01793 MFWGRGLLSGGYSRVANGGLTVRHHSPFTRWHINAFTALSLLFL AAIVYCHQTFYRDPGSFFFDPIEGYRPFYSVVRQSQVNEFLIAEATSPSPPRNTLGGT DAAHKPTLCIGMSSVKRPEDQYVQVAVGSLVRDLTPEERNSIHFAVLFAHTNPSDHPL FNAPWLSGLLDAPLSYNVSDSQMAHLKELEKERKFNEKLMFDFLYLIDHCRQTGATWI AMVEDDVLAQDGWYHHTLRALEEVKEKHDENKTWVYLRLFYTEKFLGWNSEEWPTYLA WSIFFATIPALLALIIRNRAPSARKNLTNSFIATICLGFVPASIILYFLAGRVSMQPL PPGVSLMNNYGCCAQGLVFSQDIMPKLVARLESVPPGPADVAVETWAIEEDFERWALT PSVIQHIGRKTMKQVFGEPDKPSERYSIGAARGLWNFGFELHDSEALKFEHMKVSGQL G VE01_01794 MLDENLPAFFMKPNPDDPLRSTIYLGQGGQELSPEYTLRRPDPK QTPEARNCYAVALYDSHNPDVLYAEVLVQPEWTQPTLSQAEIRANNGLTPPPVPIVPN SFTIQLYNPDQQVAVKQIAGSWNSSAYWEFEMPQQTFRLPSASSLDREQDDPAASIAT PKVTFKWKKDGKLSRDITCFMAGKSTNGKKSKEPDITIAMFRKGKEMTMYEPNMHRVD VEDTKGLEVVILLGATVIKDIFFEASKEMFNIGTVAAPAVAAPVRKFSGPAPGPVMSG ARQTAAPIPALGAYSLPPPISNAPPPQRTQAAPPSNNPSMSPLDQWQIDQETARLRAQ VESEERDRDRRSRDEQKERDRRDREEQKRIKKMLEVEAKEQARRDAEVAKETERLRKQ YGVAPVRDEGGPRPSVHFAPPLPARPVSYIQGPAMPPRPQGYTSGQQNRQNLYNQAQP MQQLPQHFSAPHSQPSPVQRPVSANAAQTGPFSSQTLNNWWSGPNAPAPPPTKNKRST SGTSHPQTHGSSSGSVSRLLGIGIEGEGGRQKVKKKRSVYF VE01_01795 MAPFNHFGAVFSTPLPPVSPPSTKRGVREQRAERRALRDFQPER APNTSRDSKLRRGRRLSPRQEPAVVDLDSASTGEVSSASDSGSAPAATERGILGDAIA GVGSAVGSAAGAISGSNSDNAPAATERGILGDAIAGVSSVIDSALDPILGGGQSSTAV AAPEKTNAAEVESKSTPVATTASEPEAGAITSNKPSPDAVETSRPAIITTTPTEHTSK SPDAPASSAPPVVAVAGTTGVLSAEAAATPTPSNAGQLTRFNPEFTMAFPTPTAQLQS ADRVSLGNSQATGAAGQKAQGQAVDSIVSSDPGSDSDSDDGIDSGVESDDDLDSDYED DATESRLVAFTTISGTPLSTLSAVLDPTAVRNLPSNVTPVSQTGGQTTIASAAANNKP SPLTPEASNGLIAFGAVGGVLLLSFVVYILLRLRKTDAFGCFNCCGSKRKSQSNASKS LYHASGVFGTAAPSETQTHSRGFSAFFNPMIQLRAAEYEKDPSLGQPYSRNSLIQNAA PIAVSQQPMPSRDDPPPMPVLPPAYRQANGKNPRMSEVSSLSSGFGDAVIDIPESGPT TTYLSNKSAQVLPKPNNQTLGLDLGPRFSWANVPTPRTLGTHRNRDTVNTATTTTSRD SEPRFRTVTSWVNQQTNRVMKNQGDSGASSVSSQERSSVPDVPAVPEAYGGGKHQRMP SAETDVVFRAHPGDEVEIKESSRIPSTLLTRKLRL VE01_01796 MPVVVSPMREEDIDGGIRTIQEAFANDPYNLWIFNDRSKIDLNR NRVSLRIRCLWGLRNALFHVAKEEGSDKVLGIAMWMPPRATNKPLTWGEWFWNGFEDW RLWGNQVAMNLQYGRGGLNVKRYYIWKARQAEAHKEVWTDPKGYYFLNIISVLPEAQG KGVGRALFNEVTKKADAEGIKCYLESSRDEPNTKIYEAMGFKFVRGMDCDDDGEVCKL YCMVREPKVIA VE01_01797 MASSIRASTMLQSPSIGLQSVARRSFATLPRARPSTSFVNASRL QQSSRRGYADIKPVEPVKPRRKFRTLRWMWRATYLSLIGGVAYMGYGIYELRHPMEQP IPDPNKQNLVILGTGWGAVSLLKKLNTENYNVIVVSPRNYFLFTPLLPSCTTGTIEHR SIMEPIRSILRHKKAAVTFYEAEASHIDPVRKTVSIADNSEIKGTSAKTEVSYDKLVI AVGAENATFGIQGVTEHSCFLKEVGDAQRIRTKIMDCIETATFKDQSPEEIKRLLHMV VVGGGPTGVEFAGELQDFFDQDIRKWVPEISDKFKVTLIEALPNVLPSFSKQLIEYTE STFKEEKITIMTKTAVKKVTENTVEAEETGPDGKKTTTILPYGLLVWATGNAVRPIVR DLMSQIPAQKDSRRGLAVNEYLAVQGTSDIWATGDCAVAGYAPTAQVAAQEGAFLARL FNTMAKTEHLENRIDELSTSLNVTRTDAPVVATELEDLQKSLRRIKDIKPFHYSHQGS LAYIGSDRAVADVTWFNGNFASGGSLTYLFWRSAYLSMCFSTRNRVLVVVDWLKSKAF GRDVSRE VE01_01798 MDSSSEPIPAMASSPSSPGTAYWILHYVQYAYPLVLLVVFLTAF VAHSIISSPSNQSVTSRSTLTGPGGKPLPPTSRPPSNSPPVPTNDGFGKTRSLLFCWL SVGLIGTFVGNIVNIVVHAVSERKEGWWCGEAPAAYVIGAAFLYSLFLISLVDTHPSP SVVHLITWSVALVGELVLIGATMVYITSPHREINSLNPDTPILEVPNQWEIVEVLCDA ARVLFLVLCVAFYAFFSYQQSSSPSKRRTSRERAAARDERTPLLNGHTVSGDVHVENG NTEHENGGHSPGESAYGATTMNGHAAVNGDAAMNGHGQGPAGPTDSAAFYRPTTAPSR SWWEYLRGYSLFFPYLWPNKSLRLQITVVVCFVLVLLQRLVNVLVPIQMGNVTDLLSE DEPEEEGILQMLKGGPTGPSMPWLAISLLIVYKVFQGTSGLLAAGRAVLWIPVSQYSY QALSTSSFEHVHGLSLDFHLGKRTGEVLSALSKGAAINTFLEQITFQVLPMLIDLVLA IIYFSIKFDIYYSLTLSVVTFWYLYATVRLAQLRAEQRRRMINADREEDAVKNDSIQS YETVKYFGAEEYESNRYRKAVQNFQNEEYQVTLSLSVMNIVQNMIFMLGLLVVSLIAA YQVTSGLRRVGEFVSLITYMAQLQQPLNFFGTFYKNVQSAMINGERLLELFKEQPTVT DHPGARDLNKCEGRITFEGVKFSYDQRKPALQDLTFDCPPGTTTALVGESGGGKSTIF RLLFRFYNEQAGRILLDNHDVQNLTIKSLRSHIGVVPQDTVLFNETIMYNLRYANQNA TDEDIFNACRAASIHDKILSFPDAYDTKVGERGLRLSGGEKQRVAIARTILKNPRIIM LDEATAALDSETEQHIQEALKRLSVGRTMLVIAHRLSTITSADQILVLHEGSVYERGT HDQLLAKKGRYYNMWRKQIRAERAAEEARLLSDRAAVLREQALARPGSCDGLGSEETS DNDTAHEGAEAETEADVEEGRPLLGGAAAATERIRGPFRGEAFLVRRSTHDGIPPGSP P VE01_01799 MSQPSPTGVVIPKTPAAADAANSELRSEINAQLIKDGHIERIHN HLKHILSSDASDWPTRIQQHALALLRSPDSECDTFPRLMERVMADIREDTNAARREAG ARKRAEERGEVYTGRGEGGDMRDTRDRRGERETGGLEIPGVVMQEGVRITRECLEMVV EVRP VE01_01800 MFLQRTVIAARRAAVNPAIRRSFSSAIVRQEANKYSVAAKAKPF SEIKDHDDLLPQGAQPGTISTDLEQATGLERVEILGKMEGIDIFDMRPLDSSRTGTIA DPIMVKSFGEEQYAGCTGSPADSHVTIWLTMSRERPVERCPECGSVYKMEYVGPQDDG HHDHHHHDDGHDHGYAHPLLEEPKTFADFVKPEYR VE01_01801 MSAEPDHVSKKKVNLHDASGAEHKDADDTATAILKKKKKPNSLM VTDAVNDDNSIIALSNNTMEVLGLFRGDTVLVKGKKRKDTVLIVLADDELDDGSARIN RVVRHNLRVKHGDMITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVFLAPYFRESY RPVRQGDLFMVRGGMRQVEFKVVEVDPPEYGVVAQDTVIHCEGEPIQREDEEGNLNEV GYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLMFGPPGTGKTLMARAVA NETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKTN GEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPT GRLEILQIHTKNMKLGDDVDLEQIASETHGYVGSDIASLCSEAAMQQIREKMDLIDLD EETIDAEVLDSLGVTMDNFRFALGVSNPSALREVAVVEVPNVRWDDIGGLENVKRELI ESVQYPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTLLAKAVANECSANFISVKGPELL SMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLLT EMDGMTSKKNVFVIGATNRPEQLDNALCRPGRLDTLVYVPLPDETSRAGILKAQLRKT PVAPDVDIAYIASKTEGFSGADLGFITQRAVKLAIKEAISLDIERRKAREEAGEDVDM EDEDAEDPVPELTKAHFEEAMASARRSVTDVEIRRYEAFAQSMKSSGGGAFFKFPEGG DPEAQGAGAGSGGFGEAGNDDSLYD VE01_01802 MSGYDSDESMGRPRPLTPGLSETRSMQGSACSSEDQNSSPERSP KRRCTYNPYEPRLNGVGNHMASAASPPGHPTTSSFVPRSPVRSWTTPIPSSLRPTLPT PGLPCSTILSSGLPCSTIPSSGLPCSTVPSSGMPSSTIPSSDMPSSTISSSGMPYSTI PTPGSPRSFGQSREFLSLMLSGGLLYNRGRNPYPVSAMALAAERYSRVPASPTLRIID WRMRLNSWPEVSRSQADAEMDTCEAALSRSSTW VE01_01803 MARNEYPESEGLQVVPAHEGLQVVPPQPGLEVAHSSGVEKLEKG GDPAYQGIEVAPGGGLESAQPAHRKGTATYCGLRKRTFAIAAGIILVAVIVAAVVGGV VGSKHSTSNKNVDNPAVSGSSSSGTSPTKTASHTTTTQPHSTTTSSTGPVPTTALPLD CPAINGTSRAIKSSNTGTAFNFDLYCETNFAPGKDTGGINATSLDGCVQGCINLIELG APCVGVVWDSVLNLAIGHNCFFKDSTEGGLLISKSYKTHPAAATLVT VE01_01804 MGSKDSIEQGKESAGFRKYIPDLNTLRFQTAKVQDCYEYAENFQ KSHNPPWLYDLTQTWQELLREPYKGLTTDGNVIPNLFQPQDEGVPIESIVTAVDKVFD QINPEQKEKVSFPLNAKEWRAWSNPEILLRPLGLRLEEVDAKAAASVLDVLKATFSKE GYEKALAAMRINQYLGQICELPKIMNELSYNFLVFGTPSKDKPWGWSLYGHHLCLNVF LHGRQIVISPTFTGAEPNLIDAGPFAGTEILHDEGNLGLELMQSLPGPSQEKAQIFKL LRDPAMLQSGDLAKDRWNPDDQRHVCGAFRDNRIVPLEGVSAATFTAKQKQLILDIAY HFVLYLPDAARKIRLEQVADHLNETYFCWIGRWGNDDAFYYRIQSSVIIMEFDHHSGV FLSNTEPEKFHVHTILRTPNAGDYGAGLRSAEDMVE VE01_01805 MADEVKKLKICIIGAGMGGLACALALAKKGFQDIEVFETASNLG FVGAGIQLAPNMVRILDRLGVWGEVEKEAVILKETSIRQGATDTELGHVSLDHVYKTY GYYHSVGHRASLAGALYNGCKREESIKFHFSTVMGGVTSFSPKPTFTAIPRDGEPYTV EADILLSSDGVKSTTRVEMLKQIGATAEIIDTKQAAYRIMLTRDQMKHDPELLALIDG QRATRWVGEKRHIIAYPVSGNNIYNLSTTQPDTNFAAAPSETYTTKGSKSAMLGVFSD FCPLIQRMLNLVPEGEVCEWKLRVHSPLPTWVHGSVGLVGDACHPTLPHMNQGAAQAI EDAGVLGEVLALLPDGSPKSINNALRVYEGVRKERAEMLVELAAASGRAMHLGEGKAK EERDRAFKEGKAVPDKWADAQVQKTIYGFDCMEVAKEAFKEEFGKM VE01_01806 MAISTDEKGLSQALAGLPSNGNNGPGKPSAEHSEDIELTGESGA YVHPKTTDALSPEHREYLMQRHGTLELDPVPGMGDADPYNWTSSKKVINLILVAFHAC MSTFIAASIIPAYQTMAKDLGRPLQDITYLTSLQIAILGVAPLIWKPISHRYGRRPVF LLSLICSLVGNIGCAESPGYNSMSVCRAIVAFFISPPAAIGSAVVTETFFKKERARYM GIWTLLVTIGVPLSPFIFGFVAERINGVQFILYLFLGPETRYIRNGVTHHGSDFKQEY LQFSRIDPSPISAYEFIQPLFLSRKLCILLPAIAYAMTFLFCSVLVTVELPQLFGEKF HFNDQQLGLQFLGLIIGSVIGEQLGGILSDTWMNRRAKKIGRAPAPEFRLWLSYPGFM LGIIGIIVFLVRTAQAPELQWDITPVIGIAFAGGGNQIITTVLITYAVDCHPQESASI GVFITFVRQMWGFIGPFWFPAMFENVGVAASAGVAVALIVGVSIFPTAVVHWRGPSFR KIRQEEDIEPQGIETSKA VE01_01807 MECRYCRKTFSKGEHLRRHERCLGCKDTGSRPFVCADCKRPFAR QDALIRHERLHTRKEGAEYASPASSAATQAPAVPTSMSQIVNTGVRRTAPSAEVGTPE QTWMEQQSMNSDVPDMLQAPDIGSDLIWPDSETLFQTIMSMDSTNQWQMPLGTLPFLP GVQQAGSDICDSQSNNFKSPDSFDDRGPAISAIPSGGSHRAVHDVSKMVSNLSSSVTA AIEATSITSVFLDECLHMFFVRFIPTFPVLHRATFVFRESTQPLLLNAMAIGSLYLGP KDSIAKGEALWRLAHIAVATNWETLITHRGPYDSCKGVQLVLTALLGQVYGALSKNRD VRTTSQAFHAIGFFWARHCGMFDNPPYPTTNLPPLNAPEATIDQEWRIWTAREIQQRA LLGHYVLDGLTARMSGRPTSVRHAANSLNIPSGEAAFEASTGVAWLNCMRSENPNPPT FRSIFSSLFSLQSPPPTTLTFSAFSFRVILEGLQSLVSDCADDHATVGVPAKPEVRNA LLQVYTWITASTHLPSADTLEILLQWHTTCLNACIDSSLLCRHICARYSIPQHVWGGS QAAIPGLDLVKWANSEDSRTALLHAIAIQEMVEQLPRGRAHVIHIPSCLFSAATIFSV FALAGIAQVNLPSVVDWKYLVSRADDASVAMTALAEASVSSETKRFVMGEHPSMFGVG GATRNLMYELNSMQKLFRCLTSQWGISIDMEVVMDQWIALCH VE01_01809 MADTNKPLGPFKLVTVNKAPERAKRLIGRVVEDVKADYTIIHAA NAETIDEVKDLVEKNQPDVLFCASMWTPEESDRIQAIARETKPGIKTMALPQGLQVEK GPDAVVEYIIEKWPGLVAE VE01_01810 MAAASFNRKYSKQTGKRYPCIFLTLSTGLVRFIPKADSSAILLG QPADSALDVGAALRAGKEVLVDIFSGKSVISPGSKTGKTESIGRLLSPLAQEEVGTIR CIGLNYAQHAKEVKMALPTLPTLFLKPETALADPWPTPFTIPKSTIGDDTTDFESELA IVIGRPAKDVSEADALDYVLGYTAANDISARNAQFAQTQWCFSKGFDGACPIGPVLIS SKEYDVSKLRVRGIKNGRVLQDCGTDDLIFSVAKIVSFLSQGTTLKPGTVILTGTPAG VGHGFSPKEYLRDGDEFSVEILPGIGTLTTAFVNEK VE01_01811 MGPSAISRQRQGKKANESYGAGCVVQKANESYGAGCVVQKANES YGAGCMVISIRASSCSKYSTETSSLSLQFASMAPLSNYAGCQGLDLDFSLSTDGL VE01_01812 MAQERRISVLDKSFESRLPPSSKMLFDAKAGQSLSFEAIAKQLG RSEVAVAALFYGQAAASQEDVEKLSNLLGIPLPTLESQLLGFPDRGRAGPMPPVEPLI YRLYEIVQNYGYAYKAVLNEKFGDGIMSAIAFETKVDKEVDESGNAWAIITLKGKWF VE01_01813 MSERYIPEHRRTQFKAKGTFKPEELRRRREEQQVEIRKAKREEN LAKRRGLASADGSRPGASLGAAPDSDDDTAPTESQLNEDLPQMVQGVLSDQIDKQIQA TTKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQT QVVIEAGAVPIFVELLASHEPDVREQAVWALGNIAGDSPSCRDYVLSCGALKPLLSLL GDSRKLSMLRNATWTLSNFCRGKTPQPDWNTILPALPVLAKLVYSLDDEVLIDACWAV SYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIVN CGALPALLSLLSSSKDGIRKEACWTISNVTAGNSTQIQAVIDANIIPPLIHLLSNGDL KTRKEACWAISNATSGGLQKPEQIRYLVAQGCIKPLCDLLACPDNKIIQVALDGLENI LKVGEMDKESSGEGPESINRYALFIEECNGMEKIHDCQTNANEEIYMKAYNMIEKYFS DEDDNAVDDEAAQQAQGANGTFGFGTQGQSGGFNFANGGDSMDM VE01_01814 MATELSPTMALPKDFKSIREISDMDAIFVAKQKPFVNVVGLIRD YQPPRQTGGSDWKMTLTIVDQSIEESYEVDIMKLNVFLPRPDMPVIAGVAQPVLIRSC RVQIHSGAISLITNFRTEIHVFPVQTPTDTALPPPTSKRGKVNIEEVRYVAWLQTHIQ RGAFPDSREFQERVVQATNLKVKSCELKDARPGMFGDIVGEVIHMFDVSDRTTVYVTD YTSNKNFYNHVMPGVHPIFEGRDGDDFGYSNSKMIHKSKTNEWKGPYGKMSIQLTAFD EHSERFRDYVKVGNWVKLLNVHFEFPKTGGHLEAKLRTDRRAHPGKLQVEVLAPPENK EDMDYMWKNALRRKRDYQETVKKQKREFEQEIEEVTGKRKRDDDDEPVKMNGKLRRKE KRKAMQEKLQHKSKIEAGQGKPIADTPAEDKAALNTAVRCHKQTSPAIPLALILNNRR RVPASTAGGNETYAPFVNANYRANLRVTDFFPHKLEDFSVGRKPNEFDCLSDFDPTSD EEDDINTVGKDISDFVLRDNDHLQWSWRFALQVEDASPSESPTKERVWVLIDNESGQF LLTENAANLRTTPDVLAAVRERLFVLWGDLEERKAAYLNSAEGKILLPRSLESSSLSR DVSPPPKIPQKLISRPGEQPPDSDEEMPDDESPPQKAPAERDPNSLESIRKATPKQAA TRLAAKLREIGDQAQNKPFPGCIREYGIKVKVEEPASDEENEDDEDDEDQSQRTEKPV VATKWERKFGLHGTIIV VE01_01815 MAQMLKALKKEPGASNGEDRKPNGIKSKLAQKLKAPLRRLKTGG KPEENEPSRIAHRPVFAARNVPEGVAVTGEDSTRHQRARPKRAKHERRETRRHKEQQY GISAREKDAKFLNHGPPELTKLYKPLSINMSKTRKRSDEEMEYYGRYQFEELDFSDEI GHIITFRARIHTIRRLSAKLIFLVFRQQTFTIQGVLEASHPDDGDGDGGRYSHQNMRP NGVGNELGGIVSEQMVRAVLHYPLESIVLVKGKVRSPPDTVHTATIHDAEIEVQEIHL ISQLSEHVPFSVYEAENIGRFSLRESEEASGESDEEISGESNGQGSQTTAGDGHSSET SVERGSRGSTEGGRHSKALPQTYRLNNRIVDLRTTCSQGIFRIQSGISNMFRSYLDSQ GFIEIHTPKLQGSATESGASVFEVNYFGRPVYLAQSPQLAKQMCIAADFERVYEIGAV FRAENSNTPRHLTEYTGLDLEMAFEEHYHETLDLIDEMLKSMWKGLYERYSREIEIIS QFYPHESLLWRDETPRLSFSEGIKLLLSDGWTDELGNPPSDTEDLSTRAEIRLGQLVR EKYQSDYYILDKFPASARPFYTMPDPSNENFTNSFDIFIRGQEILSGGQRIHDASCLE KRMRRLGVEPEVMNDYIEGFRWAAPPHAGAGIGLERLTFLFLDLGNIRLASLFPRDPK SLPRHLGGPILRHPEASTTNPPWADKTNRNQEIVPKDYQPLEKLIANYGDAANTSWLD ERYEIWRHPSTGAVQGFVPYHGFAITVGEPLCAKSQYPQVVSGYLNYIKTERRDLKPL WLMAGPAIEEFLGDKCNWRTLSPVAENRVDPHNNIASKDHDIARKIRHAEHQRVKNFE WPKTKPVPVELKARVNERIGEWKARRKAGAQVHLTEIRPWIDEEHRRYFYATDGSRDG RVHAILVLHQLAPENGYQVKFMLEFPGAPSGTIESLSVFAMAAIVAQEPDVHSITYGT GATDTVVGGRNMGKGKLKLLKKTYEIINGRLKLTNKTEFREKFGASLDRVYVCYPWRG LGPAGIKAIVDFMQEES VE01_01816 MDSNTGYRWLLHLKAIIWRYLMWIGMLIHHRAQPASRPPSFIVK APSRLSVQRGDICLVFYVPEKYHTSSDEHRFPVIINFHGGGFTLGTGTDDARWARYVV EKTEAVFVSVEYRLAPKYPFSVAGDDGTDALIYLAAHSEELRLDPQTIILGGFSAGGN LALTIPFIFYELKNGSEKRFLRPNDSDGADQNDLSQMNSPPTVPQACQASSQSTPLIE CRATALETVQEIPPLTICAIVSFYPPTDFRIPRATKRTTNSRPELNLSAALTNLFDDS YLSSEYAETSLHLGDPYLSPAAASDDLLRAAYPQLIILYTCEHDMLNAEGVTFGERLK SPNIGKTVKGGIIENVPHAFDKMPNPMKYREAAERVYGEACAELIAAGLGSGAHQTER AQPKHSKTVDRF VE01_01817 MSDSNASPAEVRVSVIALVVSLVALVATTGQLLQQYFATADGYR RCQSSVMGAWGKKTRLRWRWRQFRFETLYSTPEIFMTDMFYPICSAEYLLGLNDMELN KLWSAPGPNNYGRLAGESRSNGDLVGWLELLSQMHRSTHKSLSPAKTKDDVNREIKLV NAHQQFHSDYRRIPAIEVVERSWDFQPPDVSRPLAKTTLSTLAVMARRMGMRWKVFRP EDGIMHAEGGGHVLTSTVVRTLGLVVQYNYHGQTGSYFLNNSYSKKAGLGTARKNEEI YIPTREADSLGAGVVRGDERLGLSDFVLTTQDDIVDALNSLVSRNGMGDLLKEILRFD AGFMFPVGDLIAFSMIPMCIPNQGEYMSLVQIPAPSDNTGGVTASRAGRLSFRGSLRD HLGDVYLHNALETQSFADVLETELSAGISETASSTDPARFTASWALTKIEQLIIEADD DDNLKKNPPKNAEDEGWIVRRKTSVVTLALDILAQCSDYFSSLSSEIPQFTYPAFLDS HIRCCDFGFELKDNWDNGREPGSIERRMMQNFTRSEYDQVRQYFDRLPLLMDHWKMWG WTDKGQILDVWTVMMLRAFCWGICHFFVPGERVPIRYYGSQLPVWLS VE01_01818 MSTTLGAFIAGGVAACGAVTATHPFETVKIRMQLQGELQGKSQQ PKLYKGPIHGVTVIVRNEGLRGIYRGIGAAYIYQVLLNGCRLGFYEPLRATATKVVYG DSTVQSLGVNVFSGASSGILGAAAGSPFFLIKTRLQSFSPMRPVGTQHKYKNALDGFR SIYGTEGLKGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLVKHFGMEEGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQNGNLYKGVYDCLSKTVRTEGLLAIYKGYLPHLARI LPHTILTLSFAEQTNKWMMKLEKKILPAGAI VE01_01819 MSSTLMDVDSPRPAPNANGGIGLSRSGINVPAIAKVSDIISNFR PTRLFKRDYHNEPTTVLSLDFDDKGELLMTSETDESIQLYNVLDGTFNKSLFSKKYGV KHAKFTHKSTSIIYASTKENNDIRYLATHDNSFLRYFKGHTGSVTCLTMNPGSDTFVS SSLDNTVRIWDLNSVNPIGMLNINSPHLTAFDPSACVLAIASPAAQTILLYDIRKFDE EPFASFDLYPYAKHDPTARAWRSLDFSNDGKSLLIGTAGNTHFVLDAFDGKLKHGLIR KSGGARRLPLSKEGPDGEDDMEALHHTSGDVCFSPDGRYVLSGQQRSNVLVYDITGAT QAGGNTPMVELESKSEVAAIRYNPRFNMFATADRELNFWVPDRDSV VE01_01820 MTPLTFRNGISIALLVLYAPLLLLGAFLSKRHGFGRSSGWIFLV IFCTLRILSGALNLAIINSPTSTSLHTAYLITNSVGLSPLLLASLGLLNRAIESIERR AHSVTITPRLIRLIQLLIMIALILAIVGSINLTDAKTDGDVHDARTLVQAAVGLFIAG YVILCLATVRVLFSISSAEAGERRLIPALAAALPFLLIRVVYAGVGAFGNDAKFNAVT GSDAIFLVMVVLMELAVVIIFEGVGITLKAIPKEDRGKVGDYMEMPLTGGLVGKFVGG RRHESRRHGSRRGESRRGQPVAETRYGA VE01_01821 MAGPKVLVFGGTGPAGICLLRELLHRNHPVVVFARTPSKIPEDL ASNPLLEIIQGTLTDLDALSTAVSTSHTILSFLGPTTTGKFDPTIYSTFYTSLFNLMR EHSVRRIFAMGTLSIPQPEDKFSILRLFLVFIVRLLANSAYRAILDIARAFEEAGEGV DWTVFRIAGIPGGSDEEAWKKDREEGEVFEGFIGEGGWSVMQKRGALARWLVDATEDG KEKWIGKMPAVGKLKGSKRKVD VE01_01822 MPSTWSRGEATTPSGHCQESDMEKGMYDSTQSLLILPPLAHVGQ MPTHTEGPLSPISPIHEGISPFSSVPRLSLQWPKGWQAAKAPPPDQVAKKPARPKQKI SRWITFQLWFNTYRKFFTIVTLLNLAGIIMTALGRFPYAESHLGALVLGNLLCAILMR NELFLRFLYLIAIYGLRSWAPLSIKIGVTSILQHVGGIHSGCALSGAGWLIFKIVDII RHRSLYHRSVIITGIITNVLVIISVLSAFPWVRKLATIITYLRSTTALSDGWTTWFFV VLGNTYDITLGQWRVDTYSLLHAQELWFVIFMTIFVLVPWFTLREVTVEVEIPSPKVA ILRFDRGMQQGLLGRISRTSIMEYHAFGIISEGRKSGHHYMICGVQGDFTKDLVMNPP KTVWTRELKFAGVGHASAMFKRGIRICTGTGIGAALSTCIQDPNWFLIWIGSDQEKTF GPTITGLIHKHIEPERMILWDSKKRGGRPDTMELLKATWASFGAEVIFITSNMQGNDE MMQGCREAGLHAFGTLWDF VE01_01823 MKLQNACNLAAALSVASAHTIMQSFNGNPMGDAIYMPSSNMYQS DVNANTLACNGAPATGFRSSSKKITVQAGSTVTGLWLHELGSTDSNPGADTDNKVIAS SHKGPVLAYMKKVSDSTQNPSAGPGNGWFKISQAGLISSTQWAVDALISAGGVQSVKI PSCIENGDYLLRFEIIALHSAYSYPGAQFYVECAQITVTGGTGTASPATVAIPGAYSG SDPGIKISIYNAQGTPYPSSYTIPGPPVFTCPTGSDPNPPATTSPGSGTGGGSGTAAK YGQCGGIGWTGPTTCASGSTCKASGDYYSQCL VE01_01824 MDRYRPGGYHLVSIGDKLRDRYRVVHKLGHGGYSTTWLARDERS QKLVAIKVGTAESNPRKVNVLSALATTAQDTTARERCGRVIIPSILDRFDIQGHNGIH PCYVTALGQASISEALEASDTVMFQLDVARALVAQLALGVAFIHSQGIVHGDIHLGNV LLQFPPEVDQLSDEQLYEKYGPPTTEPVVRFDGQKLPPGIPTHGTVPVWLGKPSDEVR LPEAKILLMDFGEAFEPAREEKYVSHTPLCTRPPEAHFEPSKPLSFPSDVWSLACAIW SILGQRPLFDPWLANIDDVTRWQVDAFGILPPEWWLLWEDRDRFRFSTQEPRQKEGMG LFEQDEEKALLAMLRSMLRYEPGDRSTAGEVLGSEWMSKWAMPEYKKIL VE01_01825 MKHIISLVALVASVTAHSTFQELWVDGVDQEGTCIRTPPSNSPV TSVTSSDITCNVGGTTGVSGLCSVAAGQTVTVEMHAQPGDRSCSTEAIGGNHFGPVIV YMGKVTDATTADGSGAIWFKVDQEGYDVPTKTWGTMTLNDNCGKRTFTVPSSLAPGNY LLRAEVIALHAAGSSGGAQFYMSCYQLAVTGSGTANPSGVSFPGAYSASDPGILIDIY QDITSYTIPGPTDVFTG VE01_01826 MQSMFKILLFLLANTTSGYLVPNPPGKHNVTLTTGTLIDYGRND PYAEKPTPRALMLSVFQPATCASTVPVHYMPDKTADYQGPFLQEIFNIPTNLTPLFLE ARLPVCSDYPSGCSPLDDVPVLLFSPGYSIPRLYYNVLASAIASEGFIVITIDHPGDA DIITYPDGHAVYNNDTTQDLSAMLEHLPIRSTDASFVIDQLSNATAIAKLLPQRGARP FPTDRVAMLGHSLGGVAAVIAASQDTRIRGAINMDGTFLESPSSGVSQPVLLMSHGMA DESWPATWPLLKGPKLWVDIANTTHQTFSDVPTLLQAAGQDPTALADVLGTIAPDEMR QILVAYTTAWMNGVFAGKIGGPLLQGKEPGRFPKASPVLKANF VE01_01827 MENPKNEKTDVDFIESVEQTGRLANQGEHELTPLKAIRDNPWVM LWCIYAIWMLILNSFEGQAGGAVLGIPEFRKDFGYKYKDSYVLPVDWQAAFSGAPVAS ACIGSLGIAYLADKVGRKWAYALALVFSYVGITLEFVATTSPMFFAGKFMNGFAIGAL VTVSFTYIGEITPTVLRGIVSSAAAVSFTLGPFLVALIIKGTGTLESRWAYRSTFVAQ YAVAAIGTIFLPFMPESPWWLLSKGREAKAAKSLKKLGYSPSEIKMRIGQIILTLEEV KSETDGATYLECFRKSNLRRTGIAIAPFLIQTFSGIYFAASYSTYYYQLAGYSTSESY ILQIVQQVISMIGNIMSWFLVERVGRRPLMLYGLCFLTVDLLLTGGLAVIGTPGALKG TCSLILIYCWSYNVTIGAVSYTLLTETATSRLRVKTIAIGIFTQNALNTMWAFVLPKL FNPDQANLGAKVSFIFGGLALLSCVYLWVYQPETAGRTYKELDELFAKKVPGRKFKST KTEAEKEGEQIMELKQQELAYGDNTGR VE01_01828 MAGLEDYAFAYNATLNTSPMNLSTYDEYSNDPRFIESQRQFRAL LFDTAHSTGPTRVGSPVPGDGKDSDYLHPSDSNASTTECGSYIGTVVSTVERVMWLKN YINEVAPWLDMFDAQQAFGRKVPMLAKTSAPLTYAILAISARQMERQKKLRGDHNSLQ LYQEAIRSLTPQLQARDPNILAACVILCCLEMMSAAPKNWRRHLDGCAALFKSYGIHG FSTGIPQAVFWCYARMDLCAAIISEGDQGSVLDLQNWLPENVTASQAGALFRSSGIPD MYANYAVWLCARACHLSWTRTRAHEPKNSGVNTEPFIHSWHNLWVEVQGWAQKRPAEM RELDFAGGGSQSQSGPFPFILFAAPCAISSNQLYHTSCLLLLGMKPLSVNTREMGHVG SALWHAYRICGISITNSHHGCLNNAIQPLWLASKLLSHPAEHRLIVDLIKKIETVTGW SGTWRIRDLRELWGYDKDDPSF VE01_01829 MAPSVTLVDFDTVKSKSHNLSLVDHEDHDLVLRTFRVLIADLVQ HFNGGHPGGAMGMAAIGVALWRYTMKYSPDHPEWFNRDRFILSNGHTCLFQYSFLHLL GYKHMTLDQLKTYHSPSPDSFCPGHPEREHTGIEVTTGPLGQGIANAVGMAMATKHLA ATYNRPGFDIINNTTWCMTGDACLQEGVGLEAISLAGHWKLNNLVLIFDNNNVTCDGS ADVANTEDINAKMAASGWNVIEVLDGSNDVQSITNALAAARDSSEKPTFINCHTVIGI GSAVAGDAKAHGAAFGEEDVKNIHQKFGFDPEERFNVPDKVYDFFRKDLRSRADSVDQ WEVLLDSYCKAHSELGDELRQRIKGELPSNWENVIPKSFPTSPTASRKSAGLVCNPLA KTIPNFMVGTADLSPSVHMSWPGKVDFQSPTLKTVCGINGNYSGRYIHYGIREHAMAS IANGLAAFNRGTIIPVTSSFFMFYLYAAPGVRMGALQELQVIHIATHDSIGTGEDGPT HQPIELAALYRAMPNLLYIRPCDSEEVAGAMTVALKSKHRSTIISTSRQNLPQHVESN RYSVRYGAYVFREKEDAAVTLIGVGSEMCFAVEAAEDLERNGVKARIISFPCQRLFEE QSREYRRSVLRRVETLVVVVEAYAANGWERYADASISMKSFGKSLPGKDAYKYFGFDG KVISCKILAHLDAIEQQRALKSEFIEL VE01_01830 MATVKLASGHSMPLVGFGLWKVDQNTTADTVYHAIKTGYRLFDG AYDYQNEKEAGLGIKKAVEDGIVKREDIFVTTKLWNNYHRKEHVKQMAYAQRDAWGLD YIDLYLIHFPVALKYIEPETLRYPAWWMDKDRKIVETDKVPISETWQALEELVDEGVA RSIGVSNFSTQLLYDVLSYCRHPISSLQIEHHPYLVQDTLVKMAQEEGIAITGYSTFG PQSFIELPRAFSKRAEGVPMLFDNPVIQRISEKHGRPAGQVLLRWATQRGIAVIPKSN NKARLQQNLEVNDFSMTEEELAEISDLDKGLRFNDPGFYLEKPIRIFD VE01_01831 MSPSLIDAVEETRQELGAQDVAPVKQNGFSPSQFKNPSLQVTAD HKVKMVDAPIRKPGSGEVLLHIKATGICGSDIHFWKRGRIGSLTVEGDCILGHEAAGV ILEIGEGVSGLQKGDRVALEPQIPCGTCFSCTTGRSNLCPDVQFIGVYPHHGSLQRFV VHPAKWVHKLPENVSYAQGALLEPLSVVMHSLSRTRLSLGRGAVICGAGPIGLIALAA ARASGAHPLVITDLEPKRLAFAKDFVPSCQTYQVDPTLNPEGNAKAIRALFGSTEYEA PETILECTGVESSVITASFTVRRGGDLVVIGVGKDIMNNLPFMHISLSEINLKFINRY CDTWPAGISALSGGVLNLDKLITHTFPLEQALDAMELCSNVALGSIKIQVVDDRDIEP VE01_01832 MALHTFESLPNFKTKPHIVVLTDICNEPDDAESLTRFLLYANEF QIDGLVATTSFWQQTSTHKQQIHQILDAYAEVQANLNAHVPPSRQYPTAEVLRQVVRD GIAEYGMTGARGPLNEGSELLIAAVDAIASDGHIWVLIWGGANVLAQALLQVQKSRSE TEVATFAAKLRVYAISDQDDSGTWIRLNFPKIFYIASIHGWNAYGLAAWTGISGESYY HFNQGGPDSSLVTNEWLKKYIQIGPYGKAAYPDPVFIPEGDTPTFLSLIQNGLTDPCH PGWGGWGGRYNLTDLTGKDGSRHYSDAADYVRGKDGNMHVGSQATIWRWRDAYQGDFA ARMQWTLSNDFNNVNHSPILVVNGDSELGALRIKTGFGTTVHLDASQSWDPDSADSLQ FRWLHYREPSATQWTVKFEVPELTFKDESDGKRKFEKVSVEIPGKDEGMIFPLDPEKV MKWGPKTYHLILEVIDNAKFPMRAYRRVLVEVADDSSGSEKLQVDWEVAEV VE01_01833 MPHPDHSTSPAQTRTRQGCLTCRKRRRKCDEQKPRCQNCTGRSI VCRYGVKLTFLATNRFNLVPEDAQALRETTPSKYGHIRFIDGLDDTEGAISDDHERHN AVPSFEISPHSLPGTPDTEHSRTAQRVPESTVSVLRQPQSRFPEHYYHGEDSGIGESQ GMGEGSNDDERHHQAPVVYPPVIDQSHSYEMPTGDAEDNVQLADEADYDRLVSEPLPS RRPLPVASLSPRHSTNAGYGKSWLPPLRTVSETFESATLREEPSQLQVLSNDKRNQLL KFYVDEVAQWLDICTPKSSFGIHIPILARDYTPLLNSVLALAAQQQSLFLPPDSDEAS QSAELATLATASIGLDIYSSRDEAVATCTLLMISELLSTQIQNWKRVLKGRIDFLGPL GIDGFSDGHHSSTSWVTLRLDLALAIFSGSPLMTPIEKWHPVSMPFSDDMNIMSLEQS RAPEWTRRSILLCSQAAQLCFSTEISNITTSHSATDTWTYLWSSTRGWLESLPSSMYP IFTSPISAIPSTPPYFFPLILYTSRSNMYAAIMHHTASVLLLQSKPPTVRPSSHLKTP TWHAVQICGICIGNNAQWSYDPTILAALIYAGRLISYQEQKKELLDVLRVLVKGSGWQ IYRCVKGNDAAWTSILEKLQSHVRETLEDEKCQDLLQFHDLHVIDDKSLYGSSVEEVR AHFQAWVPQNLDARLRPDTACTIPDVSYLSVDTTPRYNYCLLVDEICLESLDHPEGLG PVVKLVCRDWESPPRSPEEKLQGVQAPFHDGITGYDEEDVGWMYMPLLFYTDRYENFH DWGWSDMYVRPPFIDGGEDETNMVGHWRRKKVVGQEKAVSET VE01_01834 MATTHQVSQQSSGNGNSTSGGANAKKEGSPQPTTQHKRVYQACI PCRKRKVKCDLGSVDNPNAPPCVRCRRESKDCYFSVTRRKQRLDENDIPIYDPDFVDR NARKRQYTSDSGSEPPEVRPESSTGSRPLNPAYRHTPIQPPNVATSPTESINRFDSQP GREHRRAGSRQFGDSNVRYENPEAQSTMRKGIYGPHDALDLLYQAATDGSPTKRMKLL NSGGADGSSARDNQGFQQSQPFSTPRFTQANNPRPMAPPSQAHNETRDTRGSMPVDND TINRMNDPGYKTAVRAWARFRFVRAGWFTPAEAIAYIEYYYRYLSPMTPISPPTFQNP STHVTLLQEEPILTVTLLTIASRYLQIPGTGGQCRSHAIHEQLWTYLRGMIERCLWGQ ESFGGGFCGSGATDWEQTSSTAPWRGMRKGSLRTLGTIESLMILTEWHPRGLHFPPQD ATDELMLPLYEGPDNFSTDEDGNQRALNGVGGKRIESWLEPAWRSDRMCWMLLSTAMG LSYELGVFDQIDQLLEVGGDMARPEYDDEAYRHRAARIKKLLLIYVTQLAGRLGWTNM VPENLRAYDEMRKQRTSSADGNTPNTNASILASFNYIPDLELDDQIIHCWAGITTAMG AGNDKIFRSRKYTTDIIQTGKYLDLLAEFQPILATWKRTFVSYPLPQYIRHILTIEYE YVRIYINSLSLQAVVERCTNNAATTENRHPNFLNQIPLTLYGGFTTRDQEYVREVVDG SRNLLRTVVEGLLPNGFLKHAPVRTYFRIISGAMFLLKTFALGASKNDVEVSIGLMDR AVEALRDCVVDDVHLGTRFADLLHTLTTRLRNRFMHAPVSSEAGASDSQQAIAGGPHN GDDNGHWNGPGMPAFSDGGNDINISATAFDLGNNAFYGNAPYGSTDGNAQNPFGAPAV SEGGPAFGGSDWGNAGEMWYLPPGAAFFHNANADTSITQTSEGVNLGGVDLLDYMAMD SMDQYPGGIESLVGEYREYSR VE01_01835 MGKGTDKLYITHSEWSSSDQFSASAGSNVNARSAPGSFKRLPFN FCAASLQPFHHPVCTPSGTIFDIEVISTWLATHTTNPVTGAPLKSSELIKLNFARNGD TDAGAAEGRKEALGEMVDPVTFKVFTDNTHIVAIRHGGEANVFAWETVERLNVKARMW RDLVDDREFSRKDIITLQDPQNVESRDLSQFKFLKEGESVLTKREEEERKGGSVNVAA LGRVGEKVLKAKEAVEKARREREANGDVNRTKAVAKASAPVMRPQMHTQKKEVYNAAQ YTTGKAAASFTSTGLTPSTSGERALLTEEEYMLRPKRVKNKGYARLETNYGSLNLELY PETAPRAVWNFIQLAKKGYYKGVIFHRSIRNFMLQGGDPTGTGKGGTSCWGKNFQDEF DGPLTHDARGIVSMANKGKNTNSSQFFILYRPQKHLDRKHTIFARVVGGMDVLARIEA VPVDSGDRPTEEVVIEDVVVFVDPFEEFQAERREREGKEREREEVERMGGKEDDRTTW TGKRVRGDGTVVQSEEAGGVGRYLKAAIEEGKGGGDGGEREGEGGGWEEEPVKKKVKA AGGFGNFDGW VE01_01836 MTSPSTPRRRQRLRDKTPAQLLRYVRRKLAIYDTAIASGQPVPP SFSARFEPNARRRSLRSFLFDEATYKEEVMLGRVRELRRYETMLRGLIELFERRQEVQ DNLGRVESLVRRAGQEIDAAAWS VE01_01837 MAEQFVGLTMLVTLSSPLDAQLRGRVSSVVAGQSLTLSDVYCPS NGKYITEITIQASHIKDLAEAGNENTAPNPSLQAASAAHPRAQSISRPKQPTSFEDPA IVSVGRPSLPRPQVITTPTPTPPQLYTPELDSNKPTPSTSTGIPPYPAQPAVVHMSRN SSAAIKVAQEQQRSVTPAAILVDPMGNLGLGGGNEDGDGGGLGNGDAEVAAKERHNKR RRGRGAKGARRGKAAEGTDGDDGFPIVIPVTETNRSKGWRQTPLLEPNPSFQPFATLR KGARRGGGGKGGGGYDNGWATEDATDVQDMGDFDFEASLSKFDKRTVFEGIRADDVTA DEDRLVGHNRIPRPGTMGGRNLHPTENVLDGIGRAQQPPAWNSEAGDSEDAENMMGVS QRGSGSGRTSRRAESRLRMPMSKKETSSLHSQSRQSISVPRAKSRAPPSSPPQQASTT KSSFYLVPADRPVEVASALQMLNLENIANSELGLTEDMMTENAGRGIAEVALSTLNLG GARHRTLRKGNTLPYVVIYAGNSKSGIRAIAAGRHLRNHGATVAICVLGLEREPELLE GVRRQLKVFRSFGGKVFTKIELMEYLKSTAVPVELIIDGLLGLTISFEELRTGDQATA FELIEWANRSSAAVLALDVPTGLDPGTGVPMVLDGRELWIQATLVVSLGVPKTGLLEA MRGGLGTGEGAWGLWVVDVGIAKNVWKKSGMRMRRGVEFEGTWVVGMRFQKGSD VE01_01838 MDKARATAASTAVAQPQMIPPRTPAGSSTTQAKRSPLAVSVPAP AQASKVRNSVMAPDAFSSSAVTENGSYEFDRVLKSGYVRKRTRKTKSWKQIYLVLRPT SISIYKDQDESKLRHKILLSDLTAVAFLKDPKHKRHNVFGLFSPSRNYHLEALSKPDA AEWVDLIRREARIEEEEEEMFLASPTTNMTHNYAGLDAEMRRRTVAAGLRDVPLETQR GSSSPEPSPARVRPVHHPAPLDPKIARRASHFEYSGTEPASHSDFSDVETHFNPSLRP KAAGASQVSIPEIGEPSAPPSQQQQRPIIPPRNGSQLSGLNITPDADPERVIWQGHLL YLKSKSGVRQWKDLWCVLRARTFALYKDENEYSPLLILELGNIINVVEIDPVSRTKRN CLQVITEERSYRFCARSEEGLDLVLGGFKSLLVRRREGSVGGGGVVGGGG VE01_01839 MVVVNPEKLVSLQHNADGVRNICILAHVDHGKTSLTDALLATNG IISPKLAGKIRYLDSRPDEQQRGITMESSAISLHFSMMRKSAPDATPEMKEYLINLID SPGHIDFSSEVSIASRLCDGAVVLVDAVEGVCSQTVTVLRQTWIENMKPLLVINKMDR LITELKMSPGEAYIHLNKLLGQVNAVLGSFFQGERMEEDLNWREKVDERVAAAAAAKL KTSALDESDVDVSASAEYEERDDEDIYFAPEKNNVIFSSAVDGWAFTVRQFASLYEKK LGIKRNAMEKVLWGDFYLDPKTKKVLAHKHLKGRNLKPMFVQLVLEQIWAVYEATTGG DKGKGDPVMTEKITKSLGITLPPNLKRSRDPRAILTALFSSWLPLSTAMLVSVIESLP SPPAAQASRLPSLIDASPGFDHVDPAVRDAMVSFKSAKGDPVVAYVSKMVSVPESELP QNKGRVGGMLSAEEAKELGRRKRIEIARALAAEGAAAEAEGGADVPDSVDSVVDTFAN TTISAPNGGEEEKKVDKEHLIGFARLFSGTLSVGDCVYVLPPKFSPAHPHLSPEPHKV TITGLYLLMGRGLEPLTTVPAGVVFGIGGLEGHILKSGTLCSQLEGSVNLAGVAMGSQ PIVRVALEPENPADLEKMIQGLKLLVQSDPCAEYEHFESGEHVLLTAGELHLERCLGD LKDRFARCEIQVGEPIVPYRETIVRAEEMRPPADKELGRGTVVSITTSKQVTVKLRVR PLPKKVTDFLVKNSGAVRRLYSDRKAEEQTRNQDAQSDAASDKDDLDVGAVVDTAVLS LEDFKSQLLTAFDSVKSERDIWAGVIEKITAFAPRRTGPNLLIDNAGICGRFLRSTDA EEVASDKQEDAQTIQPRDFADNISYAFQLACAQGPLCNEPVQGIAVFLDEVTVAPNAD DSSSRDQLGRLTGEVIKTARSAIHAGFLDWSPRLLLAMYSCEIQASTEVLGRVYEVLT RLRGRILSEALNEGTPFYTILSLLPVAASFGFSDEMRKRTAGLASPQLKFAGFEVLDE DPFWEPRTEEELEDLGEFGDRENVAKRYVDGVRERKGLLVRGRGKGMGEGEKGRTLKR VE01_01840 MTAHQDIENKAQAGISYFTPAQSPPAGTALPNGHPVPKLFQPLT LRSLTLQNRIMLSPLCQYSAKDGHHTAWHLTHLGGILQRGPGLTMIEATAVVPEGRIT PEDSGLWQDSQIEPLKATIEFAHSQGQKIAIQLAHAGRKASCVAPWLSAGAVATEAVG GWPENVLAPSAISFSPGNFPDPKAMTLQQIEEFKVAFVAAAKRAVKAGIDVIEIHNAH GYLLHEFLSPVSNTRTDNYGGSFENRTRLTLELAKLVRAAIPEGMPLFMRISASDNLE HADFPGESWTLADSVKLAPLLAEAGVDLLDVSSGGNHLGQKIAAVPGYQAEMAKTIKK AVGDKMAVGAVGLINSGKFAEEILQGKGPGEETAEGVDAIFVGRGFQRNPGLVWDWAD ELGTEIRVAHQIGWGFKGRGIKKQEKKL VE01_01841 MASGLVDLATFALQASVALYKTVDSFNSHQERVRDFARETSALS GVLGSLTETISSTDLDLTALEVPLRRCGKACEEFEQEIHKCSQRSSGSRTSFRDWARL RYMGDDIDSFRRVLSGYKMTITIALSDANLRLSALTAESVESYNDLLDTARAGLEDHL ERMDEKLERILSQNVAGSELNASEVKRIKDERLSTQKCLQICSQLSEHIDQIHRTTLH NAGANAADGPSSIPEKITNDGLKECKGSLARMAQQLASHEKQLFDRLMNKLEPATFSQ ENAADITRLREEWQSTRKSMDILSTAGNHLEQNISIIENHATGDAVQVMVSANGKTLH GTNRAVGWRSRQIGGYLDNETVRQISRDMVTITINTTNEREPRLGGETATASDDMERE GRDSQFMER VE01_01842 MPLSEIITSPPPSLPRSLSGASGSGISKEDTGGGYGKVSTRAWI WQERLLAERTIFFTPSALKFAAQTPEELGGQLCGAGPVPSSGGALPSGPQLQLYGAAS GPGLQPGGLPELLWLGASGPEARKRG VE01_01843 MSYPKQPGRSSKQLGNIYTNENAIVHVGDIHHIGREDPLDLLPF ATNAPFNSYDGQHEPTCLPDTRVDLLQQIYDWADRQDERCIFWLNGLAGTGKSTVART VARRFYEQKCLGASFFFSIGGGDVSHAGKFFTSLAVQLAYAVPSLREHISDAVSKCKD IANQSLHDQWRQLVLHPLSKLDDNSRQCSYILIVDALDECKDDNNIRIILQLLGEARL LKTVRLRVFLTSRPEIPIRYGMHYIPQAEHQDFVLHSLSPSTVDHDISIFLEYNLAVI RREWDLGPGWPGNKVLEQLVLNASGLFIWAATVCRFISEGKQFAAKRLDAVLKGSSDA VTAPEKHLNKIYATVLGHAITTEGAAEREELVHMLRHILGSIVVLFSPLSAYSLSRLL QVNIRHVTRALEGLHAILDVPEDQTHPLRLHHPSFRDFLLNKDRCGDFWVDERQSHQI LADYCIRLMAISLKKDVSSQVVPGTYVANVEISQVEQFLPPEENYACLYWIGHLQRSN TQFYDNDQVHMFLQLHLLHWLEALSWMGKTSEGILAILSLEALVPAGQCPNLYAFVHD AKRFALYNRSVFEQAPLEIYSSALLSAPTRSIVRRLFRDQVPYWIQTSPKVPEDWSSL LQTLKGHSDIVSAVSFSPDSKMLASSSRDKTARLWDAITGALLQTLEGHSSFVNAVTF SPDSRQLASASSDKTVCLWDVVTGAVLHTLKGHSNDVTAVAFSPDSRQLASASTDETV KLWDAATGAPLQTLEGHSSFVNAVAFSPDSRQLASASTDKTVKLWDAATGALLQTLEG HSSFVNAVAFSPDSRQLASASADKTVKLWDITVGTVSQMLKGHLNDVTAVAFSPDGGQ LASASKDKTINLWDPLMKSVAQTQEGAYKSSFLQAQRSTDEISSNLERADAKESIEPQ IRVHGTFSDSGFASMSSLAAPSISNRVGQTPIQDKHNIDQLPTTPSDQGDNQSPENEH KPGARTTEADNDDLQSVISDRDEISSQISTQKAQQELLAEKHLATLLGQNEDLKPFYE IALGQMEKERFVNNFRRILKRYYLDLFQSANTNLERATVQILRSRSTRVGIAQGVVDR LTPESNEAYTQLLADTQDIEDKISDLESWIASNPGLVPPLEPQDSGDVVEGQVDDLDN IASDSDQEGEEQVVTSYPLPNVAGMESFLFQGNAFRSLITNTCLFLLPASLISLSRVL MTIPYNRIYFSSEDNLSILNRVQGFEKIKREYIGNATAAELSGQRFHYLKQTRID VE01_01844 MKSLRIIRPTAQVLRAVTSSASSTASGRQYSTSPPNARLNIPTD FSTTPILSHSSQSALRNPELSAEVRNSTTKRMNLFQAINDALSTALATDDSVLLFGED VAFGGVFRCSMNLSQNFGSDRVFNTPLTEQGILGFGIGLAAEGMRPVAEIQFADYVYP AFDQLVNEAAKYRYRDGTNGRGVGGLTVRMPCGGVGHGALYHSQSPESLFTHIPGLRV IMPRGPIQAKGLLLAAIASNDPCIFMEPKALYRAAVEQVPVSSYTLPLSSAEIMKPGS DVTVISYGHPLYTCSAAIEHIEKDLGLSVELIDLRTVYPWDKETVLKSVRKTGRCVVV HESMINAGIGAEVAAAIQEDKETFLRLEAPVKRCAGWSIHMPLLYEKLNIPDVARVYD SIKQVTEY VE01_01845 MPREAERPRNRERDPDRERERERRRRERERDPEREERRHRERDP DREQRRRERDPERELEREERRRERARRKSRNPDRDSRDSRDSRSREEPPSSQSSSQPL SADSLAKLNQLNKKEKSRKPEKKAERPRKKRHREAFNEKYEAIGVDKPRRDKKKKRRV VSGALLEEGDGKRLSYIRGGGYDKQAEADARKRKKRIWIIVGVIVLLLAIIIPVAVVL SNKNKGSNTAAADTAATAPTPTGPANTNLDGVDPASVPAAAKGTRLDPFSWYDTEDFN VTYTGATVGGLPVIGLFSKWDDSAKANDKVPALNQPWGTYASRPARGVNVGGWLSIEP FITPSLFSGYSTSLGVIDEWTLCEHLGPATAKDTLEKHYSTFVTEQTFADIKDAGLDH VRIPFSYWALQNYYGEPYVEGVAWRYLLRGIEWARKYGLRINLDVHGLPGSQNGWNHS GRQGDIGWLNGTDGDVNAERSLEIHRSLSAFFSQPRYKNIIAFYGLVNEPKMTALQPT DVYAWTEKAYAIVRANGITCPIVFGDGFLGLENWKGQLQGHEGLVLDVHQYVIFNVGQ IVYTKEAKVKYACTGWTGQAEQSMDVSTGFGPTLFAEWSQADTDCATYLNNVGWGNRW EGTYDTGNPLTRVLSATCPTNNGGPVCSCTSANADPSQYSDGYKQFLQMFAEAQMDSF EHGWGWFYWTWQTETATQWSYKRGLAAGILPAKAYAPEFNCQKTIPDFAGVPENY VE01_01846 MADVQLDAVPVAIPEPAIITEPTAILESSEPTTVEEPASVPEAI PEPIAVSAPVAISEPITITEPTISPPASSLTKSTPPAPPSVKTLQSWLPLYLTKTDRL LTHFSRIISTPSGTDATLLTLGYSSLAISSLLTRLTTPSEKHALTARRLAALYALCSD VRMFARLFGLFGMWQWGKSAILTPSADPVERHIVNAQVLVNTIYQVLENGAYLSSKGV LGWTAEKQGKAWMWSSRCWAAHTALEFVRLARERQVRKRKAVVGEKGEEAVKDLAWRR EVWINAGYAPLTIHWSLEGGIISPLTVGLLGSFVGAVKMIRLWEATA VE01_01847 MSVKFEKDTVKTTVGAANQVAASTAGKVANGYLAAYLTQLQKNP LRTKMLTSGSLGGLQELLAAYIAKDRSKHGHYFTSKVPLMAANGAFIMAPVGHVLISI LQKIFANRTSLKAKILQILFSNLFIAPIQNSIYLTSMAIINGARNIHAIHSTWKAGFM PVMKVSWVTSPITLAFAQQFLPQETWVPFFNIVGFLIGTYINAKTKKKRLQALRKAHY ESGGGRPDDYPRPGMGGAPSMSGGLGGQNPVY VE01_01848 MVRMYSIDMRCSLCLHPGSFGWVYRCSQDRELMIGNAYNEGGVN GLDSLFDSFTVPAEPKRRSAAARVRPVSFLEEMGPGQLQAYSPQQLSILLDQRTHVLD VAKRHSRDLEIYRHREQSVLSPYSDLGNASDESKLWVPKPNEECQFKVCHRCRQACGE RSFLSLDAIANDEIPMTAISGFGFNILGKRPVVPRKCAINYGLRPDPRPQMVFRPVAK GKGLGINIVKTPHDQLSEQVSIQLSPFDDEPGADTTFGQSSNAYDSSAMARSLNLESD IEITPIEPDERYALRRVLHVNMILAAETAKADAVEGSSLLSHIRAADTPPNSPRLRAA KMDDKQGKRPAVLRGRSDNSLHTNAELRRKFNLDDVEGDEEDDITYILRKRRFYLASD AQSDSKEEEDGKSTSEFATTMEVEDRDAEGSTAKPVVVTRRTVGIQLSDVVTQI VE01_01849 MASYEVEHNIQPTTTPSTSRRPDMSTFFSKLSQINLDPLTHNNP HAQPTPVDMRATFSLLADSYYQIRSTTSGPPAELLTSLITELEETADSPPKEVEGVSQ AFLDSLERVDRKKLKEESCPICGERFRDDEYPLVVVLPCHPGHWFDLECVGPWLRLKG TCPLDRKAVGERKKVVVVEDSEEEDYDDMIA VE01_01850 MVVILGLASALVAATFFSGTLAHPDHKDPALLRRALGEQAFHTK NGARSLQACSGSAASLELQKQAAVRRAATAQRLREERGLTAPMRHRRDLADVEKWMQV SHDRTSSHDFTSTTPPSEVFGTTSPCILTPEGATGPYFVAQELLRTNIAEDQPGVPLY LDWQFIDTSTCGPANLIVDTWSANSTGQYSGVSDPTEYSGLNTTFLRGVQQTDSEGVV QFTTIFPGHYWNRATHQHVLTHTGGTLLPNGTYTGGHVSHIGQLYFDASLRAVVEAAE PYNTNTLPLTTNEEDVWIGPSATEVVDPFFDYVLLGERTEDGILAWMVMGVDGAANWD ALAGPAAYWGEDGGHDNPDGGWAGMFPPPPAKK VE01_01851 MSAPRPGVQQPAKLQSKQQQHLDTLQNLINNILIETGKALRSAN MKGAKTISHDNDRLQMYMPKAITSFHGALDELESDIVRAKAVIARDLREVRRREEELR NPAAKPAEVDTEKEMVDGAQGGMTASDGGVLEQPAHIKIEEARETQTMDQQVKPEPLA TAVQVGNQTKQEALDTMDLFEEEPKADIPQVSEKPQDEVKQSIEQPEVSAADVQQVDK LQDVPSKELEPLDTLDTNDDMTYESLFGPKSAHDDHPDLNFDDFDFGTDNAAGGENQD QDYSMQNDGHIDLSTFGEASDQPFGNDDGSSMLQGLESYANQVPEESGDINMFDTTNT GVNTAGDGDIINLDGEDGIGMSGADLDLAMDIGGNETNFDDLLDGLDFEGGDDTTGLE NQEFDDAYFRIGDS VE01_01852 MSDQAPPPPGTQLPPPPGTAGAPGYENGQNGMGNPHMPPPPLPP VIIPQNTNPIPTAITSPMSGENGVIMSPDSQGGFVRRAAPEPNKRALYVGGLDPRVTE DVLRQIFETTGHVQNVKIIPDKNVGALQSKGFNYGFVEYDDPGAAERAMQTLNGRRVH QAEIRVNWAYQSNTSNKEDTSNHFHIFVGDLSNEVNDEVLLQAFCAFGSVSEARVMWD MKTGRSRGYGFVAFRERQDAEKALSSMDGEWLGSRAIRCNWANQKGQPSISQQQSMVS TGLTPTTPFGHHHFPTHGVQSYDMIVQQTPQWQTTVYVGNLTPYTTQNDLVPLFQNFG YVVETRFQSDRGFAFVKMDTHENAAMAICQLSGYNVNGRPLKCSWGKDKAPSQPGFEG TPTGYSPQSAQTPAYPGTPSAYFPQYGGMPPQQGPPSAGPMPNQQSPAQYGGQPGGYG GPPQQSPAGYGGQPMGYGGPPSAGGYGRAQQTPTAQWSQPASQGFGQAGFGGYQG VE01_01853 MVLEDALAVMARHWPSALAIIVIVHFTRNYFHHSLNKYPGPFLA RITNWWRFWDVLQRRPEVTHIALHKKHGDVVRLGPNYLSFADPKAVKDIYGLNKGYIK SDFYPVQQSVSNGHRLPSLFSTTDNTFHANLRRSINSAFSMSTLVQYEPLVDSTTSIF LSQTDKLFASPGITCDFARWLQFYAFDVIGNMTYSERHGFLERNEDIEGIISYLGKLF SYVAPIGQIPFLDLLLLKNPLYLLAAQHGLIDATFPVARFARARLEERMASSPGKPST GPPDLLSKFIAAQETHPNTVTQKEVLTLAVSMAFAGSETTAISLSSVFYYLLRHPATL SRLLAELDDAEQRGVFADATPGVVSWAEAQKLPYMDAVIKEAFRIHPAAGLPLERIVP PSGAMIAGNFIPGGTIVGCSAWVLHGNQSIFGSDSAHFRPERWLPRDGSSEEVERIKE MNAAMFHFGSGSRTCIGRNISLLEIYKVVPSLLREFEVEFPEGRRGEWTLWNSWFVRQ LGFEVRFRRREKGGL VE01_01854 MSTETQSKKQSSERRRMQNKRAQKNYRERQKRNLQVLQDIAGSA FPINQANEDGRYIQPRMGIFTNASLEAEGVHAASLTDSCSRAFSEAGSRDGSFSRTGQ SRGSLLDADEQPQSILVRRPPLIPPESSQGYCTISQLLQQEGLVLDEKMRLQISEKKI DLRSILEAGLKALSHETLLDADCSSSHGTREGCATNSTQLRTDKILLLQNANHGYETS LPDIRRNNLRIKQVLFVAACVTNASSLGIPLEGLSCDSAESHFFRDSISESAAKTACL SEFLDLKTHLRPCAPQLMYRHHPYIDVLPFPTFRERLIKLACAEEPMIDEDELCKDLE NDGLVCWGSSLGGGSTAMGSGAPWDIRSWEAQNWFMKKWWILIGGAEGEIYKQTQWWC EMRGERSCYPW VE01_01855 MSHYGISTEGTTIVSDFKDQVKGRIFLITGPSQGGIGAETAISL AHGSPAAILLLGRSLPKIQPTIDAIHAIDPSITTKFIPISLDSLASVRAAASSILNDD SIPKLDVVINNAAIMACPYGLSTDGFELQLATNYLSHFLLTNLLMPKILLAAPNARVI NVASVGHFASDIRYDDPNFTGGKDYVATVAYGQAKTANVLFSVGLNEKLAGKGVRSFA LHPGSIVSGLQKYFTPEAMAEALAQWEESGKKMPTSKTLQQGCSTTLRAALDPSLAEQ NMVYLDDCQPSEDPEIVQPYAIDGDNAKRCWVMSEEMISEKFEL VE01_01856 MRFSKLLSLAAACVTTVSALTPAQWRGQSIYQVLTDRFARTDGS TSASCNTADQNYCGGTWKGITNHLDYIQGMGFTAVWISPVVKQVDGLTGDGAAYHGYW AKDIYSLNSNFGTAADLVALSAALHARGMYLMVDVVTNHMAYVGCGACVDYSTLNPFN SESYYHPFCLIDYTDATSIKNCWMGSNTVSLPDLRTEDSDVQSEFNSWIAELVSNYTI DGLRIDSVQQVNTGFWPSFQAAAGGIHALGEVYNGDPAYVCPYQTSITGVLNFPAYYW ITQAFQTTSGSISNLVNGINTMKSTCSDTTLLGSFLENHDVARFPSLTSDLSLQKNAI AFTMLMDGIPIIYAGQEQAYSGGNVPYDREATWLSGYNTGSTLYTFIASVNQIRNRAV YIDSTYVTYKAVPSYSDTTTIVLRKGQAGAQIVAVFSNKGASGSSYTQSLSSSVTGFS AGQTLIEILSCTAYTVDSSGNLAVAMASGLPRIFYPTAKLTGSGICPGLSGASSTSST FITSSTSSTSSTSSKSSTSSTSSTSSKSSTSSTSSTSSTSSASSSCSSVAVTFNELVT TVYGTNVKISGSIAALGSWSTASAVALSASGYTSSNPLWAVTISFTPGTSFEYKFIEV NSAGTVTWESGSNRAYTVPTTGCTATVSTSWQ VE01_01857 MHSFSLLLAGAFAVQPILALPGSVTHVSDISKRSVDTFLASESP IALANLLCNIGSAGACASGAGSGLVMASPSKTSPDYFYTWTRDSALTFKCIVDTFINS YSATLQVEIENYIIAQAKLQTVSNPSGSFSDGSGLGEPKFNADGTAFTGSWGRPQRDG PALRATALIAYSRWLIANGYTSTASSLVWPVIQNDLSYVTQYWSQTGYDLWEEVGGGY SFFTVAAQHRALVEGAALATQLGKTCSNCLTQAPQVLCLLQNFWNSGGYVVSNTNSGR TGKDANSILGSIHTFDPSAACDASTFQPCSDRALANHKSVVDSFRSIYTINSGIAAGV AVAVGRYAEDSYYGGNPWYLNTLAAAELLYDALIQWNNIGSITITSVSLAFWKDLYSS AAVGTYASSTSTYTTLYNAVKTYADGFVTNAATYTPSAGNLSEQYSRSTGAPLSAADL TWSYAALLTVAARRAGVVPASWGESGGNSVPSTCSTSTVSGTYSTASVSTFPANQTPV TGVVTTTASGSTGTATTTTGTSSATSTASSCTLATSVAVTFDEIVTTTYGQTIKIVGS DSILGSWNTGSGTSLSASGYTSSNHLWAGTISFPAGEVIQYKYINVASDGTVTWEADP NHTYTVPATCATAVTVSNTWQ VE01_01858 MDPSTPPSQTMETSFLLSNLHCPSCVANIENALYALSPRPLNVS PSIVTSWVTVTHPTDLEICTIQHALTEAGFGICSVTENGIARDVSTSDGSAFGDVHGT LDSILERSEWFGGPRHSGRSEDSERLRTRHIQDCELCRTEGYQTTKPNGKLTNTPSHQ PLLQPDTHVNAMDSQPPLSSPSSQEPFVVVDGGGEEDIWRASVAIGGMTCASCSNAIT TELEKKSWVKNINVNLISNSATADVVGKDHVDDLISTIEDLGYEALLNSVADTNAGKE KDMWRASIAVGGMTCGSCAAAITNALQKKDWAKEVTVNLIANSASVDFKGRAHLDEIM KAIEDLGYEATLDKAIDLGQSTQATQRRTVEINVSQMFCNHCPEKVLRSLEVFGDAIR IEKPLSIKDPILRLSYVPQLPNFTIRDIIATISGLDPKFEVSIYHPPTLEERSHALHA QQQRRLLIRVALTIIIAIPTFIIGIVFMSLVPSSNQSKHWLMTPWKAQVSRTQWILFL LATPVYFLAADVFHRRAIKEIHFLWRRGNKTPILQRFYRFGSMNMLMSLGTSIAYWSS VAQLISAGANPSMEVDETSFYFDSVVFLTMFLLIGRYIEAYSKSRTGDAVTLLGKLRP TTAVLVNWPTGQLSQVPVDLLELGDTVRVMHGASPPADGEITVGETNFDEASLTGESK LVKKHIGSLVFAGTINRGSPVTVTISSASGSAMLDQIVQAVREGQTKRAPIERLADSL TSYFVPAITALAIVTWIVWLSLGLSGALPEDYLNVHHSQGGWVTWSLQFAIAVFVVAC PCGLALAAPTALFVGGGLAAQHGILVKGGGAAFEKASRLDTIVFDKTGTLTMGGDPVV TEYLPIGPSDTAHPVLAERTLLSLIASAEDNSTHTLAKALSSFCAAQPAAEIEDVHQK PRLLEVHELPGRGMRALAFLDEVFTEILIGNEALMSEYSIPVPTSASTVLSTWKREGD SVVLVGISRPHPPSSPPVPPATSDHFRHLSAMFAVSDAIRPSAPGIIRALHEAGTSTW LLTGDNPITAHAIGLKLGILPQNIIAGVLPAEKADRIRDLQRSMPTSGRSSRRALVGM VGDGINDAPALAAADVGIAMGAGSDIAISAAEFVLVSSELKALATLLHLSRVVFRRIK FNFAWALVYNMIALPVAAGAFYALTPGGTHVRLDPVWASLAMAASSVSVVLSSLALRL RVPGIGFRVDKGLVGDEERPVVRGPVL VE01_01859 MRRAWEVIAGLIALSSSTVADPYTPIHEAGRCAIRGTCGKKSFF GPELPCPDNGLAEEPSPKTREHLVKLCGSKWSEGPVCCEGKQLDDLESNLKRAQPIIS ACPACKENFFNLFCTFTCSPDQSLFINVTETEKKGDKYIVTELDQLVSVEYGSGFYDS CKDVKFGAANQNAMDFIGGGAKDYPGLLKFLGDKKLLVGSPFQINFPLPTDYTEPNMK PTDMAPKKCNDEDEAFRCACVDCPAVCPKLEEIKENKACHVGPLPCLSFGAILTYGIF LALLATAIFAHVAWAKHSRRRNQRLQLLQDIAASDDEDEEGDLLDNAALYDRPQQNYR VNTIIDGAFSKLGYAAASFPGISIGLSVLIVALFSLGWMNFDIEKNPARLWVSPASDA AKEKAFFDESFDPFYRAEQVFLVNDTHPGGPGPVLSYETLKWWFDVEDRVSKLTGPHY GAKLNDVCFKPMGDACVIQSVTGYFGNDFSTVNPKTWKSDLNGCAKSPVLCRPESGQP LPPNTILGGWEESGDVIDSTALIATWVVNNYAEGSPETERAMDWETSLRSLLLSLQGE AQERGLRLSFSTEISLEAELNKSTNTDAKIVVISYFIMFFYASLALGSTSLSLGALFR NPSMAFVQSKFTLGVVGIIIVLMSISASVGLFSALGVKVTLIIAEVIPFIVLAVGVDN IFLIVHEFERVNLSHPDEIVEERIGKALGRMGPSILLSASTETIAFALGAFVGMPAVR NFAIYAAGAVFINAILQVTMFISVLALNQRRVEDNRADCFPCVKVKSAGVHLGGDMNV NSRYYEGSDEGSLEKYIRKTYTPALLGRKMKVFVVVIFFGLFAAGVSLFPEVKLGLDQ RVAIPDDSYLIPYFNDLYAYFDAGPPVYFVTRGLNATQRSHQQQICSRFTTCESLSLT NVLEQERKRPEISYIAAPAASWIDDYFRWLNPEEECCMENGVPCFQGRDPPWNITLSG MPEGVEFVDYLQRWIREPVNDDCPLGGKAAYGNALVIDQAETTIPASHFRTSHTPLRS QEDFIAAYASARRIANAVSKRTGEDVFPYSVFYIYFDQYATIVSLTTQLLLSALAIIL LITTLLLGSLQTAMVVTATVAMIVTDIIGTMALFNVSLNAVSLVNLIICIGIGVEFCA HIARAYTYPSVTLLARAPASFRGRDARAWVSLVNVGGSVFSGITITKLLGVCVLAFTK SKIFELYYFRIWLALVVFAASHALVFLPVALSLVGGDGWKDSEGDGGLEEDLTSRRHR AFMPEDEDSEEEY VE01_01860 MQSIGSASGNMARLSYTSTSSCDSYSAYNRSKAEDKIYYLRDVL TPCEFDKEVDIMMERMARKAEREEAEGPKMRRRLVKKIKSIFRINRLYDE VE01_01861 MSMRLSRPLASAARKGSLCFLTPATRRAANLAAGSDVPNMRFAK RPPMETINSPVENPVDKYASRSEAMHKYGAYVLSCLPKYVQQYSVWKDELTIYIPPSG VIPVITFLKNHTAAEFTTISDITAVDFPTRDQRFEVVYNLLSVRHNSRIRVKTYADEA QPVPSITSLYDGANWYEREVYDMFGVFFVGHPDLRRIMTDYGFDGHPLRKDFPLTGYT EIRYDEEKKRIVVEPLELTQAFRNFEGGSSTWEQVGTGIDQKPESFKLPTPKPEEKTE EPKK VE01_01862 MASSSRVQQDSFIDDDDLTCPLCVEEFDLSDRNFRPCPCGYQVC QFCFNNIKNNMNGLCPACRRPYDEKTIEWKVVTQEEIALFKANAQKNAKKKAEQRHKE AQKREVESLNRKHLAGLRVVQKNLVYVVGLSPGIPEQDLLKTLRGDKYFGQYGPIVKI VVSKAKQGEAPPNNGSLGVYVTFAKKEDAAKCIAAVNGSQNGDRVLRAQLGTTKYCSA YLRNEICTNKQCMFLHEPGDNDDSYSRQDLSTINSVNTQRPLPGPPTFSGPVAQAARQ AAQAQAPIQQSQPVAAASQVMARDPSKDELDSGDGSALPSSASWAKGGQQLSRRGSLA TSAAASSPAISASLPAPVHEEESPESPQDQIPQTQDIATAESPQQNLLPQAPSDPVLA QILKSINYLTTLSETPSESTDEDSQLWWPLFDDNGGLKRRLAREQQEEATRLHIEQEL QEETRSAPEAQEEQEPGSGSGQLGGEPEDRDDGRESSQGQTFHDQRRPSAQQPIQRSS QDSGVFGGIGGQNFSPNIGNLATVNGRALTPIQQQQLLLLKSGQSGQPQSGFMEQYPP GIGALGSQQSTLFQQQGHNRQSSRYNFGGDSASAAKSITSSKLLGQQASMMGLQQGNQ FYGSTVPGPPPGLKSTGTPPSGMFGQSQFGGAAFGAAGKDNNNDIIREMLRNRGGIGA GSGGQGHEAGKREFMFPFSHQYPSSSTPAPASSLTATLYGPQPGAFQDFGSKQKKKGK KHRHANTSSSGGGGPVDLADPNILQARMPHQQQSNAGVGLGLFGGQAQDDNLLSMDDD VSHSVDALVAESVSETGASPFHHGLSMPSRTSTPSVPPGFNLPHAHPSPTRDDAMAKP QTRIPAIPAPSQYTPPRSMTATHVPRVGTPLSTVSIPPTPTPVPAVVVPKPGASKTEA REQAKEDVKSLANDTGLTKAIASQSSQSMAPPGLHREDFPVLEKGKAKEVAIPSGPRA MTTGKKAVATPSNVAAVTPTPKAAAKKSTPGILNISVPAQPSTKEVADKEPPANAAVQ SSAFPPLPPSTPATSSGSSVPKGPKSIRVVPTRTESPAISAAQPLSAISNYSATISGS RQASISSNSRFERPGTPASEIISDTASLTSASLSRPSSPPPTRVGSAPVRTTTKSQQK KQRREAMKGKEKTEIEAAVVETPEAEEIAPIMGRKKKQKKAKVNTKAGSPTPVPSRPP TPKQLEKTEPVKETKANGGPEASKKQKAPEVEATPRPVVESKAEVVEEAVQEPPAPTV ETIDETSDRPVPTPSAVLQDLLSHGEVPQPDKLAVLRPPMGYNQRAGFQGDLPDLDHK LVITEEDRAALLSGRPVHKVVQGSHRIMLTPNGDCVRNLTPAEEERYLELQSRIFQES GPAAFVPARHNASNGFTLIGGRAVPNGPPAFFPPLDGSLTIPPLDPVSKIQRDEALSY INQYVLPSLSTNSQLERALNANALDTEMLRPNDTQSWPNWGTGNGQGGNNAASQENRS GQEGPYGANRQDGILASGLESMTAHFAVGRESSRGQPLGNVTLLSLSDSETAMQSAKK ETEKLEKSLNQLLKKNRRLLLGAGH VE01_01863 MSDLPDHYEGEVHIAVIGGTGLQKLEGYTPIASLNITTPWGAPS SPITILSHKNTAIAFLSRHGTHHSLAPHEVPNRANVAALRSLGVRCVIAFSAVGSLQE EIKPRDFVVPDQVIDRTKGIRPFTFFEGGIVGHVGFADPFDEEVAKVVRRCGHALEGE GVRMHDKGTIICMEGPQFSTRAESHMYRSWGGSVINMSALPEAKLAREAEMAYQMICM ATDYDCWHSSEDVDVAMVMGHMAANSTNAKHLVGAVLDELCKLENTDVVRAKHWEGMT TPAVKWITKPEGRGAEAVKRVEWLFPGIFDN VE01_01864 MDIGDYGTNVVCTYELNSIAYSFFECPSDATSVSTELYKLVAQL QQLGLLAAKDNKKAGLWIFETGDNAEPASSPSGAAQLPSLASNLGIDLALKHSGSYDS NSLLESWKSLSKPPTNTISSPSTDSGEPLSQKESFEKHFRAAQAAIMNKEATVNNSLI GLNNDAGTINPTLKDRARQFQLLAQSRLRLHIHDYFLTAVFLSLGYALCLDHGYIPLS SRTLVVPKSNLATTGPQITAQSNNGKGEDRLQLVTLSSDYTGSKVLVIKATLTTSMSY IGCDLPRLVKHEDVPIPANATLILGPGGSTGNFKGLANNGFQSTVSKFATDSDEAQHS GNEGQPSSHRLRSRCLEWLVGKGINKERLENSAWFMVEIYLNQLTSNARQGLSEERVL IPWPSFLCFRQTDNTLSSNDAFGTLSIYDPLEFAQTWYAGQQDREATIIRRKKERDAA EAAAKSQADSEAQDLHSLYSPLALRRSSVAGLVYPTPPDGVQHTVGATPTFDEAVSTP GQQGLPLSTDASSSLVTKTEADANGPLDIASHADFDFQDTSNGNLFGDAGDDLFGEEN DITDADFSFFDEPDIFQGNQDVEMPGQDNAPEVVENELTLGPKDDLNMGDVPDMADVH MGNTDESPVEESLPPDLPSDTDPARQIEIKTEASMEGPETSMDEPSPPLKPEYVFGRL STFDVFSKPLLTKPQEDISSVVLNPAGAFGGVDFKALFASLHQKYGSHGRFIYPPPKV SPNTLRAKFPTTDYFNRRRRRKLAGKSSIVRGVHTGSDHHFLVPGQTINFEQPTQRGS RSPSPSSDQDDSSDEMDGSYSHNSSSLKRRQQLEDTSESNDDEEITSSFQELQVGQVD ETNNAALDTVYPFALDSDFARWSAAMFLAWPNPWANEYTLTDAEYIAAAQILGDQAIS YTLRYPNDGNRHTSSLEENIKATYTPDLIRQDVVQAAKKYFKGASPQTLSNFLDIQGV PRQLTGNNRLPPRPMPSSQGSQGSQGSNPTKPNPIFPLPSPHLQLRRSDSRLSVLPSA LPFWDVLGLAPRGNGKHVTSLCVFPKAVGMAESADLFLENIRSAYETRRLGSHERLNT EGSVNGLLPIELGGQATRFASDQGLSVIREALFQVANLLASSAADSTNFVVYFVYDPE SPDILVPICSAFHSMFEVYKLAMAGKTVSNELVLQLVPLNFVSARTHLVVLPPTDYTR LAMEVYDRCFDFQAGVASPSVAIEPPLPRTIDFKLTATPSASLLQENSCLQIAYAQSI DDRWITAAWSDNTGSEQMTASYCLGRQDAPLTRQFSDIAHEIWETTLDIIASKKVHWR IIIAKCGVMEAQDVRFWKGLSETERNAQISLTLLSVDTQPALQLLPPGLSIPASTLTS QSTFYTTPVSTPQPSSILSPDQSGGTPTATPTDGTGELKIEPDATLIDLTNQSYGAVL AHRVNNSNSPLEVRPTAISGYLIKRTGASSSDVPAVMEVNIVWTDINPRLAENPLREI LAWYSGLATLARARGIVDPVKDTRPWHIAAAEKAVRLLYLLM VE01_01865 MKLTNPHDVPVYTISGSDTARPLPDWLARKRKRSLKNDAEYQNR VELLQDFEFEEASACVRTSDDGDWVMSTGTYKPQIHTHYLPHLSLSFARHTSSLNYTF ILLSSDYSKSLHLQTDRSLEFHTPGGCHYRTRLPRYGRDLKYDKHLAEAIIPAVGVNS DGSGEVYRLNLEVGRYMKPYQVDVGGDDMTTSGGGALQGGINTGSVNVAAIAEDSHNL MAFGTSIGTVEFWDSRSKSKIGMLSGHQGGVTALDFARSGLSLATGTSEGIVQIFDLR KSVPLLKKDQGYGYAIQTLMHLTTSSQEKKILSADKRIIKLWDEADGTPWTSVEPAVD INCVAHCKDSGMLLTANEGKQQHSFFIPQLGPAPKWCGFLDNMVEEMAEEETTETYDN YKFLTLPELKQLNMGHLVGTTSLLRPYMHGYFVASKLYEQARLIANPYVWEEERTKKI KEKVEKERESRIRGSGKKVKVNQNLVDKMLKRQERREKVDEDRGVLGDDRFGKLFEDE EFAVDEKSREFQMLNPSTKVGDGAPRPRGTKENSSDESEGESEDEIAAKPKPEMRISS SSYKKSGHQKRDTALGSRAQPSGRGDRKRGDVVGERQITFAPTVKTKEREAKPEQPKQ GKRDNRRSASGNVFRQL VE01_01866 MSAAQASASKEFAALFTDPVPASNTPSGDDAGAAGPSSGSVTIS RGGIIAIGVVVGFVVVFGVVSSVLFYLAKKRSWEIRASIRKSAKRVATALTPRRSEFP KNVRNPKRNSRGMSKIDEVPPTPRITNEDLEKANIKADAIEMKAPSKFAKWGRKTER VE01_01867 MDEPMQDVCADPIKNGAEETQYVSVEIDTQATDGYDDALLDKDS FKQISNVEPKALPVPNPEPRYAVPLDQDEPVMDFKFAKPAHTTPIFRPTRPSANATRV PSRRGPTSSSEICSGTQEPHQVSTTNGPRTISEAKVFVEATMKNNEAEPAMEFEASQR PALKQKDSNAQLSTNIPTAQQKRGVSFAGGSIDTDRQPIQYLRPQQTRPFTSMSLPFP DVQSQTKKIYRSALRRTTPPQQCAPSPGHGSPFGPNFENRAQSPAATGDTDYEQLSSH TPSKYIANETCRASICYTDNDRIGKLSRANMPFPETTYSANQHSDGNSQRMHESSRPT VANKLGRKRDLEISSPCVNLQSKAQVSPELSPEGHNLKESADGRGLTTQQREVTTYSG QASNLSNELLQGIEAHVEKEKQKLFEQMQEKDAKINEVSKKNEDYEETINELRRTNAS LSERFATMREKADALDDSLNSQLEEHKSLGDFVTKHKSQAVEYRHEAENMRMSLNEAK SNLQSLQLYQQNSKARLEETRVLAISQMESFKSVKESLETYKTKLQEEMEKSRLLQKE LKAQHLEGGLKDTIKDLLDSHCLSVSDRLAIQENKLSEAISNTDRENQSRLSDCLRLL ESTTGNPPQAPKEVLELTGLIEALSTNINDRLQSADDGSETLRNAGTEVMEALKARVE ALFEIQDSRKELDDRISSLKIDNARLELVSRGLEERILELQIQLTAKEIELDRCRAES NVKTEWNRTQLDDRQKEIDRYRENLGTKVDELRKAQGKIESGSVAQNKLTDLQATHAK AVSDLADVTKKLTDCEAALAEQGKAAQAMQVKHADLEERLSSAEQTAIDVEQEISQLK ASASESLRRQRVEAETDRRKSLESEKRSHVQKASNLLRLRVEAEAIAEGLKTESKKLE GDVAKKDQLIRTLETEKATLEGKHKEQAERLAQLDQWSKSQANECHSLTDAVKSTAFD IAQLEIKLKQSVEKAAADSRIVNNITDTVEAIKREYLVVTSRLESYEGIEAKVQDYCR KAGILFEANAALDAILEILAGSESRASGVARTPSQNSGLSSGTKSWASNGQATSFTPK VVRLQVPDSPEIPDSQTPSAPNSSPLPRQGVRSNISQLNHVLTNTTIPIKGHFGEIIK KFNTQVAGYRESRTIMDPKDDRRDYPLHAPEIEDSQDKGGGFTRSPSYSPLSETNSSE FDQPDDYYMLASDRTENKKGRMEETTRGQRPTGASKKADVKPPKAEEYKPSSKVQVTI GPMKSCLKQTSPIKHSVDDLSLLPNDTVKTPLSDSTSIKPRPSRQISKARSASISKVQ DPTKKFPSDSNLIRGGSTPRSDSNPSSIISTRPKGISSEYNLRKRHRSSASSVMGSEP PTKQTRLSLPAGQAFRRVSPFVSEASKRRESAGPSLGSL VE01_01868 MAKKAKSRTIAVRLISMAMTGYYKTLIRPRVHRPLSMLKYDPVV RKQVLFLEQKRKK VE01_01869 MAATGLIDRYLALPGELQSMILAFCTNNDLVCLSLANKKLHSLF ALPKPASLFKNEILVSPRHLELLPIPHQCYTHSWAENSVRPFDRFYEHLCRPKGCFHD EKFDCELWTRLRDWMPNNTRYCGGCRRFTKRDKRRRKGACKCTRPGRQPTGNNWTYRK YIGFHRTFWKLHYTEADLAKVVRRYLDPRTNTSPYGLRERIKPSRKLIEHTSNQNYTQ DSRGVWCEN VE01_01870 MGASQSSTYCPGDDVGLNHFTLGRVVGKGAFGKVRIVEHKYTKL TFALKYIRKDDIVRTESVRNIIRERRMLEHLNHPFICNLRYSFQDTQYMYLVVDLMSG GDLRFHISRKSFTEDAVKFWIAELGCALKYIHKQGIIHRDVKPDNVLLDSEGHIHLAD FNVASDYTPGKPLTSKSGSQPYLSPEVWAGTGYGPAADWWSLGVLFYECIYNKRPFDT HSTKGLGELIMNANPSFPVTSPPVTMPCMHAVSSALEPDPTKRLGATWQSFIDNPFFR SVDFEALERKEIEPVFVPSKDKTNFDATYDLEELLLEEAPLEARTRRQKPREPLAEGA TEKEIREDELYRMIETGFMPFDYTLAAYNRFQESDEAAIRARNPPQSTTTTPGSTPRN SETAPSAMMRSKSPGSGGPPTGRRRTPSVSINGNGNPNNSNGSGPSNGYGNPNGYGSS PPSDHNAPVRLVSSKNGGYRVSPTTNPYDVPPVPHLPPPQAGAKHRANESTNRLTRQS SSSRMASKGGGLSVTLGETGSWSELAKRDTTLPADAKDIGGAVGQGQGAPGKPNGMLG FLSRKKGRGHSPKPQEKGVLGKEGARVVISQG VE01_01871 MPSLLIYAATALVTYIGYVQLHALFQTVVRKGPPVAANSWWAYL SGKSVSGSVLLEEFYEKYSKNNEPFMAGGHYVLPPSVFAAIRKVPDSIANSTPANEDG LVLEPFLGHDNTDIIHLVRTTLTRSVDAMIAPLQDEIHTSLSAFLPPGPPTLLPGDEE WYPLTVHPSTLTAIGRITTRILVGSKYTTLPAWTDTLAGFANGIIIQSILLKNLWRPL VPWIAPLFNTTRRVAKLRALILPDVRELLANPPTPGTYPDGEPTVLPMMVNYVLSRPG YAEAKESEVLTGVIGRLLDFSFAAVDTTTITLTHCIHDLVSHPPSLYASPILEQARAV LAANDGVWTTQALSALPLLDSFIKESQRLHPIGQLLSQRKVLDPKGLMLMPSEGFEGA KPVYLPYGAVTQMPLVGVHMDGGFYEDAREFRGFRFAEDKVARSQPSDRFMSFGHGKH ACPGRHLALVVVKLFLLEFLERFEFKEVERPKDWQLGFMFNAVPDMKTNVWIRPRREE EVEVEA VE01_01872 MSTSPRLRSLNIDNVNPHVRKAEYAVRGELAVRSEEYRAQLASG KGKDLPFDNVISANIGNPQQLDQKPITFFRQVLSLLEYPPLLEKEDVLLNQLGYKKDV IERAKWLLEQVGSVGAYSASTGPQGIKESIAKFIEKRDGHPADPSSIYLSAGASSGVN TLLHVICAGPKTGVLVPIPQYPLYTATLSLLDATCVPYYLDEANAWSTSASSITSALT TAKEAGTDVRAIAIINPGNPTGASLSYEDVESVIDLAASEHLVILADEVYQTNVFIGK FHSFKAVLRDMQKKTPGKYDTVELVSLHSVSKGIVGECGHRGGYFELCGFDPEVVAQI YKFVSISLCAPVIGQCLVELMVNPPNEGEPSYDLYKSERDGIFAGLQSRATALHKAFQ AMEGVECGPPQGSMYLFPTITLSQKAIEAAKKDGKTPDAFYAMKMLEATGVCVVPGAG FGQKEGTLHFRTTFLAPGTEWVEGLAKFHEGFMAEYR VE01_01873 MGSEPQYAKYPLLPLAQNIFTLTNAAAPKSVQQASLKSLQDAIS EHKMAPLYKYLAHPTEGVLNGSGQSTSSQSTKPAGRKPSVGMVTSKNAVSQVDLPWDE ALYEKLKKDNDEELEKFQKEEEDAEEQAGETEIQAARGKRAEFYARVGDKDKAIAGYE AVFEKTGVLGTKIDLVLAIIRLGLFYGDKVLVKKQVERASTLVESGGDWDRRNRLKAY EGLHLLTVRDYNQAAPLLLDSLSTFTSYELCSYSNLVVYSVLAGSVSLKRVDFKSKVV DAPEIKAILGEGEDKLSALSGALSAGPGSNSDADMHDAPSHAKTAVNLTTLGSGSADH PETEAPVDFSPLAQLVSSLYTGSYRSFFGALAAVEESFLTQDRYLYEHRGWFVREMRL RAYQQLLQSYRVVGLESMANDFGVSVDFLDRDLAKFIAADRIPCTIDRVTGKGVIETN RPDDKNKQYNDVVKQGDQLITKLQKYGQAVRLRGSERA VE01_01874 MPSDAAVEVSEPQPQPFNANKLEPAKRRNRTTSSATSTPTHVNS DHPEGESNPHDSKSKGPYEPQPEPFDATKLEPARKRRRSSISPSRSTPRREERPSSPK PAADAPPANTGDDEEDRPRKKRPTGRARITDSERDEIRQRQQQREKEQRDAVAAHNAR GIHDAVRQHYNAVPERGRDWRRTDSRIKGLRSFNNWIKSTIIQKFSPSEDFTPGGQQP EYGAPPVKGLLVLDMGCGKGGDLGKWQQAPQRVDLYVGLDPADVSIGQARERYSQMAS RGGGGRGGRGGRGGYNNRPPPRLFHGEFAVQDCFGESIEKVPIVREVGFDASGGPSRF SGGQFDVVSMMFAMHYAFESEQKARIMLKNVAGALKKGGRFIGCIPDSDVLSARAVEF NERQAAKKAAAPAPAEGAEEGKPATAVANGAAPADLDEGEIAETAEWGNDIYRVRFAG QTPADGVFRPPFGWKYNFFLHEAVEEVPEYVVPWEAFRAIAEDYNLEMQYHKSFGEIW RSEKDDPVLGPLSERMGVRGRGGGGLLVSDEEMEAAEFYVAFCFYKV VE01_01875 MSSRRRLVSRTNQDAAASSPAAPRTQASSSASRSALPPYEPPVH PLTFSAKQQLNTLVDDTDYGKYRKHLATAITTLSNATVESNDTLSLARMKLERQVERR KARESQREDEEGEMEKTEDEVAMEERVAKLEAEVERLTQEAELATRELIDYSEELKRQ EGLIRGVAEKAATAPRPHQGRNPRRRRAVDGSDDDNSDDPDFEVPDADADADAGADTP SVTELLAEAQAAAAAQYAAKSMRARYADNNDYQTFKKHVHDASHHGANAPPLPPSSAW FPSTTEASAQGRITRGAANNGDESDEDLVFAGAVSSLKCPLTLKLFEVPYSNRVCRHT FEKSALLEMFERGATVFYENSQARGRNRGPGVRKLKCPSSGCDVMLELGEFYEDEMVK RQVKRAKAAEAAAEGESEGEEEGQAVDVDMDSKDQGFDGYEEVQVAGTQM VE01_01876 MDPISAMGCAASVIQFVDFGRKLVSGSLEIYRSADGMSAEHVSI GDISKGLSELIIPLRARSDTRLDNVRNANKNRSKEKLSVAEKELNRICKDCDDMAQQL LQELDKMRLHGGQRKWGSFRQALGNMWNQSQITALEKKLERIRKQVDTTLLVCLRERV DALSDNGASRLNDDIMQVLKENRQWEADLLDEISKNNWHAENPKDMSTFSAHLDRSVN QESKAQFCRKAIAFLRFPSMSDRHERICKNHRATFDWIFKDPSIIDKPWDDFVKWLSS DNSDAIYWITGKAGSGKSTLMRFISEDPRTTKHLKLWAKGDPLVIGSFFFWNSGTAMQ MSRIGLMQSLLYQMLRGRPEHILKIFQTRWEAYDSLRGGSYPWTWTELKQAFQLVLLD KSLRFFFLIDGLDEFDGQHRELVDLIISANKLSNVKICVSSRPWLVFEDAFEGHPSLL LEQLSYSDIKIYVCDKFDENKRYVQLKSREGRYAADLVESVVQKASGVFLWVYLVVDS LLEGLDNADRVSDLQRRLELIPSDLEELFDKMLNSLEPFYFSHACQLIQIVRASQKPL TLLALSFADEDGPLSAIHAKMEPLSEEQRLERVEMMRRRLKSRCKGLIEAPVRQSRAD SYVEYIHRTAKDFLETPDIRAKILRGTDNPFDPNRSLCNSYLFQLKTLSLTDINSIYC WYHLPWCLEYAIQAELSGGKALITYIDEVSRTCEAITLLYTGHGNKPWEPWSSHLYGS IQNFIDLAVRCGLYSYMTTKVDRLSRKELASLLKTSAEANGVLSEFDKTQALSERPFP DLEMIRLLLDSGASPRLAVNCGLRMDQLEGSLRDFIKSSASSRVARTKRWSRKVDVLF KAKRKPQLAS VE01_01877 MASPSIAEDQAAMSASEITVLVDVVPFGFRDPVKFNDYQSYGRL AYILGLDNKAAVKAWMASESFKPAYDELVCDWIDLQWDKAVDGMRRKRAPTLKQITQR ISKAPERYTSATGVVDKTNFVEIDHYALCLVLLRAANETNPNGVFRGKTCSDENKDQR LWQAMLRGGTEAWYHRKRRVKLTPGEEMYA VE01_01878 MAAEGIVMPGQHASTTFEPISIDRTNTRQSGLGRSATRESKKEV RDPNLDINLPYRTLSRNANLREYTHDEPDGVTETGVSADGTPYSVVAFTPDDKDNPKN WSKAMKWWCTMCVALTCFVVAFNSSVITADIEGLAKEFNVSEEVALLSITVFVIGFGV GPMIFAPLSEIYGRRVIYGSTLLVAVIFIIPCAVSKNIATLMVFRALDGIAFSAPMTL VGGTLADCWKNEERGVPMAAFSAAPFIGPAIGPLVGGYLADAKGWRWLYWIQLILSGT VWVLITFTVPETYAPTILKRRAEKLSKSSGKRYVTEQDLDMRPFSDRLLNFLIRPFQL LFGELIVLLISVYMSVLYGLLYMFFVAYPVVYQQGKGWDAGKTGLMFIPLAVGVLCSA ACAPLVNKHYLTLVKKHNGKPPAETRLIPMMVSCWFVPIGLFIFAWTSYPHVSWAGPA FAGFPVGFGFIFLYNAANNYIVDSYQHQAASALAAKTCIRSFWGASTVLFTNQMYARL GYQWASSLLAFLALACCGIPFLFYFYGAEIRARSKYAYSGDDEETQETKVGN VE01_01879 MADQNIERLMSRMFGHGRQETSEEEKTRHVGVVFRHLTVKGVGL GAKIQPTVGDLFMALPRKIGAVFSGNKKAHGGPPIRTIINDFSGVIKPGEMVLVLGRP GSGCSTFLKVLGNQRAGFKSVEGDVCYGGESAATMAKEFRGEVLYNPEDDLHYATLSV KRTLEFALRTRTPGKESRMDGESREQYIKVFLKALTQLFWIEHTLNTFVGSEHVRGVS GGERKRVSIAEAMITKASTQMWDNSTRGLDASTALEYVNSIRTLTTMAKISTAVALYQ AGESLYKAFDKVLLIDEGRCCYYGPADAAVEYFENLGFERPERWTTADFLTSVTDKHE RRVREGWYDRIPKTPEQFEAAFRGSEIEKANWRELDGYQSLVEEQIRRRAEAMTKKTA KKNYTLPFYLQVLACTNEHFFVMLGDRQTLIGKWSGILFQGLIVGSLFFNMPKTTQGV FERGGVMFFMLLFNALLALAELTAAFQSRPIMMKHKAFSFYRPAAYAIAQVVIDLPLV LIQVSIFDLVVYFMSNLQRTPSQFFISLLILWTLTLAMYSFFRAIGAWAGSLDVATRF TGLAIQIFVTYTGYLIPPKKMRPWLSWLRWINPVQYGFEGLMSNEFYNLEVQCVPPVL VPTGPDARPQFQSCALQGSEPGKTSVSGKNYIMTGFDYTRSHLWRNIGIIIGFWLFFV ALAALGMERQKPNAGGGAVTVFKRGGAPKAVEKAIKEKDANGTDAEAGRESSDDNRDE RPQNSGGGMREGIARNETVFTFQNVNFTIPYEKGERKLLQGVNGYVRPGELTALMGAS GAGKTTLLNTLAQREDAGVVTGDFLVDGRPLPLSFQRSTGFAEQMDIHEPTATVREAF RFSALLRQPKEVPKKEKYEYVEKIIDLLEMHDLAEAIIGEIGHGLNQEQRKKVTIGVE LASKPELLLFLDEPTSGLDSQAAFNIVRFLRKLADAGQAILCTIHQPSAVLFEEFDEL LLLKSGGRVVFHGTLGKDSKDMIEYFEENGAKECPKDENPAEYMLEVIGAGDPNYDGP DWADIWEKSKDAKDRQGEIQRLVQDRRQATAGHGGARKDDREYAMPYSTQILAVIKRC FIAYWRTPQYFFGKLVLHIITGLFNTFTFWKLGNSSIDMQSRLFTIFMTMTIAPPLIQ QLQPRYISFRDVFKSRESKSKIYAWPAFTLGAILPELPTSLFCGGIFFCCWYFGVGLP LGFPAGYVFMMICLFEIFYVGFGQFIAAMAPNAMFASLLIPFFFLFIVAFCGVVVPYP ALPSFWRSWMYWLTPFHYYLEGQLGVVTNNIPVVCDESEFARFPPPPGMSCASYTDEF VARMGGYVQVGAGGLCEYCQFANGNQFAKGFNVFYRHRWRDFGLMWVYDGFNFIVVFF ATWMFLGGAMKIKKALSPKDRRIAREQKRAAERSSEG VE01_01880 MAHYNQPELVPSSLPLINISRTPSPHISTGWTSNEGSDSGEDDL GDLSGGGGFLSQRQRPTGWKGFLTQGGLGIYLFATTRGWSVYISLMCLWLMGTAIGLM VINWLVLLTGVYKFPYPLTTTFIELVGCHFFLWAFAGFTRLFSRYLSNAGLAALVAPS YALTKPPPTTYTQRTPRRFPRFISKIFSKAGSGIAGGGPFEFDRAVARKTILLAIIYV LKIHLSTISYAHSELPMYVLTRIGIVPLTALADSLLKGTRHSIPLLSATLSATLNLLV GSCRSNIRVTWDSVLAGVISSFCAALFPVLLYSTYQNLSAPSPERARDPTSSRTTYTL LHHVSLLSIMVSLPFVLISGELPNIARNIYFLDRPWHWFMMLCGSLGTFCVFTATVLL VLATSPLTTNFLTIPAYAFLIPVLAKFRMPMYSWVGIALAFASSGWFVWVRRRESRKH NAAFIDANEAA VE01_01881 MASLLTPLRRALPKLGKDLFTCRQCPKSASIQAPKLRTSKGLSA FQPTRFYNSSAPLRTAATLPGTQATSLSSLSQTIANAQKETTKKSFFPDTSSRGVAFW LLGSAASVFGIVVFGGLTRLTESGLSITEWRPVTGTLPPLSAADWDSEFNKYRASPEF KLLNPTMTLDEFKYIYFMEWTHRLWGRVIGLSFVLPAIYFVARRRVSAPLTAGLAGIS AIIGIQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLAAHLGTAFICYATMLWGGLSAL RDRALLADATSGHASILERSNPALRHFRRSVGFIALLVFTTAVSGALVAGLDAGLIYN EFPTMGPGRIAPPASELFSDFYSRREDKADLWWRNILENPSTVQLDHRILATTTLTTI IALWAYSRFNPRIAAAIPRNARRGMVGVVHFALAQVALGITTLLYLVPLPLASAHQAC SMGLLTMTLVLGSRLWVPKRSLRLVQSSMAQAAQGGSKVRVPAAARGTPTA VE01_01882 MADAAAPIEEQVANLHLDEVTGEKVSKSELKKRQKQRQKEEEKK KKEAAAPPKPVKEKKSNAEADEKELNPNQYFEIRSRAINKLRTSQDPSPYPHKFEVNT DIRDFVKAHENLKSGEQRKEVEVRMGARIYNKRASGTKLVFYDVRSQGVKLQVMCQLQ ESAEGAPPFENQHEHLRRGDIIGIVGYPGRTAPKNKIEKGEEGELSIFATEVKLLTPC LHQLPDEYYGFKDQEQRHRKRYLDLIMNEPTRNVFLTRSKVVTYIRKYLDNKDFTEVE TPMLNAIAGGATAKPFTTHHNDLNMNMFMRIAPELYLKMLVVGGLDRVYEIGKQFRNE GIDLTHNPEFTTAEFYMAYADVYDLMNMTEELVSSLVKELTGGYTTKFHTQHGEEYEV NWEAPWRRVEMIPALEEATGEKFPPGPELHTDETNTFLRGVLKRMNIECSPPLTNARM LDKMVGDFIEETCVNPTFITGHPQMMSPLAKSHRANPGLCERFEAFVCKKEIVNAYTE LNDPFDQRLRFEEQARQKEQGDDEAQMVDENFCMSLEYGLPPTGGWGMGIDRLVMFLT DRYSIKEVLAFPFMKEEQKGHAKLAAEVVGIEAQPEEGIAHK VE01_01883 MAESLSTPRINSTYLDSFTNRTVRITGKVIQLRGEEATLDSNGH ITAHLNRDAHLTVGNAVEIVGKVNQDLSIKVLQATDMGADFNYAAEAAVVDATHRYKD IFYAES VE01_01884 MSAERRWDERHFHLEESTTQNGGARTIFIEHMTPGTTVPPHFHT RFAETFDLLSGSISVYSSTTPDLEVLEASVTPLEIGKTSSVEPGVYHKYQVGPEQTEL RVIITPGDADFEKALMVMNGLHADGKMAGLADSVLLMAVAMDLCDAQLIGPAAGMLEG VRAEKKDEVQALKKELLEKYDTEEALKGLLAKFE VE01_01885 MKFSFTLLCLSSTTLLVDALTTRFPYCIRGLYYCANNRSDWCGG GPAIAICVDGNDEYKLVACCGTGGCKYIGDDNVPYCV VE01_01886 MAPIKVGIVGYGFAAKNFHIPFISAIPDYEIIAILQRAEAPANP ELSPKGSHCTVDFPGIKHYRSADDFFADSEIAFVVVATQTDTHALFGEMALTAGKHVI IDKPFAESAEEADKIIALAEKKSLLLTCYQNRRWDGGFQTLRKLIKEDALGDIKEAEI HYDFESPSWISQFPAKYTPGAGMAYGLGTHSIDQALVLFGLPKSVTGFFRVQRGMESE VEDSFTIVMQYDGPQKELLVTVKTSVVTPMAQQLKFLVRGTKGSFIKFQNRSTCPQEE QIALGAKPLDEGFGSEPEQFQGILTTYDSFDSQVQTLDKETNKYTGRYPTITGRWLGI YENVADAINGKGDLEVKPKQSRDVLRIIELARESHNKGVAVPWA VE01_01887 MTSVPTIRWGIIGTGWISTEVVKDLILERPDAKAVHVIQAIGCS SLSKGQAFAKSTIPHLSPTVYGSYAECYADPKVDLIYIGTPHAFHKDNCLEAIQHGKH ILCEKAFTLNAREAREVFAAAKAKGVFIMEAMWTRFFPLMQTLQRLLHDEKIIGDILR VFADFGLNMDVKSLGPESRLKNKALGAGSLLDVGIYSLTWGLLCLDRNIADKAEKPKV VSLLSLEEGVDMTSSFLLLYPSTGRQGILTSTMSVKSDETFARIEGSKGTIFVEGPGT SIPSRFKYVPNNKDEKEKVFEFEKPGAGYYYEADAVAMDIQAGRTENAVMPWAETIRV MEIMDDIRYTNGALFPQDAE VE01_01888 MTVLTGRPLSWAITATAGCGFLLFGYDQGVMSGLLSGAAFIKQF PEIDTTPSGTGSSSLQGTVVAIYEIGCFFGAIFCFMFGERLGRRNCIMLGCIVLSIGA ALQASAYTIPHMIVGRIVAGLGNGMNTSTIPVWHSELMQAKKRGKGLAIELAINIFGV MTAYWVDYGFSYVSSGAQFRVPLALQIVFAVVTFAGILFLPESPRWLIAHERHSEARH ILWALEMDPNSITESDPAINRQILEIQNAVNEERAATEGRSKTALLKNGPQKFRHRVL LGIGGQFMQQLSGINLITYYAPVIFENSVKLSHNLALLLAGFNGVAYFFSSLIPIWII DRLGRRKLMLFAAAGQCACMAILAGTVSSGTKAGGVVAIVMLFLFNFFFAVGLLAIPW LLPAEYAPLAIRTRAASLATASNWIFTFLVVEITPVSINSIGWKTYIYFAVFNFFFIP LIWFFYPETQNLSLEQIDKLFTGEKVIIHWHPSMGSIAGAEHSPEAGSTDGDKISEQE VCHDDLKAL VE01_01889 MGSISISPDTAVPAHILESRQTPANAALFSLSGKTVIITGGGRG LGIVLAAAVLEAGGCAACIDILPAPSGAEWNDLQVIAKKSNLRATYHKCDITTESELS ECFDQIAAEGTRNGAPLGGTIACAGIQQKIPAVDYPADSFRRIMDVNVTGTFLTIKHS ARIFMAERTKGSIVMIASMSGQVANRGLTCTAYNTSKAAVQQMCRSVAQEWGQFGIRV NTLSPGYIRTAMTDELLTAEPEVEKTWMAGALLGRLGTPEDFKAPAVFLLADGSSFMT GADLRVDGGHCASA VE01_01890 MTLGKHFVNAVEDPVGQALKSFLRQDRSLRLIESQRVLYHQQNQ QKVLLLSGGGSGHEPAHAGYLGGGMLDVCVSGDIFASPSASQVLAGLKALKSPKGILM IVKNYTGDKLNFGLAAEKAKSEGLEVNVVFVGDDVSVEGNELVGRRGLAGVAFVHKIA GAMASRGSSLEEVTQVAQSVSDRMVTAGVSLDRCSVPKRGKQESLPFNLLEYGMGIHN EPGTRREKIPSMPSTVANVLEILKISELDKGKPIATMINNLGGLSILELHIIANEVMG QLEAVGFDIRRTLVGTFVSSLNGPGFSVTILELDPLTESLLNDQTTAPAWPNITGSPA LGIAENTNQRLVNDSVLAKASPIHIEYAIQEDLIGTEILHTLLGFVLKSVVTDEPMIT RYDTIAGDGDCGETLLKGVKSVCAALLQTSSEAPMDIVSIFRVISNTIESSMGGTSGA IYAIFFNAVTNHLSSPFDGSDPGFLSMRIRLALNSGVAQLCRYTSARKGHKTLMDALI PFTEAFASGSSLEAAVMEARNGAEATRKLDAVLGRASYVGKEIFEVEGGIPDPGAMGV VSVLQGILEGLKDERIISQ VE01_01891 MAPSAVVNNAPEVSSKMLTKAELKLDGDQQHDIVLRTFRCLIAD LCEQFKGGHPGGAMGMAAIGVALWKYVMKYSPTNPLYFNRDRFVLSNGHTCLFQYAFM HLVGYKNMTLDQLRSYHSSRTDSICPGHPEIEHEGIEVTTGPLGQGIANAVGMAMASK HLAATYNKPNYPLVNNMTWCMIGDACLQEGVALEAISLAGHWKLNNLCVMYDNNQVTC DGSVDLCNNEDVNAKMVACGWTVIDVENGNYDIRSIVNALVAARESNDKPTFINIRTT IGIGSKSAGDAKAHGAAFGPEDVANIKRKFGMDDTKHFNITEEVYEFFQDIKSRGQKL ENEWATLLSDYSEEFPDLHSEFKLRIAGEMTNDWSKYIPAKADFPTTPTASRKSAGLV CNPLAQHLKNFMVGTADLSPSVNMTWKDKVDFQHPDLKTTCGINGDYTGRYIHWGIRE HAMASISNGLAAFNKGTILPITSTFFMFYIYAAPGIRMGALQGLQQIHIATHDSIGTG EDGPTHQPIELASLYRSMPNLLYIRPCDSEETAGAFISALNAKSSPSIISLSRQNLTQ YPAYSSREGVQKGAYVFKEQDDADVTLIGVGSEMCFAVDTAKLLESEHGVKVRIVSFP CQRLFEMQPVEYKREVLQYRSKAPRVVIEAYSPLGWERYAEAGISMHSFGHSLPGQDA YKYFGFEAKSIAPKVLELVNDVKRDGIESLRGEFRDLNQKAH VE01_01892 MAPSASQPGTTDGESAGVTIRPMRLKVLYTFDDQNKTNCLARWP HVLQIQAVAMDENATIGVIELKTCIQAIVQCSPELVAKLGQDYTVYAYDYSEYDNPLV GQGMLSWALAASSPTPEAPASQSRQLITGRVCKNIQGLFSNGVAETLEVKLRLVPVPT VLQSEYVRSMETYREISKAMPVGFDHGEWLAFLQSNPGVGQMANRVNTPVPMPSQRSG ISMEVVNQLLSPSLQQTSVDPFNVPMNTEVVGSASRPPSPREKAPSRPASQASVKRPR KPRAPSKPLVGGNTSGYEDGTDGEEAPTTKKRAKITQTNWNSKSSFGTGTDSLRVAAS TAGSLRLFRPIAIAPAPGGAAGSHLQEMPRAPTPVPQLPSLKANRSRATSQSMLRRNS IVSQFGEQRRQYNSPYPPSDTGHDPQDHIRLSIESAMTSPEKEDSPVDTPPDINSSPP VLRRTASARSSPHAPSSPILPPMPRTDSGFMSGDISELFEDNEEGQPAEEDPVIAANK YTRRRATKPAAPRAEKVHHGFAIEEVTPGPPELLPTKMLPRAEAKQKAEPKPKTKRTL ARSKSMMSEDGLANGGRRGSAASFAPPRPGSAMALMPITAIPEANQSVEQSQTEIAPP SLQMNNAPQNNSFSRPPSRSMSRTASLGSLTLPAVAASEPALPPSNLQHAHTWSDAPH PATEAPTQMDDGTVAEPYSKRSAMGKKQTIRLRLEDAISRGEMPPFCSNCGAIETPAW RKAWAQECKGDPGYHEYSDEAGRVTAIEIVERDESGKPVAYRLIKKSLAAADDKAAFT EILMCNPCGIWMSKYKSQRPEDRWGKDPNQNAGERKKRGGSKPRKSVAGQAPLPTSEA HFYSDAPAPPDNYLSTTAPQQDLHVAAATITQSRFAQRGGSVQPPKNTTSLKDTAAAS LRRAIQSSPARWMGTSRHTPIEVEDELGATRRLLFPSPRKDDSPKSLGDDLPPGFSAD FAAPPPPPTNCNKENHPPQPNPLDDDDDLAALFGEDPLRTSRPTTPNRLPPSNPFKTP TRPTPSHRPITRSISRSAKSARSRRGDMLPQRTPTRSTPATRRSPRHRTGVQESPFTA TLNRLLSETGEDSHATHGGYDTGRSPSRNLDLGLDFSALPDLAHPSDLHSDALLFAGA GFGHGGGFGGGGGGGGGDFFSTDAAPMPSSPPRMFELYEDPLGMEMGSLEAMEEAMWR EFGGDGLGQGFGGGGGGVGGLVVDGGGRASFSMGVDTGEGMGEMGGVAIKVEDGEGVQ AV VE01_01893 MPLASKIQNPAFRAQMATKATDGAVIKELPGDEAEDVLFDTLYG LRTIELNRPKKLNSLNGSMVRKIVPRMQEWTKSDMANIILLKGAGEKAMCAGGDVAEL AKWNSEGPEGQQRSTDYFGLEYKLDHMIATYKKPLVALMDGITMGGGVGLSIHTPLRI ATERTVFAMPETTIGFFPDVGASFFLPKMDGSVGTYLALTSDRLKGANVFYAGIATHY IHSTSLPSLEARLAELRFNDYDSLDTRLKLIDSTLEEFTTGLPHDEPIQIAGAMREAI DRCFSPNSVPEIIAALEAETGETQEWAQKTLKTLHQRSPTSVYVTLRQMRLGKTWSIK ETFQREHQIAAKFMRHPDFTEGVSALLIRKPEPGQPRQPPKWQPASLEDIKASDNVAE PFFEVEGEKKLGLLSEEDYTSYPHAKYNLPTESQVAQYVRSDLKSRKSIVRDFVQMRS GKQGIKEVVEEILSRKTTVQDGKAVWID VE01_01894 MADTAKIQELLAKPRNDLTEFEVAALEQHEFTSGPLSILQTAVR SHSQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRLAGGKKGRPVNKDRFI SKMFLRGDSVILVLLS VE01_01895 MSTKHFFNDPTHLVNSALLAASLTNPALAVDSHHKIVYLRPSDA PSQVSLVSGGGSGHEPSFTGLVGQGLLTASVAGTIFASPSAEQISAGIVTRVDTDKGV LAIIMNYTGDVLNFGMAVEKAKSAGVKVDMVVVGDDVGVGRAKAGKVGRRGIAGTVLV VKIAGALAELGYSLEDVTRIANLTASNLVSVGASLDHVHVPGRDVVDQNDSDRLKYEE TEVGMGIHNEAGSARLAVTIEELVKTMLKQLLDQSDKDRAYLKVNSKEVVLLVNNLGG VSPLEMGGITTEVAAQLRRNYQIEPCRILAGTYMTSLNGSGFSITLLNTVPTGLPPTL LSLLDAPSQATGWAAPILAKTWTADNTATREKTHQTASAAQPTGLTMDEVSARHRLNA GLARIIAAEPRVTRYDTVVGDGDCGIGLKRGAEAVQAMLVRARPSGDVVVDVRSVAAV VEASMDGTSGALYTIFLNALVAALRRQGKGVATEQVWAKALSEALLALERYTPAKPGD RTLVDALEPFVVTLGETGDLKKAAEAAKKGAEGTRGMEASLGRTVYVGGQGFKDVPDP GAYGLSEFFLGFAGIEEETDGGDA VE01_01896 MATTPPSIAIPGQPLGASTKYIPGPGTHLHLSQIHASILGPIHL THPPKPVGPQKRLTKITPSAPTPLPTISVLRSPVLDTKPGEVQTAKREAIPEVGSIVL CRVTRITARQASVAILVVGETVGEGEWQGVVRVQDVRATEKDRVKIAESFRPGDVVRA IVISLGDQSNYYLSTARNDLGVLMATSDAGNAMHPVSWREYRDPVTGTSELRKVAKPF VE01_01897 MEDPLTMSATDAFHILDPEMPSFSYPDAYMDYHQVPDFFNPSYL SGAHLTTTTTSAAPTSYPSDDTAPHSALSATAPPLDFPRPHDDLSHFFADSPFSSPVP NQRFVPMKGQGGQTYSPVWGVQQQQDGWSHGNANNNNNNNNNQINSNNNNNNNNNNNN NQASSSQQAAPLAILTSGFEPGSDARTVVHHGQVTPGDSPETTTSPGPGKGRASRRAS RKGKESISSIATTSSTSTRDSGRDSSNRNSTTQPSPPAETAPAKVKKPRKPRRSSKKT TTAEQAALKRETFLKRNREAAYKCRVKKKTQTEEVVERVKALGEDNRAKAAEVERLRR EVEGLRGLLLPHYRVCGDERVVAYLDGLGSVGGAWGGGMAARGSVGSAALAGLSLGFN GGEDEESLASLNGGSRRQSVGSEGSGGSEGFEGEEQREMMDEEEEEREEEERRRSADL EDLFAGSAGGGSFDSGVTPVVDGMGGSMGGEIGMNGMVDGGMDGMMGGMDEVLGMGMV V VE01_01898 MSGYQRAVKPLSRCLQSTQPQLYARTFSSSATSRNEAASKAFEE ELARKHQWNPEIVSSRRGEKALMKHGILPIGSRRRRAAIQSSPNIPFEQLPYQCFQEA RAVLQADREQKLAEIATERARIDRLAAQDASTVPGGELQKKNRLESMRKHLERLKLLA DINDPMIKKRFEDGEGDMNKPIYRHLAQKKWESYQKLIIEQRISQLSIVPDTLAHFSP TAEVKLAFGRRNVQPGEFVDSRVSEIPPRLRVQVFDKGERLVSVVVVDTDVPVPENDW FTSRCHYVACNVPIAPTQTSLPLSKVDKASQLVLPWLPAFAQKGTPYHRYSVFVLEQK PGQVFDVEALKEGVQRDGFNIRGFVDKHGVKPIGLGLFRSTWDEGTKGVMERHDIPGA DVEFKRIRVAALKPKQKARGWEARHASDKYKSLRR VE01_01899 MASKSLSRGLQTLRSSFRTSRDPLTQCLAPALTRSMATETTFQS GISRNTEQTFDSSASGTSPFASDVLTTIYNFPTMEPLRFESYPANHLHLPLRKDILHR AVVFEGDATRQGTASTKTRWEIHGSHRKIRPQKGSGRARLGTRQSPMLKGGSKVFGPR PRDFSTELPRKMYDLAWRTALSYRYRRGQLIICEDGFDLEYARASYLKKVFAHNGFGA AEGRSLVVTTEERENLFWAFEHAGEEGAALLDVEVDVKDLLEMGRVIIEKSALDNLLR DHQSDLVGKPQSAA VE01_01900 MGLHSTASYGASGVMARGVSGEDMTIAQGLLAGNPLGIPSRNGS ELQRPPSMNQQGENLPPDYANQRRSRYNSTSNSWTSSSDEETETDDIGDRTYYIETFN KLAHQYGIPELIPDNHESEQIDKVVITGKAGSWIMKKLLRRTTSLLSLNKPKPDLKHR RSISDISLRMPGAKKDAFKDRHLAELVRLCGSSNFDLPPEYAHGTLSLPTCFRATAQY LVQRGPSMKGIFRISGSHATVAALYDHYASQDEDGDVIRDTVRCPTLPENIKCDEHDV ASTFKKFLLGLPGGILGKMWLFEALVAIHNQMGVAPDVVKTRQTKVRPRMIASAIASH PSRFQRDLICAVFGLLSMIGRASETARREDSDGRPLPTNELMGYGPLGTLFGPLLVGD LIYECNPRRGEVTVEISQGQSLPKKKSRHRKPKSVEESLLETKVASDKLKIAGGVAEM LITHWRDVVKHMKNLRTVGSSVFQHDHIQERGNGIHPLLRSSTSVFVLRRPPDWNPVD RDQSNRFGDRSGSPTPPSRNRLRVRNNISNLSLYNQQQEVLIRRKRSRPRAQPSQKLS GSKSMSVLTPPREDNSETHYQHLDCGCPTPKATIRAVSGVPPTENETISSGDSLYRDL LSSLQPPSENIAPPGLRVATTTTLLDQPLIENANLVNPVDLDLRQTAIVERPQEVQTP IKTQQTQGPNEAFALNDNITNTTTVEHSQDEQSTENSEIIKETEDREGTMQLKKKQPI AKRGTPKVSKATKVPPTDQQSEVEGDLPPRISRLPGYSPSEHLERRKLDQINLAVARD SNDISAKSQESDADSDLPPRVRRIPGQSPSEHLDRILSLNIPDSVGKHTPAYKSNGSA LRSGGSSIFADGGPRGSMMMSEVESGSVRVSHEQDMASLASLAQVLKSESNNEFQSLD PSGNTTEFGTDYGEIDYTPTNISTLKHTELEGAGSQKANHSVKRASFAPDSEVLQSHL QRASANPAASAKRNSAGIQYLIGKFNTVDQDTVGLPSPSPMTSQATFGGRYSIQFPIA APSTYAMNSSPLLSRGALKSSKGFGNETGQHGFDMEVASPKNLPPTKIPRPISMSLLS SITNQAEAQLPIKALKPTKKQLDRRSSYMDGGYSSSPVLPSHSLLRDGGSNENMGTTG RSGRDKTRSRKAEITIWDDSNTTPEPRSTSVSSHEQPSVDPRSSSSFSGPQSSADPRS SNGVNPENTKLGPSATAQAPTTPAVGTRAQGKGTSVLFSQITNLQKLLEARTEEADNL RKQLATKGSLNDLSTLAEQLREAKRETATWQKRAEMAERHLERLSQFNPATISEAMAE NSAYLEVDATRVAGVHEYPVVGKRDFRPLEIAGTTQHGNVDGARSSGDSTDTQGTTIM RTIPELREFRDSSTEESVATYGTFERQPRKLRGTSEEDLITFSETD VE01_01901 MSDTPVTRPPAPAKGSEGLIPQTQTQPARKPGHESPYVQPKTYL RPEIRSPTMEPKSLSVLDREQMQGLKAIRDFLKVRTSYDVLPLSFRLIILNTDLLVKK SLNILLQNGIVSAPLWDSHTSTFAGLLTTSDYINVIQYYWQNPDALGQIDQFRLSSLR DVEKAIGVSPIETLSVHPMRPLYEACRRMLESRARRIPLVDIDDETKREMVVSVVTQY RILKFISVNVEQTELLKKSVFEIGLGSYANLQTASMDTPVIDVIHMMVEHRISSVPIV DENNCVLNVFEAVDVITIIKGGAYDGLDSSVGDALSKRSDDFAGIYTCGMDDRLDTIF DTLRKSRVHRFVVVGDQNRLKGVLSLSDVLKYVLLEGEEYD VE01_01902 MGNYCSTCCQGRSRDGLYEPVLADSEREAVADLLQYLENRGETD FFSGEPLRALSTLVFSDNVDLQRSASLTFAEITERDVREVDRDTLEPILFLLQSPDIE VQRAASAALGNLAVNNENKVAIVLLGGLTPLIRQMMSPNVEVQCNAVGCITNLATHED NKAKIARSGALGPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVNL LSSSDVDVQYYCTTALSNIAVDANNRKKLAQNETRLIQSLVNLMDSSSPKVQCQAALA LRNLASDEKYQIEIVRARGLQPLLRLLQSSYLPLILSAVACIRNISIHPLNESPIIEA GFLRPLVDLLGSTENEEIQCHAISTLRNLAASSDRNKQLVLEAGAVQKCKQLVLDVPI TVQSEMTAAIAVLALSDDLKSHLLNLGVFDVLIPLTASESIEVQGNSAAALGNLSSKV GDYSIFIQDWTEPNGGIHGYLKRFLASGDATFQHIAIWTLLQLLESEDKKLISLIGKS EEIVQMIKTISDRQIESDDDGEEEGEGEVVQLAQRSLQLLGQGTSHIEG VE01_01903 MSSRFTQLPGQFFNRYYGHPGRLLSATLKTFFLAHVVWEYGYEI SPTAGASMLPTFEVLHDWVVSSKAYRRGRGVVVGDMVTFRSVREPGEKVIKRVIGLEG DYVLMNTPGSASDMMIQ VE01_01904 MRNPRCAFSAAAALHRVFILPASRPLLSQFRPQLRPSTLPKSTT SALFQHRGYASALPRDEQITKLNFPFVHLVDEAGKLSPPQRVADILATLDRKTQSLYT VALPPPRLRSRWEAPPGAAPASEEGTGRAAPEIPVCKIITKEVAGKPTKSVKKKVANP SATVKTLELNWAIDPHDLEHRLKRMREFLEKGYRVDVVLIGKRKKRKATQEEAGETLR RILGGVEEVEGAKEWKPMEGKVGGVVTVYLEGKAKKVEE VE01_01905 MPAFTRSIVPFLRTARAATQQRNAVSPLHHALKRGNGVDSMRMY AAAFERTKPHVNIGTIGHVDHGKTTLTAAITKRQAEKGLANFLDYGSIDKAPEERKRG ITIATSHVEYATEEKHYSHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQTRE HLLLARQVGVQKIVVFVNKVDALEDPEMLELVEMEMRELLSTYGFEGDETPIILGSAL CAMEGRRPEIGEQKIDELMKAVDTWIPTPVRELDKPFLMSVEDVFSIPGRGTVASGRV ERGTLKKDAEVEIVGKGDTPIKTKVTDIETFKKSCEESRAGDNSGLLLRGVKREDIRR GMVIAAPGSQKAHKSFLTSMYVLTKEEGGRHTGFHENYRPQMFIRTADESATFTWPEG TEDAHSKMVMPGDNVEMKCDIHTPVAVEAGQRFNVREGGRTVATGLITRILK VE01_01906 MAGKPDTVGANGSAEKDRGDTRSTDSESEEDRQNETENTTQSAN KVTNVQPMDRKPKFDFAALKSNSSLMNKLPGFLAEMDAANRELEAEKKAGTIAERRLE IDDDEEEGEKAEQYIEMNLGLGVLEEKTSDSETSSEGESSDDEDVMDKLMGAEKDSKD EGEKKKVGIEEV VE01_01907 MALRQSLLTRGIAPAPEDSELTSPADERNDAKNNMLKAMRPLPT QHYWNVYFDRPQGKDPKKAPDGNYEATLEQLAHQIESVQDFWRYNNNTPVDQIKMRES IYLFKQGFQPIWEDRRNINGGSWTFRVPKASGPDFWTRVQLMAIGEKLQGCLDTGDQL CGVGLSVRFNSHLISVWHRESSKQKSVDAILATVLEELPDEMKPRPDNYFYKKHSDHA GFKAPVDADTTEESSDKK VE01_01908 MPAKRRAPSGGEPSAPSKPRQSKLAKEHNISGHEENEIKEAFSL FSVPQKGEKEGVIPTQDVKKAMIALGVQPTKPELAEFLEILDPDSEGYAPYSSFVAIC ALKMRAKDNDTSAKDEEVEQGYLLFTNGTDGPITMAHLKRTAAMLKEDVSEDLLKDMI LEANGGSGLSKGVGREEFAEVMKRAGVWR VE01_01909 MVQYIITPWRDRDELIKVRQAFYQKPSNGGSPDKEAWQNAVALV SVWAQRGNCPHLIESTALLISASVNDKPGSSAYAVRAAYSAAFCRFVTGLLDGYQDKK HKLSMFSIAKNIGLPATFVELRHQCTHEELPSLGKLRGACERSLDWIWRQYWSSLEGK DKSSGSDEEPESVEDLSTVLQEYMVWKEGTGSSDEGQRLSFVRRLRKWDVEKILDTLH ELRAPGAADSGMMLKSIRLTRGILHGTADPEAFPPVEEEDSNAGNGQGDAACTDSNAV QQTSSGLCEDEENLGWKLWEGPWTPKPIGTV VE01_01910 MAKSAPPKKKSVASVRSRAAKRASSPSIDTDKSLKEAKPPSETK TPAVLGIHHGAGITKKSKHGRKAVLSARAKRRQEISMDRAEAAMDKKSTKIEKSKDRA RTIQSRSKTWDEQNRRMLARKEMEAAIAIEREQGGDWVDESGDDEVEEEATATPTDIG DVKMDLEAAPSASATVDAVVAEEEEEEL VE01_01911 MSRHEKVLRDLYGDDLSYEYHQDYHGDESQWRTYLNIGIPIIIL IGAIIYSTLYSLDYNLLSLPELFWNWIVHFTPSRLVDILDKETRSNLAGGNPRRVPRT HAAKSEIMRRAMGLDGQNSILGTVGTVAQAGRRRLSSLSGINLVAQGQGGIPAGLGNW DNSCYQNSVLQGLASLDSFSSYLNGPGSQKDETKDDSEMKMADSLRDLIARLKDPSNN GKRIWTPAALKSMSSWQQQDAQEYFSKVLDEIDKEIEKAVGQISNSPGLTTKDQPEPV AKVKKSSPSELMPTSTVRNPLEGLLAQRVGCTRCGYSEGLSMIPFNCLTVPLGKSWSY DISQCLDEYTDLESIEGVQCGKCTLLKTERLLKMLADKEQDLSTNNVGHQSVLERLRV VEEALEDDDYEDKTLTQKCKIPPKNRMTTTKSRQAVIARPPKSLVIHVNRSMFDETTG ELKKNYAEVKFQNRLDLGPWCLGSSGKAPDDSVEEWLLDPAESMIASRRRRSRIRGPS YELRAVVTHYGRHENGHYIAYRKHPAAEDAKEEVDEILVKEKTPGRSQWWRLSDDDVS QVSEDNVLNQGGVFMLFYDLVEGSSLVVTKSDSSPTVEESTQPSQFMSFINSHHPEFL TKGTTSNQEVTEDSSETTAQTGNQVVTESVPESISLPDDQVVAESAPEIIAQPDNEVA ADDEKASEKASDDASEEASEVDADEAADYEPVEPIHIKPYVRQAGRQHDDGRRKSSVQ GSSGGMVMV VE01_01912 MAVMDIDVIPPTKADTSVPERPRKKIKTSELPLSSSTRSAIESL SHTFKKKGNYDALRKQVWETLQTSDFETKLIDSITKVAENELEVNQSLLSSSRGKAAI LIEGVIDRSGIYQEAEAHIKRLLEDHVGTIEEGIRAIRRDDVGEEAAAEEEARGSKTD DQYAEEAATRRQEREKLREELREKERAIAEERRKIEKARKREEERKREAEEDKRREER EARRKLERESDRERDRLRSGDYSRDRSRDGGRRKRIDDSRDERKPTAKANLSREETDR LEQEALAMLVKEGKRTSQRSRHQLEPEVDETLAPPPRKAMPASAIKPISRDAQTKKEA STKPSSGGATKSLSHAADEMDVDGKDKRARARSRSRDPIHSRRDSRDRGGYSRRSSRD RDDHRNRSRDRADARDKDRSSRRDSRDRDDRRRRDSRDTEDRRRRDSRERSSRDHRDR DSRRDRDISRDPRDDRRSYRDRDLGRDSRYRSRTPPRRDFKTAEEKKQEAVKQRELEA KAYLAAQQEAREKGLPIPGLDKPKSDAFTRPNWRSRDEFPDSRKRDADSAGLGSSSLP RKRESSARSPSRASSVRRDRSPAVVSSRSPVIKRETSTLRDADREKDRDSRRHRDSSR RRSRSRSRSRTHRRERSRDAGREKERARSRSRSRSRDRRKEVRRDRSRSRDRDSTRRY RSRSRSRDRDRDDRRSSRRY VE01_01913 MVHKAIFWGGFGIAVRAWQLGIEMRPFFSKQSMVGYPIFAGVGA SFGYWLSGVEERQHTILAARRTSLLEKRQRRAEREAAGEQ VE01_01914 MQSTTASADLPVREKASVPAPTSVDNANANGAPPTAAATTAPSG DGAVKLTALELKAQKKAEKAAKRQQVIQNRQGGAAPGAPAGPAGAILSPQGNKAAPKG GKESGKGQHKRAASTAGDSKNAPARSQQQNNAPVEPPVEDKTVELFRHLYKPRAKTIA GAKDVHPAVLSLGQQMSNYVICGSNARLVATLRAFKRVINSYTTPPGTTLTRHMSTHV LSPQIEYLTSCRPMSISMGTAIRWLKLKIAKVDPDEPDAEAKESLCADIDEYIRDRVT LADAVIAKSASNQCIKDGDVILTYAKSSVVQQALVQAHSDGRRFRVIVVDSRPLFEGK ALAKALINFGLDVKYCLMNGVSHVMKEVTKVLLGAHAMMSNGVLYSRIGTSQIAMEAK DYDVPVIVLCESVKCTERVALDSIVFNEVADPDELLIPSTKPPAGMLHGWRDVKNLQL LNLLHDVTPADYITMIVTELGIVPPSSVPVLQRLANEGQ VE01_01915 MHIKSIPMSWGKGDNYAYLVIDEKTKDAVIIDPAYTEDVIPTLS PLVKSGEINLTAIINTHHHHDHAGGNRKILAEYADKKLPVIGGKDSDAVTTTPAHNTG FSFGSIVVKALHTPCHTQDSICWFMQDGDQKVVFTGDTLFHGGCGRFFEGSAEEMDKA LNKTLGSLPDDTTVYPGHEYTKANAKFGESVSKSEGVAELVKFAGANRQTTGRWTIAD EKKHNVFMMLGDAEIQKATGQTEPVKVMERLREMKNNF VE01_01916 MSNAKIPHRDARGRAKDAGHLHPAHSEEFHYSSDDDSQHPLEVV FNGENMHRRKSSLVASDPQLYRRTACFVHALLDDQHDDEHHHNRHLDGIAETTPPNQP HEPKQEGDEEVVEPKLVPPQVELHEPSPTPPTASPPPGTQTPGQPDEQLWKTTQSRPS VCENIAVDAKRADTKAVDVSADAVSHSRLLTKKQLSDMAWGVRELSKRLGSVNLKLKV KNVFILTKAHDESLVGNTREVAKWLLSKDRGVEYVVYVEDLLKGNKNFGADTLGEELN EADGKTAPKPSRLRYWTEEMCRSRPQTFDFIVTLGGDGTVLYASWLFQRIVPPVLSFA LGSLGFLTKFDFDDFQGTLTTAFRDGIKVSLRLRFEVTVMRCQKRKKRLIQHDGDDTT EVVAADEDWDEDLSHRDLVEELVGEEKDDERTHRPDGTYEILNDIVVDRGPNPTMSSV ELFGDDEHLTSIQADGVCVATPTGSTAYNLAAGGSLCHPENPVILLTAICAHTLSFRP IILPDTIVLRVGVPFDARTTSWASFDGRERVELRAGDYVTVSASRYPFANVMPSGRRS EDWINSIRAKLGWNTRTRQKAFDKKPSSLDKK VE01_01917 MMLTSSGPAPHAAGTMPTHLDLTLLEDVSLQMASSNLSRRHSKG SNASRIGGSMRISKANSTNNSPRNSTTLNRRRTVMGDSYRRQPSAVNQGMSASGEPSQ AYLTPLQAVSQTRPLSWHPSAYSTYDVPFQPVYNTGMTDYSMSYSNSQDLPNTPALQS NYGSPSATFSPESQPWTNYDQEYPLYDTSSIMQPSFTNPMADYAAYAQLQLQVQQQSY NDCMNPSIYSHFDWNNFAATGFEDGTAPPTPENFLPIQHPEPPCAIETTIPYHSLDDE EDEGEILVGMGLYDAPEKAPVTDMQQWEQYQTALISKYLGSTQRAAAESTGKGLKLEE TWNPPASEDEDEDQDAEGSDDDEEEQQQPGKQHGQAPAVVNGSNPAVQYEGEGWL VE01_01918 MSSRMTANPAKAPRYRPGKAVEEVESSDSEEEVSPEEEQQRAIP SRPPQPKFSSAGGISSDLKKVDLNERRREAREKEEKRVEDERLARKAEEEGFVTESEE GEEGSEEESGSEEESGSEEESSEEEAPRRVMMRPTFVKKDKRGLRKEEDTRPEEERAA EEEERRKKAADEIVEEQIRKDVAAREAGKKNWDDDEEAEDEIDDTDGLDPEAEHAAWK LRELMRIKRERVAIEEMEREREEVERVKNLSVEERKAEDDAHIARQVEEREGKGKMGF MQKYYHKGAFFQEDAKEAGLDRRDLMGARMADDVVNRELLPQALQMRDMTKLGKKGAT KYRDLKSEDTGQWGRFEERRVGGGGGRMGVGGDVDERFRPDEGGRSGANNLPVGERRV PQGPRGGREEERGAGRERQRSRSPRRERERSREREPRRDRDDDRSRRKRSSSRDGGRY EYDKRRKVD VE01_01919 MADPVVEELNALFGPASVNKKIEADVLAELQSIMRLHSIPPQEL FYKWESYSMKIGTDDMKLDIETSRALKQDIQDGLERESRNKLNARSVEKRVATPRNIS STGDVFNMLDGLVPNTPRTAPGSAASKRKFQTPSISRVKQEPASSPPEFKTPYKPGEK EYGGPTVAFNDRPNAGQTVEILNEQLPAPSPPIAPFSEPRIKLIANSDLKKLSYKPMA MKSSESSEILDDRIDELMALIKTHHTLPDSAFGSAANKSVDEIVAIGRIASDSADGKL NTASLVLETSRRMGNGLRVPLRLGSVASFQFFPGQIVALRGINASGEEFTVSEVLEIP LLPVAASTPQGLEMHIQRLRGGPDAMDDDSAAAPLNIIIGAGPYTTDDNLDFEPLHAL CSQAADTYADALILVGPFLDTEHPLLASGDFDLPPEAEADPDTTTLKTVFKHLISRPL QSLAAANPSITILLIPSVRDAISAHVSWPQEPFPRKDLGLPKQAKIVGNPMTVSINEI VTGISSQDILSELRHEEVTGGAPQAGGILARLPKYIIEQRHFFPLYPPVDRKLLLRTG TVEGAPPGAMLDVSYLKLGEMLNVRPDLLIVPSALPPFAKVVESVLVINPGVVARRKA AGTFARVSVGEAGLTEEERGGGLTVAHKLYERARVEIVKV VE01_01920 MPETPVAAAGENQIEEKVAALDITQGQTTSVAASLRAKPDGAVK TPFVDPVPSAKPKEAVALTADQEAKYEEVLATVKTWTEIPSTKGKEGPIVDEEIMWLT RDCILRYLRATKWQPAEAAKRLLSTLTWRREFGLLELTPDHISPENETGKQIILGFDD EARPCHYLNPGRQNTESSHRQVEHLAYMLERVIDMMVPGQESICLLINFKSSKSRSNT SPPFAIAREVLNILQTHYPERLGRAALINIPFVVNMFLKLIMPFVDPLTREKLHFNED LTKFVPKEQLWTDVGGAVEFEYDHEAYWPALNDLCKEKASERRVRWEAAGKHYGESEL YLKGGDVPSLGQKVEPEVAVEAAAPVAAVAPEAAVEAVAPAAPVVPEAAIEAKTAVAP EAAVEAETPVAPAAGIENQKPETKETQA VE01_01921 MSSSQTPKPMGSQAPAGQRGAPGLKYPTNGKTIYNRPLNRSRTQ ELSQASFAYLFGEMVSYAQKRVTDIQDLEKRLNVQGHPIGLKLLDVLIWREPPRTQLR PLNIIALLNFIINVMWKHLFARPADALEKSNESPDQYMIIDNEPLVNQYVSVPKEMSQ LNCAAFVAGIIEGACDGAGFPARVTAHTVAAAGKEGELWPGKTVFLVKFQPEVIEREA FLGKQ VE01_01922 MSKDSNHTYIHFNRPRDADLFEDFCKDKLPYDEIFVPPQNQPLN PEDEDDIVPDQHAAFGIQRATQKTKEAAWKDLGLSELMKKGPVQTGGRADGNMKVAGN RTLPR VE01_01923 MSHETVWNSRPRTYGKGSRECRVCTHKAGLIRKYGLNICRQCFR EKAADIGFVKHR VE01_01924 MVPRLRSEGLQPGAGDLRRRCTAVCFDYWFPGISTSQKPVVLSL AHLTSWSAERIARAATLKCPVDDNAILAEEVWDFYMAWVEHLPRCRSRLEDMERSMWE IIQQLRFDILGPCAKERNLSKTPRPTTFTYARLWRPTERYSGPEIPSFLPEDGIDNYR ACMTLAENGPMTCFTPAEFKIIEQLGPHVRPMIRTVMNECMGYFERDMMTGEFNRKIF DLHRHLGKIMELGNRVVELWCHMFGSVEVFADSWNVRVRRDEESGYDEQVDEVVTQLK DQVEISKQRQIKKSITQGRCLVYLNSSPQAIKMLVICMITDRIHALDELRNNRRQETG GTLTVQSAARHSAFKMKPAKLSVQFDLYLVVDNMLVKDASRNGTDHLKLAAARFVGVR VQVRFSRSYIKSNSSFPFSHLTNNPEVDDPGD VE01_01925 MDPVSQATKLLVPNDTDPANSRLKMRKVMEEKQFTFDNSFWSHD KADEHYAHQEDVYNSLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGNQEQPGLIPR TCQDLFQRIEAVDEPNITYNVRVSYFEVYNEHVRDLLVPRQDPPHYLKIRESPTEGPY IKDLTDAPVKSISEIMRYMKMGDLSRTTASTKMNDTSSRSHSVFTIMLKQIHHDMDTD ETTERTARIRLVDLAGSERAKATEATGTRLREGSNINKSLTTLGRVIAALADPKSSRN GASKRNKDVVPYRDSILTWLLKDSLGGNSKTAMIACIAPADYDETLSTLRYADQAKRI RTRAKVNQDHVSAAEREAEIANMAEQIRMLEHQLSMTETRKKDVGAEKLAEERVEEYQ NQVGKLQRLMEERSMVADGKIKTLQTENEALRLHLKLALDTLKNPIPEVPSVRRSISG EDIPLFSTERGLDKENMEFVDAGQEGHAEHVYEDEDEGDDHHHEKATEMQDHMQDLLK DLGMFRRKIVDDKSRFLSPLMERVNR VE01_01926 MSASLIGVQLDAIYHTSIVLEGVEYVYDGGLKQVKPGSTHLGKP LRKMVLGKTELPMEVIQDYFESLRPIYTFEAYDLWRHNCNNFTNDFATFLVGKGIPSH ITDMPQTVLNSPMGRLLQPAIDDAIRNSQNRSRTGGLLGIEDDTAITARNLNSRQRAE AVRTPVNLKELNDLLASAHESCAVVFFTSATCPPCQPLYPVFAELAAELSHKCVFIKI DIGKAMGVQQAFSVNATPTFVTFLSGKEERRWSGADVNTLRGNVKLLTVMAWPAHPHE SLKLPKLRGASVKPIVFKRIPPLDKLLAKIGDAGRLPAVQGVKYFIATSEAEGAAAST LPDLDAFSHFLRKSITTVPVEKMFAVLDLVRIAIADPRFSGYYAQEKDHKTIAPLLEY ANNAEDPPYALRLVALQLTCNLFSSSLYIHHILNCSALRIPIIQLTASCLLDEKHQNV RIAAASVALNLATANSLVRREDHHEALPEDDQVELAASLLEAIRTEEESHEALNGFLL ALGQLIYCARKDGEVVDLLKSLDAQDTILSKKGSFPNEALITEIGKELLGSL VE01_01927 MRRISVSLPTRNSQRDPHEKLARYGTPPGASTLKTKIDSVKTAW MTQSQRSRYMKTGSILLFIVFLFYYLAPKGVHVGSGSGSGSNAVSSGSNGVSNAEVSA AGSTTCTKSSDPSKPLKQYVLMIDAGSTGSRIHVYRFNNCGPTPYLEDEAFKMTAKVE GGSSGLSSFDGDAEGAAKSLDMLMDVAMEYVPENLRSCSPVAVKATAGLRKLSGDKSN NILAAVRTRLETKYPFPVVAGKEGVEVMEGMDEGVYAWITTNYLLDRIGGPDHIPTAA TFDLGGGSTQIVFEPTFRTGAGGVPEKMAPGTHKYDLDFGGRHFELYQQSHLGYGLME SRNSIHKLVINGLLAENPDDKAWLKKPIVNPCIAPGMTKEVKIEMDKGHDLGPLLIVN MTGPSSPAPAQCRGLAEKTLKKSSECPLAPCSFNGVHQPSLEKTFTKEDVFIFSYFYD RTAPLGMPESFTLREMHDLTHQVCQGEPAFNTFASIPDAVKELKERPEWCLDLNFMMA LLHTGYEMPIDREVKINKKIRDFELGWCLGASLPLLAKNSGWTCKVSEVSH VE01_01928 MAEEALLDKISNLSDLELAALLCLTNEEHCIIDTDPDSVQDLVH ELRLIASNVFGLSHAVVDCSEQTTLEDFDNALYTDLPVSPRADSPARYRHDSHLQPSP GFRSLSRSSPRSESFADSRKIASVIIAKDLDEAPKSVQIQALELIRTKRIFTHTSVQN APKRFLFIAVIAGGEGPRLSEHLNNHMFISHFHSHEDGYPNIDEQESDAESISSVVRK SDGHIEPSLNPVFAATDIVALQRSGHDVFMSVEVNGYIMNIIAFLRLHRAVSGGISPL ATSHFTKLAKSLAPLHGLRYVTPSLVTLAAKKIYPHRICITPPERERSVQWGSNIDAV AAVLEGMGPDAVIDDVIGSVEVPL VE01_01929 MSGWFASNTALDEQIERATSSSLEDISLNLEISDVIRSKTVQPK EAMRSLKRRIGNKNPNFQLGALNLTDTCVKNGGSHFLAEIASREFMDNLVSLLKAYGP AAVNDEVKNKILELIQTWATATEGRHELSYIGEVYRTLQREGYKFPPKVDVASSMLDS SAPPEWTDSEVCMRCRTAFSFTNRKHHCRNCGNVFDQQCSSKTIPLPHLGILQSVRVD DGCYFKLTDKSSKGGGSFAISPPAPYKSRTSPLQPMQPRNARIDDGFDEDLKRALAMS LDEVNGQANGGYVPQAKLQQSSGQQEQANGGRPTASPTKPAEEEDDDLKAAIAASLAD MEEQKRQHAATLKESSSNRASSAPFKLQKNDYELTPVEAENINLFSTLVDRLQTQPPG TILREPQIQELYDSIGSLRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEDRL SNTYSQHSLGGYNMPPQQRQNAYPSIPSNLQEGPGGAESFYTGQAPAQTASPYGAGPP QQYGGYDKMHTATPGNYPGLEQQRESYPQQAQPQRTGSWQAANPAAGPYAQQQQNGAP GFAPGQAPPDLKPSEPAMSPPTDPNAAFYYGSQQPGQSKEAPSQPQAPQGPSDPNQGY YASPQHQHAIPDPNMYTSPQRGPSDPNQTQYSSAPPQSQQAPQAQQTQPSQTPYQQPY WQSQQQNTAPPQAWQEPSPAASGYTQSAFPSAPQHAPQTKAAAVEEPLIEF VE01_01930 MESSQLRTFSGASHPASKTIPLDKYSSAVSREGSKRHRWVHDVR KDLLTLTFKPVDIPIDGGRIQTHLFMAVTAGRDTLEHLDVQKLVKCSQEHIERVRRGL IGSGVESPVQVIVRFPCVAMRFPGAPSMVQRFQLRFKDDKQYFEALNILKRAGLQIKE STHEPKQDAPSQDRNVAYNRSLPPCSTQPLSVGHPDNHQKPQFAVPSSESSRRFTQFM NSSSIPPRSDTSSSLSMRPSSTFSADLGGPRSSSSLGTLYKDDMASDDFCFQPPPPRP FSSTSFMKSSPPIYASQIETQQRATLTSLPCTELPSDIVKPRKKQAYIPEPSLSLPSD EALSTGYDVLERSSELPDTRQPLRSSTESLTAQPALNVSKMRRSATTQFEPSQNLGYS NEPLHLARQSSLSFSTTRPMSVPTVGDDLHISQWIPPKRELPFPKRREPKKALETAKK PNKGTEDGSMLPPESTEAPRSLANTTTLPSKQAEQQDKRVTVQRTNTPPTSKLSTVLE VPDSDEARPTNALRNSVWQEEPSPLASKSAAIKRPSTAPGLKSKAMTSRKRPNEEAPK WALAKRVKMVDSSTQTQTLSGRDHTAAISRTSTIPVEPVLPAPVTVPAPEPPAPPREA VFEAKGPIEGFLDEVGRFVPKDSGRIKPVELYEVSFWDKEKEGERQSMTESWICEQID DPAFIEMCKHVNEVWKKIGFKT VE01_01931 MRPRRRRLWQQNSPIAPYSGSSDDDSPGDLSDADESNYSDGSVG SNFMPESLTSQQYTNITDFPSPVDEDSIIEPVENPHQEGNIIETIESPEPSAAEAPPE ESIIPSPPHPIIPESQANITMADTALPSQVEPLSDASSRTLGRETPSSNPGTSSEAPS SDDEVTDRCYGFLLLHYPKSLPATLDTISILLIYQRRPHGLPPYWGFPKGHPEPEDHS DWESASRELLEETGLSPDRVTPITPAGFSPPLPDSVAGLRPDFMSVISQYPSFDGGIK EVTYFLARVAEMEKLMEQVEELVECRWVKLSEARELVNIKPVRKVLEKLIGLVSSGPK QVVARSDWIRWKEFDALDDNGNPRQWRTVGFCTPE VE01_01932 MFKFSPIPKQANGPKNSKSEKAESKYVTDDQNKPAREADTAIHL DLDGGGRIKVFGWVLDSLVLLELSALRSSHGNIDEAVALTAGPPVNPMPEILDMNPEE RDVETAPTPELASN VE01_01933 MLLTNAALVTGLLAGSSYAGSIPANVKTFYDGIKSKGSCSKALK TGFYALDGGAKDFSYCGDHMTDYNVLYIQGKNGQLADMDIDCDGIIKSPYSDGRCEAS QDTQPQSSYIEQIQGYNVGIKDVDSYIHSYVVLGNYADQGKSGYTTFHPTDYGIEPLS IVAVVCNNQLFYGVWADQNGDDGPPMVGEASLAIATLCFGKNAVNGDKGHSDLDVLYI AFPGSDAVPGAKGANWKASTAKAFQDSLTAQGNKLIQRIGGGGGSGPSPTTTGGGSGP APTCAWAGHCKGASCKTDDDCSDPWGCVSGKCA VE01_01934 MFKEATREEWIEARKALLEKEKALTKASDELSALRRQLPHVKVE KNYTFEGPNGKLKLSDLFEGRKQLIIYHFMFTPPSTTGCIGCSFFADNLPSHLEHLNS RNTTLVLVSRAPYSLIAPFKKRMGWTMPWYSSSDSDFNYDFHATNDPAVAPMMVNWES AEALRERGKGAYVEGEQSGVSVFIVGPGGGVYHSYSTFARGLDGFLGTNSLLDVTPLG RQDGGGWWKFHDEYEDE VE01_01935 MPVGIHNFLPSSMASECKKCSKILASFVDPRQSFAPDKVIPPSV LANAKGLAIITVLKAGFLGSARYGNGIVVARLSDGTWSAPSAIGLGGAGFGGQIGFEL TDFVFVLNDASAVKAFSQQGSLTLGGNVSLAAGPIGRNAEAAGAASLKGVAGVFSYSK TKGLFAGVSLEGSAIVERRDANEKLYGQRFTAAQLMEGSVRPPPAAQPLMQVLNSRVF AGAGSTDAMYNDIPVYDNNQDDVVWEGRSGSAYNEGVSRDRGGSVNNDNGRDSYGAPK RASTWADDVYDPPSRQGSRRSQTAEDYEYQDTPFRQPSGRVGPGRPAAPKPAFAGKAG GLREDQAVALFTFDADQPGDLGFKKGDVITVTKKTEKAEDWWTGTLNGKSGIFPSNYV EMKK VE01_01936 MAESYDRERQNDSRLDELSAKVSALRGVTVDIYDNARAQDVIDN TSDTFSSMGTTLKGSAQRLGRMAASGNKMAAFKLSGIIVGTVLVLWFIWGLFV VE01_01937 MSRRQVDPAAERTARNAQTIKSLLKLEGNKSCADCKRNKHPRWA SWNLGIFVCIRCSGIHRGMGTHISKVKSVDLDSWTDEQLQSVLMWGNSRANKYWEAKL APGHVPSEAKMENFIRTKYDSKRWVMDGPIPDPATLDAEGDDDIPLNLVKEKQDLQRS TSQRAVPGSAPGGSPATVRRAPQTDLLASEGSSVQRANSTPGTSSRQSNFQAAPAAPP KVSKPADSLLGLDFFAQEPQPPVSRSSNATPISGGSGQSRPDLKQSILSLYASVPKPQ PQSHHGSQGSINGMQSPSHQPQSSFGGLDDAFGGLSFSNTTSPPPQQQQQAQKPNAFA GLGSLQSHRSPPLSSPPSTISSGSFFDAKPAAKPTPYANSRTTSSTSDFGAFGAAPAL PTSPPVQSSGMNDLFDFSTPAVSSPPKPVVSPAATHSSVFNLTASQPAPKAQPAPAAT SAASSGWSNFDAMGTNDAWSSTKPAPAQAAANDFGWGSGPPLASQSIVPGGNGFSQAG STPKVSVDEEFGGWSSAPPAAPVTKTSHNAPKPVAGFGAASEDLFSNVWE VE01_01938 MRPPSWLNAVARTTPSSSSSSSYIPLRTSAPRSSLHRPQTHAAA VLAKPMPTPSFLGSLFSSKKSTTPKMTFPVQKSNDEWQAVLSKEQFRILRQKGTEPPG TGKYDTHTPSSGTYTCAGCSAPLYTASHKFASGCGWPAYFDSIPGAVERRADRSMGAT RTEIICANCGGHLGHVFKGEGYETPTDERHCVNSVSLNFSEKEVEMEERKSVDSKV VE01_01939 MARGNQSGLFMGLSALFYLVLLFTPLAFLQTASASSEQEPLAAE SYGTVIGIDLGTTYSCVGVMQNGKVEILVNDQGHRITPSYVAFTEEERLVGDAAKNQA AANPKNTIYDVKRMIGRKFSDKDVQADMKHFPFDVVQKDGKPNVRVEVNGSPKTFTPE EISGMILGKMKDVAESYLGKKVTHAVVTVPAYFNDNQRQATKDAGIIAGLNVLRIVNE PTAAALAYGLDKTDGERQIIVYDLGGGTFDVSLLSIDRGAFEVLSTAGDTHLGGEDFD QRVINFFAKQYNKKNDVDITKDLKTMGKLKREAEKAKRTLSSQMSTRIEIEAFHNGND FSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKEEVDDIVLVGGSTRIPKVQALI EEYFSGKKASKGINPDEAVAFGAAVQGGVLSGEEGTEEIVLMDVNPLTLGIETTGGVM TKLIPRNTVIPTRKSQIFSTAADNQPVVLIQVFEGERTMTKDNNNLGKFELTGIPPAP RGVPQIEVSFELDANGILKVTAGDKGTGKSESITITNDKGRLTQEEIDRMVQEAEQYA DEDKATKERIEARNGLENYAFSLKNQVNDEEGLGGKIDDDDKETILEAVKETTDWLEE NAGTANSEDFEEQKEKLSNVAYPITSKLYSGSGGAEGEDDSGHDEL VE01_01940 MSRHKIVKNMDLDDEMDDYDGGEDYADDGAEELSEEDKESMRVG TISVREALGDDVPGITDKDIQEALWHYFYDVEKSVNYLLQSRTAKKETGKKKAKGGSL FSHLELGDMAWRQGELEEGMGAGMGGSYGSGEYMSPPPTTCSNPFIPADFWKDMSWFN VPEDRRTTFIEPLYPRGGLMGGNPEAAPKMTKLQALAAARKKNAQEQKNNAGASDVTK PMAGLSLGAKSGNDESSARSSASTAPSGSRTASGKENTVRKYTGLKRKDSSPHKQESR PPASVPASPSPPPIEPIPEVEAAAPSAFAATMFGDTLPSLRQAPSAAFTLPYSDPHLQ PTDDAFAGPSPDDQVLAAQSKAAAKKDKPPAKTSNEKKADQIASGVEAMRMDDTPRAT SKNLDVLAEFEKKKAKNAANFVVIGHVDAGKSTLMGRLLLDLNVIDQRTIDKYRKEAE KLGKSSFALAWVLDQGTEERNRGVTIDIATNKFETEKTAFTILDAPGHRDFIPNMIAG ASQADFAVLVIDASTGSFESGLKGQTKEHALLARSMGVQRLIIAINKLDTVNWSQDRF DEISQQVSAFLTAAGFQAKNVTFIPVSGLHGDNIARRCTEEAASWYTGSTLVEELDNS EPATRALDKPLRFTIGDIFRGGVQNPLSVSGRIEAGSLQTCDALLAQPSGEKCYVKGL EVDNETADWSVAGQNATIHLTGIDPIHLKVGDVLCSPSSPIQCIKTFVVKVLAFEFLM PSGIDVHRGRLHAAGRVTELLATLDKSSGKVTKKKPRIVRPANIARVSVELEMAVPLE KGQRVVLRSGGETIAAGLIE VE01_01941 MPVFNPFQSVTGRNSASLFEIRLESDVIVLRGPESEASSQILRG VLVLCLAQPLKVEDVHLRLTGQCRVGWNDTRLTGTGISSGKVDKITEILNHRWPNFVG SDGTGSSSKGVTLGATNYEWPFEVIIPGSTAESVAGLHDSHIQYKLKATIARGRLAYD LHAYKSVRVIRTLDPAALELSHAMTVENIWPNKLEYSIVIPQKAIVFGTSIAVDMKFT SLLKGLRIGTIKCHLVEVQEFSIPGPAFSNKSHKKSRDIENWAFEIDEQESYRDMLDE SGQGGFVVKEVLPLPKSLKKCLQDCETHGIKIRHKVKFNIALHNPDGHTSELRASLPV TIFISPNVPLDEAGNLLDQTPDHIHTLDIDSHAPPLYGEHIMDQLYAGIDPGIMTPSA SSGMNTPFHLHSRSGSAENLAAMNGMSSTAVRPDILSSRLHNLSIAPGTPGAFRRRGD GSGGNTPHVTFSSDNLVSLGTSSAPYPAGGYFDQAPNGATSRSNPISRHPSDEDVRSG RTSAITSGHQTPEHIDYSELALNKVPSYATAVRAPIRNTTLNEPEPLPNYDMAISAPP SPTRVHSFPVTRTLNDQSRNINSEPATPRPETHRRNTSLSHLGRSVLNRRSMGDDDAE RRLQLLRARAP VE01_01942 MFVLPPPPRYPTHAAYNAAIAAGQVPPMIETNNILSTPTGPEYQ LLVGEGTYVLKEDLHLATPPPHPSEAPIINPNPLATNPQPASAGTKISLLSLSTRNSA PYYFRQRANSRLNAPIHEHPGEERASAELSSEGGAGSPRSSNLTRPLSHASTPAFGEG NSMLLPQVGKDASKRRKPKNNMAKSNSSFISRVITNEGLAKRLADRPSDGLFAFVNVN RAFQWLDLSSPNKQDHLTKILFTKAHCLCHDINSVTKSTTHMDLIMGFSTGEIIWYEP ISQKYTRLNKNGIINATPVSEIRWIPGSENLFLAAHMDGSLVVYDKEKEEAAFLPEEK SNEALDAIIEAGGRESLHIEKSVHSRNQKVNPVSFWKLSNQRINAFAFSPDSRHLAVV SEDGTLRIIDYLKEELLDLYTSYYGGLLCVCWSPDGKYVLTGGQDDLVSIWSMTESMI VARCQGHQSWVTAVAFDPWRCDDRNYRFGSVGEDCRLLLWDFNVGMLHRPKAASVRHR NSVSSRFTSALQRAETQTTIASRVRSDSNISTDTDEGLKTGHPVEPKSRVAILPPVLS KNIDADPLCWLTFTEEAIITSCKTGHIRTWSRPTDAAGTPEKPAV VE01_01943 MATVRICVCGDEGTGKSSLITSLVKDIFVTNKIQSVLPHITIPP SIGTPENVTTTIVDTSALPQERNTLRKEIRKSNVILLVYSDHYSYERVALFWMPYFRS LGVNVPVVLCANKSDITANGTTAQVVEDEMLPVMAEFKEIDSCIRTSAREHHNVNEVF FLCQKAVTHPIAPLFDSKEGVLKPSAVAALRRIFYLCDKDQDGYLNDQEMHAFQAKCF QKPLSPDDLENIKLSITRGSERSTVDQGIDIDGFIHLNKIFAEKGRHETIWIILRTFQ YTDSLSLKDSFLNPKFDVPEYASAELSPAGYRFFVDLFLVFDKDNDGGLNNDELAALF APTPGLPQSWNDSSFPSSTVRNDAGLVTLQGWLAQWSMTTFVEPKTTLSYLAYLGFEP ASSRESTTAALKITKARKRRRRPGRVERNVMLCYVLGAPGSGKSSLLDAFLNRPFDSL YHPTIKPRTAVNSVELQGGKQCYLILEELGELEPAILENTSKLEACDLICYTYDSSDP DSFSHIVNLRKKYPHLDDLPNIYVALKADLDKTTQRSEQQPDQYTVGLMMNAPLHVSV TWHSISELFVTLAEAAINPSIAFPKSEEEPPDRTGLYVALGATVCAAVAAVMIWRRTT AVS VE01_01944 MKQRPHTYNNQGGYLPNGATAGHTGLPQGAAPLLPNHGRVIQTG GVRVLCVADVRGNLRSLNELAKQARADHIIHTGDFGFYDETSLDRIADKTLKHVAQYS PLISEPIKKAIQQPGQSGPVKSRFPASDLPLSELPSFLNGSLKLDVPVYTVWGACEDV RVLEKFRSGEYKVDKLHIIDEARSMLLEVGGVKLRLLGLGGAVVMHKLFDNGEGRTTI AGGQGTMWTTLLQMGELVDTANRVYDPTETRVFITHASPAREGILNQLSVTLKADFSI SAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVQPAIQQNEAQQN LLNNALGIVEKMPTTAHGGNPFGGPVGGSNATAIGQVDESAFKNMWNFNLADAAFGWL VLEIQDGRIGTEMRAQGFNFAHRGAKQHPAQANQQQPAQAPATGSGTPGASHALASVP SGPAQTRSPAVPAASQPFNAPQQRASNQQQQPRGPASNPPRATGSPAPFQGQKPATPQ PAQNVVNLPAASKENEKAPAVGANGSATNGSADAAASPVQKSQDIIGLFVMNVQTDDA VRDLFVEEDKAKIVKIDKWGQSNKVVQFKTTEERDEALARLSDDVKTRSMEDRSRPLV KIFLAKDSRGTYPTSRGGAGNWGSSRGGSNPNAPQAGYRSAGGASDSESGRGGRGRGG ARGGRGSTERGGRGARGGRGSFKGDGNTSPTTSAPAAPATSTAPTS VE01_01945 MASRTLCRPLARSALRATAPSRTFTASTLSRQAQGSPNPQQNGE KYTHFGFENVAEHEKAQRVAGVFSSVASSYDTMNDLMSLGIHRLWKDHFVRSLNPGSN SGSLTPTTGPSPSQGWNILDIAGGTGDIAFRMLDHAHNVNHSPHTRVTVSDINPDMLA EGRKRSLETPYANSKNLDFLVANAEELPVPDNSVDLYTVAFGIRNFTDKEKALREAYR VLKPGGVFACLEFSGVTNPLFEAVYKRWSFGAIPLIGQLVAGDRASYQYLVESIEKFP RQDEWKNMIQAAGFVVPGKGWEDLTGGIAAIHKGIKPVEKL VE01_01946 MNSRIPRACLARAGRSLSLSSVCRVAPRRCFSRAPQRSGEHKDD RLRFPGALEAKFTTDLNFHNPKDNDVISCYRVMDSEGVIVDKTYKRDFSDEEAVKLYT DMLGVSIMDLICLDAQRQGRISFYMVSSGEEGIAVGSSSALSVEDVAFAQYREVGFLM QRGFTFTDAMSQLFANIKDPGRGRNMPVHYGSTALNVHTISSPLATQLPHAAGAAYAL KMQHLSSPKSTPRIAVCLFGEGAASEGDFHAAFNIAATRGCPVLFICRNNGYAISTPT ADQYRGDGIVSRAAGYGINSIRVDGNDILAVREATKRAKDLCLGTSDGGEAKPVFIEA MSYRVSHHSTSDDSFAYRARVEVEDWKRRDNPITRFRKYLENEGLWDDTKETEARLSI KKELLKAFSAAEKEKKPEILSMFEDIYEELTPELKAQRAELKDILERYPDEYDLSEYD KGKDSL VE01_01947 MGEEERKNWEQMGEEGRIAELKPHYCPPLDESMFLAILSDYDYD LSLPINLENACKSLDMLAEDAEVEESMGFDPSGSSGAVVGDGADAVAQDNSEGHSTFA WSSTTDDTSLSQGFATLGLEGEDLRDAGRQNSQDRAAGGEANSYDAQFKGMDLAAKEA VLVETFPGLKPYDIKHTLKMCKSDTEKAMEELLNQVYLEENLGRRQGVDGFYEESDIP PVKKKGRNRKKRQEPSTQNYVSTTSDTRPEVAESKWESARRDVETISNLTGMPTKQVG SLYHKHGTLVPPVLNAIIDAHQELGLDDNDSSMHLKALELNHDYPAIPITRLLAIVQI CTSAHSSPRDLVRALTSRPYSSGTQSPMSPIQLEFRLPPPDLSGPSESKLKPNSHNAV YANGQSSQQTSAYATDGRDYKALSNGAYNQAAAAYKRGKSDPLMGGAAAYYSSVGRDY GAKAKSATSATANATAARQSTASQLDLHGIGVADAVRIAREKVTSWWVGLGDRVNGHG GYKIITGKGTHSEGGVARVGPAVSRMLIREGWRVEVGSGSMVVTGVVKVGKGM VE01_01948 MSARNTRASARQAATASDLAPPSAATPSQPPPTTRPSRKRKAAE REASPLPEPEPAKPAKSKRRQKRQRTTEQETTAAPAAPPTRQRKGKSTAVMSSPGASA GPANEGGSAASSATRKSNRNKKSNPDLAAAAAATPTAPRKSKKQHKNAEAETDPNEGN DVEPADEDDDDEDDSDDNDNDDLPRGFNEEDEDDDPFGGFGGPGGPPHGLSNTLRALS GMMSGVSSRLREILSNLKQKEDPSMQLIALQELSEILLVSTEDNLSGHFSPDAFVKEL VTLMQPSDFGEENPEMMLLACRCIANLMEALPASTANVVYGGAVPILCQKLLEIHYID LAEQALSTLEKISVEYPASIVREGGLTACLTYLDFFATSTQRTAVTTAANCCRNIPED SFPVIRDVMPILLNVLSSNDQKVVEQGSLCVSRVVESFRYQPAKLEELVSSDLLKAIL RLLLPGTTNLIGPSIHTQFLRVLAFTAKASPTLSAELFKMNVVETLYQILTGVSPPNG VHDVASKLDSVVIMQALIHRPREQVIETLNVICELLPGVPQDLPSFMDDAFEIAIASE PSGTSSRKKSLNEKRIELLEGCKEELKRFAVILFPTLTDAFSSTVNLSVRQKVLTAQL KMLSTLDRDIIMEALRTLPYASFLAAILSQQDHPTLVNSALQAAELLLTRLDDVYRYQ FYREGVITEITKLAQSAEPVEGEDKKPESGETTENAEAEATSAVADNAPAEPAVANDR DEDDNSSDGDNDVDMDDPQDDRSASNGSSRTSSVSLDGQRPSLPEGVTTMQQLIAQRA KKFLEVHENEKNSKIMKKKAMKILSNLQDLAVKIEDFYLRQQFGNGVELFDQLAAYFD GDVLESVTSAELLNSEVVRVLLEVFNNPDEELANDARSAFLEVFMGRTVNRKPKTATA DSPATPFSVLIHKLQDLLSRTEHFEVVTVHQNTFDGNRSSAASMLAKQIRLKLVADDD SDIPRAYRNIMVSIHAIATFKALDDYLRPRISISERSRSSRHRDGLSGALAALAAAGM ANPYGPPSAASRLAERQFAAAMAGHAAPPPPPAASRSSRRHKSKTAPTATPASAAESA TGTPQEKSARRSSRRRQSQTDQPPPPPPPPQQDDDSLQGALECADERMLSEDDEIDDS AALDAIVGELNEEMEEDNGEDPGAVNLEVAVGGKITARKEDGTKVATPAQAPSASAAA HGPSSAQPATSAPPAAATPTQSNRPMSYAAAIQATPQDWHIEFSLDDKPISNEITIYR AVHSTTGHIDEQTSRNVWSGVHAIKFKRVAGPPPAEPSSFIQANEAAETTASGIPASL DKHPATSSILRLLNILHALNANIDDVLAENKDTLKLNVEPLSQFVNTKLTAKLNRQLE EPLIVASNCLPSWSEDLAHLYPFLFPFETRHLFLQSTSFGYARSMTRWQNAQSADESR RDRHRDERPFLGRLQRQKVRISRSKILESALKVMELYGASQSMLEVEYFDEVGTGLGP TLEFYSTVSKEFSKKKLKLWRETEGNDSDEYAFGLRGLFPAPMSEEQAQHENGKKILH LFKMLGKFVARSMIDSRIIDVSFNPTFFRIGDESTTVTPSLGAVKTVDAQLAASLKLI KKFVHAKKAVDENGSLTAAEKVAAAENILVAGVRIDELGLDFTMPGYSTIELIHNGSH TAVTIDNVDLYLEKVIDMTLGSGVQRQVDAFRTGFTQVFPYSALSAFTPNELVMLFGR VDEDWSLETLMDSIKADHGFNMDSKSVKNLLQTMSELSDTQRRDFLQFTTGSPKLPIG GFKNLTPLFTVVCKPSEPPYTSDDYLPSVMTCVNYLKLPDYTDLEVMKRRMDTAIKEG QGAFHLS VE01_01949 MSLNGLDDLKVKEAHDAAIAEPGGWFLLKYVTRDEIDVLGRGNN GILEIRNAIASYEEPSPLFGFLKYRRRNVLIKYVPEGCSRLIQARVTVHFNAITDRFS PYDTSFSIDTAKGLKDSALSAACSLHTASGSTSSSTSSLRRRRLMEIAEDEEEDRRQS TVMEERASTIRASSIDGDSDPTEDEPPSTEQPELLTSMTYKPQDSETTPEQDSESLDL TPSISHDPSVQSSVDHPSESPRQSLDEGRSSMQDDPSNYSNYSSYPAPGRPKIKLGPR PSLDTAGRPQTSSSANYRPVSTLPANVKLFSRSSKRPKERPQSQHPGVNPTMMISPPQ LGDPTTNPDDQAVRPYTSGGRPTTSSGASFTSTMSTNTVTSKLPTMTPEKARLMKAME LRKKKKNALLQEHLVPPLPSNTAAEAEKMVEPAPAVEPAGAAEPVSVAEPIPTVEASP SAEAAPAVAEELREEETTPTLPNDTKEESENPLSASTTSATESDATANGSDPATPLTV SEPAESTRASSISDSTDETIQASTVNKEAAALEDVSQNDDTTSDNGHESNHVEQSLPQ PPTEIDNTVAVPEKEIIAEAQETPAVIPETPKAQEQEVPTVVVPDVPEEALVVEEDPV KSDLPTEQTATTTVVDSHPEPAAKELAPEIQVSEEDKPPSAFITDFATEISAIPVQVE QNEETEGKIVVSEDLGDESLPEVKAPMKIPRSKFSSQSPEDSAATESNNGTNAAKSNG NERVPRSESPKRRRALMEPIRTDLQKSRPNSEVDFLNNEAFMDELQSATVQEAKPISV AKSPMTPAFPRDAKKGPSVSDRFSRAFSNPSKKDNQHLTPQLEPTRSVSAGSAYLNRI SQQSSSSPVIKKVNVGSGISQRIKALEKLSSTTGTPVAPQGTGPTVGTTPTFFAVRKS QSAGGSKSPSIAERANSLTRQSPSPSMSRDSSPEQFKNRDRSYSIKNRTDAFNSSPTN THTTRARPESISVTARIIRDPNQPYSAKSDMPSDYTTLSLKQSPLVIDHQKFKPAPVQ LPMKESIENKELPLTPAKKERRSSITVVKELISETRNSFAERRKSMTIEPGIISSAMS MRSPSRPSSIHQGSSGRPLSISSRRSSREFGNVMSPTSSILSPSTSEDNSEKKASRTS RMLHRMSSSFSSSRKTISHAMSPTVREESEPPATGVSMSPSNYSSMSGPATTMVEMGD VNVQFPDNLLWKRRAMRLDSQGFLILTQSQAGKSTDKAAGVKKYHLSDFRTPAIPDME AEELPNSVILDFVDGSGLQFACEDRGGQVWVLQKLLEAHSTWAAYGQ VE01_01950 MVELVEVEDESFETKQVGPDDEEDYYTDTDSEISSDEDEALPTL DESLSERLLALRDIIPPTTRTAISSIFNTTSGYVTSTLGFGGKALFVLSTSALLLGVP WALAFAEEQQIADMENEMRAREAGSELLAAPGTTAEQLSAQLGAPAAARPAL VE01_01951 MEVNNGSRGPSLPSPAASITSLPQPAAFDPAVPLRGVVLCCTSI PPDTRTALAKQAEQMGAQHKYDLTSEVTHLIVGEYDTPKYRYVAKERPDVKILTVGWI EAIRLLWMSDKHIDLESLEAEHTLPTLASLIVCMTGFEDSAERTNIINLICSNGGEYH GDLTRSITHLIASRPEGKKYKFAKDWKIRLVSAEWLYDSIQRGMILDENLYHPETPAE ERGKGAWVRRNAASPLGKRLRENEAVEDGTRKLRRTLSRKLTSQNEVVWGDIVGGGNT LLNKNANSEQPPIGIPGDGQTSQRTSSDELILTAGMAQTSAPIIVKRAAFSQCRFCIH GFEPRKAKTLSRHLLSHDGDVRGIDDWPVAAPPFKNYMVVPHDFAVTGLPPTPEGTPV VTEWWVERCLEANECLEHSASYFDLPFSSTSIDGFKNLVISTTGFTGFHLLHLSKAAA ILGATYDEYFSEKTSVLICNATQQIRVEKLQRAKEWRIPVVSIDWLLESIRTCSKQPY KPYLLRSKANRSGILERRSASEVMVRKEIEHDEFESHQDLPFSKSMTSEGITRPATVI SRDTTAFDNDDDDDEPTEAVGGFLIPKKATEKATKQATEQPTEPTPPTQPPLDHHNTD SPPEPLTEISSNSPRKSPVSQPKPSATTSSTDSQEISSAITSLLAKSKNPPNSGPSAS GGTESAAQHRTARKPSRILGRAPSNISVVSRASSVDSTASGGQAVHWPAGKRSKVDSL GSLAGGLVEEEGEKEEEQPPMTQQLLYEDEEGERHKAQMIARMEGKKPEKIEKARAVT VGSLEGGRGRSLRTRERGVR VE01_01952 MAPPPPRSFSIPGAPTRGNRMRAIAGEEKSPSHKKPITRVQSWP AGADKPLAAANGISCRIQLAEPHIYVYGLKPANRDIAALQFPPAIIRGKLILKVEKPT KIKAVTVNFFGQQRTEWPESFPPNYVEHHDETRLEYQVLPFFNALLPNEDGGYGAQCS YAIEDRGPTTTSLRIDPALSEALTEVMNGSKKKARPVLTAREQKTLQKRLPCSSVRSN SFGNEQSSRAPTWRMGSAPQMGYKIFPPGVYEYSFEITLDHRCPETMNLPMGSVHWRL ESLVERHGTFKTNLHGKQEVLVVRAPQINAEDQLLEPIDFTQSYDEVRCNTLIQGRAF PIGGKMPVVFRFTPLEKVEVRGVWISIVEETKYYCRDGLHRKEGAKREVRVFEKQAGQ PTREEYKGVNVRFLEGGELSPEQRAQARAQAESLRNQVSLATGVAPEPLPEAGDNLLG DLDLGLDHFISQTVMEVDLQLPTCEQMRKDTSKILHPSSSFKSSHVEHFFYLRLARFQ HDDSGVPKKVEFTKGFAVNIALLSCLATLDRITLPIYCDDTNPEAPSQTAECGCPNAH TINISSLAAASGNDLLTGFSNVGGSNLSVPPSWPGDNTTPRIRPIQMMRFPSYAPPPF DADQPPPSITSPPPNYDNVVGTPSHDGLADYFSRMATTYDEGQGEDDDEDEDEEEEEV SESDTGSESKIRRNTSRNGRVYIPNPRSPGPLLRSSSMNISQGFMYRRELVDAMQEAQ IGGGDIEQAGGSQSEGSQPGDNTSRA VE01_01953 MSQDYYKGKGKASDSGESREKEQEDQRDQQPPTASGPPKYVTVG NGSTSENAARLKALLDRQDHDSGYGGSIMGESATGPAPWSPILTEDRPTPSHTPTYHG QSSAASENERRVQAAAIHQLWYNSHRGALARYINNVVETLKELQQFNTKWPAHYPSVQ GAETLTRANQTPQPGLTRSQSTFSNPPNRSGSPRPGLMKRAATSLGEPSEAESSSAAE QRTVPEPRLITPQIAQDFSILKLDLKLGALHQVELVHSLEKGSIAALLDGKISSSIKH LLSLRERIEDTSSKVLVTGDLNAGKSTFCNALLRRKILPEDQQPCTSLFCEVLDAREN GGIEEVHAIHKDSEYNRHDESTFDVFSLAELERIVLDNETYTQCKVYVKDVRTIDESL LNNGVVDISLIDAPGLNFDTTKTTAVFARQEEIDVVVFVVNAANHFTLTAREFIGAAA AEKAYIFIVVNGYDNIKDKQRCQKLILDQVAGLSPRTHKESSELVHFVSSNAVPTAPL GGPGGGGGGGDDDDDDNSKKDKGKEKEKIQDFEALEQSLRRFVLEKRARSKLAPAKTY LLNMLGDVHALASVNVDVAQAELDRVTKELEELEPILEASQKAKSEVSDDIDQTIEKT CKEIYDHTRSTLVSTISHAAEADLGIQYKGVFGAFDFAEEIKEAILSQISTSVTQCEE HARQQTVQGVNTIKQLGLLHLGDEYTDLSFRSDVMFRRKRDMLAREVHVETEVWDFFD WSTILHRQEKVAGTGMAMTVVTVVGTRMVGGFGWVDGALGAAKLVGNNNLRKLIVPGV LAAAIAAAAYVVSQIPHSLPRRLATKISAQLEEIDYVHANSTRITSSVRKVLRFPADN LRVGLQRSVEHLGIKREETLKTRGESEVARKYFGNLVNQSSKLRSSVEAVDLEGPAPG VAAAYDNL VE01_01954 MNIVEWAFGKRMTPAERLRKHQRALEKTQRELDRERIKLENQEK KLIIEIKKSAKSGQMGACKIQAKDLVRTRRYIEKFYSMRTQLQAISLRIQTVRTNEQM MQSMKGATRILGSMNRSMNLPALQRIAMEFERENEMMDQRQEMMDDAVDDAMGVDDEA EGDEVVEQVLEEIGVDLRQAMGETPQGLQTEAVPESRVAQAVGGGGGGSGDPGDDDLQ ARLDSLRR VE01_01955 MFGSSSNSPSPPKDTLQEEPDSGTTIPPTTSTEASTSPIDSTTP SPPASEKIPIKDRRGGSSPGNGRPSPDKDTAAGATEKKRRSSGVGNKASAFLASAKNS LHFSPSSNSSSFRVLSDQNMQTPLQKLGRMDAALSVPQGAHNNSAGESLPGPRSTFKV GVWEDKNKKCRRTMEDTHAFLYNFLHTPAPFVHSKLDNPKVTADNTKNDPSTDAPLTA SASDLEPDSTTSKTASDMMETDNGYFAIFDGHAGSFAADWCGKKLHIILEEMIRKHPN TPIPELLDQTFTSVDLQLEKLPLKNSGCTAVAAVLRWEDRIPNTLSATGSTAIAPATL AAVKAAEDSTTIIEEKATGVQENPDRTKELAAAVDPAADIASSAQSGLTTTEAAHARL KSQATRQRVLYTANVGDARIVLCRNGRALRLSYDHKGSDENEGKRIANAGGIILNNRV NGVLAVTRALGDAYMKELVTGHPYTTETVIQPDLDEFIILACDGLWDVCSDQDAVDLV RNVQDPVIASKQLVDHALARFSTDNLSCMIVRLNKSALLDTANNHLSSIGVEGDPIGG PGRLSEADKIVAEVKRKAEEEGVPFLGVSGSNSGKGYDQPKYEDGAGAAKNAGMEKVV EEEAAAAAPAPVVGGKTALPAGGTDVNVGVLETTSPEESADIRK VE01_01956 MAPPRRSRRDPRKVQTRLTFEPKSTSPSRGTSGISPARIVYQDP RKPKKKQQLISTANKNEPSSSTNNNEPTSSARSRPYYSDDEDIFADGKKFAVVLPSPS RSTAAGQLRRKSFMGAASSSVKQRGRPRKSAAYETDSESEEEEEIIPAEKTKKTPILL EDESESELEEVTALAEEIKKPAAVSRDNSEPSEEDLVTPAAKRQKRPEFLKDRSESPL QSPQRATVKNGVVETPRKPSEESPSPKKTKTTPVKSFIAGGYLNRAHSPRSAKNQRDP AKKDKPVSRKSKGKEIVAISSDDDSDSDAIVVEQPSIRKKKADSALKEKALSSRKRRR STSSEEDDEPVRSSPTKRRKQVLLDETSDEESEPSPTVPAQRPAATPHKRTTKPPSIK KSKEEPTRQTRQMNTPKKQHRTEKQKKLELIKRRRAGEKITELTESSSSEYEEERGAY DSDASHAALSVFDDEDSGEEGAIEKVRQSLRPGNRNMDDDSFIVSDDDAPLGAPSDLL HEIPLEFTHHAHKHLKEHFKDAIEWMVQKKINPGFNHRDPIYIQAFRKLEPEARGLAQ SKFSSAAWTENFTRALWSRPQFHEEDISAGGEGEGRKCDACGRSNHPAKFRIQLLGKP YHQDTLEVVEESDAESDDSDSDAASVNSKGQKILSADTDFYLGRFCRANAERAHSLIH WKHALYEWVVQTLSDQGFLDAEKLAERVKWGQRKLGALANEIVDEWVESGEIKGLYRD FRNNLEAARNNKQDRWNA VE01_01957 MVSQVVNRPTDKTMKEKDINQKLQLYGILTAFQNGKVPSNDQID VALNSFMNKEFMRNPSRKLSPEGQVLVQDFRKVVEQAKNLILTKNDGNLLQDFVWQTQ NLDVNAKAPGAPVDRDSAKQHGNEVAEGLRTLGTLVISNGQFRKLLSDATVLLREMAG DAATKTAGKVAPSQEQLDQIDQPAADNTWHETPDLSASKLKGQFKSAVNKNKPLSKQD VQEAAGDAAQAAHPSGSRDPEDVARLAAYDRQQGEYSGVDATSGAQQGIDTLRNRASE NIPEETKDRARQTKEVTKEKTKQYLQSKMPEERREQTIWRLKKMIVEIQGHPDYNSAI NTLLTLAETYAGHASTVGQQAAGTAKGVHSNNTLQNAEADLKELIERFANYTSTDDLF DSINAVYKDADRDPELKNWFRSMNRYIRKCLQQQGYVLEDAATREWNQLHDQGNFLLR DRYKNHTDRVLDEIKFLADQFENDKMNKQFAQSCQKLFTDLGNDENGKPTFKPHLVKD LSEVIIPEIFENVRYVPIPRIEYSDPMFDVVIENLVIESDNLMPNAVEFASDNYFRWG RKGIANRNKNAVMISVTGVQMDLRDVSFYVKKKSGFPSLSDIGIADILLHGSGFSFKL RVSTADATDRQNFFKVDRVDVDVQNFDIKLKKSRHKLLFGLVKPIMLKVMRPALQKIL GKLIKDKFNELDQMAFSIKQEADKAAQEAKSDPSQAQNIYQRYATAAQNKFTKGKKKT EAVAADKKTNLAMTQHDSIFPNVHLPGGISTKATEYRDLATKGVKWESPIFSIGSAGR TTSLPGLGKVSRRQHETTQGGLRDRDEVLGAGAVGAGVGGVGGATAAHYVQTEPAYVP VTHVAPVTTTAVPVTTTEVPYTNGNGAAFGKQADQAFNKADGMYSSTGTLLGRGNPVL AGLAPADKF VE01_01958 MLMTQTPQPAPFSSQRHAADDASHTTGRAVAFPSSSGHHANFAG SQAAHQSAYRRNSPTHHAYSGAAANAAPQQQQQQQQQSVMYVRALYDYEADDRTSLSF HEGDVIQVITQLESGWWDGVINGVRGWFPSNYCQVLSNPEDVLEDRHHDDGEDDDLDG DEMYDDQYGPLDDGGEADRDHTAQQLPIEGFASSGGDNNNNHNSKARADFWIPQATPD GRLFYYNTMTGESSMELPLESPSSATENGPRDRMNVALPERTRPPQEMMAQGAFMEEE EYESEGNSASELDGESIMRTSTGSLPRKRRSYGSEGISPAMSMESINGALPNAQQRSD QFYTSNMFGNSSSSVLPVAGASTTSFTSPTGGPVQAANVPRSFFDDGVAAPLTWNRLV VNMKLAVNQYKEAINNGQRSEYVRRAEDISDHLRLLLAAGSGTTDNHSGQPSIISTNK ALYPHFRDMMSKFSKLVISSHIAAADYPTPESYQKCLQEADGVLQGVFSYVEVARQQR GEDIPRLFPGFVIGSAAGGSWQNNGLGSRDPMTSNFLDEDEAALEPTATLDAKLLERM DDLKRGLISSIRKLEEHLVVTEKIITPYRHELIGNAICAAAGKVLEMFRPFVSTIESI NLSSLGTGFQNPQLLDFTTYKQNLYDNISDLVLGCQAVAGPLGDEWAEVRGESLEDRI TYVRNSTRQLETNASHIGFSLQLLGEQVQSTLQDDLRPQSQRRVDSQGGYDRIHQRTE SRQTPNPPRGPPIAPQPPPADPIDPASGNYRMGDNSKVKKFFGEEPAPPPSAIVRPRE VDETPDFLALDYEQDLLYDTKLQPPALKGGTLLALVEQLTRHDKLDSSFNGTFLLTYR SFTSARELFEHLVKRFQIQPPEGLAQADFDTWRDRKQKPIRFRVVNILKSWFDNFWME DQSKETMQLISDVYTFARDTVKTTETMGSGPLMVVLEQRLRGQDAPAKRLVLTMNQST PAPIMPKNMRKLKFLDIDVTEFARQLTIIESKLYGRIKPTECLNKTWQKKVGEGDPEP APNVKLLILHSNQLTNWVAEMILTQQDVKKRVVVVKHFVSVADKCRGLNNYSTLTSII SALGTAPIHRLKRTWDQIPARTITVLEQMRKLMGSTKNFGEYRESLHLANPPCIPFFG VYLTDLTFIEDGIPSIIKKSALINFAKRAKTAEVIRDIQQYQNVPYPLQAVPELQEYI LSNMQAAGDVHEMYERSLQVEPREREDEKIVRVLAESGFL VE01_01959 MPATALNPIAASPAAARKSSLVPEKKYKCQFCNRAFSRSEHRSR HERSHTKERPFKCLKCRSTFVRRDLLLRHDRTVHAKDGGIPLHSDVKRRKAPTKSTIA IDTSALEQIEASSDGMVDLETAAMLMTDLHHKATVAMRNAGVGPFEQGPGMAFAGNGS SLMEPSVTYPSGAISLPQVQWDAFMPQSGADRGAHSISSSVSGSQDSQRSFTSTSTAQ PSRLGQMHSHSASACNGLVPALQAMIDSLPPTASATPRQASPHDSPRPAGQLQPPKAP QVLDEEQRTAILDNIRNADSERALVETFRLPNLTSLNRYLSTYFTLFHHHLPFLHPAS FRPTKVSPPLLLAVLSIGALYAFDQEQAYMLHIGAKLLVNQFLQNKENFSSRKCPLWT MQSTLLNMVFTSWSGDAKGLEWACSIKSLLANMVAGNRYELKLRTEAREGAQPSRAEW VEDEGCRRTYYAVYIFFGLLTLTYNHTPAIGFNEFEDLQLPSTESLWNLNVNDDASWH EHLMESHVPSFMEAHDNLFQGESLRYSAFATRVMINALFLEVWYHKRSPEALQDVVTE YKLRLALETWEKSLPLCDSEHVTVSLSAPHKGHPLIFNALAMFRNARARLEVDLKSIQ EALRYHDSYEVAAAMSNARSKVKRSSEMIKVIQECFNCLEIAALQGIRWVARTSATNW SIEHPLCGLDLMVILSLWLYRLEHDEEPATEEELAMYNKVRNLFDDDSLDVYDSKLSS AVARIWGGMLDEVVVWGISRLMGESFQLHSQALVGYEDDIEASSNVSTPSMISQGAED NDDSIY VE01_01960 MAPQGESSSKEKRLTSITPRKFTRFFTPRSHGPSAAGSSRRILF DVTAPANNRRGVQSSPIRPLNTIADQENSPISFTRDMKRRKLLHTPEPTLSEKNSPCD DPFSSHVGDMTPEYGYFSSFAAEGFERSTCELSTHLDYDDDAPRCTISEEPSIGRYAL PIRRAASRGLAGNLLRSRHGSIPSRRHDTSSPISDWQDETASFYSRPADTHFCSSIEG PDRCIPFCVASCNTNSLMAVGDEEGRIRILESAKESQPAFKDIYVSFRPHSNAIIDMC FSQDDSLIATASGDQTSRVIDMATQTTISVLSNHTASLKQVRFQPGAANNSVLATSSR DGSVQIWDLRCKGMEGPVQSIQIPLDPLDNPLQPAPPSRLNYGAAINGIYQAHRSAAP SRSLPPLTSTDGPTRGEIPGRAGDVSITALTFLPAGHEHLLLTASESNATVKLWDIRS LQRPRRPPTAISSTALPRSHSQWRHFGVSSLNISGDGGRLYSLCKDNTVYAYSMAHLI LGRAPDLASRNPPRRHALAREQEGLGPLYGFRHKKLHVTSFYVKSALREAREGKAEML AVGSSDGCTILFPTDERYHRGAGAAPSSAALDIESDDGQPQLPPLRTHSDAPRVADDI PIYTNGTPLVRGHDREVGALTWTRGGELVTVGDDFLVRCWREGEGARDLRMGGEEGGR RWGAGWAEVEGGYDDDEE VE01_01961 MGIQKKHGKGRLDKWYKLAKEKGYRARAAFKLIQLNKKYGFLEK SKVLLDLCAAPGSWSQVAAECMPVNSLIVGVDLSPIKAIPRVITFQGDITTDKCRATI RSHFKTWKADTVLHDGAPNVGTAWVQDSFNQAELALQSMKLATEFLVEGGTFVTKVFR SKDYNSLLWVFNQLFTKVEATKPPSSRNVSAEIFVVCQGFKAPKRIDPKFLDPKFVFA ELADPTPNNEAKVFNPEIKKRKRDGYEEGNYTQFKEVPASEFIQTTDPIAMLGSLNRF SFDQPPNGDVALAALDKMPETTEEIRDCCKDLRVLGRKEFRNLLKWRLKVREKFGFAT KKSIAAEAASEEVAEVEPMDEELRIQEDLQQLSEKETARKKRERRRENEKKQKEIIRM QLHMTAPTEIGLEQAGPNGEGSMFALRSIDKAGAVDKISKGKMAILTEAEARQGGENG VFLLEEEEESDVEQDQLDRYLDSMYDQYQDRKSESDAKFRAKKNRKEYEDGDWEGFSA DNASDDEKLEHDSSDESSDDEGAPVTKSLITDLDNEQQKPNGLSKRAAQFFDQDIFKD IGGVVEEESEAEDEEDEQELAAGLDDLEDLAESKRAEVKKAPKSKPSVPTSNGFEESD SDSEDGFEVVKRDSRDAQWQDQGQPLKNGKLDIDIITAEAMTLAQQIASGQKSSYDLI DEGFNKYAFKDRDGLPEWFLDDEGKHDRPHRPISAAGAAAIKEKLRAMNARPIKKVRE AKDRKKFHAAQRLEKLRKKSALLNDEEGMTEKEKASSITKLMSNAAKKKPKTQVKVVV AGGGRGGIAGRPRGVKGRYKMVDARMKKDTRGLKRAAKRK VE01_01962 MASRDQPASLTSVSDTYEVERLSDRASEEHLERSVTEESSPKVS GFRASTGLQNVARRTLGIILLLITVILWTVSNFLASYIFADNTYSKPYFVTYFNTSFF AISLIPILSRVAHQQGFREIKTSSVSFFRHFRRGKYRNEQHIDEDGGHLDLDGHSAAP LLQGDALGTSSTGGSVLDAGEMQNAVLTISETAVLSLEFCFLWFLANYFVAACLEYTS VASSTILTSTSSIWTLIFGALLKVEKFTIKKLVGVLACLTGIIMISMVDLSGGNDDNR GKFPHKSQREIAIGDILAFGSAVLYGAYSVVMKKRVQNEDRVNMPLFFGLVGLFNVIL LWPGFVILHFTGVETFELPPTGKIWTIIVLNTVSSFVSDYSWAYAMLLTTPLVVTVGL SLTIPLSLVGQMIISSQYSSGLYWVGAFVMVLSFLFINHESHEKGEEDETE VE01_01963 MASRKKILLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFDTLDSW RDEFLIQASPRDPENFPFVVLGNKIDVEENKRMISSKRAMTFCQSKGGIPYFETSAKE AINVEQAFEVIARNALAQEESEEFSGDFGDPINIHMENDRDGCAC VE01_01964 MSWRQEYLENLKQRDELEKANYDLIDAYSRLADQTAALEAKVSA NSSSPIPTSPGDDAPGLSNQEGVAQVKSNLAEALRAKGQLQRRLKVTEETLEGLKTRA KADSTLIGDLSAERAQLILKVKDRDEELRGKAQLLVQVQDENMSLDLQLNMAEQQTAR LKRENKDLIDRWMARMGQEADAMNEASKF VE01_01965 MSFWNKNPSSSKQKIRTETVPIKASPGTINRAPSRLQSEARLAS SLPRSKSLGQTSRSSRKDSYSTKLSLPERSTSRKRSPVYQRIESDSSEDEGQESPPVN KRQRLTPAELDDPNRTLPSRKQFSQIDSTLLIHAADIASHKLGYRAAFGDTTLEGAPV CLQYPGSSIAERYELVFKKDSFDPVEEILGVIKDFTDFFLDDGKAEPFVDPDTGIIRR LERARNLGSLEGFKSALIDYNSAVESLRNGEDIATVLDKKHSVSESLVVRIMAQTYDR AVSPKVDLLRKYENGTNEIYGELKSNLVYKILAETKITSKQVFVDLGSGVANVVLQAA LQVGCESWGCEIMENACSIAEAQKAEFEARCRLWGLQPGLTRLERGDFMVNEEIRAAL QRADVVLVNNEVFTPELNVSLVNLFLDLKEGCKIVSLKSFVPENRKISNYNNNDPSNI LDVAKKAYSTKSVSWKDEGGNYYISTKDSTRLQLYGDRS VE01_01966 MASQKPRQSNSDDESTRASRQGVLKATAASQTPNDTDGTKNIRP ATTTTGEIQPRSWYGGGSWPRIKSGIKSNPSIQVVKESIMAGKSGNNSRPADVALFEQ RTPAGPQPSKATVSRPASMDPGKSKETLELVSGEPSIKDTTRGTYDTANGPTTHSAKP TGDESKSTDTVVEPESDNSKPESDNVVERPKETGWLEWLRPTKAGHNVQHGSVAAEEA VPSVDEPQQPSNNVPSSVDKTKPQSPLASTVATDPRQNAETGQHRRTEPNSRWPGLWP VSNSTITVARPEENNSEQELIKPDLTMGKDNEQSSNATTTGSSWAFWSRQVPSATSQT TNSDEMGEIAVTGEPSQEHPVAALSNGPKTAKAGPELPAKTAAVTSSSSTKSIAPNLL LPSFRNTYHLAESPSIIQQIARLLFRSHQPPTNHLFVIKDPPKIKKAIAIGIHGLFPA ALLRTVIGQPTGTSIRFANHGASAIERWAVKMGVQCDIEKIALEGEGKIAERVDHLWK LLLNWVEHVRQADFILVSCHSQGVPVAMMLTAKLINLGVVTSAKIGVCAMAGVSLGPF TDYKSRLFSGSAAELFDFADPDSDVSRSYHESLGVALKYGVRVTYVGSIDDQLVSLES AVFSPVDHPYIYRSVFVDGRIHAPDFITNLVGFALKLRNLGVSDHQLIKALSAPLAGS LYSGEGHSRLYDEEAIYDLSVQFALETTSVGQNVDLKVQRSAATSSSNPFQLPWSMRG LLEEDVVKTELDQEAKELLLQFEEWKPTTKL VE01_01967 MGPIKRKTGPTNDSFVRSKKVGENDNRPQKRLRQEDTGTSNTAK PNSGTTTLPTAHVPKIAKAREEEAAFPRGGASVLTPLEHKQINIDATRDVLFEHETAS RAKADGDSEAATTHGGKKRKQKSKGTKDANVKGDEGDDIRIEGLSYKRLVPGSLVLGQ VSKINIHDIALALPNNLTGYVPLTSISGKLTERVERLVAADDGASDDDSSSIADDIDL NDLFSVGQYLRAFVVSTNESNASGAEVGKRRIELSLQPQQANSGITAKELAPNSMIMA SVVSVEDHGIIMDIGLQKSAIGGFMSSKEIGYAIEMNTIQEGAVMLCMITGLSSNGKI VKLSADLQKIANSKKPTYLSDAPTVDAFLPGTAVEFLLTDITPRGVAGKVMGSIDVTA DLIHSGLGNAGKDLEKKYKVGSKVKGRIICTFPNVEPRKLGISLLDHVMSLSTQQAQK NAQKHDPLQILPLSSIIEEATVKKVQPGIGLFVDIGVKGVNGFVHISRVADSKVETLS ESVGPYKVDSTHRGRVVGYNSLDGIYLVSLEQRILEQPFLQIEDLTIGEVVKGKVDKL LINEAGIGGLFVKLADGITGLVPEMHMADVKFLHPEKKFKEGLSVTARVLSTDLSKRQ FRLTLKKALVNSESPVFKSYEDIEPGSQSPGTIISILPGGAVVQFYGTVRGFLPVSEM SESYIQDPSQHFRVGQVVNVHVLNVDPAAARLTVSCKDPAAFGLSQQSALKKLRIGEI VSATVTEKSNDDISVELELSGLRAILPVGHLTDGSEAKNLSAFKKIRVGQTLQNLAVI DKVEKNRLITLTNKSSLVKAANERTLLREFADVKESKIVHGFVKNITPTAVFVQFGGG VTGLLPKSKLQDEAQTLHDFGLRRLQSLTAKVLSVDQSEKRFLLSVKDINIPVPSVDG ELVHRGESQKAVNPVDESITAAEDFTLGRVTMARIASVKETQINVQLADNIQGRIDVS EAFGSWEEIKDRKHPLKQFSAKQTIPVRVLGIHDAKNHRFLPITHTAGKTAVFELSAK LAKTTESAPEALTLNKVKVGSSWIAFVNNVRDDCLWVNISPNVRGRIGALDISDDVSL LNNLESKFPVGSAIKVSVTGIDVANNRLDLSGRSARGSSSALSFQDLKKDMVVPARVT KVTERQIMVQLSDKVSGPVNLTDLTDDFSDANPTIYSKNDIVRVCITDVDVPNKRIRL TTRPSRVLNSSLPVKDAEVSSVAQLKVNDVVRGFVKNVAENGIFISLGGNVTAYTRVS DLSDAYIKEWKSSFQVDQLVKGKVIAADPALNHVQLSLKSSIVDNDYVAPISLNDLEV GQTITGKIRKVEDFGVFIVVDGSMNVSGLCHRSEMAESRVTDVKKLYSEGDAVKAKVL KIELDKRRVSFGLKASYFKDQSESDEEMDDGIEDGMEGVQLGSDDDANSEEEDSGNLE RLDASAALSGDDSDSDMEDAPQKPSGLPLEAGGFNWTASTLDDADQQIGIDSDEEGLA SASKKKTRRKPLIKVDRTGELDANGPQSTSDFERLLLSEPDSSQLWIEYMAFQVKLSE LAKAREVAERAIRTINMREEAEKMNVWIALLNLENAYGSDDTTEEVFKRACQYNDAFE IHERLTSIYIQSGKHTKADELFQVLIKKFSQYPNAWYNYAHFLHYTLSSPDRAWALLP RAIQSLPSHNHLSLTIKFAALEFHSPHGSPERGRTTFEGVLATFPKRLDLWNQLLDLE IQQGDEGIVRALFERVARSKDLKPKGAKAWFKRWSEWEGKHGDAKSQEKVKAKAVEWV RATGRLEG VE01_01968 MGPKKKEQQKMSLGAFMTDEKLGSWADEMEDMPVYSRAGYGAEK RTYGSTNTTFGSGNLAGYSVREELPLPDKPPYTVHLGNLSFDATVGDVTDFFADCECT NVRIIEDKLEMKPKGFGYAEFGSREGLIKALALSGSQFQGRNIRVSVADPPKDRDRPD VRELGDWSRKGPLPDLPGRGGNDRRAPERGFASGRTFGDGGSESGGDRRERRDPFPQD DGKVRDFGNWERRGPLSPLPQQERQTSTREGGRSSTVDGPRAEGFKDRRASPAAWGEG RTQDSQDGSRPPRREFQERPVADRAPTAAEQDSQWRTKMKPDAPVAPAATSPLPSRDG SEGPASPATASAAPVGRPKLNLTKRTVSEAADVASPASASGDAKASPFGAARPIDTAA KEREIEEKRVAALKEKKEADDKSREEKRIAKEAAKAEKTEGETDDGTATAEIVKKADG LTLDEDAATQDTTDSKPKEAAQQKPADTGAWRRPAAGPKPPRGDVPRGPRGDGPPRGP RNDSNRGPGPRANGGAPPSGAAAEQVAEAPEEDGWSTVSKPKKNQRGGARGI VE01_01969 MTIRSESKELPMIPGPVTTLAQWPPTVHGVRVLPDKMAILSPSF VFGIVVLLYLSSFILFAVLRIATGISIQRIGYLSLRRLAYTPRDGIRVEIRGLGINLH RPTFAQPTWLSIVVTELAVTVDIEALETQNKQKLKNIIELGQDAAENSDHTPEPQAAK GWSKYHGKGASESQRKSWDELKKLKERVKRLHRSIGWLRMVDIVATNSSITILEVGTI QIGSYTVAVDTRRKMVDQGHIFSRKKQQEKHQRPAEWTVTMRSVLLTAEGNESLEVLD HAALNINGLLYKEVDGLHEASIELKLGRLHIPVDDIETCLSSYRNRSKLFSRNRSETD SASDALVADFKVDASAECDTNVENTSDFNEFLSSILRGIKEIQFAVTHIGLAKKIRNV QPGNGPLFFNASMKEVGIDVHRLDPKSPDHRMYFSSQTVAHQALLAALSVSIGVDDGH GKSDRLVYIPMTTTTIRTTLPSKIVGFKGVQSADERNANILFANIVITSPSVDLDPKH LPLLMAIMQPRPKSTSRSGQGSRELISKFLPKANVKFSMHEPVFRIALPPVEKDADED DFDLIISSISSVSLDLESSHSAEGDIHYALASTFRVQSHDLYYQTASAARYDLLQTES MEIKVQVNASPEVNVIASGSLQTFSVRMIRPELSEGIREIVHQLRTNVEPDKKANPKT SKDPNFIRALPPWLLHFQFHASNFSAEVAGVDGDISNDIRGVALQLESWTAEYRAHKG KIADRNPPRRRASSRSLTPDTDHHRSDSQTIEHSQDPTDGRCLTISVCGLEGFVVESA EKWEFESFLAMPQCNVTASTSTDTQGPLFHVNSHVRTLLIQYSLYRHYAIGVAATVFR KAFVRTKTNVDIIRPSLEGTRDINGLDYLSPMQFPPNINKRNLPEHKLRLNELVTIDA KFGLIQVKANMPADPPMMLQVYGVETGRRRYSPPFLNCKLARLYVEAPKMKRVWARLA SIKTGKVNLRQMRQQLASGSSVEDNVIEVSTEAIRLAVPHQVVLYKVFDNVVNTVKAI EQLHHRFKTGTNEYILKKGPEGPKHVPKISVRSKALLFEIEDGAFEWKLGNIYRSGLV EQQQRLAREEAFRVKVKKVEEQEQRKDTSRIRTRSARPFGRSNQQGASHMRSKSEEYS QKPDRSRSTTRHYRMRYDPEGLCGISASTKVPIQEAMEKLQHYNAQSWKRRIDQSYRT QMDDMKKLRGGFWGPDELPDDMEDIENIVEIPQRPGLMSTLINDLHITIDKPTFPLSQ LPEFLHRIGKGMPHDMKYSLLIPMHVQIDMGEARMSLRDYPLPLLHVPAMKPGQSLRL PSWSLKTNFVIAEEFCGPQSVRHVKVDIVPAKVGDHIQPNSGCFAIDVRRTVSPVKSY SDLNIDINTIFPTRITWGASYQPAIQDMMMVIENFTKPQIDPSDRTGFWDKIRLGFHS RLLVSWKGDGDVHLLLKGTRDPYAVTGNGAGFLMCWRNDVRWSVWRENDPKKFMTVES GDYILAIPDYSHQALQTISSGRDSDSVASSDSYRKGAIFKKVIMKLSGNVQWLAGIVF ERNIVGGERTFDFIPHYDVILKEPAFAKAPPGDVYDAFRGFRSNYIHLSIAVTAPMNR SWSVTNTTPSTSYNSVHLTPRFFTHFFAWWSMFGGTMSLPIRQGRLWPGLEKSSKKFG RHLATIKYSILLAPLFLSHVYKHKEAEDYTEDVVSVTGLKIKLDSFMLDIHQRREWFN TQDKGRKTQSKTTGMKIHKAQLDLVSADIRAMSATIAGTTPESLKKASVPPPITQQDR GATDLSKFVIPDNDFSWIDMDDFVELDWILPAEANPHTKIMPLAYAPRLSYFRQTDHG NTIAGDPDRTSPFGNEPTHVCIMSKDDDPRKVQCQLIQNRLDQLEEQVLDHKRAMGEI ELRQLQATSADDDTAIKAELDQMKSYDAVLREKRSFLEAMLHLMEARIRADIRNATPS LDLDPGTDNRTFPDEGTQSNNTRGENAAAPDFVSDFNNRFVIHNIQLKWNNSLRNTIL RYIHQVSQRRGFIYYLSRRAVKFILDIVEEQNKAKAPKSKSSTPPSPQPPSASPSSGT EEQNADFDIQQRIQGLLADSKKFVDANDPGNYESGGRPSAEHLTSNIGKDFTPQNTYH VRLIAPQIQLQSEKNPKSVALVTAKGMELKLVEIMDKDRIFDEISGLVQRRFSVEMDG TQFFVTHQRLFKSPLLSLYSGSQYGTPSGSAWPPWVPMEVMFDFEVDPFGFKRVVQKT SASLRYDKYNTLRLKYNDEVDRTDKVAADSLDSRMDHLWVQFPHIRAICDSSQYYAMY IIVLDLLLYNEPLEKTRSEKLEKIMLASDFSDLRGAPEMVIRLQERIRQLEEIKTQFQ IHSNYLDKKGWEGRILLDRDLTSCEDELFFMMKAITTSQRKYDGSQNNGLLRWNIASE QIVWHLMRDGDRPLMEFQLQKAEYDRTDNADGSHVNLVQIGKIVGLNLLPDAIYPEMI APYFDPERKESESTNRQMLRVYWYMLEAIAGIPVMDHFEVNLFPMKMQLEREVGVKLF EYIFPGSGETLSGNIKGSAARMKHMAPPQDEDDEEDNSTTHTTQFVLNPDADRSDANT GSGADSLELRLRPTLNSESRSKTGLSRKKARMVTLHHTQGSEGASFRLFQSNKAHASD QQSTKSIRKKPSVDSLGSSNATRPTISRSMTTFSAFEGSVTSEKRNKLFAINRSGRQD DVKPSDDLTKMLNRASNYMTLAYVKIPSVVICLSYKGKDQRNIEDLHDFVFRLPMLEY RNKTWSNLDLALALKKDVIRALISHTGAIIGNKFTKSRPTMAQQHRLRELATSNVLLV PSRQDSPHDFTDTGSTLASSPTGARDRSKSPRRSFASNSSSLRPVSAGSSGAASFQSR SRGGSSIPQSLTMTKSHPSSGEGGSQTRYSGDSYSFQGSGNSDKHKGGFLKGAIGRRL QAIGGRRRRDRPNDAPSGSSGLEQDEGYSDSESLKHNQE VE01_01970 MPALSSVSLMNTLVVRNATAANATANPYLQVVCAWPVSGQYGLG SRILYYVLVAACVFARNVKWLRKACLAATLLFPAVAALHGIVLAAVPTDGGIDLDIFG AFQLCSIGILAAPVTVKVSGTYFNDPGRNAIFAWTGLILSGLLSLTVQFFRLSTKDCI HDEFGHAVSPKASEFGYNTTCGLICNTDQGPFSPMRGGSADDVYVVPAPDILTFGMAT LLSAACCIPAVLSLISMWYKILEIKWKTSFGDGGEENKIIDGTNGATNAAMMRVKNQV RKFMLAVEIPVFGAAVLAILIIGERNFFSAQVRYKTEPMASIGQWAPIAGTVLAVMGS LYLLLAGGELEERLDTPRDGHVPSPDSVISADTDDLERRSVNAARESSEPDARSTAMG RSFSTPGETSGQSRMAKLGRSTTGSTMDVGNRRNIAKILEKFSDITHPSAERFNYDAF KHGIAAGWPTLPGEEERNPKLNQTRKQFNESRDVDGSVAPMRPSRAASFTGSAASIRH PSPSPSHSPRDASFPFDRTSSEMHTISTPPGALMRGRARRDTLEVPTISHHSLQTNSS FVEAAAKVKGIREYVNLCTSVAIQLHPTSALYRLGYLPDYVVYHELILTSKEYMSTVT SVDPKWLAELGGVFYSVKEKGYSTREKQVTEVEFNRKMEIETQMAEDKRKEEER VE01_01971 MAHTEMEPDTDTSRIRNWRSIVTLLVFIITNCIVLFPFHIPIYV PRSLSNAFLDTLSTLRVISPRSHHDSDDNGKVKPFVRLNFPMNFVTAPLIADLFLLAI LAIGRKEVHDGTIGADNISPIDIMAFFITLAYIAISIDASGLIRFLAFKVLQKGGKVG HRLFFYLYIFFFGLGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWIHTQFAIANIA SAILVSSNPTNLVLAGAFNIKFINYTANMIVPVVVTAIVLFPFLLYIIFADESLIPLS IKMHELSDEAKSRKPANPNIPHSRGNVEEEEILAANDEQANTLSLEEIMNPFLDKGGA AFGAAVMAATLVTVLALNAASQSGNERPVYWVTLPGAFVMFCWDLGFGWFHREETRSI ARKGRQEVEAARAERANRESALDQEGPVFGHAMVLTESPVAEPARATENEGQTHTPTP SDRSASAIGILDEKQELDRDSASKPRVVVTLSEPADDKIPPSERSQKHERATLTSLGA DAYRWSQETFPTVTAVLAHLPFALIPFAFTMFILVQALVTKGWVSVFAYGWDHWVSKT GTVGAIGGMGFLSVVLCNFAGTNIGTTILLCRVVQAWTDIHDENLIPISNRTFWATVY GMAIGVNYGAFSTAFSASLAGMLWRDILARKHIHVKRLDFARVNLPIIAISMAVGCTV LVGQVYIVRDTSPYNA VE01_01972 MKNHKLTVEKIAASVQGYFKQRAPFRINHGSTNSTRQAFQRQNT VDISALSRVLKVDTKTRTALVEPNVPMDRLVEATMKHGLIPPVVMEFPGITAGGGFAG TGGESSSFKYGYFNETVNSVEVVLGNGEVVTASEKENADLFHGASGAVGSLGISTLLE LQLIQAKKYVKATYHTVKSVPEAVKKVREETENAKLDYVDGILFSKDHGAIVTGTMTD ELPPNAHVQTFSDARDPWFYLHVQDKTKGVAEYTEYIPLAEYLFRYDRGGFWVGASAF KYFRFPFNWLSRWWLDDFLHTRMLYKALHASGQSRNYVIQDLALPYSTAEEFINYTAD SFKIWPLWLCPLKQTRLPTLHPHNPEMDTDGKTLKPMLNVGLWGFGPAQRDKFVAKNR ELEHKLRDLGGMKWHYAHTYYEENEFWKMFDRKWYDGLRKKYHGESLPSVWHKVKVDP DAAKKADNSSWGTWALQFWPLGGIWGLRKSIESREYLIARNSTWKSKKGPEEGR VE01_01973 MGSSQELEARDAGLPSAVEKGIPVVAPAVAPAAPAEAQDAIIEA TELEVESALKKEKDEEHTNASFSHFIRIFSYGKSWDFVFLVAGAAAAMGAGITLPLMN IVFGNLIGDFSNAASMDKATMSKVLAGAINKNAQVLNAESQGKFVLVYISMFSFRFVG TRLSAAIRLDYLRALFNQSISELDKLPAGSAAQTITGGANTLQIGITDKLGTLLQFSA MILSAFIVAFKYSWQLTLVTSSVLLGIIVLFGFVIPVWLKSQKAVDYANGKATSIASE AIGAVRMIVACGAEERVSKKHGYWVGEARKRGLRQSPLLGAQLGPTFLCVFADYALTF WYGVKLYNDGHIDSVGTILIVLMSVLISVMSLGSVITPLNAATKSAAAAAEFFAIIDK PTLSRDGLSDPAVLTPDDIKFENVTFAYPTRPHVKVLDDFNAVFEKGKLTAIVGPSGS GKSTIVGLIERWYNLTPEMEAEESEKSENVQLGGAIKMGNHALDDINLKYWRSQIGLV QQEPFIFNDSIFANVAYGLVGTEWEDAEESIKRERVKEACEESFADEYITRLPLGYDT QVGESGMKLSGGQRQRLAIARAIVKRPQILIFDEATSSIDVRGERIVQAALDRVAKDR TTITIAHRLSTIKKADKIIVVAKGKVVEQGSHEELVKDEDGVYHNLVHAQQIIMGSTK EWEIEEDSTASLEATEVSEKIAVETKVDTYVQKDVFRSFGVLLFENRRHLPWLFLTIL GSLGGGAAVPVTAFLMANVISVFSDYRPDDVQGMSSASQTWALAFVYLAIGVGVSYFV MGWCANSLSVHVANTYRQQYFEGVVHKPIKFFDQEDNSTGTLVARLSSDPTQLQELMG TNMGFVYIAISSLTACIILSLAIGWKLALVSLVAALPLTFTAGFFRVRYEIQFEKLNA DVFAESSKFASEAFGAVRTVASLTLEDKICQRYEDLLDHHLYQSRMKSRYSTLVFALS DSINMLCMALTFWYGGRLQVSGEYDIKQFIIIYTAVIQGAEAAGQWMSFGPNVAQATA AANRILKLRDVGTGDSGKSFEAEGGVEIQFKDVQYTYPTRDLPIFESLNLTIKKGQFA ALVGPSGCGKSTIISLLEQFYQVQGGSILIDGQDVTELNVKEYRKIMSLVAQEATLFQ GTIKENILLGVDDATDEEVFKACREAEIHDFIESLPDGYGTDIGSKGVALSGGQKQRI SIARALVRNPQVLLLDEATSSLDSESEKLIQAAFEKAGKGRTMVVVAHRLATIQNADV IFVLGGGAGGARVLEKGSHAELLGKKGVYYQMCQSQALDR VE01_01974 MADGYRFPQASAGSYYPHTQHQLARHTRNPSPPNRATFISDDQS PPSPPQSSAERLYSMFNQGHQPGQHGRPTTGNRLPIMYNFQHQTSHQQTHTQHHQDHT HTNGNVAHHTAYSSGVLSNSTPNFTPANQTGHVTPQRGAQTQITEHWAEQLKAYKETE RAHNIMLEQHAPNYYARTKGHENRSIAAEPAANEDTEDRGRPSNQDGPIRRQDWHNMD ISGQGLRVLTPPLFAYTFLNELYIASNKITHIPASIGKLRQLRYLDASNNQLSDLPPE LGMCVYLKHLLLFDNDLRTLPNELGSLYHLEMLGIEGNPLDVGLKREIMENGTKALVL HLRETAPVPMPPPPRVMLELQEASESEEHIKVFSYNTLCFKMATEQMYGYTPSEALSW EYRKEQILQEVQASDADFITLQEVDNDSFKEFFSMKLAYNGYKGVFWPKSRARTMSEK DAKVVDGCATFYKGNKWILLDKQLIDFANIAINRPDMKNQHDIFNRVMPRDNISVVTF FENRLTGARVVVVNVHIYWDLAFSDVKIIQTAILMEYVTKLTDKYARWPACKDKKAYG MDNDDQGEPAPSMEYTNTQLPLLVCGDFNSTPDSAVYELLAHGSLEPNHKEMRDYQYG NFTRDGMQHPFSLRSSYANLDGTPEALTFTNYTPGYTGILDYIWYSTNALEVTSLLGP VDPEYLKRLPGFPNYHFPSDHLSLLAEFTLKKQGKDRKGDR VE01_01975 MGDSSPRLDKLHSITNSTNSNNSANSSTDSKTSSLQTHSQARPR KIQRRPSLSTQFASAYVVGPGTAVLDRHLYSLQPTQRVQTHHGRAQSLSSTSLTNSST NTPSLLGGAPAKNDYVQRPFTMRNSRRYLADPTLRYPLPVDLPEMHRQVLRTMLLVNV FGGPVCSPNFRNTPPKKVLEVGCGNGYWSALCHKHFARQGHSVSFTGIDIAPLSADNS TNGMKWRFVQHDLRILPTPFQDEEFDLVMVKDLSMISSQLSMTANLMDEYLRILRPGG AIEVWDGDHTIRMVLSHNPTLASQDSDSEDEETAQAEGTGTYILTAQTPFTEAQNPYL TEYNTWLTKAFDARGLSAIPCTSMASLLLQEPELADIESRRLAIPLGEVRWERAGTEG SSKGKEADSGKKVLTTGQTALRHTALLTLVQYMESLEPFLREASGKSQDEWDRWYGDM MNDLLLQNGTSWGECLEVGAWWATKRDMPKSKSKPADEKPDKPMPYPPDPHPGNEWKI PHMELL VE01_01976 MTAVKDGLRREVIAVYKELLNLGKDYPLGYSYFRPKLHKAFSSQ AGLTDEAEIRKALDRAYFVQKEIEALYYLKKYRSLRQSYYKP VE01_01977 MIAASVLTGRARTLQCLSQSRVARSIPSTARASSRPSSSDAAAH LKGPALPALSPKWLSDTKLRIGKCLTFGMNAEQVQQAAKICKILGQEWKELLAGSEGF LTDEKRAGLLGHRVSWGELDSMGHVNNVIYIRYAETARVNWACNIAMHLDPEHKREWM EMCTPLGDGMILKSIKTDYKFPMAWPDKVSVFHKLRKIPKEGESSFVLDAMILSEREQ RPAARCLEDIVVYDYRVGKKIALRPFMLDGFEKIYREQEEAREKNTRRVLQILEDVRQ MEKDSWDRADAKEDLGGRT VE01_01978 MASKSMTANMQDGEGENSRMYGIDDGLRGVDAPASRVHRPTGYS IRPNAYDIQYMEYLYGHLEDSRHMRMAIQSTLLYLSLRATITTNILENAFRYLEGRMQ PIPGEDPELEYAGAVDD VE01_01979 MGRSAANKRPQGPATNQHNSRHENGLVGPGKRIQKQRSNGHING ATQPTSQPPPVSSLPGTPPLHEQTRPGHTRPPNGASDSKLAASVVRRASLSGYSESSS SESYQNAATMSIPQETHRRIDVNAAKNPAVHRDAGPVTFLFTVLRSCPLYDTIAILIV LLQVPPAFLTLIHLLFATLTFVPPSTGAHSSFTFTDIFEGSLGTPSVATLVAVDLLVL LVWLFLWSPLQDLSLDVAQTVIALTLGGGTTSKDAGFNNVLVCLGIIGASHFARNGSL KQSRLSFLFNYSPDVDDPLENSSANNKGAFIWVRTILAVHILTQGIVRYIRDWYMRRE RRDLIASAAGDPEAANGAISQGEPTNQVSTASTATTSAETNGSANTADKSLNPKKKRK VGAQVRIQQPLWAALASTKVVMAKEYETSRTAAESAGANATDINNLGNAPFDSEADRI WITHIGSDEVCFNTSYFPSRAETDPSSPEDTIDSFGVDRSKPFFVRVNKTVWQPTRIN PLDTNSDQWSGEIFGLAPNSNYECEFVGTLDDAIIFSTNVRTMPAPATDATPLPALST TAPRSARPDSPTTTLKTSILSAEAKLNEERLRQKRERKEQKSKMHSTRKEIDKLGSNI ASSGGNDDRLRQKVQQSHLHARQAEDAVASLTDQLRSLEDVPDDDFRGWKCSKVSWQS EKDIHKASRSKFHEAKVSSEHELHALTAEVTAFLQKRERMQSRISKLNGEFERITDAN ARGLDEAQRKATERGAKEAERARTEMMYLERLDQLEPQIFDMQQSLATLWASIHALQN AEIQQAAYLASQVQQPSPTTMNPFEFPDNVAASNYPWNAPNVNVADYSVPSMAYTPPR PHRGRSSSMLSGVSGFTQSSNEDPGPVLPMPRRGRKISDDGSSASGTSGSVSGPRSPV ETSLTIPSNWSNPRDGS VE01_01980 MASNSTHSSMHTSNLKTTSTRNKLTYTLTSKTISELPTSSKETS TSTTAYLPTPTTPTTPRFNTTTIPQNSSLTRVITEILNGTHKEEFGGTGYAKANNLTS VSLASLNTSNTLAAADAFASDMFRFADRQNISEARWTSMICSPSAFEDASKKLAPIDL AALEQTCAIVASNGANNTFNYFSNSTGIRPYNISRFSPESSLRRLSKAAPFALPQFGR GCMDDFGYFEMTAANLEESGVWEWYQAWTQEASSGPLGPRFAAYGEVRLFGHVFLGDD DYDCGLEMGGCTRRPSCSTIMMQYQGDKELARKVYFVMKLHNTVNLVLKTYYDTLLAS HVNVGGYIDPIITTCTQRVSGEATKTCTFIRTSVKNVNDFVTEIGIAAMMSSMVLASP TATQLVPGKILDMPAPVFIFSKALRIWRMWNAKALAGPSPERLCEGLGMIKTDDPTQI TVLRNALLAASQAFRKSLSASTKQLNRGTFFDSGPSVLSSMIASPIWANETGVVQILT DPYQMEDLMTTAMKEGLMAASYRAAKCFMKCNSHPQAKMFCDGFNDWEFSAAAREKYG DPGDGHDPQSPKSDLERQMRICPRPDKVCQIECWSQEKRGFSMPMHGFDTVQGAPLNF GVKDAMARLYEYYKTRGNADPYLDWGGDALGDIEGGAPRFWLPVCDSDAGYVHIADWG HQGSHLSDNVDRRAFPLSCGNYRSDETEEFIRAVGMDNLATKPPFPLDPFYKVYAPKT LSAIIQAPLDSFLAFCALGIAYPQDAPHAAAITPFRFQREHSRFCEPIIAETQGMEWY VANMHFCEHSEAAQELFKAQLSMPAKHVSGMGVVVNHKELCARWESNENEKSVHIETE SGGYAIGVDIERGKGGREYSVGIGTKEVNEKEKEKEEEEKERSVDKGKGKWKEKLKSK GDVGKEKGKWKWKWKGGEGEKEKEKGKWKVNGKPG VE01_01981 MHQLPSCVSLHPLSDLRDMRDSHAHSLTPANEALLFSIYYAAVT SMEEDDIITNFGYTKSELSLKFRLGLEHALAKADFLIVSDIVLLQAFVIFLLLVRRHD SPRFVVGLYLR VE01_01982 MGTRHLICVFYLGRFVIAQYGQFDGYPEVQGLAVITFLLAPSNI ARLKAGLTHTYTPTAEEVEEMTRSIARQGEEYHAALVRGEVSVMSRMKPTCPSMWRET SAGVLEVVAGATAGATVPIYQELGFIHDGLFCEWAYVVDLDAEVLEVYSGVEKEREGS SQRFKDVDGAEKGWVPALVKSFAFAELPGTKDDLVREINEAIEVRAREALAREQGKDG GDNAAAVSAVL VE01_01983 MPKLQPPYRPDFDAETSLPAELIAASYLAFLTTILSLILLGCLL IFLLRLSVLFDRRLARDGFVGGVPREEKILRRCKERGRRVCRERGMWDVGVRGGPPVR DYEVESAEEKRKGKKLRFLPVPEVIPASPTGENVLVMGEKKKKGKGKGKERQRARSHS SHISTGHRTSEEWGTFSFASVAGVTAGRRASSMTWESGDWAWPPQRRASADWVTGEEE PMRFFGAGSWIGRVKNRGEMRGGSVSAIEGRVLGERDGAGAV VE01_01984 MEAPAPRAPPLDPSKCHSTVETMRCSRCAMSAETVSHNGRDVSA DDARAGGMVKFGHNLYYCDRCAKIL VE01_01985 MAAVSAPQATGALTPPTSSDGNASAWDYSVPSRNQQSTFPRQQH DETSHAERKQMTSHPNGSTRRNDAAKQSRSNSAAEPAHAPPRLNNINKKGSMSGTDSP SDSLVDLYSSGPAKSAANGVDPNERGREGMGVASYHEDDPGWIHRDKLARIESRELQA AGIILPRTRAYSRRDRSRDTSATGLHRNEQHPAKQQRVESPTAEELEDDDTAGWDPRT PEEIAADGISSYRDYGSLSKGSSKIPLALTSPAPIPWEYLERDAPMQRSRSAVWGGEE DSIAYPPPRAKASSEEPLFQPTPTTTPSAPKRFASTDSSPKKSANATPTSRKMSANSV TSKPPITQQNQKPKGRSGSNSRPTTRSGEIKTPEGDPPWLASMYKPDPRLPPDQQLLP TVARRLQQEQWEKEGKFGNVYDRDFRPLNDDEIKMPEPAAIAPEEQPEAKQDELVPQW PLRKARSPEPSRPGTSSGYSTMPRIQNAPSKIQSPMLAQFPVHGFEPEVVPEKKKGCG CCVVM VE01_01986 MTRRYVRAIIQLSTATVIGIILLFLLDSRFRVLPASVHTLLPAH HAGLVITDVTVKTCSTVNLFTSCKSPGETWHRIEKDLYLGTAWVNSGYVFVERKKEED LLPDDKIVVDLAVSRLVPANGAKDEAGGQWESRPAGIWIKRSGRRHASDSNHAVTSVD ILFGPDAVEPRKGWEIKDMALLLDSGVEKHGARISIRRGKPAPIRKTVPRINDNGKFK ILQVADLHLSTGVGECRDAFPENGGPCEADTRTLEFIGKILDNEKPDLVVLSGDQVNG DTAPDAQTAIFKFAELFIKRKIPYATIFGNHDDEKTLSRSGQMELIESLPYSISEAGP EEISGVGNYYVEVLARGSSKHSALTLYLLDTHAYTPDERNYEGYDWLKQNQIDWFKST AQGLKKAHREYTKVHMDLAFIHIPLPEYVTPNMTVVGGVLEYVTAPKFNSGFGDALVE EGILMVSCGHDHANDYCGLAMQKERPALWMCYGGGSGFGGYGGYGGYHRRVRLFDIDM NEARITTYKRLEYGETEKRIDEQIIVEGGKVVKPVPRD VE01_01988 MDMGETGSSSSHTSGRSTPVPSDAPPSVQVVSSTRKQVRADVRR RLFPTIEYASRVSHFDPDSDYRDFQGFFVLFWIGLAIMAITTMARNMKDTGNPFRHEI WQLFTVKTWELAVADFFMSATMCISLPLHRLFRSFDILRWKNTGMIIQSLFEAAWFSI WIAVPFHFEWTWTAQVFLVLHTLTLLMKMHSYAFYNGHLSEAERRLKELDKPSTASKE PAYQYPPSGPISAEDKGFFPYNSSEKSDLSSLREDLARELVSPLGNQAYPKNLTWGNF IDFLFCPTLCYELEYPRTSRIRWDQLAYKILAVFGVIFLLTITSEEFIVPVLQNSGPR IQEATSASETLLVLAETISQLLFPFMITFLLVFLVIFEYALGAFAEMTRFADRHFYSD WWNSTDWLEFSREWNIPVHHFFRRHVYIASVPHIGKPMATLITFLISAIGHEIVMACI TKKIRGYGFLAQMSQLPIVMIQRTKWSKGRRVLNNAAFWSSMILGLSMICSLYVLV VE01_01989 MSSLKQFIRNVRASKTIADERAVVQKESAAIRASFREESGDHNV RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYVVGLALCTLGNIASVEMSRDLFPEIETLISTANPYIRRKAALCA MRICRKVPDLQEHFVDKAANLLSDRNHGVLLCGLTLVTSLCEADEAEGGEEGIVEKFR PLSGQLVRTLKGLASSGYSPEHDVTGITDPFLQVKILQLLRVLGHGDVQTSEHINDIL AQVATNTDSTKNVGNSILYEAVLTILDIEADSGLRVLGVNILGKFLANRDNNIRYVAL NTLIKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFTLINESNVRVLIRELLA FLEVADNEFKPIMTSQIGIAADRFSPSKRWHVDTMLRVLSLAGNYVKEQILSSFIRLV ATTPDLQQYVVQKLYTALRKDITQEGLTLGGAWCIGEFADLLLKGGNYEEVELVQEVK ESEVIDLFSTILNSSYATQSANEYIITALMKLSTRFTDAAQIDRIRRILAGHSRSLDV EVQQRAVEYGNLFAHDAIRRGVLEKMPPPQIKEESRVLGEATKKPKKSAAAANRRTAP AKKNASEDLLFDLMGDDSGAPQADLGAAMGGQQNTDLLADILGGTTSPAPSAKSGSNV NDIMNLFGPSGATSPIVQNTPDMFSSMSPAPTAPSPQPAGVLPCYSKNDLNVTLQVQR NAEGVVLVTARFANASFTDSKSAVGLQAAVPKTQKLALNAITSQELGPGQEATQSMRI TGSKGPLRLRLKISYATPALGSLVEQVDWSEPR VE01_01990 MSLPLPPDPYKALGVAKDAAISEVKSAYRKLVLKCHPDKVQDPT LKAQKQDEFQKVQQAYELLTDDNKRTEYDEKIKMFAFRDTLRSQVPKSTPQSARSSPQ THNPPVFDYEVRTAEPRSSRFKTSRAPSPVRVYARPSSYEEVSPPRVHDMGSRSARKA ASYEDRKTPSREDERHQRLGEERERQRREKDLNKKNLSASKKSRDKERRKGVEEKTSS RRTPFIVDEDDSDEYRSPRSERRSSKRSDDKIHVTMKGGETNEFTISERTRKLQHSSE TAAQYILKSKSKGKSPETEFRPPTMRRSETYHAPPYATRYPAPPPQTYIDADSDDEPR RSSARPRSRRPSDALPPRIPPGNEPYIITADPSSRKPSLQSHSSAPPLVAEPRRKEPQ RAQTIQSDYPRRSSASTVPPPPLPRASTFNNGEKPRPHRLQTEYYPPSSSSSDSDSDG HVRYASPSRAPRTSPPIQTGTQKRYVIEKSRAVPIARSSHRKVLRDDSFVSGRDRSES PRGTPARVPDRPPVTRSSTSSARASSSVRTSSRTTPTTYYASPTDDAPPRSKRDVPRG VQQQSSPGGGSFFGEVKYAPAFRPEDVTYGDYYRPGQTTDYYPQSRRGEAAAAYA VE01_01991 MSTPPPPSSPQTHTGTCHCGHIRFSITSPLPDVVSCDCSICIRR GALIQRIPDADFHPITPTAASLEDGTHGLIEYRFNTMVARDYICPVCGILGYRRPRLG TGVWAINVRCLEGVEVEKLEVRRVFGSQLSTVGVEGGVTGEGKEEAAKS VE01_01992 MPITFTRCGSALHRVVARSAYSPCAARSYSNYVFQENDIVLVQK KTDASAKQILSKPLRPGRRVNTTTGHIDHESIIGLSPRAVVAAGKGEYRIYKPTLGEY TNLTARIVTPVYPADANLIVSLLDLNPTVPDPSSSSPSPPLEIFEAGTGHGALTLHLA RAIHAANPAPPPIPSPPRPAPAPDSEEGTSSDLVDAEHQAAVDKWEAYKPTRRAVITT LDVSARHSAHAKTVVAGWRKGMYAHSVDFHVGSIPEYIASRLATSPEPFLDHTILDLP DCHLYLETISQALKEDGTVLVFCPSITQVIACVKQAKKEVLPLVLESTLEIGQAAGVG GRVWDVRAVRARSFVRAEAEKVEGAEEAVESGTEGSEAAAETIAETTPKEAKPLKPEG DGWNMVCRPKVGDRVVGGGFVGVFRRVVK VE01_01993 MATQQADRLGAISHGMGGGGLPGFERVDEVPAQRSMADSSFTAQ STLKRNAAGSTYCGGILADDMGFGINQRIESRLTSVTGLDR VE01_01994 MADANANVKIEELTFKLQDGHPVYMKKWTPATTAPLAKLVFIHG YDDHINRYFELFPTLAARGIAVTAWDQRGWGRTVQHTSDRGLTGPTTLVIADIAHVVK SELADAEAASIPLFVMGHSMGGAEALTFASESAYKDLAPRIRGWLLESPHVEMAPGSQ PSSLKIMAGRLAGKLLPKFQLKNKLPAEELTRDPEVMKSLTEDPLLWSTGTLEGLAGL LDRAADLSAGKRTLNPGVKSLWLAHGTIDGGTSYPASKKWFETSTSDVPDKEYKTYEG WQHQLHADLPETRPVFAKDVGDWILARVEAPETTAKPQAAAEAVAEESTAAAPAAVET AEGSPKGAAKL VE01_01995 MAPIATSWTAARLKPKLPAPLNPTPFQKRLMRNPYAVALSKPVR LCALTRTWLPRSFLQPFELVPRPDTDELWYLPRDLTTRVPREERDETETNKRSRPGRR NYVLANQELLEAVNSKRSGLTGSWARFSNPAVGPGAKLRWRADMDEFVCKMMRKKVEG ELVALMKWNQGQLSHAKTWDAVVKKKQMGALLWLGPYKEGTDTPEPRVEGEAATNLPQ APLTSSPITDEKGPGQFATISYPPHWEKKLPLHNLVMLLGQEGVDSLRAQFPNIMCHE FVVVKDKQETVSVRQWLWKLQQFLSKLEDN VE01_01996 MSRFSPALKAAINAPFARSGPLPAPDNITAIYSDIQREATSNSL GVLPWLALTTAATMTVNSPASLTLLHSLASYNPTSSLQNAAFMREVGLKTISFNGIPR ALNQLVHLRATLPTETAEQLSTTPTRTLTPESLEQVETRAKGLWNSIYDPHSRKLEAK LKAAHPDLPVHILSNHYGSLLSDFPGVHKPAVGRVLTSLVAIACLRAQTGVGPQVVSH IYGLRKGINEGGEEVGEGEKWLGGDEGNLWALGAVDRIVEAIAGKEGSFAS VE01_01997 MPPPASTISPSSSLTFSTAATPLSCYSSTIFTIMLPLRVARATA RTAMRAAARPYSSRSSLSQQAPQAWTPVPFITETIGGGWRTSDIFSKLLQERIICLNG EVNDTLSASIVAQLLFLESDAPAKPITLYINSPGGSVTAGLAIYDTMTYIHSPVHTVC VGQAASMGSLLLCGGEKGHRYCLPHSSVMIHQPSGGYFGQASDIAIHATEILRVRKSL NEIYRRHLTRRKEGTVSGEWTLEEIELMMERDKFLSAGEALEMGIVDEILDRRGKPEE ETKSS VE01_01998 MGRSLDSSRPIMAPSLLSSNRASMRYSTFTIPAPSMHSFSSDTV QAELADITAGLAKLENKKLASQRFVPSKEKSENLSKLALGAKLERALDRRMKGQDAVM RKKVVKRDLEKSAVMA VE01_01999 MPKPKQFLKDDSRKKKLSKHAPQVPETADEFLAAGVDHEEAGEK WRGGDAAKSTRFFVRAIDTYETGLTKFPDSFDLAYNKARVQYEITQHPKLRGQLPGNL LDLLHVALESSRRALSLKQDDPDVIFNTAQVLTSLAEALDDVGSDPGSLVPLLEEAVE LFQRCLTLQEFRFSESEALRDSMNADAPADIPGSPIDSDGGAPLTPAAATGSPQQSEE QWASIVEPTTPDTLLDTILALLETLTVLATKLDSRGLAALEEYTSTLLVKLPTYLAPS PARAPEVGLTRANLLSALAEASFRASNIDTATYERAITEAFRDLDLASDPKGLTDKAD AMLAFAAALRTQPVEDGQQQQQIIARWGALTQAGTALGAASKVPGAMNLARIHLARGD AEMLRFRVKDGTGYPGNVDAGVLMKNAGVFYRGAARQALVDGEEGVGREGRLKGALAA AVAGESQALREMVAGETEMVVEVLGGAVSDGLVEMEWLQREKIV VE01_02000 MLRKSIAKLASVPNELVGAAGRRYQFKELLQERPLLGRVWLATS GQDQYILKDIPEDIISNFNEKIRPQLRDSPYIRLPLDTIPDQRVLIYKYLTDDFLSLV RKKISMRAREKILRDSLQGIAELHDHEIVHLDIKPDNIMVTCSHTGQETTVEQVQVTD LENAAHLPKVRCMKGMLAGNENWRSPEAHFKGELNKPTDMFSFGIMCIYALLGRVILG ADDDLQKHVAQGALPFPIRLQRQVSYFGNQEGVEGLLKHIGDDGMLPMLPGGGINPGE QHEMAVLREMQEETGGLVKIRSNLGCVATTEEYRNDLHQMSFGYVADVVDDSGSPSLT EDEVNDGLGHLWLSVDEAKTRMTEAEPRSELGLYIKERDIYLLDEAIKRTEEGRA VE01_02001 MPAQPITPAYPIDKSSAVNIDFNGDEYLLRWDGDWRETPDLTSF PHVDNATVTLIPQSERVKELWATSQVLAYGADSHIRILDSCGDKFSVCKVAINDRQRQ LLQGEFSILRHLSSKDLPVVRIHQEPLADEEGIFGFRMERLSNIDIGVAAEYIPEVAK AFEEVHLTGVVHYDISPSNIMLNQNGKVTIIDFGRAGYIGQEVPSIKAVGKPKEKEIY SVESDNISLERVNGIFLRKGRFN VE01_02002 MHIPFLTFAFAAGVALNPFLASCAENSKHHPLPSSFGVLLFPAF EALDVFGPLDALNLFSAQRQLKLSLIAPTLDPVSTSPRSAAMNPFNSTFSESILPTHT FDTAPPLDVLLIPGGIGTRSPDPFFDEHLAFIREQFPKVRYLITVCTGAFIAARSGVL DGRNATTNKHAWADAPVYGPKVKWIAHARWVVDGKVWSSAGVSAGIDAVLGWIAEVYG VDIAEGLTLDMEYERHADPSWDPFAEHYNITDHLPSL VE01_02003 MAPAPVDGLSPPGSSTYSSNTLYVGDGTWDSQRNTFLLPNLVGL NFETMRYNGMGNRFASQPQYHYLIRAHGIIAVIVFLLLIPTSILMSRFYGKIPGRGVR VHIYLNILALFLSTVVFILGFMAVGPSRSLTNPHHGLGVAIYVLIIWQTLVGNWIRKK FKKKMYRRPPIKLMIHQWMGRATAILGIIQVPLGLVLYGSPKWTFILYTLWMTFLLIF YFIAQHRAYGDTHHHGGHGGHLEGGTVIEEKKSGGWGKYLAPLAAGAGAVWLGKKFSH RHDDDDEVVPSRRGSRRDSRRDSASYFTEDEKPDHKEGGGLFKKLMLGAAVAGAGIFA KKKYDKRHAHDDEYSAVAGDTPSRRPSRRHEPIGSDYTESTIEVRRADDRPGHSKLLP AAGGAALGALGAAAIGAAKRPRTPPPVKSPRRNPRRDSFDTYTSIASPSRVNESGGHG ARNGILAGLGLGWLGKKFKDRKDDREQERLDDIRRQELEDEKRLEAERRYGNRPPRYT GDGHRPPRRHDYDEESDLSSDFTSLHPRRAGDPIPPSNLGPGAPPVPIGAQGSRHDIV DPVPMPTGPPRDGHDRFEDPYLPRRQSSRSRRDAEAAAATAGAVLAASEVDRRRHRSR SQGGGYRDDERDGSRPSATVKVRVRPEDVTLQRLTREEAAERDARRRRRHSLSDSEVS AGPSGRYRRDESASRQAAERRAERRAEADLEPLSPPRPAFAKGRAKDSAYYSGPSGGS GRPSGPESIGSPDSGPAYSGMSPTGTGMSEDPAERRRRRRAERSRAGSQGGPPEFT VE01_02004 MPTVTATADPSVPTSTQDPQPSSPQAAPPIEPGPRARALLTLHD AALTSTLSALPAPTFLACFPLLSTLAPDALRAVHAQMVDRLREAARADFGVILEERGV LGRLNELEVLIGEARGRRERGEGGDVAPHLLPPADLLAAHLGPSLVAAQGRLNAKEQT LESVNAELYEVVKGQWDEIEGLVAGVEGIVRDLESAGGEMSGVERG VE01_02005 MDLDIIVTAPVSHDERDEEARTRKQKYIALTPQDDELEEYTDRD GAEYTPQPWSSTASSSIDEGAGDLEDLPALSQTVSQHRRVSIDQFYLENHFPDRTIHP PPPTRPPPPIRDAPSPTNTIASTTTTSSTSSDEPQTPRLTADDLVEQSSSLSPPPYSS SILNRSAINITPRIEEGHETLPPYTCDLTLTAHFMRKSELEGPHLGEPSEFSNPLRRA PVRTWHRVQVTLRGTSLTIAPAPRLSLKRSKPLAPPRSYSLQHAEAGIASDYLKRRYV IRIRVEADQLLLASDDAPTHIAWLEALAAAIDLAPALDERSLPEDASLPRPRRRGLRD RYVAPGSAAARRTAAAQAAVAAAEAAVAPPPRHVDVPEAPEPIPEPVSEPEPEPEPDH LAPPPSVLDSSPSSRTSTDTPTPIVRPSHRPQPDPYNIYPPTLAARGARNPAITRDGK WRPIHNWSPLYDLIYAKRCMATLLNGSPRKGPFVIMQGERWVVDWETGKCARWVPKEE GVEEGLPGEAGLPGYGN VE01_02006 MATTNGVSNQQEPYKSATEQYTNTASAAETASGNANGSAELSKD EIGWYFVEQYYTTLSKSPEKLHLFYGKRSQFVSGLEAEVAPVSVGRPAIQERIKSLEF QDCKVRVSNVDSQGSDETIVIQVIGETSNKSAELKKFVQTFVLAQQPTGYFVLNDIFR YINEEAEEEVVEAAVSEEAAAPATEDIADVEMPKAQASVEETKSEVIADVVDKKLDLD AEPAVLKETQTNGAAPAAAVEAVEETAAAPAAVSPEVAEKELEEEAANVAETPKDPVP TPAVTKPAAPKAAPVPAAPAKPMSWANRAAAAAAAARPAVPAVPAVPAPKTASPAPAQ QRAPAPAAAQAAVAVAVPAQAAAPASPTANKENEAPQSQGWQTAGADTKRQRPQSISA PLEKEGTMGYVRNVTEAVQADALRAALAAHGELVYFDINRQKNCAFVEFASPAGYQAA FAANPHHIGGEAILVEPRRPKSSAYGGAAYNTRGGMNNRGRGGFERGPGGQRGRGNFG GAARGGAPRGRGQSTPTTTA VE01_02007 MAVASDLDKAHLHYLVVIERVTSGLSVAGCLFVIITFLISKSFR RPINRLIFFASVGNLFTNIATVTAAAVLDNETGFLCQAQGFLIQMFMAADVLWTLAMA FNVYLTFYHKFGVARLKKMEKWYFLLCYGLPFIPAFAYIWAQSPGKGRIYGNALLWCW ITPEYDYLRVATFYGPIWLVILVTFGIYIRAGREIYNKRKQLRQFASSEIGPDMIPTN PFNHGAIHEQTDVAISYENTADHPTIDVNALGRQLSAADRRHSGQNGQPQYTVAVSAV PAVYKIGSMPPFPSVSKRPKKTKEEKERGEDPALKRYQTIEANRAAWGYTKVAVLFFS AMLITWIPSSANRLYGVAHPGQISIPLTYAAAFVLPLQGFWNCLIYMITSLNACKELL GWMGVKRYSDGTLRHGGHERRNNTFGMTNLDMGRGTRIPSMAGDKDISETESTVELAT QQ VE01_02008 MIRIPCPGVVMFPEEKVKREVATMRHVQENTSIPVPLILHHGMA DECPYNLGPFIIMEYVKHAYDLTGLFKEHGSTGDTMAIDPNITDEKLSCVYEQMADIL LELSKCSFPEIGSLVEIQEFEYSVAERAMTLNTNELVQLGNFPPSQLKSQTFNSSASY LQALSDTHITHLSTQHNDAIESAEDCRRRYIARHLFRKLATEHRLTNGNDKTFKLFCD GLGPHNVLVDAEFKVVAVIDWEFAYSAPSKFSQTPPWWLLIQMPERWSKGLDSWAENY EKRLQLFLHCLEKREQVFLEEGRITKEDCLSHKMRQNWDNGDFWLHYAARKSSAVDAI YWSKIDDRFFGARDSFEGRLALLNEGDRKGIDAFLQRKTEEQERRILIDWDADGVAS VE01_02009 MADYNSLKVPDLKKILTERALPLSGNKADLIARLQEDDKKKAPV SAAPAAADDEIDWDEDDSKVAPGAATTTAAATTIAAGGQGAIETPLAVPNQKQDIDPA TTSDLKVTGGADAPAAADGAVAASGEVEAVVVEEPKQDFSIGLQKTDAEKEAERRAAR AKKFGIVPDDDEVKRAERAKKFGGGAEDVDVSGLDGALPERKKRGREEREGKTGREAK RQTPDRRAEPLKKEARPSVPKQEPRKAYKSVMDDPVEKAKAEARRQKFASAPAPAST VE01_02010 MGSLGWTTTETGSGNGGEGQNNNTGGSVWTKADTGGGGFSGMQG AGGAPVEGVDVHMGSSTADGSGVGTRWGGDPPGNGGGNDNGGGNNNGGNYNHRHNSDY RGGRGDGGRGDWWGDRGTRGGRGGIIGRGGRGDRGGRGGIRGRGGRGDWGVRGDRGGR DWGGGGIRGDRGGRGGRAGRGAWGGQRDTHDAPNTHSSSGWGETGHSFTNQTGWGQGT ETHTAANTDASSAWGGTGTNAVGINNNTGSRGDGGDANSGWGVNSGWGVNNSVPQTVW TSTEIERNKRPSDQSGGNAAKKLKSYVLHELGQVRRRVEEWEAGWDEEREGEGVVERA VEGGGLVEKMVWQSESTFLVVWPAWMWGAAAAAGLGEFGGRSRIDDRRFSWAKQMQGQ RMVGAEGVMEPE VE01_02011 MASYRSGFDPPTRPEYRYQNTRTGHYVANSTGIQGAKRVERPFE EPVKMRVPVQMEPRLEAPKNYGRPSNLPKPRPGANPSEYMPTGRSNFGFRRPSAARST DDESEPQQPRNLRKKPSIITQPIKNTHPNNLADPSPPWPLQHSKQHNQASMRAPEELG YYPEPTIPVLDNSPQIIPELDRYRFGTTAPANLYQRDVSDIPYKLSTQDLPPNPTPLS SGLSGHSQYSVFSGFSASPSTRFSESPAPGPYSRDTTPTSMSSQSPGLMVPLRGTPRL RQGSPMDNRPPVTRRRMGSASEENDAVAPDGNGLPALRESLTSSSSNSTVMDRGKDKK NDSGKKKKKRLSPLPPSPPPRRSSQKFKEASSADNSPSKASKSPARPVMNAAPATNLP NRNVTSPRTNITSPNLGQAAGPPRRPSRDGTPDLRDIKDTVNVVQSNLSGVPAAADRR LSGQGFGGGLTAPSQAGAATRPGTKSRLPRSGAPSPVNQVHREPTPSPAGLGIIPDLR PEPTQTRSGLRTPSPSAQVPKHRFGLFGRRTKTAPGDIPTTDKQEKTTRKGPAAGTGH EGYRFGSRGHNTTGNRERRPSNAGSIGSQDSYSSLTAMHDPFLSQRMSPVVISGGGEV KENFNNSLDLSRTESNQSLPLTRPSLESKGSAMSSRSNLNSEAQRATLWPSAMPRNAT ANNSSVSLASKATLVSDTSDNDSFYPKKSLAVRRSLLRLNKSELQSLNLPAPIKIPAA GESGLSSLDTAYVSDGSRADRGRKRNGPNKLTKRTTSPAKKWNFFQRAKNAKTEQKPV PVVVSPEEKDVPFYAIMDSSEPDEDRVLSAEDIENILREAEAAELLKSGKSSDMPPPP KTPVSKPSPAPTQQDVPATAPNGPGIPKMWKMEERPEPAAARPSRLAQVGRIPRVVQA RPDTTSPKSFSRPFARISMIKEPGMLPIVDPNSVANGPSPERSPNRQPLPDNSAPTSQ LPFLVISPRKGSETGTSFSSGTGSSYAGTTAIIPKADDALEEDEVWFEYDDLIGQTDA DKVPLSATSSHGVPFQYEEFETRRTRRYQAKDSPAIDAVAVMPPTDEKSRVPKLADPR PPSLRTTVRNALGAPSPTTPMSFTDVILAYGDRTSSSAKARRISSYHSQNELRPASGH SKSASTSDVSRRSNELDEPKLEPVQESPAAQVNLRIGSMTVSKWLTFGHVLFSPAREL LLNPDHTKHHSILVIDGLGNDDWSFYAAETYPETTFYNLSPTPGRNSGKRMSVSAVAL PAPPENHRQVQHMNLISKFPFTPSTFTVVVLRFPPAGPESLMKHLIAESKRVLKPSGY LEMSILDLDMMNMGPKTRRAVRKLKIGISARNPEVNLVSMADTVLRLTGKRGFTDLKS CNVGVPVASVVPNTSSDGTPASSDGGSKKDVSLADLMKDSSAQGDEGITKMVAKVGRW WFSRCYESDTLAPSTNGQSIFADGKVIEEAERWETNFKLLVAYAQKPEVPRRRTASV VE01_02012 MGLLQKVVKNDAMRTDPPEIYGWRVFALACSACFGAMIFGWDIG AIGGILGLPAFKKDYNLTADNSADLGSNIVSTLQAGCLVGSLAAYWFADKYGRKPSLM GAAVWTTIGVIFQSAGSGKLALMYVGRFVSGIGVGAASMLVPVYISEQAPRAIRGGLT GLYQLFIATGVMLSFWVNYGASLHLKGKQTYVVPLALQMLPAVALMVGMLFCNESPRW LARQDNWDEAKRVLSLTRNLPVEHEYIQMELTEMADQLENERRLIGGASFMDLQREMW TIPGNRNRALLSIGLMVCQQMTGTNAINYYAPLIFSGLGITGVNNGLFATGIYGIVKM VTCAAFLLFAADSLGRRKSLLWTSIAQGLAMFIIGIYVRVYPPENSADGKINIPPFGY VALVCIFLFAGFFQWGWGPVCWIYVSEIPTARLRGLNVALAAATQWIFNFVVAKSVPL MLKNVGKKGYGTYFIFGSFSFAMMIFVWFFVPETKGLSLERMDDLFGVTELAKAADLE GSHTPTQELSHGEKATGMQLEKKALG VE01_02013 MTSSDFRAKVLGYNDVHPFCEVKLSDRESVQDLLKTILDPLQQH FSPQCSRIKVPGATAVRFDNTASEIEGYARPLWGLASMLAGGGTYENTPRWIEGLKAG TNPESEEYWGQSEDSDQRMVEMCCIGWTLAVVPAFWDALDEKEKGNVERYLGGINDKV MPNTNWLWFRVFANLGLLRNGAKHSPEMLVQDIKHLDSFYRGDGWSNDGPEHIHQMDY YSGSFAIQFLQLLYAKLAGEQDPETSAEFKRRGEMFALDFVLYFDEEGRALPFGRSIG YRFGMISFWGAIAYAEIDLPAPLTWGVVKGIVLRNLRWWQTQPDIFGPSGTLNLGYSY PNMYMLENYNSPGSPYWACLAFICLAVPESHPFWASAEEPYPSKQLPVIKSLKHPKHI ITYHGGHRMLLSSGQACGYPMKSTHAKYGKFAYSSAYGYSVPPGGYTLEQFALDSTLG LSDDEGEVWKTRRNCEESGIEVHDDLPVLVSVWKPFKDVTIKTWLIPSTEKHPNWHIR VHRIEAGRDVMTADGSFAIYNIAKADGRALRPYKSEIAEGTSPRIVGNYDLDAEGGSN PGAEGAFAVSKGAVGIADLEIADLERPSNRTAMIVNADPNSNIVEKKTIIPTLQHTIK AGSTQWYATGVYAKPSNPGVAPESYLDGWDSKPAVPDWIRALINGQS VE01_02014 MIRQDAKRIASNRRAELDHRKKQFATAKFQEHTYPHRLNFYILP PTADITLEQFEQWAIDRLRVLAELEACSFRNKTPAETAAHMKPLMDKYLPLSSSSSSS PNLALERKKDHYSHFILRLAFASTEDLRRRFARVETALFRLRFQSDDARERGAFVAGL NLEWEAVGDAEKKEILPELVAAGQGRKASEMVDEGWFKVDWMKVPELVESRRVLLKGG YAYVPGREQMSMVLAEFTARLDKALEQTSRALPRLDEDDRLSPILAHLSSTFLTPAST APSSMVAGTITAASIPSLLPNFPLCMSTLGTTLATTHHLKHYARLQYTLFLKGLGLSL ADSLQYWRSGFSAVTDDTFNKEYRYNIRHAYGDVGGDGNRRGGGYSPFSCQKILTEHP PGPGEAHGCPYRHYSLENLTATLQRTGVTDGSVLKGVKDDREKMKYHMACNRVFEHVH ARELKRAKEEGTNIGGGETIVHPNEYFRRSFLLKVGAVKKEGGAEMEVDG VE01_02015 MALRDLEARGPITESPNSPTPSSGSALSSAISDLDVFDNLMTDT NDRLRLYQGLGQVSFSLPDVLVASRSCHLSFLSPVVPATSRSCNLSFLPPGRFSQS VE01_02016 MALGDNLPAVALPSLSGLNLTAIGLDSQGETLLGRRGIDGGVQL RILPLGASITYGYESTDGNGYRFGLRNQLVYNGNAVNMIGSVQSGTMVDNDVEGWPGY VIDQVAGKAELSIPSQPNLVLINAGTNDCIQNIDIANAHVRLGKMVDRLLSAIPGVTV ICSTLLPNGNAAAEANVKLFNAQLPAMVANYQAANEKVLLVDFHTSFFSLSDIRTDGT HPTDAGYQKMAAVWYQGIQAAAAANWLTAPAAVAGLSDIVVGGNTCQKRPGNAIGPVK TQQGSGFDDGRYVHTGVNVGTMTGFAGNTDAAGVYWADINGDGIDDYVYVDTNANLGF GVSLSSGGGVFGAYAHFPLDIYCLRRGVRFADMTGDGRDDFCCLAPNGDLACWENTPG SDPRNPTWVSMGIIFPNRGYLQAQVRLADIDGDGRADYVAFSYDGTSILGWRNGAPGH VKPQYWNPMSGVFSGLPNLTPLSGWRFVDLNGDHRDDLVHVSTNGQVTTWINQRGYDV GLTPVWVPMGQTHAGAASPQNISFGTFWGSGRGDYSEISESGGAISINRFSNEDVGGT MVKGDGVRYCDMRGTGADDYIWISSTGEMWLYGNKHAPPNWIQYGIIGNVNRPRKEVH FADFDGDGRCDILLVDKATGSTTMLRNDWDGTKFTFTNIGVVSGGATPCTQGYGYTNN DLGVRFADIDGDGKADYLCLELNGRMTGALNRGLNNLVNQGQIKLSEAKEREHIWLAD INGDGRADYLFVDSLSGAVTAWTNEGNTAQSGSSFSWINRGIVAVGYSARGSCINFGN LYGVGRADYIIVNPQANTANTYFNVCPDGGLTPETPELPVVTVPGPAPPNGGGGGSGS GIFDQKTSDPNCAYIYDCINCAIPDDPKQGNDVRWKAANVDSFWPTANDWYTNAMDDP DSLGVLVGRYAEAMIDHWGGSHAPLGWDCATIGESPCTVVVGQADILSKASDIVTTFS PSVDVSGSPFDTILTVAGYLDDLLGFAGANFWSKVIKDPNTFTKFSDGVEKAGTYNAN VESLVTFAASYSSDQIPALEQSIIKLNALDKAIGALSDTIRGLISGYLTHIFDGSPSG MADLVQATKDGFFLTNPFEESGGETFNFPDQINDAFYAKLIPIAWKLDPDVWPVLVYL DELDVPEDGFRLSIDFPHPLGGGRGTTSILESSRILSDDDANISRVPYGDTTLWLLDL HTCEKQGVTIVPNSCEGQVFRALPGISSVNGDGTYGSVTKENMVSSSYDGWLRNFQQN GYKMPTTGDPGSVPFSNGFATAGFFSIPICSPTEAFTSWAYYFGKNITAEACEFYPCC GNPYES VE01_02017 MSSATPSSSSSSSGSSAPPPPTPTTAAPPPPTTTTTTTSTSVKR ACDACHRRKVKCDGLSPCRNCSSAQLACTYNAIPQKKGPKGSRAKVISELREQNRQSA LSSKAHARLLAGNAYASPPPLCAPTLAPTPGLLTNEIIAQCIDFFFVNMYPTIPILHR GKLEAQARFADRDTDTYCLLTSLCAFMLIQPGMPVPGDPMGLDSMPGANLMSGTMLME ETIRVRKGYDHLESPTLGSLATSYFLFGCYFGLDLHNKAWFHLREATTLALILGMNKE ESYAQFDVIESSRRRRLYWLLFVAERSYALQRHRPLTLPSTIELPTANDDPTEHSPPL TGFIHLVTLFKPFDDKFVALWNKTRDDCSPAYLSALQKQLSDALPAYLNSTESQAAEL RVNQQWLRNVVWQLGISNGCVSSGNDNPSMTFQYPVEISRDLIAMTAGFSPHSMDVHG VGLVEKLFDVAYSLTDVLSVSPPPADPFAPAPTDYLNQFLFLLSSLRNGDTRFRPLLI AKIHDILPRLVTPMLQTLPEPSSGMGPGGVDIFDGFGNAGMGVPSLPQQQQQQQYDAK PYENKQYDVKPYDVKPYDNKQYDVKPRIEDIASPVHAHPHHQQHQQQQQHAQQHTQHT PPQPALLGQEPLPPFQPEQHHQQQQPQTPAPYQAMHTPLDYAPLTDYGFLNNPRTAPQ QQQQQQGQQQQRPGMGVRQGSGVFGHHTQQQGHHGLGMGQHDGGGGFEGLGLVGVAEH LQYR VE01_02018 MLSMHLNGGTGQKGVEEAQLAAVFLIITMMWRRRHTSRVYGRSL PYCVRGACADLARSR VE01_02019 MAVIASELPPTQAPQVRNQPPQPPTHYPPQPLPLPPHLDPAIRA TRLDVINAGSSCLIHLPSNHVRKNVYPERLDESFIDSLELESRIYQRLPKKHPRLLEM ISYSRDEGLILEYMPEGDLGSYIRGEEPRTRSCLLFALGSTIYEIMTGKEPYLELKDD EVTALFEEKKFPSVVQLPCGDVMMKCWLSEVHSAEEVRALIEAKLQDCKAEVSNV VE01_02020 MALIDTLLSLDMGTEDCLYRLRRDLPSTSTVIYIHPLSLSLIPT DSLTYGLDLIRNLGRTVPDWDNEAWTTLTVSHEDGAVKAVRDEWAPHFLPVDANTREL PRINVLDLEVVASLKNRVSRVCLPGRPRTRILKICPFAYQLRYLEREFRAYEKMLNDE EGWGKPWGQ VE01_02021 MPTRSALLSMPSPSPVPLRRRSAYLPRSLTSLVLLAVCLTFTLA LAARPPTIPDTLVPPADLSAGIAAELRKLEEKGIVLLDTRPPPRVGGGWDLASYSGDL LRRGFVDLVLRKEDEDESTTTTAPSKTSTKSSTTTTAGDSDSVATAEPTSTTAELPKP FDSNIGANFAAEECPVFIASFLANPEFQSCYPVSLLLESSQAFFQTLRSPFLTTALLD HSCAAPASCAPYLSSLAATLNSTCALDLSRSNPTATMALTGLLAYPSLRTATCLKSPT GSYCFADAITNSTSDEDAYVYYLGVGNELPSTTKMTCNKCLKDVVGVFGAAAANRTAP VAAVYAEGAAVVNGVCGSGWVNETMPNALTGAAGALGGSGITGVVVASLVGVMMLVM VE01_02022 MVVKTITPPAAPLRIVLGGDEAGFAYKAAIFQDLEDDPRVSLVT DVGPYSVSDTTAYPHYAVAAARKIAAGEADRAILICGTGLGVAIAANKVPGIRAVTAH DSFSVERGVLSNNAQVLCLGQRVIGVELARRLVREWVGYRFDGRSKSGEKVEIRFLST LPSCRHCLLVNAAFLKLSFPPARLTMVNNKPSSNYPNLLRIGFHLTYPGFHFLDVDAF VE01_02023 MDPAVKTPDLKVQEPIHLSTIYESQTEDAFKPASTASKVPEAPT GQTTTRESSISKTSSSAITPEAPAAEVQAKAQVEDETQAQAGQERSVPSLFSLAGKII IVTGAARDIGLTMSESLVECGAIAPAPSSTASPPLPKGTLTYHPIDVVDVPALDTLVT SIAGTHSRLHGLIAAAGIQHEAPALTYSAETCNRVLAVNITGVMSSAQAVAHAMRQAG VQQLGRSLAAEWGREGIRVNTISPGYVVTQMVEELFEKFPERRDGWAGENMLGRLGKP GDLGGAGVFLMAGCSGWMTGGDLRIDGGNTAW VE01_02024 MHSNLFQATQAASLLLTAGSLLPIAAAKTAAEWRELSIYQVLTD RFATTDGSSPSCGITDYCGGTWKGLENKLDYIQGMGFEAVWISPVVHNIDGSTPAGYA YHGYWADNPYTLNDHFGTTDDLKSLSDALHGRGMSLMVDVVINHFGSIQDSGSVDYSA YPSPFDAASAFHSPCAIDYTSQTSIENCWVVTSPAPSLPDVNSEDASVFGALVDSVVD LVSNYSIDGIRLDTAKHVPKEYLTQFQEAVGVFVTGEALDGDPAYVSGYQGPLNSLIN YPLWYDLIRSFMGGSFDGLAAMISTEATSFSDVNVLTNFLDNHDQPRIASQSGDDEVK DKNAVTFLMFTSGIPMVYYGFEQRFTGAADPNNRETLWTSGYNTDTTLYKYITQLHEI RDIASNVTDKATYFSSNVAVLGTSNEYMALQRGPAVVVVSNVGAAGTSDSFSVTGSTF SSGDSIVDLLDCTAATVGDSGAFTSPSANGEARIWVQTENKGSFCP VE01_02025 MVALIALTALSLATSVYGHGYLIVPQSRTGLGVEANLDSCPECT ILEPVSAWPDLTAAPVGRSGPCGYNARVSIDYNQPSDNWGKAPVETYAAGDIVDVQWC VDANGDHGGMFSYRICQDQTIVDKFLDASYLPTDAEKQEAETCFQAGTLDCTDVSGQD CGYNPDCASGEACYRNDWFTCNGFSAGDQTKCKGVDNAALNSCYTSIAGGYTVSKKIK IPDYSSEHTLLSLRWNSFQTGQVYLTCSDIAISGSPGGNETSNSTTQA VE01_02026 MKEMNLFCAILGVALYYIHGVAAQDVAAHGYYTEPTTGIVFYTS SEPNGTVIGDGFFSPVSLGGFTWGIALPEDAATVDSYDYLGLLVGSRPNGTGWSGIVQ GQNSSAEMPNHLMLLAWATGNGDEIATSLRYATGYLAPKIYGGTASITQLYTNVNETN WVMVYKCNRCLIFDDPSQTPFNISTSNGQFEQGWAQSTEAPNDPENANSDIAQHNNGM GEFKVEVASATQASYSIWASMTATATSVSGTAGPTATFSSNLVPTSTYDYVVIGGGAG GIPLADKLSEYGKSVLLVEKGVASSARWGGTIRPPSGWLDGTNMTWFDVPGECNRMWT GGAADTSCVGCAAACTDIDQMAGCVLGGGTAVNSGLWWNPHPEDWDYNFPPGWKSTDM ESASSRVFSRIPGTDHPSMDGQRYLQTGFDVVSQGLSSAGWTSVTANEVPSQKNRTYA HTPYMYSNGERGGPMATYLVSAMARPNFDLWLNTSVERIVRTGGHATGLEVIPTQNGG YQGTIQLTPTTGRVIVSAGAFGTSKLLFRSGIGPQDQLEVVKSSTDGPTMINETDWII LPVGYNLGDHLNTDTVIAHPNMSASYYDWQGSWTSPIEADKTSYLSNRIGPLAQAAAD IGPMMWEEITGPDGIVRQMQWTSRVETSNGVTAPADNDVMTLSLYLGRGAISRGRLTI QKGLNMVVSTIPYGDENDLAVVATALDNMANALTKVPGLTYLFGPRASTSSAQSSLNM TGAEFLASVPLTYANIGSRRSNHWLGTAKLGTDSGLEGGTSVVDTDTKVYGTDNIFVV DASLFPGLPSTNPSALIVTMAEHASEKIIALPLNTA VE01_02027 MFLKTVIAVEFLASSVFATPALRHRFKRQSDSDVDSFIASEGPI AYKGVLSNIGSAGAGASGASAGIVVASPSKTDPDYFYTWTRDSALTFTALIERLIAGD TTLEPTIQSYITAQAALQSVSNPSGDLSNGLGLAEPKFNVDMSPFTEAWGRPQRDGPA LRASALITYGNYLIAKDKKDLALSNIWPVVRNDLAYVAQYWNQTGFDLWEEVQGSSFF TIAAQHKSLVQGDAFATALGQSCENCASQAPQILCFLQSFWNGEAIISNLGTSGRTGL DANSLLANILTFDPAAPCDDATFQPCSSRALANHKKVVDSFRSVYGINSANAAGSAAA IGRYAEDVYQGGNPWYLCTLAAAELLYDALYQWDTTGTLAIDETSLPFFKDLDSTAAV GTYSANSTEYTSLTAAVKTYADGFVAIVQKYTPTDGSLAEQFGRDDGAPLSAVDLTWS YASFLTAIDRRGGAVPPSWGESGGNQVPTSCSGGSAAGSYATPPAIAW VE01_02028 MRPIPGDDAFSSRSRTSSDGDKATPLARPDQPPMTFFLADEKSM EASLDRSVSNSSNQRYLRDHLKRSNYGVESIETIASQDSYDQDTTDEGRNTRSRGKKF NMSRTSSEDLGGSYSPSSVSSPDVTRNTSPAEPRRTLPNTAPLSATPSFLESPMLGSI STFPHTRQPSEIDFLTDDGGSQAIVSSGDEDTTQPPDVMEGSSSSQLVMPSLQMPSRR PFTDKGKNMGRLKILIAGDSGVGKTSLIKSIVQSCSDIVHVDPLESIPLTASETLRSS RRKSKDTMRTHSTTKITEVFASTRAYPSWWSESEDSKILRRRRSMGDTVLERNLCFVD TPGYSGGISSMECITPVMDYIQSQYERTVSADLSDGELISMLSGGGGSQIDAVLYVVN KSLKPVDIEYLRRLSQFTNIIPLLAQADTLTPEQREHLKSRMASDLESANIRPLPLNI AESGLPHPGISAPYAVSSLPSDDRETMDASLLMSPDYIQPLVPTDLPALIAHVFHPSA ISYLRHHASLKLVRHRKSTHSSISSIPRSLTASIQPSNILSAPLGAANTFALARIADH SQREEQLAHIRLSTWAADLQRSIANERARFEALARGERAVWLTQRLGECVADGTLVAV SAPGRGRSSKSEGRRRGAMNHVGRGREDPLGLLGVHDRVGEAVWVVVRVVSGVGVVGA IAFWAMRGWRAEEGWGVGWEGLIDF VE01_02029 MDKRNPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLDS EEGTPSTAIREISLMKELKHPNILSLHDVIHTESKLMLVFEYMDTDLKRYMDTTGDRG ALNPVTIKSFMHQLLKGIDFCHTNRVLHRDLKPQNLLINAKGQLKLGDFGLARAFGIP VNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQLV RIFRIMGTPSERSWPGISQFSEYKPNLQVFNTQDLRAILPQIDPSGIDLLQRMLQLRP ELRVSAHDALQHPWFADLNQPRQPAGQPAGRYTQGQSGYENY VE01_02030 MYVFLRQASYCQLQAQFGNCRRSSGESFDNIYLDLSKDSGKCRF AENGFGWKPSGGGDTFTLDRSNIGGAQWSKASKGFEVKILQRNSGVVQMDGFQQDDFD RLTKVFKNWYSTNLETKEHALRGWNWGKAEFGKAELAFNVQNRPAFEIPYSEISNTNL AGKNEVAVEFSLPAGGDEGANGSLGGAKGKGKKAGAGKDQLVEMRFYIPGTTTKKETM EDGEAPSDAEDEEEQNAANLFYDTLMEKAEIGEVAGDTYATFLDVLHLTPRGRFDIDM YESSFRLRGKTYDYKIQYEAIKKFMILPKPDELHFMICIGLDPPLRQGQTRYPFLVMQ FKKDEEVTIDLNMTEELLKEKYENKLAPHYEQPLHQVVTQVFRGLTGKKVNQPAKDFL SHHQQYGIKCSIKAAEGFLYCLEKAFMFVPKPATYIAYDSVSSITLSRVGGAISASRT FDIAIHLKNGAGDSQFSNINREEQKPLEEFFKVKGLRVKNEMDEDTSILAAALGAEDL ASSDEDVVAARADRGSAEEDEESADEDFRTDSESDVAEEYDEEHESSGSGSEAGSDAE EERPAKKSKH VE01_02031 MVAETFEFQAEISQLLGLIINTVYSNKEIFLRELISNGSDALDK IRYESLADPSKLDSGKDLRIDIIPDKVNKTLTIRDTGIGMTKADLVNNLGTIARSGTK QFMEALTAGADVSMIGQFGVGFYSAYLVADRVTVVSKNNDDEQYIWESAAGGTFTLTQ DTEGEPLGRGTKIILHLKDEQTDYLNEAKVKEVVKKHSEFISYPIYLHVEKETETEVP DEEAEESKEEEGDDKKPKIEEVDDEEDEKKEKKTKKVKETKIEEEELNKQKPIWTRNP SDITPEEYGAFYKSLSNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFETKKTKN NIKLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIV KKTLELFQEIAEDKEQFDKFYSAFGKNIKLGVHEDAQNRGALAKLLRYNSTKTGDDDQ TSFADYITRMGEHQKNIYYITGESLKAVQKSPFLDSLKAKNFEVLFLVDPIDEYAMTQ LKEFDGKKLVDITKDFELEETDEEKAERETEEKEYESTAKALKNILGDKVEKVVVSHK LVGAPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELRKK IEADGENDRTVKSITQLLFETSLLVSGFTIEEPAGFADRIHKLVSLGLQVDEEPEVEG EAATEAGATDAPVESAMEEVD VE01_02032 MPHAAVVATTSTGGPASSSTSNFRGMTVSSFSTVTLTPTPIISF NIRRPSRTLDAIRQSRQFLIHVLSATEAGANVAHGFTKGNSTDVFAKQQFAVWNVGSK YPLPLLSSPGVTKVLRCKLRDVGEGAGLIEVGDHVLVLADVESIIEPPPTKDAATLED RGLSYLDRAYREVGKVIQVLDPAESEELEKQ VE01_02033 MASLPSHALRRATLSPISSTCASNQVRHASLQKRPHRPYTFTQL ITLSDGSTYTQRTTSPAPIYKSTKDSRNHPLWQPSMASLRNVEEDEAGRLRAFRERFG RGWDVEGGEEGAEGEGEQEDTMMDLISGFKGVQVTADQMKGHTAKGNKY VE01_02034 MDLEDGGSSPWGDVPTQSQTGANKPKTGEDDSFNAGQSDAPSKT AAAQLSESSATSNVKSPGGRSRGPIRRVAAQTTRLEPLDDSLGPLGPLGPLGDNGVQA TSPSEQPPAPPMKEQTVTLNTRQPDTAQRVRDVMDPNYDDEAQSSGKARIPPPVQPAQ PGSARRDVQPSVSIEQAAKPTFDITVGDPHKVGDLTSSHIVYQVRTKTTSIGYRQPEF SVTRRYKDFLWLYNTLHTNNPGVVVPPPPEKQAMGRFDTNFVESRRMALEKMLNKTAA HPTLQHDGDLKIFLESEAFSMDVKHKERKEPVLGESKGMFAGLGLSVGSGSKFVEQDD WFHDRKVYLDALENQLKALLKSMDTVVDQRKGLAEAASDFSGSLQALSAVELSPTLSG PLAGLSSLQIRIKELYERQAQHDVLTLGITIDEYIRLIGSIKTAFEQRQKAFHSWQSA DMEMQKRKATQDKLLRQGKTQQDRLVQLNADVADGERKVHQARLLFEDMGRLLRAELE RFEREKVEDFKSGVETFLESAVEAQKELIELWETFLVQLDAEDGEQPYYKPPVVPLPS ESEQSSHRLSGETQNDSVSGTAHEDEE VE01_02035 MSSELDKQPISSKHLTKAERIKQLNEIDQSITKLLESAGLAVKT LTSINSPSETTSASRREAFTAATDTYLKDLHSVDVRLKRQIKGLDDAGVIKAEPETEE EGDKGKQAPETKNGAVDVGWLNTRSNKVGRDMEAELWEKARIFLDGLEAKKSGGSLPG QNGGGTEGRGESNGDAMDTS VE01_02036 MGFGRGKRKPAPSGAAPTVRLHVGNLSQAGRAPSNLSQGGRAQF NLSQAGRSQSSISSRPAASANTSGQPSVKPKNPVTDANSSQVIEYLMKKGYLRTEQVL RQESAQVDKDGRPIFGRDEYGNEKYIRGFELLSSWIDSNLDIYKFELNRLLWPVYVYS FIELITDMFTEDAKKFLQQFRQRFEKNHADELRIFETISLKSHVFENPVAKLYRENKY RIPLNAHVNFNLISFLEGQGKKGGSIVLNILQTYCQVVETSRGPIDQYSFEAIVNRAR GGGVDEVVDLQEGIPGGFTGVSNQDLANNDAPVRLGPLQTETELTDDVRAELLEADTK NPPAPGQQSLVEAYEQKIKREESADAILRTEIPLPPSKARDVVMEVQKMKESRDRFKI EGRTGGVGPAVSVCMFTFHNTLDTITCMEFSDDNNLVAIGTEESYIRVWNMHGEALKS TLSGGPSDAPPSNSRRLIGHSASVYSVSFSPSIAGPEGPSSAVPSTGSNLLLSCSSDK SIRLWSLDAWTCLVVYKGHEGPVWNVRWGPFGHYFVSCGWDKTVRVWTQDHISYVRML VGHDSSVNQIAWHPNNAYVFSASDQVDKTVRMWSVVTGQCVRIFNGHTDFISALECSP SGKILASADGGGSIILWDLAKGTQIKRCRGHGKGGIWSLSFSAESTVLTSGGADGTVR IWDVEVPSDPYKGIDGDVIGSSNQPDATRITANGTAGAQSVAPAGGSSGPMTGVTTGK KKGKDMVITPDQISAFPTKKSPVYKVKFTRMNLAVAGGCYLP VE01_02037 MVSTRQHPKDFPEPDLSPVKASPRTTRKAKAAWAHTPSNLVLVW LAISLPLVLWDAGYVLLRPHSMPGGKYHWPLWVPYELYGKTDYIYGWKAYNEKNGFTA AQTVMNLIETAGYFTYLWLILNFGKQSVAHGRGAPKNAGWLGQQRTLTGEKAACAALV GYSSAILTLAKTMLYWLNEYYSGFENIGHNTLPDLILLWIIPNGAWLVLPTYISYVMG SEIFEGLAMAAGSANDNGKSE VE01_02038 MADVTPRRSTRLQGKSTLSRSTSSTSGNSSADPTPPGSSSSDTP MPSTSTESYPMATSKDSPYLPTYAESILLLVYPTTLLLGSIFGLLDPATRASPYIETH QSHDQDLAPSYFAKKSNLVNILFVKRGWFWVTMSYFLFLFTNAAIGARGSDALLRKRI QGTLRWGIVTLWWVCVTQWFFGPAIIDRGFLLTGGACELKDRIESGDTEADITERFFT SMACKAHGGKWSGGHDISGHVFLLVLGSMFLFQEVLHVALRAARGREERVVLLQNGEI KGADSESGAERADTQNVITASPWDFGVKIALGVGALSIWMLLMTAAYFHTWFEKARII ISFRRQHANSSQLTGLVVAFSGVFVVYFLPRFVPSWRAIIGMPGV VE01_02039 MADSTSGTPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKE PTIGAAFLTQKCNLPSRTIKFEIWDTAGQERFASLAPMYYRNAQSALVVYDLTKPTSL IKAKHWVAELQRQASPGIIIALVGNKLDLTNEAGEESRNDDGEEEDDNEGDSRKISTA EARSYADEEGLLFFETSAKLGTNVTEVFTAIANAIPETSLKGARGPGASAAAARTEES RVNLAGPRDGATKDACAC VE01_02040 MGKRKAESEVASVKDTGAKKIKQEIAKQPKVEAKQNLLDSDSSG DEESDSGGVPLEAEFTVNKEYARRFEYNKKREELAKLEEKYQKDKAKPVKGQYGDKYD EEEDSSSDEDEDDEGFLATEDLDAEISATLQAIRNKDPRVYDEKVTFYTPIDETAQDG PNEKAEKPVYLRDYHRENLLKQAANGDAGDVEMDDAPLPTFNQEQAMLKKSIVKAMHE AGSDEGEDEDEDFLVPKAKPAAAPKSEVHESRRHKIKLDTVGADKDPETFLSNFLAAR AWVPGEGTKWEPLESDDDEDDQRADKFETAFNLRFEDPKASNEVLKSFARDIIAEKSV RREEPKARKRARDLEREKRDAEKLKREDEKARLRKLQIDQMEEKLAKIKKAAGVSGKT LKSEEWTKFLGEGFDDATWEAEMNKKFGEDYYAEGEAGSDDDSEDGEGGASKKKKKVK KPKWDDDIDINDIIPEFVDDEEAVEGEGFKLSDDEDNDEDEDEESGPAKKGKTSKDRK ADLQAKKKAGRIERKKIEELVDAKLDIDHAILPTKSKTASTFRYRETSPTSFGLTARD ILMAPDNSLNQFVGLKRMATFRDAEKKRKDKKRLGKKARLREWRKETFGNEDGPEIII APQDAEAKDVTDDVDIIEGKKKKRRSRKSKAADEEI VE01_02041 MDFASLMSKEISKAKAPASTTSEGKKYKKRADEEAERQAAYAAH QEKLEKERMARETQKRKREEEEAEAKEEREEKRRRLAEESKVRREKEEKEEEARRRKR VGLPELVEKEKVEAVDDDDIVEDEVVKLLEEIGEPTSLPGENHRQKVRRYRRVTTVMT TGPIPTSLKLVDEKDMKVDKMPPPEDVEATKWLYRQLASYFTMVLKEWEDALLREDKR DTFASKAAYNAMAQSKQNMTPLFRKFEKGDLDVGILEPVVEIVKAAQERRYVDANDGY LTLSIGKAAWPIGVTMVGIHERSAREKLHESDKGHVMGDEVTRKFLQSIKRCLSFAQV RWPPEDVRQLMG VE01_02042 MSLYYEAADVLKAPTTTGGSLKSRIFSKKDFKAAPAQIYALVIE TCKWSAVLKEVIEKTGLLGLERKLTPLLSLLLVHDLLLAKRGISLPATHGLRASIERH KARLTAEFTRARIRRGLGSLEALTEHVEAGGDNTSGIPVTTHPRWIRINTLKTTLEDQ LATTFKDYTRAAEVSEVQKRGGKKIFLDAHVPNLIAVPPSADLTKSAAYTSGALIFQD KASCFPAYLLDPLAEDGDVIDSCAAPGNKTTHLASILASHSPAVDIADPSTLAELPQR IHAFEKDKVRATTLAKMVALAGSEGMTKIHAATDFLKADPESPQFAKVGALLLDPSCS GSGIVGRDDMPELHLPSEAKGGPAAGGKPGGKGAKGKGKGKDKPAEDEKPSSQKRKRD SEEEEKVEVLLDDDGVPTAITSAQDLQARLAALAAFQLTLLLHAMRFPGARKITYSTC SEHNEENEGVVLAALGSEVARERGWRVLRRDEQVGGMKRWDVRGVEGPEGEYEKISEG CIRANKGDGEGTMGFFVCPFVRDGEGGDVEAKVVRDESGKIVRDAMGIPVLASASGGE DVAMDDEEWGGIDEAEEQVAESVPNGEATAAVDEDESKEGEASKPAKKKRRKAKKKT VE01_02043 MATAVLATAPDSTVYQTEEVTITSCAPTVTDCPARSTVVSLTSY PVVPTTTAAEEPLTTEAPVVPSSTEEAEETLTSYTTIVNTITSCHPTVTNCPIGQKTT LTVPCSTTEAPAPTETPVVPEVPVSSEEPTTPEATVPAPPATVTVTINSCPAEVPTWT SVPVGPTAPAVNTPVPTISGFPTPSNGTTVTPPVVTSPPIAGAASAVSGSIFAVGFAA MAAVFFA VE01_02044 MDKLPTETVRVIAELIDREDILSLRLTCRSFAALGLPRQFEVIP VMLFRNSLENLLRISEHPVYRNYVLTIKYGGELVSNPKTRIAWTKNEFLLPGAPKKTF SESEVEEAYQSHVRYYNDQNRMKATGYDFEMLQSAISRLPNFRGVRIMSLFSENSARF ANPPPAWGEAFCSMAKVMGSPFRFLSCWEPSYFVRETVAVLAACKLAPQAISWFDSKN FELGLLSCDGDDNGDGGGGGGGNGGEDETEADYRRHIVRLSRFNNFVRDPTKDLGPPL LCATFQSLTTVKLETSFTYNPNYGLYQQRLGTALSGAKNLQTLCINHRGANSEHNLSF TPLLGSSTWPHLKHISLSSFTIHEHDLPPFFAHHPSLAHFTLDNAFAYDFDWATLLQL PSLRTHLRQLHSLTFTGSWGANAWTSALAAEVAAQPCFDIHSYLEGVDVDWSFPEALV GQVDLNAPFAKWAQDVCGASCMSEVLRRYIFAGRGAGGEVGFPLRTGGEVAEALGRVK EEGGVLGYRAGSLVVGNEAVVGGEYGGRNLYREYTEDGEEVVEVVEIVEEEEEEEE VE01_02046 MEESSDKPLVETGVPLLEEEVQANAQTQSLKPPQQAAAEDNAQT QAPEPQQQPAPENEGSDEERADLRNLTEEDAESLVGVSMNWALTRRSSLQSTIPGSIA EVELEGGEFLESDEERPVPQTTTSEKTDLSESSHAGTVVEGKEGKRLSFRAKISTKRR SMSISGSGESLKKLLPSLSGSIKLGLRKARNKATGGSSYSSSRQVSGQATPAPGSATP SDVVRTGSPHKMGSKSTLEGDRTPDHETAEPPLLRVISDSKLYSSLSRISSLGDDSRF ENTQQMINSRRKAISDSLQDKSFKMPSLPNLREKFNAISGYTTRPPDDVLGDETKGQS LDAKPTLSSDKINPTVFDNVIEQLTGDVVILGGYRGSILKSTKASDRPLWIPPVGVGF NLGTVDLEVGLEDEDDERMEDSVYASGMLKNIGVVDISRRLIKRLRATKNAKNGKLRV WDYGYDWRLNPHLLSRKLKEFLEGLPCNVPGKEKGALVIAHSLGGLITRHVVNQRPEL FSGVIYAGVPQSCINIIGPLRNGDSVGFNQRIFTAQVNFTLRTSLVLLPLDGYGYIDK KTKEPYHIDFFDVNDWIKYRISPCTDQPMPARNPPNPSIASAITSSLTSLTIPRKNPS PPPPALGVAPKTSQSTASKAIEKVTSPLRKRKKGKKQPDATPPSSDSPKTPPSVSMTV TIPRPAAVAYLTRILPAIKQFKLELAHRPDTEVANKYPPLAIIYAKNTPTVCAAKVDD REAIPCADVYENLAFASGDGVCLAKDAQLPYGYRYVLNGRIRTERGHVTMLGDLEAVG KALEAVYKGRELGIGLGVGNNEIWD VE01_02047 MTSIRPFHAMDVFNFNTTNLDPLTETYGLDFYFTYLARWPHLFN VAESHTGAIDGYIMGKLESSPAYLRHSPHALPWHAHITALTIAPPARRLGLARILSQS LEHAGDESDAYFVDLYVRKSNEIAIGLYKGLGYSVFRRVLDYYSDDPSPGAEEGKGED AYDMRKPLRRDKDRKHVRENGENFEVHPEDVW VE01_02048 MFIKTNSHPTPHYSTSRLSNLLLSRPLVTPKSAHALAGVDRFPP QTPRRGSPSNQVKVASRAVASQGATTFTSLVQHLPDSLQSYLDAALVSVNSAYQQIPE PARDYIHEAAERSYLNTPVGLAGTTLVLVATIVSMSRWGSSFWTGGQRLSPFSSRSHA PPVITNDDFSYITSEDLAEPGRAYDPLSRPPASSDLEDDVLLLKNKGITYPLKFPAFS IGDGKLLVQDVRERAMVVLGIRNRPIKLLYKGKQLKDNEAFCRDYGLKDKSEVLCIVG EPQAGDSDSGLSDTGTGGSKDSKKKKNKKGKKGKSGKSKKKSDKDDVKEGQGQGAAGG GSRTDSPANAPKTPLEKLNAIASDFHTKILPLCIVYTANPPEDPKKKDFEHKKLGETI MTQVLLKLDGVDTEGDPEARQVRKDLVKETQGVLDGLDAAAAR VE01_02049 MPSTPHTPTHSRRPSALSISISPAPSSQRRQSVASHRSKNSISS ITPTSPGSRLLRSDSLNDAPFSPGAPASNGLGNLADELADAWASDEDEAEPDMNFASI GAELADADADSQDEADSDTETKDPSQKPRERDSGVSISGSPAPKGLAPNPIGHRRAAS DYDGSDYGSSSSLPETGLPTSLLARIDGIESLARRGLEDNGDARSGVVKRVVESLRDL SGQGGVETGATRLITANTALSSHLMHQTRTLHSLTYPLISPMNAPLDEESIEELLPLL AALGEAMPRPDVSSLVALTGLHGVTGELIEKLGGVGDSLHMSRQTTSVAARRLREAKE MVEELRKEEERREEGERWLRRGGWGDRLGKRECARVCGEVVGGFEEVCEGWRRRLGEG VGA VE01_02050 MVLVPVKTLAIASLAALAVANPFEFVVYKDGACNGPVADQRIDR PIGSCTNFKTGGLYGALILDEYNNKPGCTFKFWELADCHGKATVQHSGITCTPIANKD GQFYLTNGARSASISC VE01_02051 MPPSTKRTQRAKRGQPINHSDDMDTTLTSPAQSAKRRRTKAEMN KSETRAPEPVKLPTSQAELNMSDEDLVSTVSQHLSMPDHFVQVARDHDNHHHQRQSKN VAAYAKVTGKDWTFYVKRLRTNIGRPPEGYIAPLQDENAPTTPAVSNEGDGMELPAAP TEPSRIHIDIGPNKLVSRLHAEIYFDSQTSQWNVIVNGRNGIKVNDQQVRRGHVMKLQ SGAVIEVAGVEMMFVLPTDDQPVEIDDKYLQRAGLIASDPFQSELPITGNFLAAANRG KAEGTLGSNHPTLAPAPPDYKKPDTPAKQRARGPIPTSSPAFGRAGGTIIMSADTIDY QNDATSHIKPAFTYGQLISQALYTSENEMATLNDIYEYMKRNYAHYRRPEFFKGWQNS IRHNLSLNPGFKVRQRGPEDTGKGGYWCFTPDMREHMISDAWGPKSARKSPPKRRETS GTPRSSPGPKITRTVHGPGDKEGGSPSRRVKRSPGGSPTMRGIPPNGSQQTPDRLKLP RPLLDEEPGDGSPLPRRRTGSNNPFGIGENAAESPVLSSSFAQEEGTAFLTPAPVRKH PHFAPPSTAQRPSQHMPTSSPAPFWRFAELGNTPSVIKGAQGGLGFDVSPLRGLGGDA PQSSSPAPRRRRESPERSADVKDDREDEESEGEEPAFDLTRGFMKISKYHASAGNGAP IASASKVQP VE01_02053 MAPSATSPGVVSKLNMAKDVKDGANGVEAPRCLIDRSLNEVPFE VIGSKGNYLHMSSGSKIFDATGGAAVACLGHGNREVKEAMLDQMDVNSYCNSMLFTNS INGTLAQEIIRGTGNLMSRVYICSSGSEATEAAMKMGRQYFYELSPRQPQRTKFIARE HSYHGNTIGSLSLSGHVARREAYTPILSENISWVSACNPYRQRLSNESDAAFVARKAA ELDNEFQRLGPDTVIGFVCEPISGAALGCVPYVDGYLSAMKGVCRKYGALFMLDEIMS GMGRSGTLHAWQGEHVEGDPKRDCLPDLQMVGKGLGGGYQPIAAVIAGKQYINAIKAG SGGIIHGQTYQAHPVACAASLAVQRIIRRENLLSNVMRQGAYLSQLLRQKLGSHPHVG DIRGKGLFWGVEFVRDKETKEPFPKTWGVAGRMLDVALKEPFNITFYPGQGTADGWEG DHVIVSPAYNVTKEDVELITDKLVGVLDAVFEQMKREGKGAGDN VE01_02054 MSEPIPIPGRGGGAATDGAGEFAVGSPESFASASPPNGRHASAS EGSPRLSRNNSFSGSSSYHEDWEAIPPLDRLSVFDLLDNLALPSQLEKLQKNLSAQTE KVLRQRNALRSRGNLAKDKVVEEWRRRVPTADEQLEKYRRRMKTSVDKLGKQWNDTKA VTLREKFSFIFGVLNILISGYLIGGRPEWFHIWYTLQLLYFMPIRFFTYKRRGLHYFL ADLCYFVNFLLMLSIWVFPKSKRLFISTYCLAFGNNAIAIAMWRNSLVFHSLDKVTSL FIHIMPCVALHCMVHLLPDELQASRFPALHTIRTSAPSSPEHYTLAAMALWSTLPYAV WQLSYHFLITVRRRAQIAAGRPTSYTWLKKSYAKAWIGRAVTSLPDSLQEPAFMFIQY TYALATMLPCPIWFWYRWASSMFLFGVFTWSIYNGATYYIDVFGKRFQNELEEMRKEV QKWQSSPDLAIGTPPAEAAKSPLSSGDEGAANVDSIPLLSEEGQVTGIDGGARDVARE RKVGELPPLAQPVV VE01_02055 MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTHLRDLVYRGRVLKTSKINDDGSADAPAANGDANGDANSDGEG ADLQRSERNDPKSAWVMAVYEDDAGDELKWKRTITNQGASEYRINNKVVTAQQYNEAL EAENILIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEHKAEYERLETEAQEAA ENQNNSLQRRRGINSEIKQYQDQKKEADNFQAKADERDDAIVKHILWKLYHFQKVIDE SSEEIAKHQEELKKFRYGIRKYEAELDEARKEHARTQKEVGKVERGIKSKERDVEHKM NSLVPIDEKVEHVQRETAKVEKRISDLTKERDSQLAGIQSAKKELALVDKAHKLFETQ WKEQMKKQGKELSADDLKEYNKLKAQVINQSSTNQAKLDNLLRQLKTDEITVNSLKSK VQSSQSQVEKLGQEASQITERRDAMKVSMKQITKDIDGKKKEYNVLQSERLRVNQKRT EIEEKIEDIVKKLDFANDGRRQNDREARTKEIVTALKRIYPGVRGRIGELCKPKQKKF DEAVITALGRDFDSVVVDTEKTGTECVQYLKDQRRAPMTFIPLDNIKVNAVNSNLKGL SKARLTIDTIDFDSTLERAMSYACGNSIVCDDLATAKQICYDKGMQVKAVTLEGFVIH KAGLMTGGRGAEGKGGKRRFEEQDVQNLEKMLEKMKTELDSLPKANRRGAAEETLQSD LSGLEQRLAYTKSELAAFEQNLASKKKELDYEKRQLSDIQPKYKEQAGSLQTLQESVQ NFKDAVSKVEDKVFAGFCQRLGYDNIRDYEVQQGTLEQEAAQKRNDFELQKQKLTSRL TWETSRVDDTKARLKRLEDQTFSLSQDIDTYNSSKEKLEESLDVDNAEIEVLKEQLTE AKQKSSKKNEKVVQARDELQKRSKNVDTVQRAISSLESEAQRAAAGRYAQLRRCKLEQ IRIPLADGSSDLESLPVDNMPDVDPDAMDVDEGDAVDPVLINDGIQIDFDELDEDLTE SGEEHIEETLLSQIAALNAALEKLNPNMRAIDRLEAVEARLKTTEQDYEDARKAARDS RDAFLEVKEKRFNLFNKAFSHISEQISHVYKDLTRSAAYPLGGQAYLDIEDSDAPYLS GIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAVHSYQPSPFFVLDEVDAALDNANV EKIRNYIREHANPGMQFIVISLKTGLFQGSESLVGVYRDQDANSSNTLTLDLRKYQ VE01_02056 MATMEASDDNNNTTADVATAAASKAIESETINSLNTPPLEKAPG NMTPPLPKERTRRTTVNANTNVNSNTAGARSRTPSAPAPLDPNALSKALFKEFEEGGR SRDITPGGSPSRKRQRVYGDRFIPNREGQDLQASFSLLHDDGSPATPSKAKKRTPHGE LHFQKTEEANRTFSTLLRAEIFDTSVPQATPTNLSPDTTIHSTSTTAHDPTRSHTPPN NGSNTSVTNSMTPSTPHKNLFTYMSPRHHTHIAGHPTPTRTPQSRHGPNLNARSEIYS LSPVRLSSQHMLLSPRKQPRAVNKVPYKVLDAPDLADDFYLNLVDWGSSDILGVGLGS CVYMWNSETQRVNKLCELEDDTVTSVSWIQRGSHIAIGTGKGFVQIWDAARTRRLRTM TGHTARVGALAWNDHILTSGSRDRLIYHRDVRQPDQWLRKLVGHKQEVCGLRWNCEDG QLASGGNDNKLLVWDKLSETPTWKFSEHTAAVKAIAWSPHQRGLLASGGGTADRKIIF HNTLTGALINEIDTGSQVCNLAWSKNSNEIVSTHGYSQNQIVVWKYPSMTQVVSLTGH TYRVLYLAMSPDGRVIVTGAGDETLRFWNAFPKKAGQRGEDGEGGTGKLAEWSVIR VE01_02057 MSMKNAAGEVWSNYGKAFMILAKDGPGIIPARDMVKATNKLYPY ASALSVLDLGCGVGELTNSVIESHGSDLPPSSQLLASDIAAGMLSQLELRKTNALAKG ITAWEKVETSVDDAQDLSAFSDNSVSHVLAGFMLFMIPQPRTALKEIRRVLTDENGGG AFAMSSWLELDSEWYHIMTLTNQFRPERPSVKMPQTWLTIDGIRGELEEAGFRDVDVY PLKTYLPFEGHEQLADFMMYTFPNMDRMTAGFTEEELKELRRQIIEYVQSRHPTAPSK LEGTAIIAVCRK VE01_02058 MPALSPTMTEGNIATWKVKEGDSFVAGDVLLEIETDKASMDVEA QDDGIVAKIIKGDGAKGIPVGARIGVLAELGDDISSLEIPAEETAQAEAPKKQESPKE QQPAKEAEAKEESAKPAAETFAPSHKSTREYPLLPSVAHLIHEHGIAASEVDKIKRTG PKNQLLKGDILAHLGQISKAYPKELAGKVEKLSHLDLSNIKIAPPKEAPKKAAPAPVA EPVVEQDIQVALPISMAAALEVQARIQRELGTHLPLSTFIARAADFANDDLPRSKNAK PSQDELFDDILGLNTIRSSYGVRGNFLPQITALPHTAMATVPRVRTVKQDVDVLDFLT GKVRSTAPKRIPATPLPGPAATTNIFSVSVPEGDERQGMVFLERVKEILEEEPGSLVL VE01_02059 MSCFTRSAMLRFQSLRAPLSRVGLNSFHATPLHTLPQRLPLTRT FTSTIRLAAKKAFSKPLPPKQAVKPPSAIPATQTYQSYTAKLASRPTPTPLYIAPSHT FYILAAYTGATFCIAYAGFSYYANVYAPPNGLSAWVPIAFAGICFLMAGMGGWLLFAP TSLIRSITAYPVKSLVANGQPTLRVDIELRKVLPIPFLAPRVISTSPTDLQLNHSIYV PPARPATASERFEVARLEAEAREAERKKSIMLAPFRHASQAFFGMFVAIKRTWTRDGF LDLKAGKRRYKLDITGGWALDEGRALDRICKVTPS VE01_02060 MADQNDVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVYGGLGASRPVTPPRKQKK VE01_02061 MDTEDGQLFVKNLAQFVRTHEKALANALQLRKQQSPGHGRSQSH SGALGVPTSTSTTVISPPSSSASSTLTSSTLAAALSLPYLNFASHNIKPAKLALTPHH LFYLLSRFEDLGIAVGPMNVRLESLHSDTSANYVSFLGKSQNPKSGSDHGSIHSVSSM RSVMSGMTSLWSGFSLRGASSAAKIERQLAANREDLKYLYSAFTKIPCLRLTPDRRAR LISGYEEFPFDTAVPLIAFKNLSALEISDIDIRQFFGWDRLAEQLRSLTVKRASIEDP GDLLIDIVLDDMDKRRRRSSKAQSSPISPWAPNISPKRSPTIPHAELVHSNSAPGSPD SRVGSPESRESRAMHHSDFGLGRSASGDLTSLTKNNRPRSSSPVRPTSSRTGSAHGHV RGSHKVKRSGSNSSHSSTSDTWYNPRGSSSNILSTGILPSTKWRFLKHLSLADNSLTS ISATGLAPLANTLNSLDLSSNLFSQIPDSLASLTALRALNLSNCMIDSLHSLTRNPLP AITALNLRGNRLMSLAGVERLYPLERLDLRDNKLTDPTELARLTGIPELKEIWVGGNP FTKTHPNYRVTIFNLFRNSPGFTEDMIIDASGPGYNERRQLVERVPERENVPVVKPLP PLPTAVDITKPAIIYDSPKEASVLRKERPQPNTATSDVYTSSSRRRRTPKRRIVDLST SEVLPNKPKPPVVLKSEEPIPGTAGSDSGYGVSPDTHPSHTIPDYTPPRPERTTSQPD ILPRLDTTTTPQLLPAERSLEESTISLPSLGTQDLDTQDWSVSGEMYRKKIEALRNEA GNGWLSVLSEEGWESQKPPSHHPPGDFSPASTIRPSPTTPRPRSQQTIQSGRTLG VE01_02062 MEAPNGLAASAPHPRIVIKFCTQCKWMLRAAYFAQELLSTFSTD LGEVALQPATGGVFSIEIFYNTTPGSTTQAETGTITNLTVESKLLWDRKTEGGFPETK EIKRRVRDIIDPNRDLGHVDGKKKAISPSAETPTLEATTAAPATTTAATSAYLGSTGP EVSLRDFIAAGASTGDVTSSGRGGAEEEVLEHDQLTLEAQPGGGRYKRVAGETGIMEE EVGTKGVISDTAYEERKRNPDGTICEDCS VE01_02063 MGYASRDAADPSQIKKNKQSMADLKLRRLTELNNRLREDLERER IPVSQAARSIIAYTNTTRDFMVPSVWGPVDKKDDPYTPQPTGGCCVVM VE01_02064 MPPPPHQKPENVLKRAHELMGVGQSSAALVLLHEHITSKRSRNV PIASLEPVMLLLVEQSVEQKKGKLAKDALYQYKNISQNTNVGTIELVLKKFIELAETK VQEAQAKADEIQSTLETTQATASIDDLEASETPESILLSTVSGEQSRDRTDRAIVTPW LKFLWETYRTVLDILRNNARLEIMYQSTAMQAFAFCQKYARKTEFRRLCELLRNHVQT AAKYSAQQMHAINLNDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLNLSK RPPKNIMMANYYEKLTRIFLVGENYLFHAAAWSRYYNLLRQSAAIVASGQGKKSENPA ASEADLTKAASFVLLSALAIPVISTSRSRGALIDVDQAKNNKNSRLTHLLGMSAAPTR AVLFKDAMSKGLLSRARPEIRALYNILEVDFHPLSICQKISPILTKIGADEEMAKYIA PLQQVILTRLFQQLSQVYETVDVKFVENLARFPAPFDVSRDTIEKFIMNGNKKGDLAI RLDHATGVLSFDTDVFSSAKAVHTGSAAGSAETESQSVQRLQSTPSEIVRTQLTRLAK SLYITCQYVDPSYNQARVKARDIALAKAKAGAEQEHHETLARKEIIHKRKEAANEIIA RKEKEDATKKKIRAAQLQEAEDKRLAQEQKEREEKRMKAELDRVRKDELKKQIADLKI GTKALDIDLEDLDNLDGNRLRAMKLAQLEREKNDTSEKLRIAGKRIDHIERAFRKEEA KKLPADYEEQRKRDLEAYDKTKSQVLRDAEAKHAEDLELSKRLTRITPIYEEFKTDVV ARRHDEFEKRRRDAEKELEKQINQRRKEHRERKIREKREREEQERILREEEERAAVEL AEKSAREERRRQEFAELKAQRDKERQEGLEKAALQARREEEALARRAGARATGGLPVR AAEPERSASSDRRPPLPLAGAKLGWREKEALRAAGQEVPSERTASPAAPAAPFARTDS NDRPSGPPRLALAGGKPSWRDKEAARAASGTSPAPESRDREAPVIARTASGTGPVRGR TDRNENERESRSPAPPAEPLKASGGAGKYIPKHLRDKA VE01_02066 MGPSKKHKQNLSASSNTPLAGNSISGAAAELSRIHSLAQPPDIP TAADQDLNLSARQQRDRSLDSHSQNHHHHHHRHRHAARQALSSLLHESGTSGHFGLGL GIHRRNFGLDLDVDGKKNEGGEASGATPGTEMLKQLVRDVPRQIASEVPKQIGRYLDG RGEVRDEVAVGVGVKTRGTEVGVRVEGAKGWWRGGRGESASQVEAAPPPAPAPQPEAA PPAAPASEAALAPRQPLAVDKSATLQLAKRYSCHICRFINEEIERCASCGHRLCIDCE WLLPIAKTDGAAQEFAIYEDSDAMEARDMEMEDRRRTENIYTPSEFEQENYVDPDTPD LPPNVWARQAALAKAHYTTPQSPTDGSPYYTTTQSPTIRSPPPSPVDLSHLSASDYPE PLRLAPSPVRPPGPRSRRVVRDNPFVVADQLSAHHGRVMRVDSEAGIRSRDGQASPQP GRYSARARALARRMEERDEDRRTWLANKSRGEQPSPQPGRYSARTLASREREEDKRDS QRRMWQTNRVPLPGFGAEREGARRASRGEKVSAVERAPRPKKFDPVMSGTLTPSESKA GGGNVVEEGGVHALPVPDGGKNGDEKGYKKQDGSEG VE01_02067 MNSFRIARAALRVRAPAMKAPVQRRGYAEAVSDKIKLSLNLPHQ KVYTSHDVVQVNIAAESGEMGLLANHVPSIEQLKPGLIEVIEESAGSKQFFLSGGFAV MNPNSVLSINAVEGFPLEDFSIEAVRSQLTEAQKVASGNGSATEIAEANIEIEVLESL QAALK VE01_02068 MPRDTVRLLDEDDLIRWREGTMTALPSKDEPGGAHDAITHSNLS SAKLPTLTDFHKANIQLRADTKTSLLTKALQPSQDDNNDETYMAIDMARNRSSRSTTS SASTADLTSDGGLTSPTRTSTPSPPFPPSSYMSLAPYTLGPKLSQTAFARTQQKGQDP ALAQQVAAAAVPKPDPAVEALVKKRCISFACAAQKPLDFIKSTPSTTHAKNATSTTTT TAPKRTIKFACNGPQPDRQAVKVVEPAKIARLTATPASPAKPGRHTIRSPTLSRKLRS STPQPKAHRDSTSTIRRASQSPAAVRSRKPKYIVADEEALESSEATRFHEFASDEPQE DDWIRKDTSTIKGKLTIHDTLKKENAIRQLGKEAEEEALEDDEDDDDDDEGNDDDDDD ENDDDDDDDDEDDDEDDEDDEDDNTVDGSDVDLDASDGNETDNEAGFADSDEESDRGG EFHFWSPGMSTGEASAFRSTGRRAPSESSIDSLSHMSPTLNRKGGPRKSKGTRKIRIR PGTPDLPDSTDFVCGTLDEDRPLEEAYVSCMEARKREKRHVIPQDIDPSFPTSDPEDE EDENDEAEKPNDSDEGIWLHGKFEDSESETRHRRSRTRKSPKHSPKRLVSPPPQKYRS PPATTTRRSPRLRSPPPRRAKSPPPRRAKSPPPARARAVKWPTASASISFAPIPNRPD PTHTKSLPRRPNAFCQQYRAAQLAFANDEDNDNNTDGYTRGAIDIVKGLEQKRQRRKD KFFSKLCNRARKGQQVERRPQPGKGAERMRELGLQMAGKTVDYRGANAEYVLSV VE01_02069 MITLTSLLKLIRTSDAQTITILADKIIHPADKADFELGKGSLWV SERQAVESNKASQWVKSSADQRRDLLLQKVMMAEVVQEYLYMLNEEGDDVEWVVKDGA WGRVFKIQTY VE01_02070 MRAMMWKKYLAASALAMGAAVDASTLTPPVLPLVVRNPYLSLWL GNARGDPWEQWPMFYTGAQVGLSVMAALPESGLVYPLLGRPHDSLSTQVTFPIYEGSN YDASTTNLTYNIQGGVKDEKATVILSFISPITPTSTFRQSMPASYIKIYVEGNFDLSI YIDINGQWISGDDGSRIQWELSPPQAQDLGSRPLKTWKIRRSEEEQLKEKNDRAEWGT LHFTGPAEANHESGTAFNMRNRFKNTGALRNATDGNFRNINQDEPVFAFSKDFKLKGT TSKSMRKLSRDSILFTIAHIQDPVVQFAAARGLTLMRPLWASWFETAHSMISHHYLDF KKSSKLASEYSEQLAVDALKSGSENYKDIVALSARQVMGATSFSGTAENPLIFLKEIS SNGDFQTVDVIFPAFPFFLYTNPRWLAYLLEPLLEHQLSGQYPNKYSMHDIGTFPIGK GYADGNDEYMPLEECGNMLIMGLALVNSLGDTSDPPALLGSLGDHSDVASGGVFPLSS RIDRFDSAWGSTRDKTQVQSWLKKSYGLWKQWTEYLVEEALIPTNQLSTDDFAGWLAN QTNLALKGIIGIRAMSGISEAVGESKDAAYYREVSEDYIEKWQDYGVSKDGTHAKISY TWYGSWTTIYNLFADSLLCFHLPDTTSSPLGLSQSDTQKPIGHDRKGKSRTAFIDEKI YKMQSDWYHNVMQKYGLPLDSRHLYTKSDWQFFAAAVASKKVRTEIVESIALWVNETV TDRPLTDLYETEGEGDFPGPHFFARPVVGGHFAFLALERACGGKAVEGLNFLNEVDFD EEDREEPVLPKVDEL VE01_02071 MASMDYENENGTRGYEDEAPRYEQRDRSASPRPRRQDSPRRRSA SPGGNGQVDSSGPKNDRGGPPPQDDGAVNPGSNLFVTGIHPRLSEAEVTRLFEKYGEV EKCQIMLDPHTKESRGFGFVKMVTADQADAAKEGLQGEVIEGRTLSIEKARRSRPRTP TPGKYFEDDPRGPPPGRWGDRYDDRRRGGFRDDPYSYRGSRGDDRGDRGYGRRDRDDY APRGIDRYGGGRDDRYGGRDDRRGGGGGYSDRYDRGDRGERGGDRDGGRSSRDAAPPA AYGDPAPRGEAREPYGGAERPSR VE01_02072 MVSLKALVLALGALSSGVVAQVDPAQCSSLKAIASAGFPDSSSL SAFCTSVLSYTVPPAVTTTKTTSAYVTITQYTTATQTATSVKTITATVTELTTVFGRR RRAIPEPALEARQSLGDLPIPLIQMLCDCLNVAHPTTPTKTATLVRTLTDVRTVTVTT RTTKVVTSTALTTVQRTTTIPRVTVTGGTPTQTPGVVSWDGLCGDEGDGATCLGSGFG NCCANFGACGSDSSHCGTGCQKAFGSCN VE01_02073 MAAVRRGQEPMRLDVSDAQFSDLPFISALFLIRFDAKTGYAISW KSALPGIDLDGGVEYKSLPSGLHTVKEDLIYFVHGKHAGLSAFISTEASEESRNARMI AVGVLVPLSYGRLGRSWMHAENLKQLAEKLAVETPDLTLLEEYWDTHKLQDGKGSAQF DSILDSPSSLVFKPLPGRLIQHEGHSRTRSASDGAALIPPGQSLSLYHPAWSLTTLLD TFGPLVFPIYRSALLRKRILISCHAPVQETCDFVYDLSVLSNIPLAVSNLISTRSPSQ RLRPLFSIGVHDIPLLEEDLLASTQPEAVAGDDSDEEEDLGQGWIACTTDGILSTKAK LYDVLVTMPLAHSQDAAKKVWPDVKFPSGNGVKATQRDLRRYRSLMWGLSRAKVEPQN PSPERNDSGGRLRRSDTSTTLVESLVPESPLSSLPDTDAIVEPVSWTALAYTGFIWWA SAGERSIALDDETDLDNSLLDGLSLEPQAPRTSKGRTFSGASTFSQSADSSAKKELAI IAYFHRLTTLILNTLSDIVDAADSDDDDIAPLRLGASRDESEAPPIIISRADMKRMGL DEWSADDRSFAEDMMKAYFDRSAKVESHSVDICGVKIC VE01_02074 MWMISWFWDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVKFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDHER LSESKAELDALLSMEELQKVPFVILGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGDAIRWLSQYV VE01_02075 MADRRKATDGPPPDSRAGYDVSLLPDSQVQFVSEDDLAAFAKAL AAPDLEPIGDDASAIISNGVDTPRLDRMNSNPGSIDRTQSRKDSQTSLFITSKNDWAP VHERVRRKGKKARRRKGLMRTTDETREGYLYSLLKWPMLFIVVLWILGLGASYLLTRL YIWLYERFVALRGTRNMLRKKMRATTSYADWVKLAQEMDTFLGNDKWKEDDEFAYYDH KTIRRVLDSLRRQRRRAEAEEGLEGGSSKYATRPIEELKTLVQACVKNNFVGVESNRL YSQTYFGTKNLVQEFVDEVEKGVQTLSRTKQLTQEEKRVIFKHMYTNVGRTALCLSGG ASFAYYHFGVVKALLDADLLPDVITGTSGGALVAALTATRTNEELKALLVPALAAKID ACSEPFTTWFPRWWKTGSRFDSIDWARRCSWFSHGSLTFREAYERTGRILNVSCIPAD PHSPTLLLNYLTSPDCVIWSAVLASAAVPGILNPVVLMTKLPNGTLAPYSFGHKWKDG SLRTDVPLRALNLHFNVNFSVVSQVNPHINLFFFSSRGTIGEPVTHRRGRGWRGGFLG SATEQYLKLDLTKWLKVVRHLELLPRPMGQDWSQVWLQQFSGTITVWPRGRITDFLRI LSDPDPTRLAYMLQTGQQSTFPKLQYLGNRMKVERAVERGRAATRQHVRRGSIESIIS EDEMRKLWKGDGEDGSGGIGTTDEDTDWNADDGALYEEGGDELEQAVDEYEREREIEG LTMAGKRAREKTI VE01_02076 MATSNMQFTDRAKKALEDSMILAEQHAHSQLLPIHLAVSLLDPL PDESKDQQQSFNASHGPAQSSFFRQVVERAHGDPQLFDRALKKALVRLPSQDPPPDQI AMSPAFSKILRSANELQKTQKDSFIAVDHMISALAQDTTIQKALSDSNIPNSKLVQDA VQQIRGTKRVDSKTADAEEESENLKKFTIDMTAMAREGKIDPVIGREEEIRRVIRILS RRTKNNPVLIGEPGVGKTTVVEGLAQRIVNLDVPDNLAACKLLSLDVGGLVAGAKYRG EFEERMKGVLKEIEDSKEMIVLFVDEMHLLMGAGASGEGGMDAANLLKPMLARGQLHC IGATTLSEYRKYIEKDAAFERRFQQVIVKEPTIPETISILRGLKERYEVHHGVTIADA AIVSSATLAARYLTARRLPDSAVDLIDEAAAAVRVARESQPEIIDSLERKLRQLKIEI HALSREKDEASKVRLAQAKQDASNVEEELRPLRDKYENERKRGKSIQEAKMKLDQLKF KQEEASRIGDVGRAADLKYNAIPEQEDLIKSLEEEKRLADARLNETSADTGGSMTSDV VGPDQINEIVARWTGIPVTRLRTTEKDKLLHMEKVLSKIVVGQKEAVGSVSNAIRLQR SGLSNPNQPPSFLFCGPSGTGKTLLTKALADFLFDDPKAMIRFDMSEYQERHSLSRMI GAPPGYVGHDAGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGR VVDARNCIVVMTSNLGAEFLARQNAPNGKIDPTTKEMVMQALRNYFLPEFLNRISSIV IFNRLTRHEIRKIVDVRLEEIQKRLESNGRNVTIEISGPAKDYLGSAGYSPAYGARPL ARLIEKEVLNRMAVLILRGSIKDGETARVVLEDGRLDVLPNHGDSSEQESEEEDMDLD DGDALDAITGEDMDLYD VE01_02077 MSTSTASQIARSGARFADKVRNASVSVKPEVEHGRQIFVYSHLQ TKQVVYSLKQNVKNNKALRQLPYNGKKTVPAALRKDLWSPLARIEFPAGHSAAGLNAF RMLREYRTLHETQWPKELGLDEKGRTLSRKLRGRKLCDQRANSIADIAATLEKVSKVE KDAGDKKAEKVVEQISTTVRWSDILDAEYAASWPESVVHDNWETTRNNRRAIEELPQE EY VE01_02078 MIVSVPRRMLPVVVCTGLVFIVLIIRTFSSSSWESLPLEKIGLG DAKIDDDITIITPGGKQNHDNDADGMQSVADEGQPEVEMGRTPEKFPAGTPKPPGSTY TKMLIVPKTKAEDTSWIDENFPPGGSLNTSLYIADDPTAPLHPPKNKGNEVMIYLTYI IDNYDNLADVNIFIHAHQYAWHNDELLDSDSAQMVNRLSAERVQREGYMNLRCHWYPG CPAWMHPGTTELDVNKQEEQVLAQSWSELFPMDSIPTVLAQPCCAQFALSRERIRALP KARYVFYRDWLLRTRLRNHISGRVWEYVWHYLFTGQNVMCPKENVCYCDGFGVCFGGE QEYNSFYAKVHEKSHHQDRLKVVEANTKKIKEMEEKGEFDEKLALDVPKTGEAAELQQ KINELGAWIQIEKEKAIERGNNPENRAKEAGRPWTEGDGF VE01_02079 MAKGQPPQSGPIGEVTEVPKLDLETYIQNYTGTTKFERLLFIGL HSTVLRAEALKAAVVEAKKGRNVAHYNVAQEAYSHVAPDEPEAQHDDQWVTSMEKQNK VEGARLEAELKGYKNNLIKESIRIGNEDCGKFYQSTGNLQRAYEAYQRMRQDAIINKH IIELNKHLTTITIEQKNWLGAVNHAQKLLSVTEQTQTEEAKAVQPFIKIAQGLAFMAQ KKYSEAATSFLGVGTGMDAPAIMSGNDVAIYGSLCALASIDRDQLQKRVLNSSTFRSY LELEPEVRRAITSFVNGRYSVCLSILEGYRNDYLLDIHLSSHVADIFRLIRSKSIAQY FIPFSCVTLESLNASFAQSGESIEEELLGMIKNGTLNARIDTSKGTLNAVIPSPRAQL QQKTLDSVKEYERELRQRILRMNIVNAGLELESKNGVSLGGDQSTDLDLVDFEAIGSP SYGSSFKDLEGDETLG VE01_02080 MSNKPIFVFMTRKDLQCIHEPFGDAFYFGPERLSSRYEADVQAR DDSGFSDSTYASVFEQIEKKTEEGKRVFIKDITHYLAPPHRNPASIAPSLGGVPKRGV GTNGAATNGITNGVTNGTNGHVTNGNAANGHTTNGHTTNGHGTNGHVTNGNTISPAPY PYNTEAEPANPTVVPEEILKKFHFTFLIRHPRSSIPSYYRCTIPPLNKVTGFYNFDPS EAGYDELRRVFDFLKSRGHVGPAIAGEYSGNLPKGEVSITMIDADDLLDNPNGIIEAY CKEVGMDYDPGMLNWDTEEDHAQAKRAFEKWRGFHNDAIESCSLKPRESKHGKKTKTV EEENKCWKETYGAEGAKIIRECVDANIPDYEYLKSFALKVPFKAPGEA VE01_02081 MATTAPVAIMTTEGSQSPTKVATTPVAVMSTEGSQAAGSRANPL EIPKIDVDSHDSDSDEYDPEDTLIIPGFPCQLNRSTSPVKAADNGSGKAAEKPAESRL TSFDTTGLSVVPSRYIRHPFSNKNKMRLEQSETSSNPPTIDKPAMTKKPFTNLMTSTA PKKITTFEPPKQRIQKPGDTKKEVGLVIHSESGIQNTDSNMCIQKLKKPQLDNIISPA EVSNVISIMEDTPQDQQVNEVEVIDLTTTTTRTKRSTSSKKRTASTMLRAADEPNPQA ERSVRARLLQHAAPYNSSRAISDILQFEHVIITMTEAAIGSVTVSSFAGAGADEKTEE CKEKYLGFLTSFIGSVGSLKGELLEIAEREE VE01_02082 MAPPLEISIPTTTISTPTSSPPYALYNITLRLPLRTFIVQKRYS EFVALDSALKTQLPTPPPIPLPSKSWPLPFMRTSTNPTLVEERRAGLETYLRAIAETP DSQWRATSVWRTFLNLPSSAASQSSSRSAEAHADITGISDLKGGGANLDPAAWLDVLR EMKARLHEARLWLGKRDGATTSQAQHEASASAKKCLVKAGLLVQTLEEGLKALAGARG LGEGELRRRRDLVNSAKVEKEGLEKLAASLALKGNTGGSSAGGSSTVAASSADRTELF GGGASRPKGRVLGAPAQETERTRELDNEGVAQLQKQLMQEQDMDLDELAKIVRRQKEM GIAISDELDLQNEMLTRVDEDVTRVGGKLEIAKKRTGKIR VE01_02083 MGESRQELVAWLNSLLQLNVTKVEQCGTGAALCQIFDSIYLDIP MARVKFNVNTEYAYLQNFKILQNCFTKHQVDRIIPVESLIKCKMQDNLEFLQWSKRYW DQYFPGGDYDAVSRRRGSGAPPAAAPASRTSAGSAAARRGGTTPTTGGARIGAGKVGG GPGSAALQAENNTLKETVQGLERERDFYFSKLRDIELLIQQAVEEDPEIEKQEDGLIK HIQTILYSTEDGFEIPAEGEGLDDQETF VE01_02084 MAPMPHTPRRSRKATRPRSGGDSITSSPHKRGSPIPLVMKSEPP HKKRRYVPGGPGGGGRYVDEDGNEEPVGGTGPGGYNYVGPRGRVGQENARKGVLPVVY PSSSARRDRSDRSGGGRNPRSGERGVRSARSDRTRTVLPRNQQPKMRFNSSAQAAAAV VQNDGYKPREERSWEEFHPDLDIDIRLRTYSAKEVDGVPEEMDGELEREGEGSHTPTS MGAITPGAMMNGALMTQGSPPTKRRPGRPPRDPVAFYAARAAAQSVGFASSPMGTMIA PLQNQNPKERLTLPQPSYRKVDALAKFEDKASGQVKYVEKSMANVGYQETDIFIRSEH IMIKHNDAYLDEDLDLPVKGGEVAITGGSVGRVEYDMDEQDDKWLEAFNLTQRKAAGI EPISREMFEITITKIEKEWHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQAGEELD SKCAICDDGDCENTNAIVFCDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGVPTCI FCPNTEGGFKQTNSSRWAHLLCAMWIPEVSLGNHTFMEPVMDVEKVPKTRWKLTCYIC QQRMGACIQCGNKLCYQAFHVTCARRAHLFLKMKNNHGTLAELDGTTQLKAFCDKHCP TDYAKENDVAKATREARSFYKRTMRDRLWADSQASALALAATHQNATTEHPADESQKT GAHLAITIGSAKKKGELQNPKSVWKLPSGAPVIPSAVYDIVDVSLQRFTILRRREWVA AACRYWTLKREARRGAALLKRLQLQMETFSSMELTRRNFASMGDVGRARLERRIEFAK MLVKDVERLKELSTHVVMRETEKVQYAIKQRETLDTTYFPVTKLLPPIVDKALALDIK RTFTAGLWQVQNRLHRRYYVQATTLAEDIAMICKKGIEAVAAHLPSEAAVGSAEYNSQ LKIAIHEVKDRKKLAKRIIKAIQPQLEIAVRAEADLLQKSPDKMVADLELVLEGCIDI SPEALLGPTRHGTADPTVAVQSIEGADIADDNVDVNQQITVEAEAADSIALAESADVD MLDEDEDAPGEPDDGEAYASITPAAAAKPTTEEPAAAQSSETPPAAAEFSATSNNLPQ PPTPPVSNPGAGSIISQDLAPTSGVASVAPPGSFLTGGGKPWYLENYDPKTGTFVEPP PPPPMEEEVEEEVVEEEVVEEEVVEEEVVEKEVVEKETVEKEVVEEDVARATSDEELS EIDDEEMRDLEEGMGEEMGAGKKVEKTTPRKGKAKKRRRAY VE01_02085 MTDPNFHITTPRLYLSHLIATNDAHCDFIVNLYNTPEFIASIGG TPTSITTTAGARKYIETRFQAEHSKYGYGTYLVNLQPDSPATSSNASFSEVLKGCTPI GTVSLTRGLGDDAYKAPDVGFAIIPELNGKGYATEATGALIEYARQDLGVDAVFGFFA KGNARSRRVVEKLGFEDRGVRNLRCFGGAESEVWAFPGMNEDLEVYGL VE01_02086 MSKFIASDKHVSGYPSDHADSSTSLMAGDEGLPFNADSRGQFKG SRRSARRCFTQWQNWSLIITLLFLIAGLSVWANVIILLGGFRCYTTPGTDHFVPDLLY SNRVTFQLHKFYGGPPSNATDEMWERISPPGKGIIEVPTEYTGSLPASLPAPNNPDTA KVYGVSMFHQLHCLNFLRLAYYPGGVTTMTPDEFVLHRDHCLDYIRQAIMCAGDVTLE PLTKVGINGMGAVHQCRDFDHIFSWAYERRLYKVRGSGYTGGVVTHVLAHGSDIGDEG IGGEHGH VE01_02087 MKFSSYFTAGVLLFPALISAAPTIDGGIQNREKAAAPATPAVWS ASGKKRSPVEEAAPAPSAVWSAEKRNVVEEAAEPPTAYVWTAPNKEKRNAAEEADVPV PAAGWTGSNK VE01_02088 MLSALKMLLLLWLSVSSFGANAEPAAASAVDKVTSNALYLNPKL PVSARVKDLLSRMNYLEQLAQTRSYGGVLGYEASYNYTFLETLNAPYGGSSASFGTYS TNCYLSAKVMSAVIANTTKLNRFHIPVITVGDSVNGPTLWNTTLFLASLSMSSSWNIP LFSEVVNAISLENRVLGVRWVYSPELDLAREPKFGRVGEMYGEDPYLVSRFGVAHVKN MQAPDANGTLRVATTIKHFIYGSSTAGINLAPMVGGINDFYNIYSVPFQAVIKEANPA ALMPSYSSYDGVPMTSNVAYTNLFLRDELGWDGVATSDDSAMSFLHDLHKTAKDIQTA GIQALEASIGHEIGGTSSFGTLATLGNDPRVASLVKTAARRMLTLKFKTGLFENPLPD LAQINGKVRSPAHLPLNLNISKESMVLLKNDGILPIKTTGNLLSKVAVIGPLATYINA GSYAADDYLIGSAVLRGIEGIAKEVTFSRGCFVNNDTNVDAMIADAVKTAEAAELVVL VLRSSSSNLDNIGDVRTDGEFYDHADLGFPGPQLQLHKAIAATGVPIIVIISGGQAVE MEYAVANSNVIIHTFLQGDLGGEAVASLITGATNPSGKLTVSIPKYSGATPIYYNYLP TNRKAGICTPTDWQWPVLNSTARYPFGFGLSYTTFSYSNVQVSNYTSTSGNIVVSTTV KNTGSVAGQEVVQVYFGQAFLVIERPIKNLIRFTKINLEPGASQQVSFTIPVEELGYY VNGKKHVDADTYTFWVGSSSDDADLQSKLSLQAHHAL VE01_02089 MRPSLFIAIYLTSVAQVALCQKVYINQVPEYSQLPACAEVPLST IVRNMVSGCGDNHQTTSYGCFCVSSSAKFDRVIGTAVASRCTDSPQEAAASALSVFDN YCHLLSVPADNTATATAPATGTTQGPTIVVNSSVTWQTYTPVRTQAPIPSLSAAASNR TNILAVLAEIVCIGMLFNLLM VE01_02090 MAQYKLSRPDTDVEFVYDVQSPNNTDDSAGFFQLQVVPKPPSYQ TITANRNETDDSSKALSDSSMLLSPSLWIAIYDPTLDLASALENGYTRMVLINANGLT AINLGLNRRQAPNTSPAYDYKLSVSTIPAAGVNCGATSTGSTAPCHISLFLQFPSFDR QNSRLGVEMKWEEVIAAAGSWFSLFQIAGWIFSGLAFHTG VE01_02091 MTGSEFNSLSGSGGGGAHSVPTGLVVDNSDWDTTSTGLEGPFQD GGGGGVGYSNHPHPFLTAAYTPFLTENSAANAAARGFETQPQQQRFSTQMLSYNTTAA GKFVSKPAPPPPPPSLSSASGFQLSQAQGNEYWTSMSAITTTTGGDQIINNWKMDYNN SNNGLSDFGRQCTYDEFIPLPLQTGVGRPSSFGLGEDGQSPETLHFSPNDEGQGGYGE KIWGDALKCHGQNLPSHHGLPYTFFDDSSTANIPSAGAFPPWTDTPGLDTIAPKALTL SSSSISFSGSSSSDCGSLDSVSTHDGPFHSTTEIQSIQEVLKQEANQEVTVRHKLPTR PLRQYVTIAPSLERTRQVVSTRATTSKETKASRPSPAPPLNPPAPTPHPQTRSTKDAF LITSKRAGMSYRAIRQAGNFSEAESTLRGRYRTLTKQKEERVRKPEWTEGDVRLLREG VERFSCGKGRGRGRGKTPWKRVAEFIEGNGGSYRFGNATCRKMWDRLGGEEEGYVDTS LVGSSHLDKAAITNASGEAIWAITPGSNKPSCRRLPQPFEAARQQTRRALREFTSPAS DSMFPRPMDVFMDEK VE01_02092 MALTMHRLLAVMSLLLLMVTAFPATSAAPSKRATSYWQSDIKRQ GTVAYGDSSFKIFRNVKDFGAKGDGTTDDTAAINAAISTGNRCGQGCDSSTVTPALIY FPPGTYMVSKPLNQYYYTQMIGDLSAPPTLKATAGFVGMAVIDADPYADGGINWFTNQ NNFFRQIRNFVIDLTAMPVNVGAGIHWQVAQATSLQNIVFNMRTDGGAALAQVGIFMD NGSGGFMTDLTFNGGKYGAFLGSQQFTSRNLKFNNCQTAIFMNWNWAWTFHGLDINNC QIGLDMANGGASSQTVGSVVVMDSKISNTPVGITTVYHTSEGATNGTLIIENVDMTTN VPVAVKNAGTQATLLAGNAKIDSWVQGREYKAVNAGKDVQGSTHSTSKPAGLVGADGR VFVKSKPQYADVPTSSFISVKDRGAKGDGVTDDTAAIQAIFNSATAGQVVYFDHGAYV ITKTVNVPNNIRITGEIWPLIMAGGDSFFKDQANPKPVFKVGNAGESGAVEISDLIFQ TLGPQPGAILMEWNLGQTSQGSSGLWDVHFRIGGSAGTKLQSNTCSKTPQQTTPAKPE CVAAFLLLHVTAKGAVYVENCWFWVADHELDLADHNQINLYNGRGVLIESNPGPVWLW GTSSEHNVLYNYQVNAAANVFMGLIQTETPYYQSNPDASVPFTVNPAFSDPDLTQGSS RTKKAWGVRVRNSNNVYIYGAGLYSFFDNYDQVCLDTASCQDNMVSIESSSNIQMLAL STKAATNMVTVNGQSAALDKDNRNNFCAAIAVFALAVSSPLPTTTTRSTSPPSSSSST TPPSPLSSHTTTTPTFPLTTLTTSTVPGHPLTTTTTVITAVDTTRSVSTASTNTATAT LPSVPGVVPGFTPGVVPGFTPGVVPGFTPGVSP VE01_02093 MPSSPKTSLIFTHVTTATAILKIDGINFLTDPYFGDAGTFEQEI DWSKVRLKEDFGLDEAPPPVQLSISKAPAMKLHDLPPIDAVLLSHEDHYDNLDPEGRR LLDGRRVFTTMDGAKNLQPRPAVVGLKPWETVTSIIGGKEFRITGTPCKHFPFGEVTG FILETDSFGIHEASGKPNAIYFSGDTVYIDELKKIKDRVHVMAAILNLGNAMVEFPIG PIQITMDGKQAVQLVRDIGADVMVPMHFEEWGHFTEHGEDLVKVFEEAGIMDRVCWTT PGVPKTVY VE01_02094 MAASVKSFRELIGVAPSTVSVNDSTLIIIDAQNEYANGHLKTVN VAESRKAISTVLEKYRKSTHGGKNIVHVLHVTPPGAPVFTSGTELSQEFEELSPKANE KIVEKNYPSSFAKTDLAAYLEGLGDVGKKIVLVGYMAHVCVSTTARAGAELGYDVVVV KDAIGDRDIPGVAASQLVDVVIKELADAFATSISSDEIQA VE01_02095 MKVKQRSTCQTCRTRKLGCDGKRPGCSQCILTGRECDGYASEWT FVPQNTRFEKASSRELQRVAATSTPNDYERRLPATHPPEAHQSTIDAVQHGDLLSPSH VPLPALALDQSNWDNLIGLVITSYIPENELPFICDNSDNSRYRICGSWMEVLPELTAQ THQDCILRPAMKALATSILASTSHENPSHLDPTQHYYAAIRALRIGIVARDSASHAEF AASIMCLSLTEVMFRDSAAGLSTHIKGVSQLLQTRGPEQYKTGVLHKLFVGFRPLLIT EAFRSRQPTILASEEWIQLPFSIYSPSLMHTLLNKVAIVPTYLHQIDQMSENPSQTDP STITTLFSSLADILVGLENWEISLPRQTGGPCYWPHVTASQPKERPPQPQATALWFPN VTMANVFTHMWTFRIICMTELEKLALLFPWLILGEMSLTNQCHLHHIQDHTLALSDQI CSSMEYLLQDEMKLFGPASTFVPLKTVYHKFKMDGSRQMNIVARCEAIVKRLVDKGLL SAPIIVFGG VE01_02096 MTNYQEVPEGTSETTPLLVNPQAIEKSVWKDEFKILSRYSGSLV VANLLQFSLNLTSIIVVGGRGKIELGAVSVASMTANITGFVVFQGLATSLDTLCAQAW GSGNTKLVGLHVQRMVLLLWCIGIPIAVLWYNAPRLLTILLPDPQTAALAGLYLRVLI CGIPGFGAFEAGKRLLTAQGIFFPITCILFIGASVNVLASWLFVWVFDWGFIGAPIAV ATTQTLLPLSLTAYVMLSRGRECWSGTTSAVLQNWGSMLRLALPGLLMVEAEYLAFEI LVLAAAYLSPAHLAAQTILATLNGTLWQIPFSISIAASTRVAQCIGGGHVQAAKMSGL VAFIGTFVVATINATIFFSLRDHLPHIFTKDREVIALVAHTLPLVAVMQLFDGLAAYC NGALRGVGRQAIGGWVNLGCYYTVAIPLSLWTAFHLHWDLDGLSTGVTVALILVTGIE GVALMNLNWQKSVDDAEQRNCVV VE01_02097 MAEKSIAATVPGSGRLQDEEQGSVHVCEYVSPAHGLGELEVMAM RERIPILRKLRAIEAWLDKKFGIETTGADRIPENERQPPSIFNMMFFWFSMLISPGTI TMGLLGPIYSLSVNESIIITIFGSAIGSIIPAFTASLCAPTGLRQIATSRYAFGIWGS KICGLLNILVNLGFGTISCIVAGELISAVSGGKVTIVVGIVILSVTAYIISFFGFRII HRYEQVAWVVILIFICVEYGQAAKYYSPTPGLSYSSGQDKTGAALSYFALIFGTSAGW CSMSGDYYVHYPADISRWFVFWMTWIGLTVPSCFMIILGNLYGGILLTNKAMADIYDN GGIGALILATMSPDGWSKFVCVMFTMSMVASLTAIYYSSSLSIQLWGKHFMAVPRFIW NSLLAAISLALAWGGRENLIGVISNFLSLLGYWTTCFGVILAIETFWFRPRNGGYDLE GWQDQDRMPLGIAACVSLALGLGVSFLGMNQTWFVGPAAKAIGQYGGDLGNYFTLVAV CFSYPVLRHHEIKLTGR VE01_02098 MFIRRLVKLCVFGLLFATIYGLFSPWKIVIEIRSASAPPDTIPD PTSDIVVQDDIPIEILEEFVINDDIEQNFGPLEESFQKLIREPPKPPKRSRDESIKLI YDSKSPNDWLDLVEGHDDHGLMALTKVTQRYIYQKQNPSGCDGQKFLILNKFPGDDAF GLGAIVQRISDYLSVAIQTNSILLYSEDSSPGEHFIQEPAEGGDESCGRSLDCIFQKL SKCKSKSQKGMESKVQSVFDMPGHDTATVIDAEAYLQKHGTQIPPVFEAALRSIQPDI TSEMLKYWWRAQAAAYIMRLNKKASARMKGFRLGTDTKQLGIQWDVNGQPQDVDFPFP MPEGTISMHVRHGDKGSEMRLVPFNDYVVRAEKFTAENPLGSWKRAFLSTEDPNVIEQ MKSMARVTPFSYSGSNARWTWYWSEIPRLNTSPEIQLREFGNRTDLTIKWLLQLVMAI ECDVFVGTRGSGWNRLIDSLRCVWLASCKQPFLEVGLENDWAGYGP VE01_02099 MPPVTLSNGADAASEESIDLPLFNISQETPELGRAIVKSAAKWG FLWITGSPASESGGDGGLYDLNEETVDHVFDISRSFFKDSPVSEKEECRIKNNRGFVG MHVENLDPTKHKRGDFKQAFNLADPDPATGQWRQPIPTTFKKNDAALRDFHARCRRIA TRILRLIALGLSIDDVDWLVRSHENASHSARFLYYPSLQSDSDYDPEADIRAGAHSDY GSITLLFTRPDQPGLEILTPDGKTWASVPVFPENYHSKTFPPIVVNIGDLLSYWTNGL LKSTVHRVVVAPPSDNDGKSDSDRFSIAIFVQPSDDTVLMPIPSPLIEERAADFKGKI VGHGGGVVDAEALSSLTAGQHLSSRLRATYGSVYTEE VE01_02100 MGIEEALSSDLREKLRDNLLAANDKYVLEIPKVELHVHLEGILT AKLRWKLTQRNGTKLRIAKNGRELKSLEELEAAMDLLRPDSSRVNNDEERFQFFEAYY EGFECLKTKEDFFDLAMYYLERAAKMNVRYCELFFDPQGHTNCGTPWTVMMDGFREAQ QKAEKELNVKSAWIMCFLRDLSPESAMEHYKAALPYRDMIVGIGLDSNEEDRPPALFD EVFSLARRDGFKLTMHCDADSKNTHEHIRQAASVVAGHGLDRIDHGINAAENRDLINL ILKRDLGMTICPWSYLRHTTYSELGPKIRALYDAGIKISINSDDPAYMEDCWILENLL LSKHLCGFDNKDIAVLARNAANICWADPAVKENILQEIDLVYAKFHPAS VE01_02101 MNLGTTSDDLGGFVNYYAREISEAFYLGHGPVETPYTRHVLPMI RSVPSVRCAVAATAACHIANRLEDDQMKRQSLHLRLKATELLREELKGYPEGPDLTCL VCMLLLAQLDVCSGDCVEFETHLKAASTFIKQRGSDGTERGFIEQRIAW VE01_02102 MVVDKSSVEFVEDIQPPREDGISAQKHAENDADDIAVRDLKDIE VTLATITVTHKPKLFSKGMLRLWVICAFCYLCATINGYDGSLMGSLNAMDEYQKTFGL DGAGPSTGLIFIIYSLGSLAALPFSGFLSDTWGRRLTIFVGCTIILLGTGIQTAANHQ PMFIAGRFILGFGAALAQAAAPVYIIEIAHPSYRGIQGGMYNNFWWVGNIIAGWTTYG CNQTLKNSWAWRTPVLVQCFLPIIVMGCVYFFPESPRWLITHDREEEAAAIFAKYHCD GDTNHPLVSLQVDEIREQMALHRVENPGWDFRELFDSRASRYRTLMVICMAFFGQWSG NNVVTSFLPAMLKTAGIQSSSTRLLLNAINPFFSLGGAIYGATLLDKLGRRKMLFYGL FGAMLSYVALTALTAESSSNPNLVYGVIVFIYLFGISFAWGWTPLQVLYPVECLENRT RAKGAGLKYIFLNIASMTNTFGISVGIGTIGWKLYLVFIGWQIVELFVVFFLFVETAG KTLEEMTDIFDAKNPVLKSLDKITYDEDGKIVNVEPATRYRL VE01_02103 MAGIFPASKPDWDNIEIIHRGTLPPRSSFFVYDSYAHAISQDTN FSCSVKLSGTWKFHHCYNPFDAPPGIEQPSFDISQLADIQVPGLWQLQGWANPHYSNV NYIIPVDPPNVPYQGNQTGSYVRKFTVPEGFAGDQLRLRFEGVDSAFHVYVNGHAIGY SQGARNPSEFDITSAVVPGGENTLAVIVYQYCDGSYLEDQDQWRLSGIFRDVFLLAFP KPHIRDFHVQTLLDADYKDADLNVTVDVDGDGPINLTLIDHDGQTVVTLSKQASDGAS VKFEAAIIEPRKWSAEDPQLYKLILEFGGRFLAKNVGFRKVEMKEGIYTINGKRIVFR GVNRHEHHPVHGRSVPYEFMKNDLLTMKRCNINSIRTCHQPSDPRLYDLADELGFWII DEADVECHGFATIDRAALTEEEKKKSFHERIELVYGTSARWTSDNPEWKAQYVDRAVQ LCARDKNSPSVVMWSLGNEAFYGCNFQSMYDEIKAIDESRPIHYEGDRETKSADIWSQ MYVHPDDLVEEIKNPKHNKSLILCEFAHAMGNGPGNLKEYMDAFYAHPRLQGGHVWEW SNQGLQTKDPSTGENFYAYGGDFGDVPNNPTFILDGLVSSDHTATPGLLEYRKAIEPV QVKSYEGQKVTIINRYDLISLNHLKCTAFIVGDGFKKSLGEIAIPMDIPPHTEESLLL PNLEIPEFDGESYLQLDFCLKSATIWADCGHLVASSQLLLRSFPVLATPTPVTAAPTL VTTHSTLNISTTTSDWTFSVTAGKLISWKKANIELIQDGLGPELGISRAMTDNDVKID GVDWNDKFVFLSQPHTRSVSWETNDQTSSIEVVVHARLAPPVLSWSFSTTTTYTFRGD GTLHINCAGKPDDGLNLPLTMPRLGFTLGLVPMLDAVQWFGRGPGESYKDKKMSQLFG NWSASVDELFFDYDHPQETSNRTDVRWVKLGSNDGKVSLTAKFGAQDGFSFMASHYTS KDLEEAAHPFDLRRTKKDYVVLRLDEDHNGLGTGACGPKTLAQYALKPREFAFDIDLE VE01_02104 MSRKVLPLPADFKWGYATAAYQIEGAVDADDRGASIWDTFSHLS PTRTKDAHGDIACDHFNRYQSDIDLMASYGAKHYRFSLSWSRIIPLGGRNDPINEAGI SFYNKLIDGLLEKGIEPWITLYHWDLPQALEDRYGGWLNQEEVQKDFVRYTSVCFERF GDRVKQWITFNEPYIVAIFGYATGIMAPGRSSTNPASNGVGNSATEPWIVGKSLIMSH ALATEAYNQKFAKTQAGQVTIVLNGYYYEPWDADNPQDVEAAQRRLEFYIGWFADPIF LAQNYPASMREQLGSRLPAFTETELRILAENKPKWYGMNHYTAEFARAEPSPPASINF TGNVTEHINNKAGVEIGPQSGVSWLQVCPEQFRKLLNWVWNRYGCMIIITENGCPCPG ESGMDFAQSIEDDFRVKYFELYLDAISKAINDDGVPVGGYFAWSFMDNFGKNGKTDMI FDLGSPM VE01_02105 MRGSRPLHRLTQACDHCRARKTKCDLRRPSCANCENRSLACRFS TQQRKRGPRPASTEESASNDLPGDGADQPPDDRNLRAQHVEALLPDVISPGLSHGHFL SPYSPSSFISDSTSPLPSPPDSSHVTQSPAVCAEVGPPRQDPALAQSPNNIQNPHSTP PILFASIYHDLDASVGNLGLDLSMPALARRCVAHFFDCLYPIMPIVYRPKIELGLCLL QPGIERREVDIQVFALTMSVCAIAAAIIPNTIFSEGSLVADKFYRASKRSLDLCRATE LGQPTSASIATRYFQSGYTHSVGRPRTSWDELEAAIAIAQGMQLHDEAAYTHMDEVEN QLCRRLFWVLFTGDKSAGILGKHHITLGRYLLEGGITVQYSKSLEDEDQNLPQCSTGS SPALITGFNFNQDLWRAAYSVLLAIDALRERYSAREAGEEQPSAMPTPTELARLGGLY IAFETSLSNVPRCLRAHPTLSLHRENFHFSQESSSLQLSRPFALQRINLHVSYQCLRL VILQALSGLLDAGIPLWHLASPLWPKFLPTNVSPSERDFDPYLRLIQSAQLDPVVNND VSEFQTNSSGMSTMLLQKVKIAEEMLYILHTSSLENLRLNGESCVEKIRLVGASMLEL IDHHADSPLANIAREFGDLYPHILAHMESKASDSLSENT VE01_02106 MHFIRLVLVAVGAARLSLAVATSRSGLLQRADTQQSIYSASPPA PPAPEDITVIELPLPPVAPNNVIGSCTKVINSRGTGCIGKVTGLGSGNFLPDNKHVVA SVIFTGAPAAPDPRSIYTGLQLIIVKADKTTFPNGDPWKCITCGMPPANQLGRVDLMD YPQAFSDGKRVLAGPQVIDCSPFQLSSKKCTPDVTHIYPIRWNTSPTGDGAGGSIREL RLHPEDNHLGFNSLAIGSDGSVSQAAYIGRFVFNKAPTIGTPLSARYDLSNVTALIDP QERAQIYVEGNELKINQSAIGIGELRGFSGSGQEVTYIGAPTESCNIDVYAIHLITGK IRRLTAHPEYVDPVDISPDDAWTAVMDTRGTGRQMWLSGMRGIPPIVDMVATAAISST RNNGERRFFQPWLIDQYGDRGQYFGQQINGAGDGSPGSVNDPEWNGRADPKWSPDGTR IVYYQAQTVSPECGGINPLPCYNSTEPGGRQERMMMATLTSRKPCTRRAPVPFADVVP WGTPFVPGSTISSPRYIPGGNYTLRGQVSGTAMVEITGGADNTSIDTIAVTYFNYSDD GASILNGGERVTVTTPYGGQNEVDWFSDIVQTGATHGTKTTTPGGLHLSVNVFKNLAI FTGNLTTTLDGTVWYQPANGT VE01_02107 MVSFTLVAAYLLPFVAVLSSVNAADSSQEDWDNCDEIPTISTTA SPTADPTTSASPTSTAVAFSGPGYLEGYYGGGIQKGCLITAGTWYAGVCAKITAIPVD NGFTLSTSKGPCAVTNSVLNCAAGTTASVFNQIDGLLAYGGSSAWYVPAVPNPAQIVS QIPGPVAVTFQWLGQ VE01_02108 MKTTTALFVLSALSTATNAVPAPVVDTVYPYTGPDVPIGDWVDP TINGNGKGYQRLVEPPAVKPASENPTNNVNVISLAYLPDGMNVHYQTPFGLGVAPSVK WGTDPSKLDQTATGNSHTYDRTPPCSLVSSVTMCSQWFHEVPIKNLQPGTTYYYQIPA ANGTTVSDVEKFTTARAAGQDGEFSVAVLNDMGYTNAAGTLKQMSLAVDDGVAFAWHG GDISYADDWYSGIIPCESSWPLCYNGSSSQFPGGVVDNPDYLEPLPEGEVPTQGSPRG GDMSSLYESNWDLWQQWTNTITTKVPYMVLPGNHEAACAEFDGGNHELSAYLNDNKAN STGNSTNYLTYYSCPESQRNFTAYMNRFKMPGDETGGVGNFWYSFDYGLAHFVSIDGE TDYAYSPEWPFVRDLKNGESHPLETETYPTDSGPFGRIDGTWQDNTGYEQYQWLAKDL ASVNRTKTPWVIAMSHRPMWSSSTSSYQTYIRAAFQNLMLQNGVDAYLSGHIHYYERM YPLTSTGAVDSGSVIDQNTYRTNPGVSMTHIINGMAGNIESHSILSGKIQPKTAVLDM THYGFNKLTFFNSTAMKFSFVLGKDGSIADEVTLLKPKAGVSSTSTSASATATTSGLT TLTSGTSTKTCILRTSSTTIGSASTSGTTSPTTVDSSATDTSSPTTVDSTATDTSSPT TVDSTATDTSSSTNVDSTATDTSSPTTVDSSATGSASSTTVDSSATDTSSPTTVDSSS TGTSSPTTVDSSATETSSPTTVDSSASATSSGATDTASSYTSSTVYTTVTSIISSCKP TVTNCPYTTAPITVTDTIVDYTTYCPVSGSSTGTVVQTTHTQAASSDVPYTTSTVYKT TTSTISACASTVVDCPYTTAPIVATVVVVDYTTYCPVATASATTPGGSVVAVPVGTAS AITPEGSVEAVPVVGGSATTAEGSVETVPVVGGSATTPEGTVETVPVAGSEATGEAGV VTGAETVVPAGPEAVATPVSSNGAAAASVAPVANTTTTVGTVPVPGAAARVGTASFAG AAGLVALIAYFL VE01_02109 MSALNKIAATSPSRQSPSELETSIATALFDLESNTADLKVALRP LQFVSAREIEVGHGKKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASRRI LPRPKRSNRSRTSQTQKRPRSRTLTAVHDAILGDLVFPVEIVGKRLRTKEDGSKVLKV ILDEKERGGVDYRLDTYSEVYRRLTGRGVNFEFPQGVAATEF VE01_02110 MSTPAQPTPGDNYASPSHDFTNHGHGEFDLDQAAMLQDMARSST AGEGDVHNMYAYDHDEGMRGFDGSEKEQEGVNSAATAFPDPAQLLDGYNKEHTRDRRS NGGLIVNESGREVTEYTDYTQPSAPLHEHDDVDPVTLLEALANFDTTPMNTRANARTG SQDGQRHDPQSHQFSNDHFASLLQAAETAGQSQDNDNIRMDSRKRNTKAQIASRWGGP PGSATHFRPPVPRTLGEKRKQSPNAVQLPEPAPASGFIKPGTRRKKTKEIDPDQLARE HAIWGSASESENDADDGRESHRSGPQVSTSDARAAGVHSAAALFRRPTPASKKYTRPP MSKLFTSLELTPEQFLYLQAAAKTYMLDPAHGERAGCVGNKARADTDLVKLKLFGCVE AFLEDEGWGERCWGPDAGRGAHESRRLRWPEGKHKIITLVTPLMRRMVTNERQRLYAN ETRKATGGKKVRETGEGVDEQRGKELVDIDPKLGDYHYTLDPTLRSPAAAAAASTTPP AAGPEKADMVYRVVVVERGVPGRVLHSTTLTQEKCPVFSSLMQHVRSVLAKPLNGGGE GEKGAMYILPTVKALLPTGLVEVGTVDEWEEACRTVEGEAWMEGIVRVVAEVEKASE VE01_02111 MAVVERHIQDESLAKYLEPHFGYPSRTRPCVNDPGSCDYLDNVY WMHDVSMLYSYIMWGVILGILAVWAMLRLINPSRRASVPKAELETQATAYPRGAYYRA WRSVAATRQRYLLPESFASIFGRVTRIQVLILAIMSGYLLVFSLVAIVYKTYITPVKG TNLLNTRTGMGGFSDRIGILAYALTPLSVLLSSRESILSVVTGISYQHFNFLHRWVGR IIFVQSFLHTLVWTIVEGKLYQPQPSVYRTFIAQPYIIWGCFAMLFITFLYVFSLRRV IQWTGYEFFKKSHYIVASLYIGACWGHWDKLYFWMLASLIVVLLDLGIRILGIGLNHI GYTKSGSIGFTPAPAALRAFGTGPDTVIRIDFSHPSPAWKPGQHFYLCFPALSIWQSH PFTPSSLSPAAGTTPHHTYIVRALSGETTRLATLACEIEGATTPVILTGPYGARVALE TPNLLAVAGGTGISFALPLVIEAAGSEKHKAGLVQLVWVVRGARDLAWVKEEMGVLRA RAAEGRVEVKVFVTREAGPMEGEVEEDGVVVVEAKVGEKLEAVVDVKDENTKTEVSVL DLALAEESRGFSVTYLGGAHPDLSAVVQDYIERSPASGGRIQVMASGPAGIGSTLRSV VAKCNDGGKVGRGEEKSDVGLYWDDRFL VE01_02112 MSSSGSGPLYLGFDLSTQQLKAVVITSALNVAHEAKVDFDADLA HHGITKGVFHPSPKEVTAPVAMWLEAVDLVLSRLREAGAPLDRIRGISGAGQQHGSVF WNKAGEETLSKLDAKKTLVEQLKGGFACETSPNWQDASTQNECDAFDKVLGSEEALAK LTGSKAHHRFTGPQIMKFKKNNPDVYEKTSKISLVSSFLASVFLGSVAPIDISDVCGM NLWNIVEGAWEWDLLTLAAGSKEDGEILRRKLGDVRSDGGGSMGAISDYYVQRYGFSK ECQIAPFTGDNPSTILSLPLRAGDAMVSLGTSTTFLMSTPHFVADPAVHFFNHPTTSG LYMFMLCYKNGGLAREKTRDSLTKSSNGNTWSTFEKTVLESPPLGKNTPLDPAKLGLY FPLPEIVPNVRAGTWRFSLANGALTESAEGWKIPEDDARAIVESQALSLRLRSKNLVV SPAAGLPAQPKRIYLVGGGSLSPAIARVMGDVLGGVEGVYKLDVGGNACALGGAYKAV WAVERKGTETFEELIGARWNESEAVEKVDIGYKPQVWQAYGDVLPAFEEAEKQVLASE KRAA VE01_02113 MQHHHIDGSTESLQTAHSPPSSPPPDILEPELTTQRLSSQTTLT GSDRRRSIRHSTPSVPPRLIREDGFVTSEHNPTDSSPSTPIPSSSRPRPRRPSLSDFD YADMCLRYHYWTPHGYLPRTYISEELCMAMLIPASSLFFLLLWYPEPATAIPISLTAL AITLWANYEYFIGRPCF VE01_02114 MTSKQEPAPPTETTDTQPPPQAALTVPAVGSKAPSSAQLPIPNP NAKPTIVTFLRHCGCPFAEKSFRHFRYLANRHPEANFVAVSHCTQEATDEWVVHVGGA WDVQVIVDTERELYALWGVGRSSTWHLLNPWTVYKGVRMVAQEGQLVGGSSGDRWQMA GSFAVDGEGTVRWVRLAKTADDLPEFKEGLVAVNVKVKKGEAHD VE01_02115 MHEILTLQLGQRSNYLATHFWNTQESYFTYSGEEEPVVDHDVHF RPGIGADGAETFTPRTVIYDLKGGFGTLRKLNALYELDEDRAASAGAWNGAPIMRPQP TIEPSAYQLSLENGTTPPQLTKESIRYWSDFNRVYFHPKSIVQLNEYELGSTLMPFEN WSVGEDLFNSLDKEHDLLDRDLRSFAEEADHMQGIQIMASVDDAWGGFAARYMERLRD EYGKTALWVFGLEGNAKQGPRSTQFLKLSNTARSIAEVSSQASLYIPLTIPSSLPSYT TLDPSSLWHASALLSTALETMTLPSRLHSRAGTRASLADTGAALNVNGTQNIARLQMS IGEDPVPNGESHDATRETGDSRLATHGGRLSEEDEEGGLAELDMDFFPVDAPAMNGRG RRADRRLHTFGRVESSRSDQETGGDNDGDDLDGRDRARRRAAGLPIMHKTSTPLAYPL PTSFPHIYNRTSAALSVRTSLSTDTRVAARIKSLQTTVGRAIGVEEREALSNALGEMA EGYEEGWSSGSDEDED VE01_02116 MKTTFALAALAVSAVSGQALQPRWPLQLRWPGEVKTTSTVTDYT TTYVTDCPVTTTKTEHGTTQVVTYTTTSTRTKVIHTTIPVTITEPDTTATTTAVTTQY TTSLCPVTKTITESGKVITVVYTSTSIIPVKVPSTVTDIVTGKPSTVPVTEIDFITKT IPCPFTVTSTISGIPVTLTKTSFSTIVTKVPTTIVDHTTAVTTTFQTTEVITTTTCPV TFKTTISANSTIVIPVTNTNTIVVTKTHAVTETNIQTLTSHSAETNIQTLTSQAAETT IQSLTSHAAETNIQTLTTVTQPTVPKPTVPEQTVPVVPEQTVPVVPVVPEQTVPVVPE QTVPVVPVPTTMVPSKPSSSSPEATSGGSTPEVTPIPSTTPAPNNAGSNKPVAAAFAG ALMGLIALI VE01_02117 MARRSVRSLLARHLPFSPTTTPLQATTYLVFVSLSSIAFLVFLN AALSFVITARLGVVEGVGNLVGTLGFVDELVAIVACPLAGALSDRIGVRWVCVVGFGG VAGGLVAVVSVGSVVPGLVAARVLFSLGGAATATMVTAILPAVTGVERPRERREAPPI ITTTDTNDTTTTTPLLSPPPTPITPPSRASRASTPPRMAGLVGLFTGLGALLALTLFL PLPAQFASFKGITQSAAVAYAFYTVATVAVLVAGACFIGLRGIRGDEGKGWRSLFGRS STTTTDASPAAPLQPYHRLLLSAARLGFTSAPLRLAYLGGFVARASSVAISLFIPLSI NAFFMSHGFCRGAPNDTTPELKEECRAAYVLAAQLTGASQLVALLCAPLFGILSDRYR RFNAPLIGAAAAGVVGFVAFARLESPEIMDVEGRGGSAWVFLIVALIGISQIGAIVCS LGLLGRGVQGEDGGYTLSSQLGDEYRARSREGGGDDVETAGGEEEKGMSHLKGSIAGV YSLSGAAAILLLTKLGGYLFDEVAAGAPFYMMAAFNGVLLVVGVVTAAHGELTRARKR RGVVLD VE01_02118 MKCFYAIFLSTLLGGGAFAANSKLNQYASISDCQSDSNIISHAS PAEGSCHQVDGKTKALYLVTGSGAAGAQFIGYQQGSCGGPYVLGVTLDRGSCISRPDS LKSIEFGLIN VE01_02119 MGSIQEQAPIDIAIVGGGIGGLALSIGLQQHSHVRVKIYEAASK FSEIGAGVFFGANSIRAMTLIHPAIGEAFAKISTSAGWESKRDTYFDFILAHELHGLP TGSHIISPQVTASERHTTAHRAHFVDKLIKLVPDRTVEFGKRLADISRDEGGGKTIMR FADGSTAEADAVIGCDGIRSVCRDFVLGKNDPLSQPVFTGKHAYRGLIPMDKAIAAIG AEKAQNRFMFLGVGGHVLVFPVAEGQVMNVVAFSTTKSGTWEGEWVKPMKREDMDADF EGFGEECQKIFSLMESTDHWGIFDLSPDIPTFSSRPLHLLLLGDSAHACAPHQGAGAG QALEDAHILSHLLGACHSPSDLLAAFAAYESVRMPRTKFVQKYGRLQGELLDLQRPDV GDDLEKLKAIIDVPIREIWNCDLDAELEKAKVVMRKKLDECV VE01_02120 MELDSDIAVEKASGSVSMEPGSPTAKDVNAVDTFSSAEYRKLIW KLDMRLLPPLFVLWFISLIDRVNIGTARIQGLEKDLGMNPLSTQFNIATVVVFVGLML AEVPSNWLVKRFSPTNILCGECIILGIFTIGQGLVTNFAGLVAMRFIIGILEAGLIPG SIFLLSAYYPRYELQWRVSMLHVGNAISNAFGGLLAFAVASINSSNGWSGWRWIFVIE GLITVALTLMCWPFMNNWPATAKWLKPREKAVLEERIRMDGIIGRMDVFDRKAIIRCL TDWKIYLSSFIIVGIISSVYSCTLFAPTIIKALKPEYTPKQIQSLIIPIFIAASVTTL ALAYISDKLKHRAGLALTGCFIAIIGYIILLNQRHVSVNARYGALYLIASGSFAALPG AWILLLNNVSGSYKTAFAIGMEIGLGNGGGFIAALSFQSKAAPFYWTGFRTTFSLMCM AAGFICLYVVGLWYENKQKRAGKRDHLLNEEGDNLGDAHPQFIYTY VE01_02121 MTAAVPSGPDTKNGNTFVYLNIPLLFLSAIIVGYRVWWRCIRNG SGALNKADICVIICLIFNIIQVACISSAILNWGFGHHAPYLSAEQRYNSLLLFFVFQC FVKNTVAITKLSFLFLYLDIFPQRNFRIICWALIVHISAAIVALSFTTIFQCTPVKYS WDKTVPGSCINIKAFWYGQSGWNTLMDVIVLILPIPVIVKLQMNRRAKLGLLAVFILG TFVCITSIERLISLNFNATFAKDFTWATGTSVIWTQVESTVGVICACAPTLRSPLARL MPFVFGSTKHDQSYPLGDGVSQGVSYNARIAQRSKTHGGSEVGMEDVGTHYKGEGSEE RIIGIQKTVSIELTYLERPGEVNTEGNKTYKEHRFDRHDIQSTSVA VE01_02122 MSSKEISDGSTQDERGIPPSVNTSEAHLSEKPTGPPFDTKEISD GSTKDEREVTLPENMPEEPLTEKPIAPPPDGGFAAWLQVLGAFLLFFNSWGIVNTFGV YQAFYQTSLLQSRKSSDISWIGTFGAFLLVSLSIISGPIFDRGHVRGLVVAGTFFTVF GLMMTSLSTEYYQLFLAQGVCVGLGGGLLFLPSVAIVATYFSTRRGIATGITAAGGSI GSVLYPIIFRKLQPQIGFAWTTRVIAFIALGTLSISIAIIKSRLPPPKQARAMLDINA LKSVPFVLFSFGMFLAFAGLYIPIFYIIVYAQRHANVESDMSFYLLSILNGASVFGRI LPGIIADKFGAMNALTGVTVLAAAFAYAWVAIDNLAGLIVFAIIYGFLSGAVVSLPPQ VLVRLVPDMRLVGTWMGMSLCFAALGILIGSPIAGTIINVVEGHFSHMLILSGSFTMA GGIVFIVVRTVYLK VE01_02123 MNLISSILSSTSLIASLSQVSTNGGSLLGTLSAPFLPKFLEDGP LPRGFPWADASAQHTNPYEEVPNTGKIRPYNFVIERGILSPDGVEKNGLLINGQFPGP TIEANWGDTFQITVTNNITGPEEGTALHWHGLLQKETPWFDGIPSVSQCPIAPGSSFT YTFRADSYGTSWYHSHYSAQYADGLLGAMIIHGPAHAHYDYDLGPIMLSDHNHEEYFK IVQRFTGSKLVPNSTNNLINGKMNYDCSLTNLTCTPNAGLSKFKFESGKLHRLRLINS GAEGLQRFTIDNHTMTVIANDFVPIEPYETNVITLGVGQRSDVLIRGTGRPTDSFWMR TDISDRCSHSNQPHALAVIHYEKAHASAVPTSQATYFTDTNCSNDPISVTKPLFAMAP PPEPAFTQTIDIDFQANATGAEVWVMNNQSFRANYDHPLLLLAKLGNTSYPDDPQWNV YNFGKNTSIRLILRGLTPLAHPMHLHGHNFWVVAEGVGQWDGVVTRPENPQRRDTQLM DFGYPYPAGMSYTVIEFMADNPGVWPFHCHVAWHERPDLIKQRQIPSIMAQTCRDWWD YSAHNIVNEIDSGL VE01_02124 MPAFISRLLRPFTSSASLSITPAGPIPAGAQKATLAAGCFWGVE HMFRKEFADKGLYDARVGYIGGDTTSPSYRSVCSGSTGHAEAVQLTFDPITLPYTDLL VFFYRMHDPTTRDSQGPDTGSQYRSGIFVHSAEQKEQADQVTEKANNQWWGGKVVTQI LPAGEWWDAEDYHQRYLDVNKGGYECPSHKEREFPDLQ VE01_02125 MDFDDLVLALHDDDRLAWNQKLSQVGPAVCQLASKSRDNRQCYV ADLKCGSFNFCIKVQFEDDGEERMLRFPIPRKGHVCRAKVPTVIAYGMADDNPTDLGP FLITTFVKGTLLGEILKAYSVDEQGDKVLRSDIPDSILEIVYRQIADITLELSEHDFD QIGSLSLHNDDTWSICSGPLTLNSNELARCGNVHVKPRVYSTTSDYLLDIAEQNIIHL EEQRNSISSSSDARQKYTARHLFRAAVPSFVSRRYNQGPFKLFCDDFRPGNILVDDLF KITGIIDWEWCYAAPFQFTYSPPRWLLLKEPAYWNSNSDNDLLGTYLSRLDIFMRILE EQEHHRYSVTGKNADPSLSSLMRRSIADNTFWFHEAARDSFALD VE01_02126 MAPKHSGKYDKLTTMYNCLCSGAFSDLTITCGSETWKAHRVVVC PKSSFFRAACVGNFKEAATGVINLEEDNPLMVQFMMDYLYTDNYLDFGQVPPTGCPSK PIIHIQMYSLGDKYDIPSLRHISTRQYRASLRDGEASFEEYLASIPEVYQPLASNGLR KAAINHARLELSKSTCSDESRSMLKRVMKEIPEFGSDLIDAVLAAPVPGMCEDCRDDH MHGENLAICHVCGVIIE VE01_02127 MLKSITIISSPYHVGFYNHGVGAGPDFIRSLGVVQTLKNLGVPV KEIEIEPVDEFEGEIGGSFELFRRTSTLVSEAHNSNSFPIILSGNCSAAVGVAAGYNR SLIARETGEKLGCIWFDAHDDYNTPDTVVSGYFDSQPIAMLAGECWKGILGSVQGHEV MDIREKLVHVGLRDVNQVERQRVLNAGFDVVWGDENGGKMEFAGRLRGFLEKKDLGPT MLHFDVDALDISLGKANQFSVPGGLFEEDLLGCYDAIVQRTRPVSLTVASFDPSGDGA ENIGLITIRSIKSLVGSLIKGGVIGCKDNVMA VE01_02128 MLFSKSLVALAACFLPLIVSATELKLRNSAATNVAADSYIVVYK DIDDSTFESEMFNVHSFLSKRDSTFRGVGHKYKMPKFKGYQIESDMDTVNRISQSPHV AYVDKDVKVSAYDLSVRIGAPWGLDRISHRNGTSPGLEEYTYDSSAGEGTTIYIIDTG VYIEHVEFEGRATFGANFIPDSPDTDEDGHGTHVAGIAAGASFGVASKAKIIAVRVLD ANGDGKGSDVLAGMQWAAEDAGKKNQTAKAVINMSLGADYSEAFNKATGAIIAKGIVV VAAAGNEDANASGVSPASTVDAITVGATNRNDTRAEFSNWGVALDVFAPGVDILSAWI GGKDATKTISGTSMACPHVAGLAAYFIGLEKNGTSTPAKIAAKIKGVATKEVVLDPKN SLNNLAYNDDGY VE01_02129 MSKRSQSKENNAFSNHTTMNLGTETMNQSTSAHVDFEISSTECF ATESKRNRSDTFPDIERSVEEGFADILRTMEDGFTRLEKNQNRILNATFAVMVLITIF PTLEVWYESVLLNSDLEKRDLILKDGKV VE01_02130 MSGYRVSIKRNLHFVDGNNNEIGGAWQNGALTWSEMVEWMEITF EKPTNEYAPFRCLEPGDPVQLLAQHGPAIEIQGNNNPVKPGFYIILSPEGEVVDLPIN RQNPMPRPSSRVSSAELSIFEIEFEHEMAAVSSLGAKPAGSDFVRLDLFDTYRIAINP DKGYKTYCFIDEPELDNLIMFRDPNTATQYQPRGDLLKHHFRISVLLNMKGREGYPKW DEDIPSGCGEMAEAASSEEGKLRLEAILGSKLNSYLE VE01_02131 MPPKPNQFPFTPLEPLNLEPIPRCEIHSSAHPRPRTCQACRYNY PPSLPQFSNSQITELTDDTYNTPEWFAASTTKLTDDMSEWLGGIDISETGSGEEEDLS ESQLIQIWGLGDQLEWSDDMSGVPSGSEPEYGSGGESVYPLESLSESLSEGQSETYSG GALEPPLENPTMNSEVPSDTSMLDAPSIPSLTFSSPSTDSLPSTADYGSLHFSFENSD WDITALTRPTPASLNGAREMTPPLLSPPPLGPSIPAQEPAAPTPVNEAPRSPWREEPG DPFVGTGNEDDEEEEEDSDSWDGSDEDADFLGFGGGRSRVGSLAEKESEFGDWVEGSW DDEEVIMVVRFVGR VE01_02132 MTKPNSTTPDLDLSALTLSPEPPLQTFPLGDFTLQSGAVLPSAQ ISYTIHGSLSLPPILYPTWFSGLTGSNTWLLGPTRPLSPEKYCIIIPALFGNSQSTSP SNSDLRPFPAITLYDNVRAQHELLTKGLGITHLRAVLGWSMGGAQTFQWATQYPEFMD IIVPFCGAARCATHNQVFLEGQKSALLAIKGVRSAGAGRDGGERGEWSKDEWEVGMRA FARGYAGWGFSQEFYREKVYETYLGYKDLEDFLVGFWEKWAVSKDPENMLTMLRTWQT ADVSKQEPYNGDLKAAMQAIKAKALVLPSKTDLYFPPEDSEIEVSLMRDGVATLAVFP SIWGHWAGGPGESKEDGEWLDEQLRGIGL VE01_02133 MHYTAATAILAFASAAVAAPQLDKPISPPWTQSTNFRLVANVTG ADLTPSIQDYVLTSYHVGAGQAAAVLVPNDATNPGRQFYVNGTAEDIRYNRGNILTSG GTPPFPFGIQVSPAPATAVTINAGLGTTSVGLERFPSPVTYLTAPEAATYVACNQQLP FSEAIALNVLRTGEAVPGGCAQVRLLPQCSEGDGSVHETENTVQCYVDVAGIDWSLYI D VE01_02134 MSGTDYSYDEQGQFFPFFVLTVTAIVTIPLTYSVLKPSSDPGAT APRILSDFKPEHADLIQAQRKKQRRRERKLKRIVTVILGWAMIGFMAYLIHVTARTIT KIWNPYDILGVSESATEKEIRSHYKRMSLKYHPDKVKPDPAKNETIEMLNDFFVDLTK AYKALTDEDIRNNYQQFGHPDGKQSFSIGIALPQFIITDGNGKYVLIIYAGLLGVLLP YLVGKWWYGTQALSKEKVLIESANNLFKEYEEDMAEGDVVYALSSGVEFNKVLKGNKA EVSLGRLESRILADGEFGPFAAGLTVKDRTKLEDLEGGVRRKTLALIWAYLGRIELDD NALDELKFEVAPIAHALNQSFTAISLAFGATLPILQSYAVTQSLIQALPPKASPLLQL PHFTPEVVKAIDGDSKVHTSLQQFMAMPENKRSKLTVGAGLLSDAQFKTAMDVALQLP HLQVSKAFFKVVGEKHITPGSLVSLVVKGRFVPPGSAGVPAVNELDLEDIDPDEGDLE AILGRDKKDSKSGAEASKPVQPPLAYAPYYARDYSPRWHVFLTDSKQGKMAVPPFTFT TFDKPIFTDAAKPTFNMQTLKAQFQAPPQAGQYTFVMHLVCDSYVGFDTKMEVTLVVE DASKAMALDDDDDISEPDEDSLAGQMQAMKTGGITGAPPKKKSKKKAEESSDEESDSE EEEGDIPSDTDTDTDTDDE VE01_02135 MASTGEKPLGITAPLSTALPTDSENQASSALIEELKRQNNYESV VDTQKRTEALKALQAITEEFIKQVSKAQGLPDSVANSAGGKIFTYGSFRLGVFGPGSD IDTLVVGPKHVTRDDFFKYFPDLLVKMSPPGAITDLTPVVDSFVPIIKFEYAGISIDL IFSRIAVLNQIPQSLTLQDSNLLRGLDEADLRSLNGTRVTDAILDLVPQKTIFRTALR GIKLWAQRRAIYANIIGFPGGVAWAMLVARVCQLYPKATSSTVVLKFFRIMEKWQWPT PVLLTHIASGPLQVKVWNPRIYKHDSFHLMPIITPAYPSMCATHNITRSTKEIIQREL KRGGNITDQIMSGKLQWKDLFAKHTFFTEGYKYYLSVISASTTREAQNIWGGLVESKI RLLVLSLEGHDSIALAHPFNKGSERVHECHSEEEVEKAKSGSLLFLVKGEPTASEKPD DKPKEENAEDVKKDNGEDVKKENGEDVKKENGEDVKKENDEEEKKEDEPKTTMVYTTT YYIGLELKEGARSLDLSYQVDDFKYRCTSWDKHDDSLNALNIVHTRNCDLPDDVFSPG EVKPTRPVKRKTVVKKRTVAEESNGAAPPPKRQQTSVAAQG VE01_02136 MAEALAIIGVVSSIVQLLDFGTTVLHRLKEYQVSLGEVPKSFRQ ISKELPLLLHTLEQIQKAIDAGSVGTETKKALLPVIKGSQEQLELLQSILDKTLPKAD DSSLEKGKKAISSLRQDGKVDRILKNLRGFISSLTFYYSAASSTLQPLNEAKLIKIRQ WLSPPDPSVIYHKSCKQREEDTGLWFLNGEEYTKWKTDAASIIWLYGIAGCGKTILSS TIIQDVLQHCVGDPGKVVAYFYFDFNDPKKQSPGLMVRSLICQLSQNCARIPACLDLL FSSCDNGNQNPSLDSQLDALQQMIQEYPQCYIILDALDECEDRAELMDIINQITGWQL DNLHVLVTSREEREIQSSLESLAQEQNIICLESRLVDGDIQKYVRTRLLNDADLRKWQ KSSDVQHEIKTALMNGPRGMFRWAACQLDALGKCRNRLALRNSLKTLPPTLDATYDRI LCAINEEDSEYAVRILRWLAFSSRPLLLEEVSEVVAIDTNRDPMFNQDEVLEDPSDVL DICSSLITITTTTTDNINQDFPRASNFGYVPSGKVVGLAHYSVKEYLVSDRSRPHRAE KYRIQDVSCNAILAISCLGYLFQFDECNLFSCKDVEGSKLAQYAAEFWVTHVQAAGER GVDLNSKIMSLFSRKNVAYLNSVKMFDIECPRAGSDFTRVIEDVQTPLYIASLFGWVD IVEQLLGEGANIHARGGSYGNALQAASYNGHEKTVRLLLTAGADVNEQGGLYGNALEA ASCSGHEEIVCLLLTAGADVNAQSGRDDYGNALQAASCGGHEKIVGLLLTAGADVNKQ AGSYGNALQAASHNGHEKTVRLLLTAGANVNEQGGFCGNALEAASDRGHEEIVRLLLT AGAEINAQSGECDNCGSALQAASFNGHNDVVKVLLSKGADVNAQCGDYSSARYSTALQ AATSEGHDNTVRLLLTGGADVNAEGRYYSNALQLASVRGYSKIAEMLLSKDANVNAQG GYHGNALQAASSHGHLEIVKMLLSNGADVNAQGARDGYFKADGAQGGRYKNALQAALD IGHHEIAELLLCNGARQDI VE01_02137 MENGQPQMNGMGTGPFMDVTYPFHDLPGFDTNGPVGAKMEDDED MQASLRSGTDEIIQEARDNEDTTPKMEESADHNGSQDMSGGESPEGSRARGTSAPDTY DEFGLNDTGAGDGTDLGKPKEDKNETPAWTELKTKAGKERKRLPLACIACRRKKIRCS GEKPACKHCLRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEERIIRIIPKDENECA SVVRAQVKPAIPGTAQAKPASSKKRPAEEAFGSELQAWATSAKGTGTEVLPASLAAHE AEETKLLVEGAEALPEKEVQEHLAEVFFDNLYGQAYHVLHKPSYMRKLKANTLPPVLI LAVCAVSARFSTHPKVNSHPAFLRGESWAAEARNIVLKRYEWPNITILTCLLLLGLHE FGTCYGGRSWALGGMAIRMAYALQLHRDLEYDPCKPHSRVRLSFIDREIRRRTMWACF LMDRFNSSGTDRPMFIKEETIMVQLPIKEDLFQLDIEGPTEDMKGDVPFPSAGGDGEL SNARENMGVAAFTIRSIALWGRIIIYYFQGGRDRDPAPIWASDSEFADLRIQSEAFER SLPDPLQYTPANLSAHETQGLGNQFLFLHITIQQTILLLAHNAVHCSVPGPPRPAPPA EFIESTAAAATGAAQRISALLRVAEAYPLTAPFAGYCAFLSSTAQMPLAFSKDEAVAS LAKRNLATNVRYLTKMKRGWGVFHWTSEQLKKHFKACAFAAKRGGVAGEANVVTQYGD WFDRYPHGVSQGDFEEAARPSGESGEDAVLEPKGGGLRTVEEFVHSIPPTAATPVQEG PKQSKRKKKPTPQQQQQQQQQPPPATSQPQQQPQQQISSHPHHQGPLPTHRQQPHPLH IPQQHAALAQQQQQQTMSPHALSHSPHLLPHSQHHQPQHPQQQQQQHHPQQPQPHPQQ QQNYPHTPSPAFNHNPTPFYPSPFPPDIMPNLDAHLVFSAYNAPAQRGGPSPSPGAGM WSPVGMEGLDPGMTTPGQQSQGGQVGGGGGGGQGMGGGMGGQQGWMAHQQTSAWFMPF NMAPPDVGGGGEEAFLGLGLGLGGNGNGNGGGTGLEGGSGTGFEGGMMGEMGMGGFDN GGV VE01_02138 MSEVVAALQPPALEKTGIPLTLLPVGLKEAALDSPTFRATAVHF SDQVELVERWLDAYVKSTTRLIHDVGALEETINTFLSRSMPPINVSEAVFDHDYTLLA MQRFGEGSRDWWSQFVGGAKRMETMMVDPLKAFMSGELRNFKDARRYLEQSQRVFDTT LARYMGQSKTKEPSSLREDAFQVHETRKAYLKASLDFCLLAPQVRFTLDKLLVKVSTE QWKDMKKSRELAGVNATRWNAEMERIKGWSREMDSGEHVFRRELQAARKEIAQTASVA AQPSRELEDYNLSTVPYIGAKGPSLLNLQASSMSEKQGWLCLRVISGKPARTTWVRRW FYVKNGIFGWLVQGSQSGGVEESEKIGVLLCNVKPAVSEDRRFCFEVKTKNATILLQA ETQGELMEWLEGFEIAKNNAIKASADVYYSSTGLDPAFSITPPSVPEFAAKTEGQMST TEEFTAAPLDRGNTLPIPSFELSNAPRPSVDASTARRGGREEGESGRDHAARIIQKLD LHRRATANGQDAVAPPTPNPSFGAIGGIGSLISASHNILPVYAPPVLTQSGTLKSLPA PSEFHTNTLAPSTLANPPAPTNLSKTAVVVSGERGIGLGKSDASGSMPNGILANLWGS SNWGYNNRLGADLSEPRNVTRTPSPKPPGEEEGKTVEKMLVAPGTGTAVSTNIETGVK AQPPSPQHRKAFSVDTISSASQISKSAQAGGPQALVVRGEEYPSNYPIELKAQEAQFR TLFADVPREDKVVLVFRATWNPNDQQEFPGRVYVTQKDMYFYSHHLGLVLITSASLDT IDEVTAAPGKDCDFLFLHLKESAITSDFTRITIKTFLEPLRLLQSRLNYLIESHQQQS PKSLEEIMTDLVKLETDAQPPSSSNSSWEDLSLPTLNERRANRRDRDLKRAIHVSRGA TLEAPRPPKFQLPAHPVIYEPPDMPHKSVERIFETSPKSLFHVLFGDKSAVFQLLYHE RRAQRIAQGPWTPLERQGDAQGHMQRDFSFQIDYTDLFRRQRQANVVDSQVIDVLNDH VCYVVSDYKTPWHLPHHADFKLVKKIVITHVAKSRCKLAIFTAVQWSHAPAFSKGLVE RQATDDLDLDALDLADLVADQVRKLGPSARTRKAVQIFGHVGQQTSVSLFSSADGALP ARHAIKQRTLGTIVWERTSSFLETAVSVIMMWTFALLKKAWSIASANSILLSLLALSV LANVFMSGKDASEWWTERGAAKYMARLGVGPNTMMSKAVFIADLDDAVRVGGERREES PCYLTFLSLTNTTDLDAPFSASHSPSHSSSSYPPHSLLITRSKSSTASARRLLTARQS LATQRFDLLVALRVVNRVERAVVQAEWEEWVRGEGGRCEALRRRVGGGKDAEVGKEAL EWMFGGEGGGYCGSCWREEEGLGGYV VE01_02139 MTSTIILKGGTVLYHDDADNVTALKDTDVLVTGNLITEIGKNIN VPPGTTVIDCHGKIISPGFIDTHHHMWQTQLKGRHMDETLLEYMASGNPQSFNYTPED MFWGQLGGCLEAIDAGTTFVLDHSHSIYTAEHATQCLAASSASGLRLIYAYSAVSIPL TSWTKDSIMLSSDILPAWSLDQLEALATSQPFGNGRVTIGLGFDFFFLPREIIIGIFN RFRKAGVKVITCHVSKNAVCGTGSIVTLLHEYGLLGPDIVLSHATGLTPDEHALLYSA GANVSSTPTSEAQMGLGWPVALHSGVHGSLGVDSHAFCESSILSEARTLLLLARQEGN LKLLERGEFPQRLVARARDAFNLATVGGARALGMEGKIGRVRVGYLADLVVVDGRSPA MSGVAEWDPVVAVLGHSSVRDVETVIVDGVVRKKDGKLVAVELEGGERLGWEAVAEKL ATSREEVQERIATVEVGLAKKLLMGMWHIDESKIKAVA VE01_02140 MAIDSQQANGSSEWSDSAFTPTMRLSSAGQPEVKKAVGLDGPVT FAPTPAPSHNSGQPNGGLWAWLQVAAGFCIFFNTWGVLNTFGIFQTYYETGVLFNESS SNISWIGSIQAYCVMVVGLISGPVYDRGYLRALLLSGSFMIVFGFMMLSICRNFWEVL LAQGFCIGIGAGLIFVPSLAILPTYFSTKIGLAMGLAASGSSLGGVIYPIVFYKLIDQ IGFGWSVRVIGFITLGTLLLPICFMRMRVKPAKPRAILDWSAFTDWPLVFFTLSTMIG FIGLYTMLFYISYFAAAANITTAEMSFYVVPILNAASIFGRTIPNAISDKTGPLNLFG PAGIVCGVLTFCILSVKNLGGIVPIAILYGFFSGVFIALPGVCFVKLTADKSKIGTRI GMGFAAFSFGVLAGGPGGGSVIGSNAVDLHWQHLWIYGGCTMMASGVLLFAQRFWLSK GKVFIKI VE01_02141 MSLTCDPRAPQAVPPDPELVQLKLEQQELCLELKRLYGDAFVQG SIRTEASEEYHQLNRQITTVTKMLEQELKREYQQDYFYYIYKEELKKIIKKIIVMALT YVKPVVKH VE01_02142 MDCPDIPPTPLERELWVKVLQTPDQITEAERLVILRQPDLATQV ANSLKVSGLTLEQLQNKALTSPESMTSEECRLVLDRYHIWSPQEATANSILRWGHEDL MAHIKARHAIATSRDNAVLLAASVRSNVFAAAKRKEIQASMKKYADDMNRPCKWVRNL INPSMSWSEQTGRWGFVIFRDSAIDCDSNSDSWDRFLSVMDSCVDESLRQMVGGTAII LTKEFILADEPVSENNPEILRSIFQQRLAEEAVLNPRVPSNTFLLVTPEVVDACINTS TPWIWAYDAKFIPSATPPPVSASGTEHYEGRLRVSLYTLFTWFYAVRSEELYNMALFW EKAQNQGQVWSVATQSGIYHHPFVLLEKGSSYPFNTYELDNGP VE01_02143 MAGEILALVKETTTSILSDNSTNQVAWQTEFLGLVPLALNAMTQ PSSLDINRPESSLLFMARSSPFICVADTLEVLIALCLYTYQEGSISEAARLVNRRIAR SRLGSGESELEASAVEKHPWTFTILFLAALVPAIKFLGLQGLFWTRVWAGIYLCPYIV LAIVRALASKGWRDRPPVASLAKVPSFHEKLLGIVRTVLLVVAGAVHASVSYWALICV QQIDDGDYKALLVFPFLNFILALLYYLVVYDPTGTAKPSWTEELG VE01_02144 MVIPGVTPVPSFPKNRRRMRRGARKRHALSRKVDPRDEYLEGAF LAHDTAFLPLSGPFPGGAQPQSLERSWLAWMAHEVRREEEDEQCRLFGGDADDDALRA LGILYDSIRDDGEKKSEAPTLESGVFTAGVNNEDTKDGDKDEAKDKDVNFPPLPYRLY NVLSKPAKLSKTMRRHNSRRSPLTIPTPPLLSSYLMDDSEILRLTTLQSPQEPAEKET QTLQHQSLPTTHASFPTQFPVPLHTPGATDGTDKPTATLITTTKLADPSWTFIPQDPD WVLLDDDS VE01_02145 MATSLAAQLSQIAATSTKSLDLKAQKAAHSKSLIFEPRIAASQS FDSLYTLCHEGFQELCLLDGRFMDFQRSIFGEQSLQQDRTQMTAAENAELDKRLEAFL GLVGARLRLNPAIKAVEWLVRRFRIHEYNTAFLLTTFLPYHTLPAFTTLLSILPQNLP AQYRFLHPYVRSLTHPPRHAIVFAATNDPTLSTLLNSYVVRICNARQNYPALISFWAG VMTEAVSGMLDKARSGREAVQAQNQEEIILRLGPTLNEGLAMKNVPELRIGCYMLLSI MASKGGLNDKLLTAMMEAVVLGWTTETFIPGLVCLSILAQQRGAKQMTKRVTKELLKV DGLPELLLEISKSRRIDKLANGIVLALVDRLGKSGDATVLPLIGHIVQNELLSEAQGT VVVKSLLLVAYRIDEDIDPKGHVRQDLARALTTLAEYPGQPGVIARKAMDDASVDIDE LELKLQASIRPKLIQSAPDGDVEMGDADETSEVQSEDFHALLKQLPDDDNSFLSRSEA PPFHKFFDLFVAALATKENLDEFDQALKTEKNHAIRYFSFYSTVWSGPYPVLARTAAL ERATQSVNDAANESLDLQGLIPYVIIALGDQALKVRGAASALALGLAQRYPADEELKK TKGLKVWAEKSIYGRGKNAASVSWMTVENAARLLRDIICPGLEECAIDKKHIVSIIEK SLNGPKESTDSPKKQPAKLSQALRLAVLTNLASHVVYTPLYAAKYKLLLALNEVRGVT TTTRTKLLLPVLQNLASISFQAAKENCDAEHIDVSAYNDEAVAVVIPNDEEGFQVLAS IAQGEIAPGGEALCAAVFRRIRNMWPSLKGDSRLNTAQLLMDLSQPPSDDARHSEFAQ AEAMELLRSVNLTTDILLSFLNQLPTAAQLADMPPATKRRRVSHGEIAKTPVQDMKSL TGAVHKVSFVLQMVDNSNPEKHVALLPGLFNALSELQHFKSQVGSELGYLQGLVLSSL LSIMKAHKADPRLKLDRSAVRADLLVDCVQKTASPQVQNAALLLIANLASTAPELVLH SVMPIFTFMGSSVLRQNDEYSAHVIKQTIAEVIPPLIASLRKEKGGPVTGAAELLLSF IAAYEHVPAHRRKGLYTSLVQTLGPEDFLFAVLGMLADKYGATESIKAFSVDLASSFS VDVQLQTAVKYLDLAADLLKPRPTISAVLLGSSESSTTDGSRSALTQLTLLPHLLSEK KLIDQTARLLQRDDMDASRVRELYSTLLENLLSLADQVKSDKKLHNACGNVLERVLGL LSTSEFVKSVESLLDRPNEELRRKILRSLEVRVDQEKQSNVAARQAMLAFLPQLTAII RESGDILYKHIAVSCVDKIAEKYGKKDLDAVTVAAETIAGEKCLGQDDERLQVMSLLC LASLVDILKEGIVSVLPIAIPAALKYMAKTVEEGGQNDKLHNAGYAFINALVEHLPYM ISGSYLDTLLVISNKSAEADLEAEADESRIACLDLAAKQIDAKNMFTALQKNWSIATE CGTIALREYLDILSTAIDKHTKATVTKHSPILSTIFLSAFDLRRQWTVSNADISEDEI TEIENLVNSVAIKMIYKFNDSTFRPIFSNLLEWASTGLPKKDTEGRLLRLRSIFTFTT LFFTHLKSIVTSYTTYLLPSALSALESVDPSNPASRALWSHALNTLAAAAAHDQDDFF QAPSHFDVLAPALTSQLAHAATLPLSDDLVPALVELAAVADSADHHKALNAAIMKSLR DTSPAVRLAAVKAEEALTERLGEEWLALLPEMLPFISELQEDEDEEVERETHRWIVKI EGVLGESLDAMLQ VE01_02146 MARLFIVQIFLALTVIVTASSLSPRQSCGTSYYYCSSTSGGSSG SGGGSSGSGGASAADWIANGAIIDGFINAGSYIKRSLHLRQEDGALCCRSGTECGVLG DDNIPLCYDSQTTKFTFADGSYGYTSTSNYYDTEGNVINFETGDYTLADGTLGNVFVD NGEAPPATATNTGVVDSVSIPSATNTVKTNDGPFATGTVNQQTKPSEGSGASFRRGYG DAMIGIVGGLALGIVL VE01_02147 MADESTAPAITAQPSSSTTPEITLYWLEQSRSQRILWLLTELSL PYKLTVFHRDPKTYFAPPELKAIHPLGKSPVISIKPPHGGPDVVLAESGLIAEYLTEH FGAGTTMAPERWQEGREGVVGGETEAWMRYKYLLHYCEGSLMPLLNVLLVSMNIKNAP VPFFIRPITTRVAGGIRTNYLDPNFATHFSYLEDQLKTSPGGGKYLCGSHLTAADILI SFPLIAAKGRGGLIPEEKYPLLRKYVDMLEEEEGYKKSIAKVEKVDGKFSAMI VE01_02148 MAGPHASIDPAYIKYNNMIVNRHKYFRWTKRTAFLSFAYVVAFP AFVGYWAFVTDGKLEFRGKRKGDTIVEF VE01_02149 MEGRVHKPGACHSHFEILSTSQGDQAANVDSNSAGLPTDYRDRS SVERHSVEGTTPSQARKRQLEAASTGDMIPAKRTRLAEQISPAILLWQRHFNSDENLK VEANTDANDNTNKIEHDQAQLTRRNLVLFNKITAEDGTGDALKSALLGSTDQTSTTEM ESTSTTRSGFAIAVYHNGVLLSYHSKPPTNLNEIHKQASSSRGTASPTVSEYERYFRT LPMAFNELARGNKVSRKLQKDYDDDEGYHPAFKQAFTGFPKNVGLNDGLSPPQPDFIE GLGMSEFQPFPIERVSGAVLYEDNPFSTVLPHIAGEYKGPMGCMENARLQCSYDGAAL VYARNKALALIGKPDPQGHAKITTFATDGEIIEFFAHYAARGDDSKLKYHQYPIGSFF LTGSHYGFKDGWRQLRNQQDYAREQSYALRDQLVNYWVEREGIASSTPRSFCIEDLNE TRLEFWDKTQTEIEERAREHLRGIEIGNISRPVRSIVCFGVCVFVGATVACSNVWHGS W VE01_02150 MSDEQCPMEQCLSPSQTPPASPDERARPSKSKTPKSNGRRRATM ACRGCRSRKVRCDVAEHGIPCCNCKLDKKECVVPERRKKRYDVNPLYHPLTPIDSISG DWLPSLERLGANHPLQFIRNQYLNSQSQIDGQTQLSATRNAGHNGDCYPGSFPAQKPS FPTPEDPELSRWLNMMPPHIVEKFRLYFQNAEEGGRGKERSTWEPEPTTLSRVQQAMD ILQDVMNTSKSTHSGEELLSPPPDSMFKPRTGSEMDDNLSLGTPGFKIATSYPPSDIS TTENDNDDWNDELMAELFCTHMGQEPVSGVASLEGYVNNE VE01_02151 MAIEANPARNIGLSEVDLQILHLQKAFDLPPRATREGLIDKYME LCSPWTPIIERSWLEETDGSQPSLLLLQAVLLAGSRVTSNTLVYASSQEFYRRARALF FSGYEKNIMFSIMSLCLLQWWNPTGPEEISTDTSGFWVRIAVGMAYQVGLHREPSAAN KKDQMGRRRLWWSLVCRDNIISVGVGRPRTINLEDSDVRLPSVEDFPVQDSKARLFVA FVSICQLLGDVAQCYRRKRLTPSRRQDLENALYRWVKELPSEFHVLHKGRKDPSSYNF EARQILVPYFVILVILNRGPVAGSVPSTVSLVASSFVASIYEEFIARDEIRHLGPVFA FYALAAGLSQLSGYRYRSLGNAAEENFKTIRMSLELLSKRWGSANGALRALPEARKAV LRLPLYSEPPACIPTNSLLLFSDFDASRCNMGHLCDTNTTIPDYGAENVGGDQFATAD MGPVVSSLQQREQLGIQAGQLPAIGMLGETSENLFEASPSAFPMFADGGYEYQQLESF WGSADPLGSWLLDDFHH VE01_02152 MVDTSEDRIERLNTMEPDWEAKEERALVRKLDLRVLFPCVVIYV LAYLDRSNLGNVKILQKGGPDSLETSLNLKNGDFNWAVSIAYFTVTAMLIPATLLLKK LSAKIFFPICMVLWGAIVMSMGACSNSGGLFAARFFLGVPEAGVITCGIMFFSFWYKP SERAIRIGIFYSSNSIAQAISGFLAVGIDHLNGHGGLKSWQWVFIIEGAMSIFCAIPI YFILLTFPEDSTALSDRERYIAINRFGRGSTRKTDVSWDTMAFIRIMTRPSTYAFFLS YICIAIAAVAQATFLPTILKSLMKFDTTKANIYTAIVNIVAVPLYWTYPLHSDWTRER MWHFIVPVAASVPCYAVWTYAGSHPNEHTISYISMYGMAFLGQLLLVAQPVLLSYRSS TLYGAAEQAVGTSTAVASLSIASIIAPQMYPNSDAPYYLQGFTATVSLLAASIVIYAT IPFFLQLEASQRKKKTGHALPLQSLEDSENSQVSAAAMAELHQLNQLGDGENSLKPKA VHEETV VE01_02153 MSRFILRASAQIPHQVPTCLGYLPSALDHFIVGTRTSTANTDLP YSQGDSSPGSLRLFQISTDNIDIAQTIDIPVAVTALHVSPSKPTAVAVGSSDGSIRTF TAGGSPPRLTPSKTFTPFSEKAAITSIRFHPLHPGVLACTLYSGSVYVVRIPGHGLQG DEPKILWTLASHSPSPAWNLSWTPEPGSAPSEPAEVSSGIYSVGDDGNLLTSYWTLLE DVTDPNEMTTEDPIRFHSEGGVTGVAVIPSNSTPSRDVVITAGRDGKLKISNLLSFDL DEDRKTCVETSIGTGASYQLIGPKLVREHYIPSERDSLETFDPASLIPEGHESDDEDY ISGTEDEQDYDPSTDPNYVDPDGGPGVPMDIPETEPLQNEYFVLAGEASSGLSMLKLE RAFADDRGSETWTLDGVATFNGHQGGKLSAMDVYTVNGKINGASASAAMLDDEPSMAV CAWQFEP VE01_02154 MSVSLYQVSVAPFIAELKIVSKLLTKGLEHVKGDESALIDARII EDMQPLTYQIQRISDTAKGLAVRMGKVEPVAMADEEKTFPELQERIAKTIAVLESVDP KSFEGIEDKEVILVTRGGEQKFTGLSYVNTFAIPNFYFHMCMVYAILRKEGVPVGKMD YLGKN VE01_02155 MDSPCSPYSSLPGLNDFSCTGTTGPYDHNITSPKYTAANQNGQA TMDALKACCKSPVTQVHPELYGICYSNCKTTGLEQALEVDWCLGNYTQKRPDYLFASL GCETTVSSATMLRRTSSWEGLVILSLVVSAAATMM VE01_02156 MAPPSRLAAPSTIDTGFMLSVPQLENPYTSDDSYQRVLEWYLPL SVLNTVRPKLSQFGEEAISEQIHQWVANAEKEQPYVKTHDVWGKRYPYDRLITSHGWK EIGKWGARNGVMSLAYEGTYGHYSRIVQHAFNYTYSASSAVYSCPTSMTSGAARLMAK QLPGLPLEHPFHEIFDRLTARENHWVSSQWMTERPGGSDVQNSETVAVYSPLPRKTGK CGRVDEGDWLVSGFKFFSSATDCNIALMLAKTESGQLSLFVAPTRKTILDSNGKEIEV TNGVRIHRLKVKMGTKQLPTAELELKDVRAWRVGPADRGIATIAQLLNVTRTHNFITA LSCWRRGMAIAKSFAKTRTTINQPLATFPMHLRLLSSMEVKHRGLLQFAFFTTALLGF ADDKTPENLPASYAPLPDAGEQMQVVLRTFTATAKAVICKVSTVCLQECQEAMGGVGY MDEPDEPELNVSRLLRDTAANMTWEGTTNVLSSEVVRHLLNKNHLDIFGAWIERAIGS VRDNRFKQVLNSAWNALRQRLLAKKNKLSLALAEGRQSMFTLAWIVSGTLLAVDAQRD GDASATEIARRWILHGEGGLAEYVFSDIVYGNLPTDVQDEAERSNWDCRLVWGTDLPK DAAVGYRTDAKLSKL VE01_02157 MASIAPSQNGAATPAVPVPVWRPQQQPSKIPINKYRAHINNKFN TQLRDSHELQKWSVTQPHDFWIDLWSYVGLVPDLPPGTSRAYNPEISMTDVPPFFENA TINYAENVLTQPDVKPESIALIGLREGNSLDGEKWSWATLRENVRKVRSGLLRSGIKE GDRVAALISTSTWSIVVFLAAASIGAVFTSIAPDLGVEGCISRLRQVTPSILFADSHV TYKGKQKSNGAKISNIVDKLAIKTEVVLIPTARVEQTNFSTLDHFLSRSKLSDKLQFA RVSFSAPLYILYSSGTSGPPKCLVHQHGVIMQHKKIAKLHNSLKPGEVVFQYSSTSWV LWNIMVGHLSAGTTLVLYDGSPTWPNPQQMLKIIEQHKVSYWGASPKYLQALESTRCV PKEEYDLSSLRMVQSGGAHLAAEQYHWFYRAFPADIHLTSVTGGTDIVTSWICTDPAG PLYAGEIQLIALGLDIDIADSVTGESIRGTGESGEMICRQPFPSMPVFMWGDEGNVKY KEAYFDRFDFPCWTQHDWASFNPLTGGATVHGRSDGVLNPQGIRFGSSEIYSITEAPP FIYTIAATLCIGRRRKGKDSDESVFLFVVMRSGENLTDKLLGQLKTAIRTSLSARHVP RYIIQVDDIPMTMNGKKIETLVKQIICSGEMPKQVSSTVANPECLDKFIKFYSVEERM SKL VE01_02158 MASISGFIGGVAGNPADVLNVRMQGDAALPPAQRRNYQHAIHGL VHMTRTEGAGSLFRGIWPNCTRAVLMTASQFATYDTFKGLCIGKAGMTDNIYTHITAS VMAGFVATTVCSPVDVIKTRVMSASPAESHRQSLPGLLRSIYCKEGFTWIFRGWKNIK RSIGTEEALRIMAAE VE01_02159 MNRSIFRAWRSVQPGSSHPVAPGRRYASSASFNWEDPLAASELY TEEELAIQDTARQYCQERLLPRVLDGYRNEQYDRKIIKEMGELGLLGASIEGYGCAGA STVASGLIAKEVERVDSGYRSGMSVQSSLIMTGIYEFGTQEQKDRFLPELANGNLVGC FGLTEPNHGSDPGSIETIAKEHPTKKGQYLLSGAKTWITNSPISDVMLVWAKLESTGK IRGFLVERSKCPPGTLETPAIKNKNGLRASITGMIQMDDCPVAAENMFPDVEGLRGPF TCLNSARLGIAFGAMGALEDSLSRARTYALDRKQFKGNPLAKYQLIQKKLADAATDAA YGTLAATQVARLKDSNKLTPEMISMVKRQNCDRALTNVRALQEIFGGNAASDEYHIGR HVSNLFVVQTYEGQSDIHALILGRAITGVQAFC VE01_02160 MTTYHVSAMRFSRNMINISKQRQRDARRLTSARVLSNTSGTFSA KNSFTATFQHAAKLAPKIERAGSKLFKDADEAVADLKSGTTLLSSGFGLCGVAETLIQ AIHRKGPENLKFQMVISNNAGVAGKGGLDILTEAGQINRMIISHLGSNRVLENKYLTG GIALELCPQGTLAERIRAGGAGIPAFFTATGANTLIQDGKIPVRVGASGNVLEHGKPR EARTFNGRTYLMETALTGDVAIIRAWKADAVGNCVFRYATKAFGPIMAKAATLTIVEA DNIVPVGSIHPDNVDLPGIFVDRVVPSTVAKVIEIEKTRSSEADNVVKPVESAGDIQR NRIAKRAAKELKQSDYVNLGAGIPILVPSFVKEGVKVWVQSENGILGMGPYPTTEEID PDIVNAGKETASLLPGASTFDSSESFGMIRGGHMDVSILGALQVSANGDLANYTIPGK AFKGMGGAMDLVSNPDKTRIVVTTTHVAKDGSPKIVQECSLPLTGANCVSTIITDLCV FQVDRANGGLTLTELAPGVDIEEIERKTEARFSIAEYLSPME VE01_02161 MSKYVRQQKSLPKHPKTPSSADSPFAPTPIPVAPRQRPGWSGPG YTKKGGKHIPRPPPAPKPVVIPPGDEHQALGQPDQQLLLDAIRKAFPRCEDYEALKVV LADVEEKVRSGDWKAAFGSAEAREAWVVKWGAERAVWFANLLVKVVETLDDDPLFAML QGRREDEDENEDDDDDEEDKEEKNKEEKKEENDDEDEEEVLRVVSFGGGPAEVLALGA VVRHFRPDAHGKSKADLESEDSSDAGQIIDLNLTNTVNWTREVSTSHEALLSPPTLSK YASASAIANSSPFLAKSALELEMQKFSPLEASQEEVGELVGEEAALITLFYTVADLAA TSVAKTVALLLKLTIAAPKGSLVVVFDRAEEEGKKGYPLRYLLDMAFLGKKPSGEDQE EGVKPAWKMLLADEARVVKACDGVRYALGLETAKAQVYVFRRL VE01_02162 MKGSAIRSVSALFAAASLFGAASATLDPVIIKGSKFFYKTNGTQ FFIKGVAYQEGVGAAGTSSGSSSTATYSDPLANEAACTRDVPLLQALGANVIRTYAID PTADHSACMKLLDTAGIYVISDLGQPNLSINRENPEWNLDLYDRYTSVIDSLAKYSNV IGFFAGNEVTNNASYTPASAFVKASIRDTKAYIKAKGYTAGVGYAADDDATTRAHVAA YFNCGDVADTIDFWGYNIYSWCDPSNYVTSGYQNHTETFASYNVPVFFAEYGCNEGTA SGSGSRDFSEVAALYGTDMSKVFSGGIVYEYFQEVNDYGLVTLTGNTVSKLKDYDNFS TKIHAVSPSSVNSASYSPTNTAGEACPTVNDQWKVAATGLPPTPNAAVCNCMTSSLKC VAKPNLPLDDLAKLFNTVCGLSASACSGFVADTASGAYGAYLGCSDHDKLSNAFNAYY LEQNSKADACDFGGSASVVASPTPASSCESIIASATNAAPAGTSTGGSGSGTDTSSDK SAANSVHMFGGWGIAAWMTAVVVSVAGLVVL VE01_02163 MSYTPSIPMAAGARPAPYGAHPPHAPAIPQGTFSPGTKITVGGH KVVIQKYFSEGGFAHVYLVKMPEPKDGTDIAVLKRVAVPDKDSLGNMRTEVETMKKLK GHRPIVKYYDSHASQLKGGGYEVFLLMEFCSGGGLIDFMNTRLQNRLTEPEILKIFSD VTEGVACMHYLKPPLLHRDLKVENVLITKTSSGERRYKLCDFGSTAPPRAAATTAAEC RLIEEDVQKHTTLQYRSPEMVDVYRKLPIDEKSDIWALGVLLYKLCYYTTPFEEKGQL SILNASFKFPAFPVFSDRLKLMIATMLRENPDERPNVYQVLREACHLQGIEVPIKNIY AGGNDLHTKRNQSLPNPRTHVSPAVGAAFAPPTQQKAAIPDVIPMRRGRPTTTPQAPG PKPTPSPMRVTSGDPFAALDSKSAPPTDELSSKFPSIDQFSLLHEKGSKFEFDSTSPT TASKPKDLNQRVTEKLADNAFAASAPRTGTGPSSQVRPSTSSMSKAQQIIFNTPELQA TISPPSEEKVPTTYKPKSSYVSEGTMTSPNLPATDFQPKQQYKPAPIHRFPPADQHRS VSLPRNRTSMELETPNRGLLSESPQPTAASRSRSSQLKAAEDKRPVSSRPSLETVRTS NDDLEPVPRNLPKDTRPRPSSAYLESHMEFLRDREQSQPKTNFLGKALPNSKTLDAPP PRTSPPEPASPADEPNIASNVEFLRQMEDQDSSSRGHKSRSSISSNKPKRASLPSMTL AGTKNLFAGKFGDAFKRFESNASAPPRSPSPDHDLDARFLTPIAGSEATDDRSDDGRP DDAALSGEQRREAERLRLAAEERRVEQAAAEYRQRVAHRDPSATGPPPRSIGGVTRAA TIQNKVKTLLDENARPEPARTAHGYGHFTDDDAAQQGEASSSSSSAQLERERERERER PGASQQQQQQPIARRPVGSAAPAPRPKPVHLHTGGSGRGGYAAPSEERGAPLPSGGRG EMKVDMSQREKDEYIRDFSRRYPSLSGIEMVETVVGEGGRSREV VE01_02164 MASRLDRLVTLLETGSTPLIRNTAAQQLADVQKAHPDDLFSLLS RVVPYLHHRTWETRVAAAKALGGIVENAEKYDPNEDEAKDESMIIEDESSSLVKTEDK SENSLANVDGFRLALENLDISQVLKYGKELARGTGRGYEFALARLDPSERLAHKKKTL PTRLGLRGKFHEDDLCMDIDIIPVPTTVSPPPSDQTNGNSGSHEDSKCSETTSAANGD SGLSARQLNVLKRKRKREALQAGSKNRLVDLSGKKPSISTEDAAMPDESTGEEESNGK VKDYFSLDRPAEVEEDTKVVSEFKGPIVPVKSEIQEDEESAGAEWPFERLCELLMVNL FDSCWEVRHGASLGLREIIRVHGHGAGRARGKSRTENDRLNRQWLDDLACRLCCVLTL DRFGDYVSDNVVAPIRESIGQTLGALLSHLPSLSVYAVFRILLQMVMQDELGLDRPAW AVCHGGMIGLRYLVAVRNDLLLKDGGLIDGVIRAVMKGLGDADDDVRSVSAATLIPIA QDFVNLRPEKLKDLIEIVWMCLMDLGDDLSASTGQIMDLLAKLCSYPEVLEAMKVNAL EDPDQSFAELVPRLYPFLRHTITSVRSAVLRALLTFVHIEGEGTRGWLNGRTLRLVYQ NILVERNEDTLRLSLQVWTALVTYMSKQDVSALAAEFAPHVEPLLQLSLHPIGVSRHP LPMNPTLFMRPSGSSYSMPAHLARQLSPTSATQEPSAKRRRKSAKQVEAAPSSSHDVD GHMMQGDVDLVGMDILIRSRISAAKAMGLLLSLLPVSDLGVYDTAILQGLSSSFASTQ LASAAIIDEYAKNSESKDTVSRFKEPLQTITESDRPAHYRDLVSFIQLVRAQCAALLN TFRDIGKASQSRLPTLAVVCQGEAEAGPDAFSIINADKCVNEDFERLKKSLSGAQKLI AKDALNEARENAVTAIENAKAMKLHRDIRVKSAAAGALVSMGAAPKKPSNTIKALMDS IKKEDNLELQRRSALSVAQLVQLFALSGRRGPADKIVSNLAKYCCIDTAETPEFTPNA ELRTAILSLRKEEDRKDHVDAAKFAREAKEARIMRRGAKEALEQLSDIFGADLLEQVP TLKGVMNDALTQVFVGDLPEEAKDPEKPLGQDVIDGMSVLRALTPTLHKDLHPFVMGL LPLVVKALHSELSVFRYMAAKCLATVCSVITVDAMTMLVEKVLPSISNPLDLNFRQGA TECIYHLIHVMGDNILPYVIFLIVPVLGRMSDSDNDVRLIATTTFATLVKLVPLEAGI PDPPGLSEELLKGRDRERTFIAQLLDPHKVEPFVIPVAIKAELRSYQQEGVNWLNFLN KYHLHGILCDDMGLGKTLQTLCMVASDHHLRAEEFKKTGALELRKMPTLIVCPPTLSG HWQQEIRTYAPFLTCTAYVGPPNERSKVRDQLDKTDVVITSYDICRNDAEVLAPINWN YLVLDEGHLIKNPRAKVTIAVKRLLSNHRLILSGTPIQNNVLELWSLFDFLMPGFLGA EKVFLDRFAKPIAASRFGKPSSKEQEAGALAIEALHKQVLPFLLRRLKEEVLDDLPPK ILQNYYCDLSPLQKKLFEDFTKKNGKTLAEKASAGDKDSKQHIFQALQYMRKLCNSPA LVMKEGHKQYAETQAYLAKNNTSLSDPVHAPKLTALRDLLVDCGIGREPAPGELAGTA AVSPHRALIFCQMKEMLEMVQSEVLRKMLPGVTSLRLDGGVEANKRQDIVNKFNSDPS IDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLIT RGTLEEKIMSLQRFKIDVASTVVNQQNAGLATMETDQILDLFQLSDEVPGLVPPNAAG AAAKEGEEDAVDATGEVREKGKKGYLDEIGELWEEGLYEEEFSLDGFLGRMKG VE01_02165 MSELPGYSPYGVPPPAASSTSSLAPSTSASASTAPVPPSTDPPT YLPQPILRTTRHNLAGPIQTVSLSDKQHDYFLATRTSPTTYTLSLTSDPTPLYRIEIS PSPASDPAIQLFDFHDPFPLAAARMPPFVTTFASVCTREPAGAGAVWHRMTDSHGVLA VEIVPGLPVVNRDVVWSTPRLTTGLTCTLLGSLFGWDGDGVTLARYGMTGPGFRADMV FDILRGGGIEFELGIVMQVFVKLEADRRKLEKKGKGKGKKGM VE01_02166 MPPQLLPASAAAFAPRASSVNVVLGSKVEPWLTQTLKRINRIKR PLNSVPQHQRCLTETLSSTNAIWTLTSIMLPKAPDSELRKDSNPLIEALFNFQLVHIE AYIVHVDMVLRNEVAFKLTPDSIEALIEYHKEIHCVDIAASTYNWLEKELQVKKLHEE FIQAINKFVYRTNVIALEGLEEEGAGELLCGKSEEVKNNIMNLFLPLLPPPPRVVDVI RPQTLLPSSVSGGGWWTQSQPMLQNPVPAPSDVWRMLPSSPSTTSSVDSNTLWASMSM GDNFQLPSPAPSFSQPYTTTSGMYFPQPPVSSPIPHLPLPSMLAPQPCGVSVGFNSFG NGWDRYQEYAMSM VE01_02167 MAALQDALQTLSPIDAITVPQSPTDLETFLNTTFDTSQLLIDSI PLPATDSLPTRPRSSTTTSIASSASEITLSSARPDSPPPDVSKLQKAWGKPLRLAAKD NPLGMSVYKLAGTDGKGAWFARRSVHCGLGFERWKRALQQEFPETMKIHGGPGVGNIR GIGGERCVECREAGGGKMEVYHLSAQFPGPTTPRDFVTMLMTTERREEGGPRQFMIVS KPCIHPQTPVRDGFIRGQYQSVEFIREVPVLPTPTKSASMNDLTAASQPAKSRKRAES QASSLNRSAVLRNANSSHPGPCPAPGHTPTRTPSEDRRQRGHTISFAGSRGVDAKGEY HDIPADDPERNPVEWIMLTRSDPGGSVPRFMVERGTPGSIVADAAKFLNWACSAALDV AGDEVPPRVDTATNESPEESETEIEHPAVPGEEPRPRPPPTPRAHPHPHPPHRASTEI SLREFQTNGHLAGLDGVRDPPPNEHYTPPITTASLSPPEQNNRGVLSMVTNAASAISN FLPSAGYAAVLPHAQARERRYSTSSSSSSEEEGEGEGEGEGGTDLGSPSGGSYMSFES ARERGSFDDADESADAAFVDAASVPPVYGVPAPLGPSSSEESAVLPDPAIRTVPPRPS SSSSLVVEDAGLLRARRRAAAAIEKEEGKFRERKRRLVEKVEKVRRKEGEGEKLRKAE ERYLRDLAREESRLEREVERVERRRTREEAKVAKGKGEGEAEREVQVLRVERELLRRQ VGELQRENTVLAMGIGRMEGGEKVLSELRGGRAESLGVVTAPPLGGKENVKV VE01_02168 MSSFKDNARRKKSRGVSETVSKSQDSGTGIIVPLAIESLHPSFG KLQATPLNQLLLPTRRAPRRTSPSHATPSSYDKYILQLLSSQPCYVLLDRQRMSGRFI ECLTKTPGAPMLKAWLPQLPGILSKRSAPTLTFALRAVSLALFGSLANDKGAQLEGIR WYERGLQSQQKDLILLTNGALASGVTDEAICGPILLAFYEMTNCNTSTDAWMRHLCAA SKLMEMRGPEACSSGFAHALFRALRLAMIYVTTDQRKPSFLATDLWRSVPFCETEKSS FDKLVDILTVIPLALSVSDAVAALGDDSTPQARDEAATKLLEIRRGLEKWWTEYTCEL MQEDGLNSPSYMQAGDAHLTTSLRVTALYDDPFEAECRALYDTGIILVSHAQILISPL LDSTTLREEIVAHGSSILLAVNYIERQELGTQAQTYSKAKMQMRLWLTGGSTGG VE01_02169 MSGMSGVNGNRFIAFAFPAYRMSLDMRRLGDGDLDWGTHGPLTR DDKGEEDGQWGGDDDDGDDDNEGEGEKEEEDGVYFEG VE01_02170 MAQVLDLSNPNRPIHVGVILMGGITEVLDVAPIDMIYSLGKDFI STFPDALVPPSLKARAIDFKIHWVSETGETTYSQLTSGLRIVPTDTFETCPPLDIVLI GAHNFGYTPNDSELRFVRKSWETSSAFLTICAGVEVPLQAGLLEGKTVTGPRFLLNEL RRNGPKSNWVEKRWVRDGKLWTSGALLNGADLMHNFTSYYWGGGDDTIGALISKMGGW PNRDVEYKDVPWKE VE01_02171 MLLSFCLLVAAFAPTLVVGQPAVYPFTVVGILGSVNVIGTEVNS GGSVSVSGYNIRIPDNLLVDFPAAQVPFAEFAAGDRAGPNEVSITGNVVGETIIAGQM AVSQLSLQASNGIIESLSFDGAIKLLHGPTIRINDPRARYSSGTTAVPFFTADDENPS ITSFGGFPMCVPRSGDDPLCPQSNRPTAVPNPKNYFVPNPMLMAPLKVGDYVEFSYIE FGGELLCYALTANVGIYTTAGTAPGFILVEDALIGVINNNPDVEFARARFVGYTTDPN TLVSIYAIDINPCTGVESDRLIGSAIPENVAGGRSKWEWRSDRQDIGYYTRNYRVKLA SGQKLTTNGILSGQYVQPVTEWIFPEVITPGAVNPPLDFSGIGPLANGFGPYSSGKIF RQLTPWPGATPPTPISICTDPVTSVSATTEIPEPTETGTEVVTATTTVVPTITPVPLI VDAGSDQTVRGGVRVTLSGAQTAPNIPTADLAYKWSQIGGSIINIEIASPDATVTTLN LPVNLGTTNEVREFLLLITHVPSGSVANDTIVITADKTSIDHPVIETFTWQSKQSGTV AVTVRTELVDASASMKCRFGNGAEVLMTRAGPGVYTYAARSTPQPASVTVRSYFGTVA VGAGVTRTGVTLL VE01_02172 MARLSSCIILCLALCSPSLAGDSAWLSPVYKEIYARPLPIPPDK VPKHTWTNSTTGQIINYYEVDIKPFQQQVYSNLGKANLVGYDGISPGPTFRMERGQEA VVRFINHGTLNSSVHLHGSYSRAPFDGWAEDTTAPGQYKDYYYPNNQRGRTLWYHDHA VHHTAENAYFGQAGFYILHDPAEDRFGLPAGKYDVPLTISSKQYKSNGDLFSPASELT SLWGDVIHMNGQPWPYFKVEPRKYRFRLLDSAISRSFQLYFEKDTKTGTKLPFKVIAS DAGLLSGPVTATLLEISMAERYEIIFDFSAYANTNVTMRNNRDVQADEDYNSTDKVMK FIVGGTITDTTNNGEVPAVFDPLVLPPPKTNVDRTFKFGRNNGEWRVNGVSFSDVNNR ILAKPKRGSVELWELQNDSGGWSHPVHIHLVDFQVVSRKDGKRPVLPYEAAGLKDVVL LGTNEKVRVLARYAPWDGVYMFHCHNLIHEDHDMMAAFNVSVLPDFGYPETTKFIDPM EERWRSKGWDDAKFTEQYIKGTLLPQFSGLDAYDKAEETEEALEEYWDNDGNDD VE01_02173 MNDSAAPMFRSADDERAPIAPLYEGATPLIEEDAFFSPGKLSSV DEYAANPRFLDLQEELRAVVFTGVASLAPSRYNSPAHSEPVNVPAPELSTQSLDFTRV SIPKARLINYLKNWISECAPYLDKFDEARHFGVQVPIVAHGSPALFYAILAFSARQTE RKTGLEKSHDSLELYQESIRLLAPSLQAKDPNVLVTVCILACLELMSVSPRDWRRHIE GCAALFDYFNINGFSGGLLQAVFWCYARMELCGAIVSDGAESTVLHIDKWTPIMSESP GSKEREEELIKDAFFQKSRLVPDMHANWAVYLCAKTCDLACRRTRYLELGELDEYDSR PSIEQWNRLWDELQYWNEQRPPAILPIKTTGTGSGQIFPEILFAHWAAISSNQLYHTA CIIMLEIRPADRLPRSSMPQCANLINAIQPLYIAGKLLSHRSEHLAVARLFKIIDKTT GWGAMWRLKDLERAWGYDPGEIISIMTTDKP VE01_02174 MADRMSSDVETTAPGPIVHPRQRVQLAKKTMWQSLRHNPKVLVI AFFASFGGLEYGYQQGVLGQSLVMTRFTHSFPSVIQSSSATGWLTSVLQLGGILGSLS AGILGEIFSRKYTMFFACCWVILGSYLYVGAQVGNPSLLYAGRFFTGVGVGLFSGVGP LYNAELAAPEMRGLLVSFYQFATILGIMLSFWVGYGSNYIGGTGETQSDLAWRLPSII QGIPAVFLAIGIWWMPFSPRWLVKVGRDDEAKSTLAWLAKLPIDHELVQVEYLEIKAE AVFEERAFAKAFPKLAEKEHRSIFMNQVAQYANCFRTMDNFKRVCTAWLVMFFQQWSG IDAIIYYASNVFVSLGLTGGTIALLATGVTGVVFIVSTIPAMLIIDKVGRKPMLLVGS VVMFVSMVSVGIIVAKFRHDWPSHATAGWIAVAFIWVYIAGFGATWGPVSWTLVSEIF PLSIRAKGASIGASSNWINNFAIAFFVPPMLEAWAWGTYIFFAAFLFVGIIWVYFFLP ETKNATLEEMDRVFKSHTGERDAELLREAQKDVGLTSFLERNIGGNETKSMAKETYIE KI VE01_02175 MGKTQFADRGARKATSKNLAARISNGSLNATVQAYSTITFVVDD DPPLASTPPERDEGILCQHPPAREVENDTATALDVCIAQESIVGPAPQQGNNSGTTSI APIDVTSYPVRCPLVHSDSLEQSMPLNTINRLINDSRRAPTCRTLNNSHNRTYSDSTF SRRLVDRQGLTNGDQETGLLRHFRYNLAPWIDVGDPECFFGIKVMLLATANRSLLAAL LALAARHRSLIYPQQNSDDLESSLKFREEAERGLVFEDDHKWYNNRPAEVQQILEIRG VEADQIGTHNPPSFPILIYTTPLALASNAAYHITSLLMLAHKPRLLKRLAGPGCFTSH IWHAQSIAGIATSNDSLEQWDPILVAGLLLMAKEMTHESQQLVLLDQLGRVTSMIGIK LDREIEALKSGWNISRYDQDTRYRQETVI VE01_02176 MSTIPHICVVGSLNIDFVTFTPRCPEPGETLTATSLLVTAGGKG ANQAVACGRASFVSKAHQDVIVSMIGAVGPNDPYYLTLLRPMLEDSGVSTRGIEETKE SQTGSATIIVENGAGGENRILVVPGANHSGMNDVDKILAITKSQSQPVGVVVMQGEIP RLTVLALLQHFNGPASDAHVIFNPAPVFPEGVPLTALVDTSVLIMNETEAVQMTGSIP KFPVSVQTEADLKPEEIAPYFHTIAKIKIVLITLGAKGVFFSTSNGKQGFVRGVAIDK VVDTTAAGDTFVGYFATTFSKFVATGATLDKFDVEIEKAVQEANAAAAICVQRRGAMQ SIPFAYE VE01_02177 MSLSTSQGSQDEASPLPMCYWNSAKSKWGFAIYRTVYTPESDAK WPIAMDRLREWLRSEITEDESVHEDYKGKIYENFLNLVMDDKKLYDGLPITDVKKHFK KWLIENELDYSTNPEAEYDGSIYQDPEILERAHLQEDETLKQQLIMESYWYLSTRICI VVDEEVLTSLMDIPCPTRGETVTAVWLKIILDAHPYCKEGFLKLNPWYNGWGRMDIRN GLARTHYLIEDADLDDGIAFEIDEQPYIEI VE01_02178 MAVKSLPFQLRANSFDLAELDSIHISLDAPGQFVKYTAKEHALK VAKHLGVQKGLIYLLGTQSASAEDSDRELPFRQRRYFYYLSGVDFPDCSLTYDIETTK LTLYIPAPEPSKIIWLGPTPSIQECLDKYEVDQVSYTCELRNHIFKWAASNSHQKIFL LHPTHAPPSLASITTNLDATALQPAMDEARVIKSAYEISLLRRANAISSSAHRHVLAS LHSATNETHLEAVFLQTCIAKHAKKQAYAPIVGSGENASTLHYEANNEDLAGRELVCL DASCEWECYAADITRTFPISGTFSPEAAAIYEIVTEMQTRCIEALEPGVIFRDLHDLA MESGIRGLLRLGILKNGTYEEIREAGTGRLFFPHGLGHHLGLETHDVDGAHPLLVATT PCTASLSVPPPPPPPYTHRRKLEAGMVVTVEPGIYISRYAVSVFGADPKHAKFLDFGV IERYYKVGGVRIEDDLLVTEGGCENLTTAPKGEEMCAIIRRGRGEGECDGNEGVY VE01_02179 MNPPPPPPHGEAPKSSGLPPGKYDIFIIPPHSSGSGFLYLPSLK PNVNSFAAGFASALILVAVVSALMPTLQLWWYSVKNTGGNGMFMVSIGLAIGAWALGR MQSDGRTGGNGGNNGGGGGGGGGGGGGGGGGGDAPPGGPPPHQGHQNSHQNGYAQGGP PPQPSGHAPPPNPGPQPGYNPGPQHNQPPPKSSWQRSNAPPGGTNANTGGYANTGPNT GGYTNTGPNTGGYPKTGPSTGGYQNPNSGANTNSNANSNANANANANAKSGWEKAREE TRKREEERKVAEALRQKKEEDEKRLKEAREKEAREREARERIAREARAQREAREKREK DEKAKKAEAEMVAQRAAERVVAERLAAERVAAEKAAAEKAAAEKAAAEKLAAEKAKPR GSTYAYSAVGEKTNPWPRGQPPSPASASPRPTPPQASPTKRPPVATARTYLGTENDYS YRPYDKPAHKKATSSVYSASSYAASQSTARTTPPPSMRGAYSTKDPDKIVLKAVYAFN NAFMKTPTSMLVSGTGSVTDGLILRITTEGLFIDDDVRGVPQREWDVKAWTMKLVEVW CPAFSSPAPAATAASSNPARKLWGNSSSKPKDNAVTNEAADALLLELLASCKAQCRLG LLGDGGGGSSPKKSSPLAERESNASSSQTGQFKGGRLHVLRASIRDQEGRKYVFVIGE EESWKVAVGLQRLRKGTQVRQLGVSGMSAGDAKVTLDNLGWG VE01_02180 MDIYHWRRQIPRNHFYDMWNKPFKPPSMRPRPAEAKKPRSRDDE VERVEATPSPPGSPVGGKKGVKVWENPAPKPLSQTTAAVNAPRKPLVVKQGHGGGKKE GFDEDAPKGYYNVMWRKYSTKKHKTWDGDGVLLVWNGKARLVDLEARQLAETRCYETF LPESTLNVGGREVEIVSLIPREDYYAGKPFTNTAQVSKRPTDVVEPIPKQVPSFQPLK RKSGDIEGSSRNKAIKVEDGDSPGAAPAKNFYGQSSLKQEFKSPVILSTVVPQGKKGV PTPRHDPRAPGALVMKRPKDCPKGRQIVDVVVDPLLSKKLRPHQREGVKFLYECVMGL RDYGGKGALLADEMGLGKTLQSIALIWTLLKQNPISGDGPAIKKVLVVCPVTLIDNWK KEFRSWLGNINIGVMVADGKMKLTDFTHGASYSVLIVGYERMRGIAEELGQGAGIDLI IVDEGHRLKKENNKAAQAIKGLSVEKMIILSGTPLQNDLTEFFVMVDFLNPDLLKSAS SFKKNFETPILRSRQPNASERERELGEARQAELSEMTQQFILRRTAEVQAHFLPQKTE FVVFCKPTAAQAQIYEEILESPAFMGALNGYKAAQAQALGLINILRKVCNSPMLLLPS SKKSASKRVGEDGEDAVEGISEERLKAPLLATSTKMRVLDGLLKAIAEDPRNTKEKVV IVSNFTATLDLLQKHLAQLSLPFLRLDGDTPTNRRQDIVNEFNKTSAKKNFALLLSAK AGGVGLNLVGASRLILFDVDWNPATDLQAMARVHRPGQEKPTFIYRLLMAGGMDEKIY QRQLTKKGLADSIVDNKKNGAVFSADELRDLFTLDTTSDCKTHDLLGCNCGGRAVEPV VDEPTEDATPVEEPAPAAVPAPASEASDSDDDLPLNPCDSRRRRQSRPTLIPASQYDW AAEEAREAASAAERRRLHAAGNMASLLEYEHIDTAALREPLDVFGVKREGVEEVEGRV GDEVLCGVLGEGGRGVSFVFVKRSGEKDE VE01_02181 MHGGESSRVPPVGVGTSLFTTTQTPTDQEEDSHSSSSNSPTRAD YEEFDFFMNMNDSQSSIGVPTSDPAAVQDEYSCQPPIQRLPAEILINTFSKLGTAMDL LNCMLTCKAWARNAVDLLWLRPACSTWPKHSVICRTLNLPNPYFAYRDFVKRLNLATL ADRVNDGSVTPLQVCTQVERLTLTNCHGLTDQGLISLITDNRRLLALDISGDSNITEA SINLLAENCRLLQGLNISGCTKISNESLIKVAERCKKIKRLKFNDCHQIEDSSIMAFA KNCPNILEIDLHHCKNVTSEPVTALLQYGRSLREFRLANCDLITDSAFLNLPPTQMFH HLRILDFTSCVRLTDSAVEKIIEVAPRLRNVVFAKCRNLTDVAVNAISKLGKNLHYVH LGHCSQITDDAVKNLVHCCARIRYIDLGCCNRLTDASVTKLATLPKLRRIGLVKCQNI TDESVYALSHASRRVSNPSGPADLTYPDFHGANNHVSSLERVHLSYCINLTLRSVIIL LNNCPKLTHLSLTGVQAFLRTDLEKFCRDAPPDFNQHQRDAFCVFSGAGVMGLRRYLN AENRTRLTLARMEEAEEAEERALREASTRATDGGGGDDDQVMTGLMSAATLGGGVVMP EGIIEVPDEDDLEDSVMTATNTPTPADSIQPN VE01_02182 MSGAPSRLQKLAAHFLPSRAQETFTHRLNHHELSPTLFLERAAQ IEPNAEAIHHTTANNVVLRRTYQEFADRSRGFAYYLLKKQYKRVGILCPNTPAFLESI FGIGAAGAVNVGVNYRLKSEDIAYIFNHAEVEVIIVDAEYLHLLDRFKELYPQIPFII DTDTDAVEGELSGPFDAAVLEGLSYDKETGGNGWAGLKSQCDDEQATIALAYTSGTTA KPKGVVYNHRGAYLAALANVVESGLNYHTGRCGYLWTLPMFHAMGWTFPWAVTAVRGT HYCMRKIDYPAIWHLLKTENVTHFNAAPTVNTLLCASPEAERLEKPVRVTVAASPPTA HLFKTMESLNLMPVHVYGLTETYGPITKGYHMPAWENLPGNEKYDKMARQGHGAITTL PCRVIKTEQAPGVIVDVKKDGKEIGEIVFLGNICAKEYYKDPEATKKMFDGGVLHSGD LAVWHADGAIQILDRAKDIIISGGENISSVSLEGMLVQHPDVLEAGVVAVPDSHWGER PKAFVTVKQGKTLKGEDVIQWAKHKSDISKFMVPREVEIVAELPKTSTGKVKKNVLRI WAKGTDRSTDL VE01_02183 MSTFEQVITIDGKGHLLGRLASTVAKQLLEGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRYNPTRGGPFHFRAPSRIFYKTVRGMIPHKTARGAAAME RLKVFEGVPAPYDKVKRMVVPQALRVLRLKPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKVKGSAYYERKKAARRQLAEAQKSAKVDTKTQEQLASFGY VE01_02184 MAAAAKTKVQAIIDENPVAVFSKSYCPYCKATKALLNEKGAKFY SIELDQVEDGSAIQAALGEMTGQTSVPNIFINKKHIGGNSDLQEKKSQLTNLLKEAGA L VE01_02185 MSLLNRTARCARTLPNLSGPPSRLQCLLRSPAAHPPSRHLQRRA INSSPSPSSHEPSTEEHKRLREAAEERRQYYKRRSIYNGIGFVTGMIAIWVIATSVDL PKPTKLDSKPYDARMHDPLIVVGTERKAVVQKMGEEAEENTDTVETGTSTVPTFPRLM QFDDEKISENAQGEVASPTEYQLMGLGIRKVSFLSIQVYVVGMYVATDDIAQLQRTLV KRIDPIATTLVAGEKDTLKERLMDPEAGEEVWNHILSSTKVRTLFRIVPVRDTDFGHM RDAYVRAVTAQARKHPEEFGDDKFGQSVAELKAMFGHGNVPKGRELVLARNGKGALAA WFDDGKAGPQRLGEVDDERISRALWLHYLAGAHVASEAARKSIVEGIMEFVERPVGTV AAQVHV VE01_02186 MAHILRQLSSSPMSVMLITAIIYLSIAIPLLVIHEVVPPAPSDD ALPSGLNISEAWLDLAELTKAYHPYASRRNDEVHDWLLRRVEGILGSNGVSWSSENGH IPISPQDIGQVDGGEQEAGKVGEAPFPGFIGVETNGEIKEEDELRKRDASPLVTIFND LQSNVTCSGLGAIGADGKGRLPGQTVYFEGTNIIVYIRGTEDEEGEWWKSSLHVRNTH GQGGILINAHYDSVSTGFGATDDGVGVVTVLQLIRYFTSTGRQPKKGIVALLNNGEED FLNGARAYTQHPMSLFTHTFLNLEGAGAGGRAVLFRSTDTEVTRAYAKSSHPFGSVVG GDGFKQGMIRSQTDYVVFEDILGLRGLDVSFWTPRARYHTNQDNARHTSRDSIWHMLS TSVSTVEALSSDTSGTFNGPRGDNAWGKVKNGKGSDGVWFDLFGKGFAVFGLRTLFAW SLTFLIACPLAIMLIIYLLIKEDKFYLFSSSVPSRGGSGEADVPLNGWRGAFRWPLTF IPATALTVGSGMLIVKVNPLIIYSSQYSVWTMALTLNFCVFWFIMRGADFVRPSALHR TYAYFWMFILGWIILVAVTVLEDRFKIASGYYFVFYEIAIFFALAISLLELFALPTKT QFAANVHAQDEAVESISALPDFDALIAPTGDENAGPESAEAPADEAEEQEPTESTPLF GGDGTRRSRTTTFANYARRSFRRVRAHDGSSDSITGESEPYGHEQSWSGKLPRWTWTI QLLLLAPLTLILLGQIGLLVVTATGQTGPDGSALLVPYILLTTFSTLVLLPLTPTLHR FTHHLPTFLFLLFTGTLIYNLTAFPFSSENRYKAYFQQTIDLDTGTNLVTISGLETFV RKLITDIPSASGQHIECTTRPLRAGVKFCSYTGIPPKVVPGVEGVPPEKSYKDWLSYT ATRERGTNRATFRVSGANTRACAIRFARPVKAVKVTGAGTDARFDAVPQEVGSGEVKL WKREWEGEWEVEVEWGASEGRRVGEEGMEGRVVCLWADFNTPGVIPALDEVVAFVPGW VGVSKMSDGLVEGSKAFNV VE01_02187 MAGSKSKAPVVKAQEKHGYEFAGPPGAFAISFLLPIVVYITNFV CNDIYGCPIPSVLDPKTLTWDKIKTETGWPGWNGIVSLEATGWVLGYYFLSLVLHRFL PGQIVEGTELAIGGRLKYKFNTLSSSIFTVVLLLAGTIAQGADFPVWTYIWDNYTQIV TANMLIAFTLATFVYIRSFSVKPGNKDMRELAAGGHSGNMLYDWFIGRELNPRVTLPI FGEIDIKVFCELRPGLFGWIVLDVAFIAHQYKTYGYVTDSIILITLFQALYVFDSFYM EPSMLTTMDITTDGFGFMLSFGDLAWVPFIYSLQARYLAVYPLTLGLSGNAGVLAILS LGYYIFRSANNQKNRFRTDPSDPRIAHLKYMETASGSKLIISGWWGTARHINYLGDWI MSWAFCLPTGVAGYLVQQGPALLDGETGFSRGEDRVVQGGARGWGMAISYFYVIYFAI LLIHRERRDETKCMRKYGKDWEEYKKIVPWRIIPGIY VE01_02188 MYPPSLTSILLAALALLAPLTAAASDEKKPDVIPCTAKSPSTNG FYDLRPLAVKVHDPKKKLGKEKTDSWQSRGHDYKGNFSINVCAPVVEEIEDVVGVEEA RWGNVSAFYKADGKVFSIGEQSSGIMFRGRKLVLQYTGGSPCGSTVESRSSEDLDELD EDGYPARTLNGKVVGSSDDDDEDEDKPKKPKKPVPTKRHKSTLISFHCEKDPLKSSAV ASFIGTDPDECAYFFEMRSEAACPGLGPVQAGLGPAGVFGIIAIIAVLVYFIGGVMYN RSVEHSRGWRQLPNYAMWAGIGGFFMDFFTIFTSSCARCLPGRRGYNSLPGSGNGSVA RGMARPDDENRLIDQLDEEWDD VE01_02189 MTLPLPRKVGVPLAEAPLITSPPGGDGGVSGHESTGRTKPQLAL GSPVSSHTQLYSASSPRSANRPGLLPIYSRFTSRPSRWKSRRAAMRVRISGPGPKGNM ERLEATVKEVTNWDGLSMDPEMWYPDGNCLVHLYARDSTASSMWGPAFLIPLEFLVGL DCIPLLERFLAGSTGSYLPSSNRQFVKGRSSKEYTSGRYDLYIPPPPTAEGEQKLLCR TATRNFFAWICGKPLVGESLGQALVGLLNSMREYRGLNVDNVEDILDYMEEGGYADFG GSPVHTLATLHFAEHFQFENLYIDAFAHAVGMHNEIIQSPEYKSISDTTRSILTHAHA ELHSRLSRTSLSLSTFLADELSPTHLGLTAASRTHLDRFRDFLTTYWISALGSYPPAP HSSPSFSNQVLLRMRTEFKDLYAYLVDESFTATDCSPAAAQGGVCVLQSVQAFDTRLG YESFLHPLPLLPTSSSASPLTSRTHSLRSPNLARTFSWSRPASPKTDSRLLALSIVAK ASNHHKPALLEAPLVKAFREFEIECILSTKEDKRTRVPAAAARKVRWILIYATLQTLR SATDILPAVRTPLTVPYHLSATLPRLPWASSPRASLTPSSTQTLHLSLSSSSIPSSPT TPHTPSSPIEPDIDYTLLTRPASSSPPSPRPRPRRASLPTLARSLSSAASLGRAISVI GRNPRRSSAPTPSRTPSTSMENSFTSAAEEDEDEDEDDGGMEMGCWKKQVELNQITPS PSSPSSPSSPSSHFHSHTRSHSTPSTSSSSSSSENPTTPPSPLSRSHSGYDFSDTTPP SPLSQSHTPYDFSDYKITSPSNPSSATRPERERRWSGSTAHEQEQEDVAPECISGGEV VRRQGEGRMRGLGLGVGALGALLDGVVEERVFFELEDFPGNGGGSRVAVAM VE01_02190 MEKSQSTLYVDDVDQATESFYIPSHTDSGTGAYSHAYPPAIRRQ RLRSLPLGLGDDVADLFRRSMHLGPSSQDTFIEREDKDKGKSSSSSTTAAAPTATLKP PKPETRIRGLIRRASVSLLNHAKPKPRRASHSHADMPFESAGARPTTSAGAAWHKLKS AASFRHTRAETVGYGYRGHHEALRAFDDVDEPIPGRGNAPPIIPRRGGGEAARATAAA QNEMLRRRQIEKEVYLDCESAVELALPLYGLQQRDVEEDAIVRIDFVGSLPEELAVHI LSMLDHRGLAQASEVSKRWYQVANTNYVWKESFYRDNSTTFAMGKPVKPGTGLGLPPT LPEKEWKQVYKARQQLERNWREGEALAVYLHGHTDSIYCVQFDEHKIITGSRDKTFRV WDIHTYKCLLVVGPPAITSSPTLLTTATGAPTHYAEVSAPQPSTGVQSLSPYTTGHST PPTLSYATHHDASILCLQYDASILVTGSSDGTCIIHSIADSYRPIRRLQHHSAAVLNL AFDDKYIVTCSKDCTIAVFHRDTGALHRHLHGHTGPVNAVQLRDNVVVSCSGDFSVRL WNIETGRCIREFAGHTKGLACSQLSDDGRLIASAGNDQVIRIWDAHTGDCIRTIDAHE LLVRSLHIDSVSGRLISGSYDHGIKVFDLATGGLLLDFPKWHASWVLGARGDYRRIVS TGQIPRVLIMDFGGGVEGVDMLESGALVAEGEGAREEVDAWVKRDEVEREGGWRVECC VE01_02191 MPPKSTTTPTSFRLPLLYTTFFLTIEPLSTLLGAYFAYFQPHHY LTLTTPSTPQSTLVPLPPSTAIVLQQLANLYLLLSITEATLLRTTNDLAVWRVFLFGL LVADVGHVWSVREAVGWEGYWNVAGWNAMDWGNLGFVYVAAALRVAFLLGVGLPAKAG GKAKAKK VE01_02192 MGSIKIQDGLPDIVAARFQEAKESGDLLAFDSTAAVLDVNGIPF QLRYCPTLKSKPKPNGPSSSSSTPFNPFLNPPPALFITPIGPSHHLVLNKFALTPNHT ILATKTFLSQTDPLAADDLEAVYALLSAYRSAGQRLFGFFNSGPHSGASQPHRHVQFL PVESMREGLGEGEWDLLADGLAEKQAKIPFTYFAAPIKGNPSPAKLHETYLALHKMAR YAMDTFEKRAGTDGGGEEMSYNLAFTDSSMIILPRRAEGMAFPTGLEDPKETGVVALN GTVLGGTLLVKDELEWKALREDGRKLKEVLERIGIPFSAFAGEILGWKGAPSGAL VE01_02193 MAPAPVPKALEGFTSLGPSSYIYEAPKSGNPFRDQVSPPHPDVI LFMSWLDAQPKHIAKYTEYYKTLFPNASIILVVASTKDVIFRSKAGETKVYSPVAAKL QAFPPNTRILLHSFSNGGLKNTALTTEEYNRRTGDPLPIQAQIFDSGPGYPRFWPDLR AITAGLPKNFIIRTLATAVLAAAYVLYKAVWWVKGIENPIITYCKMMNRHKLFATKVP RAYIYSREDDMVHWGHVEDHMANATALGYEARGELFEGTQHVSHMPKNSERYWGIVES VWKSSFGKQ VE01_02194 MVYPDTFTGFQVSGPAPAEKLDFTKQEYKPKPFEDYDVDIKIEA CGICGSDLHTISGGWGKQHFPLCVGHEIIGTAIRVGPKVTLIKEGSRVGVGAQSYSCL DCRQCKNDNETYCVHQRDTYGALWESTGIVSQGGYSSHVRAHEHWVFPIPDALTSVQA APMLCAGLTAYSPLVRNGAGKGKKVGIVGMGGIGHFGVLFSVALGAETWVISRSHAKE ADAMKMGATGFLATQDKEWNEAHKMTFDLIINTANSLEGFDLGAYLSLLDVHGRFISV GLPEGSGAEVRAQDLLGNGCLIGASHLGSRREVWGESVVL VE01_02195 MGSNEVSAMASELDPESTARPLHVCIAGAGIGGLSAAIALRQAG HRVVLYESSRFAVEIGAAIHLPPNVNGLLRRFGTRPEEWGANQAEHVTLYSKDGSIIS TKNIAELSLAYPYPWQLSHRVDLHEELKRLATTLDGPGIPAIIKTQSQVISCDPETPS LVLKDGTVVGADMVLGADGVHSVLRRIITGQDIQPQLSGGSAFRFLVPVSQVKADPRT AWILERSGELQLWEGTNRRLVIYPCRNNTELNFVCLHPEIESAGSKEGWNNSASRQQL LTVYDEYCEGIKVLLSMADESSIRLWKLLDRPSLPTWINNKAALLGDAAHPFLPYQGQ GGAQAIEDGAALGALFPLGVTPSEVPERLELYMKCRYDRATLVQNFSRAAAFKHSDND DVGGISTDPLEFSKINFGHDAHDNAQAILLNHLASKAAVFTVSGIFGPLPGPTQDAFG NPRLMPQSSYFTSYVTFKTHLSYLRTFLPLTSSLRFAQPGGWATATLALTKHSNVPWL GYRSYSRLGLYLHNVQDSDGGKPDLYCAAAFEDSADAVVAHREAGKVPVFFAQLAASF SPTSFSLSASWEGRPILLMSLKGLFEAKSSEEATPFSPPEVTAKANMGTWEAEKADLT YTQLEGSALAEEFPTLSPVIERLRGIALQEVVSAGIVACPRDIVV VE01_02196 MSLEWPRTEPYGRRFVADGWNIPHQCKSWDAIMEYMDHAHYNGS QRSDIAK VE01_02197 MATNDAYGTSGAKDNNSKYDADFTQHVIDLMSPETKPRHREILT SLIKHMHDFCREVNLTQDEWIIGVNYVNSIGQAYKKNRNEAWRVCDILGIESLVDEIN HKIVSDEGLSPTSSAILGPFWSPETPFRDLGASVVQDMPKDGQLTLFHGTIKDVETGK AISDAVFDMWQASTNGKYDVFDPENQTRHNLRGKFRTDENGKFWFYCLKPTEYAIDTS GPSADLLGIMGRHPNRPAHIHMMVTHPDYIGVTAQLYPNDDRWLETDTVSAVKDDLLL DFKPIQNDPKGAVLDVEYHVRLLSNKYKPDSTMLMGNANQNKF VE01_02198 MATIELKTLSNGKYQQPTRLFINNEFIEGVDKKSFKVINPATEK VICSVAKATEKDVDVAVAAARKAFKGEWRKVTPEARGKLLVKLSELMEANLDQLAAIE SLDNGKAISMAKGDVAAMVSCLRYYSSWSDKIEGKTIDTFTYTL VE01_02199 MTALLPSSQEHVKVAATTSDADQALAILGYTNELKRSLSIWTIL GLSVAIMAVPAGLSVTLYITLINGQSVTMLWGWAFVTLVSVCTAASLAEICAVYPTAG GPYFWSAMVSNHKHAALASWVTGWLNLVGNFLATTSINFGGAQVVLAAATLWHEDYVP TAWHTILTFCAFTLLAASVNIFGVRYLNAINVAAMCWISVSIVVLMTVLLGMAKDQRT AGFVFTNYNASASGWPTGWSFFVGLLQGGYVMMGYGMVASLCEEVESPHLHVPRAMVI SVVVSGVLGLLYIIPVLFVLPDIGTLLAVTSNQPVGIMFEMITGSKPAAMGLLLLLIG IFVFCTIGATIAASRYTYAFARDGAIPGHRLWSRVNKKLAMPLWATLLNVAVNILLAV IYFGSSAAFNSFTGTATICLSTSYAIPVLISLCRSRNPVKGSTYSLEPFGFIINAVSV TWIFFSIVLFCMPVSLPVTASSMNYSSVIFTGFAVISLVWYVVYGCRNYQGPIAIIEQ DHLSGSEVHGSATDSLPKNVNKG VE01_02200 MSQTLSGKVAIVTGAGSGINLAFASALLDKGCNVVFADLGLRPE AQEVVGTHQSSARGRGRAVFQKTDVRDWSQLEQMFVTAEREFGRIDIVCPGAGVYEPT FSNFWCPPGSSGSHDSVDGGRYAILDINLIHPIRTTQLAISRFLNAKQPGNKTIVLIS STAAQDTSIATPLYDASKHAISGFVRSLGDISSANIRIAAVAPGIIKTPIYTDNPEKL AMIDARDVWVEPEEVAAVMVALIERDTMSEKIGDYSESGDISIEGGIILEVTKGRARP VTAYNDPGPSGPGALASNMAASEQAARNMLRPVFLNHGSPLEGSKLALNCLSSANG VE01_02201 MPTKVKGCRSCSRRRINCDRTLPACNKCVRDNLVCYGLSGTYRW LTSRTSRKTRTPGQGSKRDSPPPSQMALDDSDKGSLIPLLASPTSPLTFPLPRTQPSN HEDQWVLNYFAEQITPWMCPILREDNECKMQVLPLAASSPLVFNAVAATSFHRLAYYG NNEFASKAERYRATAIKGLLESCQRVCLPLPSSQDVLFAAATLLILMYDEMVAAQGSF TTLARVMSSMRNFVDFSTLSGSSELQRYLSGQFDMLAIFALPHLDEGPSQTHAFELVD TFESTTKEDQNNPPFLADCWKTVLTAWLCQKQNSDVDVVNSLLEDLKFRILSHDGITR FDHYMTWVYFMAAAASPSPHLRSFFRDRLFQHTITFGWKNVALMLKLLEELEKSGSDW PSRLQSHKKYICV VE01_02202 MRADISTLGSANGYSNGTANGSALHKVDVVIVGGGFSGCYMLHK LRQQNFSVRIVEAAPELGGVWQWNCYPGARVDTHVPLYEYSIEEVWKTWTWQEKFPTA SELQRYFRHVDNVLGLSKDVIYNTKVTRAIFDDSKSTWTISTDKGSKLEANFFIPAVG FAAKRSFPNWAGLDDFKGIVHHSSFWPADGVDLAGKRVAVVGTGSTGVQLVQEIGPQA GALTLFQRTPNLALPLNQVVLTRGMQDESKPDYPAKFLERLQSRFGYDYSHEDINTFD HTPQQRRAFYQSKWDQGGFLFWVGAYQDLLTDLKANREAYDFWAEQIRGEIKDPKKRD ILAPSEPPHPFGTKRPALFRNFYEVCDQDNVTIVDTNKTPVTQVVADGIITGDAKKHD IDVLILATGFDAITGGLKAIDIRNDRGETLSDKWSAGTWTNLGLMTADFPNMYFIYGP QGPTAFSNGPTCVEIQGEWIINALTHMREKGARRMAATLQAQEDYRAMINDLTAQTLF PLAKSYYMGANIKGKTKEALNFPAGIPKYRELLDESAAEGYKGFVLA VE01_02203 MAEKDKTEEMLEDLGSISASVADLEQVNHDVLRLAEMGYEQEMR RKFSPWSVLAVGFSLTNSWFGLSFSLATGINSGGPVILIYGLMILGLVSVSVAISLAE MASAYPNSGGQYFWAKVLAPPRYAGIVSYLTGWFAYAGSIFASASVASGMASGIVGLY QLTHPDLTIQAWHVVVTYELFTFFCYFLNTWGRALPAINTLSLYLSLTSFIVITIAVP ASSSSHQPASFVFTEFINNTGWKENGVAFLIGLINTNYPFAALDCATHVAEEVSNPER AIPIALLGTVAIGFSTAWLFAVSMMFSIHDLDAVSNTATLVPIIEIFNQAIGPTGAIA LETLIILTGVGCLTTCHTWQARLCWSFARDKGLPFSSQLSKVDHKLDVPIVAHTVSVV IDALVGLLYLGSSVAFNSLVSATIALLYVSYSIPVVCQLIKGRDKTPHGPYWFGKLGL LSNIVLLVWTLYSVVIYALPAVMPVTAGNMNYISAVYVALAIVIAADWAFRGRKSYLG NSVTEASEPTS VE01_02204 MIQHEAGFDLESILTDENCVKAIFENRYNYLTDSDYNLPALHDV QQRSSPNSFLDQGLEDAGESGSQEGNTQFNNKANANAGFDLESILTDENCVRAIFGTR YNYLTDSDHNLSAFHDVQQRSSPDSFQDRAAYVELNSFHAEVPGFDYSGSGYPVEQMR DIGHNDLDYDSIAKQQADVEIHKIYSTFPPGMLFLLRIIKCKEFISTIDIDMTFVLNL LRDLEDSGEPGPQEGNTQLNKMANEKADSILPQILTT VE01_02205 MASSKEWWNEYTLSTATNSWFREAQWRDHSLPGVGIDDNEITGL PDGHTGSMANYSLANRGSFSTGTYLPMGMLKRNDSRDTWLWQVENNSSWRWEVGDYKD NVYIAGGGPTSIDHDWRQKLKPHQTFTSVPMAICHVLDTPTNAFGVLTNYRRRLRRKH IDNEKLPIIFNDYMNCLMGDPTEDKIKALLGPVASCGAEYFVIDAGWYADDGGWWDEV GLWEPSRLRFPGGFKPLLDTIRSHGLIPGVWLEPEVIGVRSVVANQLPQDAFFREQGE RIIEKGRYQLDYRHSAVRKRMDEVIDNLVLNYGVGYFKFDYNIEVVQGTDVNSSSTGE GQLMHSRAYLEWVNKIYDRYPDLVIESCSSGAQRMDYAMLAVHSIQSTSDQQDPVLYA AIAASAPTAVTPEQSATWAYPQPSWNPEINALTVVNSLLGRVHLSGKLDELNPEALEL IAQGMSVYKNIRSDLRNALPFWPLGLPKWHDDWLALGMTTTSGNVYLSVWRRSGTSSA TLPIAELKEKHGVKVEQLYPRGFGADVKWVPETSSLVVEFPSVVSARLFLLRANEA VE01_02206 MSVIMEGYDTILIGSLFGYPAYQRKFGNYFPATNNYQIDGKWQA ALGSGPVGGSVIGAFINGFLIQRFGFRPAFMVGLVLMTAFIFISFFGNTIELQVVGQV LCGVPWGIFATIGPAYASEICPMSLRAYLTAYTNMCFAIGQFIGAGVLQSLIDRGDEW SFRIPFAIQWLWPPFLIVASVFIPESPWWLVRHGRFEEAERSVSRLMSKAEKPAARSV VAMMVHTNEIEKSTTTGTSYLDCFRGTDLRRTEIACVVFLGQITCGAQFAYSATYFFQ QAGLNPDNAYKLGLGGTAIAFIGTILSWGLMRIAGRKHIYLSGMIGMATCLFIIGFLT LAKGSQNAVWGQAALCIIWLLCFSLSVGPVGWAIPAEVSSTRLRSKTVVLARNSYYIV QVGANIIQPYMMNPTEWNWKGKTGFFWFGWTVLTIVWVIFRMPETKGRTFEELDLMFA AKVSTRKFAKYKVDAFEGERGGVLESEEKENNSNKD VE01_02207 MKFSTPLFALGFALTATASPLEVRDLATFKTIIANIQSDADALD VTIKAFTSGDGAAVSAAADKLVATINTGVTTANAQPVLSDLDALGLTTSVNTCNDHVT IVVDDTIAKKAAFTAACLGPDILADLTSQLAAAKALATAVTAKVSDLLKPTAAQLAGK ISANIQRGVDAYTGVAGC VE01_02208 MSDLTDKSGWNVDVFDNTIVAKWREETFQAQEARVAQGEELKTR VTSERAWDWCILELRDKALTFDEDRFVRLFDAGSAVCKSDVLVSESARLKLKDGIAPL LKRAISDRNQETLVDPSLFPLPHGKTSVLTEGQVCLRAAIESFGTGKRSPRQLDERLN TSEADSRIDAGYADVFESDETDDLRRFLWSSNYQLLPCEVEFSESGTGTDVHITSLPA RWPIRIRTYSLTWEPEYPRALVDKLQQDPATEIHKEAMKEAEGFFKLPNRGGSVQPSA DLPEDLARYPNMYVSAKWKDLYTLNVPEPGISFSYDDWKDGRNGAAIKEKCSCYKPKS SAPTQPDLDHEFYSVRLEDTFRDQGLQVIVKIVATAIYFYDVENTTAAEMKISFRQRA YMGQDDYHYNRSDWPAFPSKFEVPREDIESMLGFKEKSNLFALTTQEIGRWRIRDLEL ILSKVAFAASLFDSDGIKVRFIDSSRVLPIRDVIVGRSTLSHMLDEECASTGACVVGR GSP VE01_02209 MNNNVNMAALNAMGAGAVGGAVPMMNNGVPGNQRALPVDDSQQR ARLNTYIYEYFICNEMYDCARALINSEQPVNLLKGSPNQQRDANGNPVDGAGSDDMED TKEGIDMKRPDDLPAPNLPRESSESCFLFEWWSLFWDMFNAQRGKSESQNAQRYANYT QAQSRFKQEQQQQMLRAMSQGGMMGMRGQPNGMGMAPNDLARKAMQNNNRNITPQQQM QLIAQQQAKQNQQLQQQQMQRDGSDMDGNRQQRPQSPGSAEGAPSPSKRPRLENAPFN AAQGMMPNGRGQGIPGQQGAGPGGNVAPNAQMLLANGINPGNLTAQQFQNFPGQAQGP KALQQYNNNLAQHQQSQMPNKGMPNPNGPQGQGSPMMPNQDGNIAGYYNAETSGMRPG ANVGPNNGSHALQDYQMQLMLLEQQNKKRLLMARQEQDGLTNNRDGSGGPGQGGPGGP GGPNGQAFQGTSPQGARSVNSPNPNDMKRGTPQMNTTGVPSPVPEGQSRGSPGSMGFM PGNIDPNMTPHFYGKVNGMEGGMIGGVPNVMRPPSSHPTAFNANGQPNQQMINMQRQQ QQQQQQQQGNVNQQVQGWPAGPNGTPMMQQASQPGAPTPQPVGTPQQRAMPPPSAPAV AQAANGRTTTSSPQQPAAPPTPSQTTKANPKAKKDTKPKRNTKKAAPAGVTPAAEGAT EAATPTPATPITPMHAQSFNKNGQNNGQANGQPAAPAVSQPPVITQPQPEPVQNGVFS MDDSNFMSGMSMDFANPTAGGGDVLQDFDFDSFLNNNDDNPDNFSFDATFLDQEVIAE VE01_02210 MSTPLPGGFLPTPLSPTPSISSPHTYTNLPHPRPRPLRPGSGRE ETTRRWVENALLKVSRRYVKKFQPVEMRGPGEGEEGKGYERMGDVCRDLGEVVDVLWR SGTPSLQIPYLFNIALAVSTYLPAFPAAPGATFGLLRKMDHALASLLVGKDVASGEAL PGLEEEGSGMSRTDMVRCKSIVEQTRVVVVEVMGREGEVEDEGSMATETEDEGTDGGG AQMDWDEDESDLHNMDVAKVYENTIVRLDEALNRGTAYDVGASSG VE01_02211 MSVLLETSAGDIVIDLLVDYAPKLCENFLKLCKVKYYNFSPVYG VQKNFSFQSGDPLGPDSKHSDGGSSIWGILDGPAKRTFEAELNPKLKHAERGTVSMAT VQSPRDPDKRIAGSQFIITLGDELDYLDGKAAIFGKVVEGFDALEKINEAICDEKGRP MVDIRIKHTVVLDDPYDDPPGLTEPPGSPPPSKEQLATVRIGEDENLEETTDPEAVER QRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTTDEDLELIFSRFGTILS CEVIRDKRTGDSLQYAFIEYADQASCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSDSW RTATNSKRRQGGGGFGGVSGLEKKRQYKADDYGVRRDRYGMVYDEDELRRRGEREREM GGKPSRSPERREKSRSRSPSPRRKETRHYDSRDDGRRRDDRDRDRYRDRGYESRGGGS RRDDGYRRR VE01_02212 MSTHETHPNDQDQEEGQKPQQRRRIPVACGRCRKRKIKCSGPQG DDGCHNCKLAGTNDHCQFNRVQSEELPFVKDSFNYDSSAALRTLHRSRGLPCYTAPYG TPSIGNTLGSQQSYRQLPLNDYQYPTKAAYYTPPYGIDYADDGVDYNIMPHTFQQLNQ DQIGLQYATNPPARPWTPAPGLKAAGNAACYDPDPSCAYTSAPPLLYNHAASYASRSS ISTESSNFSFHSMASSLPAASALTSNERVLPMPARPLARTSDGVVYYSGLAPNSGSGI KTDSEAPLSVSSDGTSHSYSSTDNTGEQDLYVSTSNGWTHTPAHQPSLRAQTSQAELF SYGASSDNLPVRKLQMDTGGTLCDGNVYVPFTSSSSGRAGQALSDDQDRLHETSLHRE AISNVVA VE01_02213 MADREQPVTLRTRKFIRNPLLGRKQMVIDVLHPNRANVSKDDLR GKLAELYKCTKDQINVFGLQTQFGGGKTTGFALVYDSPEALKKFEPHFRLVRVGVAEK IEKPSRAQRHQRKNRLKTLRGTAKVKGPKKKKNDD VE01_02214 MPLMWREELDLFKRQYLQLQISLKYPSKECLKHEEFQQMLYSEV FSEDAMKWYPPQRYQLRVLKELMKRIEVSITDWEEEQSISDDLMNSFASLLTTTIPPE AVAAQEKSYVTYTLSNLLQESSSSSASPPSSTPPPTDPPTITILESRNLLGGTGTTGF RTWEASLQLSSFLSSPTLRPSLSLRGKSVLELGSGTGYLSICCAKYLGATHVTATDGF DTVMTDLGTNLFINNLQDSPLVSTRELKWGHALLGNEDATFLEHQSPDFIIGADVTYD AAALPALVATFRDLLELFPKAQVVIASTVRNEETYGKFLGLCGKYGFGLEQMGWEAVE PERQRGPFYETVMPVRICRITARGG VE01_02215 MRKRSDKDKSAHAGPGTVQQIASTNWTVNLFLGGGQVRSWMNST AEAGAPSSTSTTISRPKKRTSVLAATTTAGGDKRPKTASTVLPSPAPSDEPSPTRETD SSRVMQEDTVGMAEQQRLPVTMQEADTQEVRRPTTRLSIAMSQLGTGGNAEGQAVRRP GTLESPIMIQRGGDIDGAGQDMRRLEMKMPSMLVQQGQVQQSPPLMQQSNTVNEPQVV RSPSTQQPQMVQQRNTGNEPQAVRSPSTQQPQMVQQRNTNNESQTLQNAWTRQPVSSG YTPQAPAPPPAKRRKMTSANHPSLKNMLPLLEHHVNAHGGMQNLSRNIERQRFQMLQK ACESDDYFYFALHQIFCLYTLDPELVATLIMPQGGTVLEVAFGIIGQLMMPNSAISPV HTKFFSVFPNQLPELIHRSDQYKLTVNNVATFLARLSLEWPKYIPECINRGYPPLVDE LVDRFGLLSPILQHIIFTATRRNMGIPDDEYSAQMEKLFSHDQELHRQMAARVNTAYP PTEREINERNQWQSNQYKTVRAAQLQRIEQIRQGEPSHMSPNATRPLQRPNMLGRVQS PSNIPPATQAGHPSPIRTTIMLSEVLTASPRSTTVPSPHQYPWPSQAAQACPMLPSQQ ILPSQAQNIQQPYQPSQPQNMQHRQAQVNDIVRTASRNASRNASLVQFPGAAGSAAPS PVLTNQPRRVSSSTYSMTVPTIASMPAGYSSPQAQPQPQQKTGRVPLIPPVGYTPPYQ FPQPDRSALHQAHLRSPVLKPIDADEGLVANETAPRYYQAVQCFAVKPTTLLDSPLLT ELSFAVPKRVYPNIAEDKSSDLSAPMLREVRQGSLQYRVRCSRMNKDAPMEPSDFVVA DTSWPPTIFMEMNDSVIEIRRKSHYGKDRPVDITPHVLERGPAKKNTLRVCVPRPPKT GNDIFYSIAIEVIEVFRHQQIIDMCLQEQRITAQEMIEDIRAKLSNANIDEDDDVALV SANLTIDLADPFTSRIFTTPVRGVRCRHRECFDLETFLISRSTKPHEVACLPDVWKCP LCGGDASPRALRVDEFLVSVRERLEREGGLDAKAILVTEDGAWTVKPEALPAGRRKSR GPQGGSVPRDESEHSSPAAQSRQGSRAVEVIEVDDD VE01_02216 MASPLPDKTKPRPEKGMILLVIHDFIARGSDELTLTRGDRVELV ERDDDFGDGWYLGKHLTNGATGLFPEVYTKIAPQGPPVVTQMPPVAAAVTPTPVLAPE QTTPTAETPAPAPLAAAAPAPVVLDTRNSVPVEEATKTTQLERHISASQVLDAGPPSP SPLNTPQSASQTYATEETLPTVVEPISAVPKSVISSAVLPGLGQDSSPVMSETLSVIQ EHISNMSTPRNSGGGIAERRGTNDSGSEYSSHIDRRASYINGSETDEEEAREFNRAEV SKWTAAQVAEHLAAAGVEQAHCDVFRDQEISGDVLLGMDQSTIFLKEFELGPVGRRLK TWQKIKALQDEVHGVMTPSGSRRVPSIYGSEMGSDGGGTRSQYYSGTTRYSSQRQTGR LSSMGQTSIYPEDQQRPALGQSRPSAASIREFNHSRRHSSIDSPTLLNQAAKSPDGPQ VTTPTMASSGHKKLPSFDRNWTMGDQPPSAGMRPASQAGVHPAASDEAFPAITSTTPY EFDRGYFSGTEAEGRTKNVLRKRTGSHSRQSSYTDEQRLRSATTVNRHSRFGSIDSLQ QPHLSPAGQKYYGVAGARRTPSVGSIRPAPPPKDTPSPMVTKLDGIPLAGTSKTASGG RAADWFNAAAGRGSGFRAISDAVTGKERARNGVDQQLVSPTDSNRSPSRTGSSTPSGG PSFDLDSSNTSKSTILSAAARSNRKKGKKETSAYTRGLQNISPKEAMENCDYSGWMKK KSTNIMTTWKPRLFILKGRRLSYYYSENDTEEKGLIDISFHRVLPADNDRLTGLHATI TGAISGPNSPQGAQTPTTAETDAAAEAGKGLKTEADSMFIFKLMPPRTGLSRAVTFTK PTVHYFAVPNIAQGRLWMAALMKATIDRDDTTPITTTYQQKTISLAKARAMRHRPPAL MGLEEGEERGAGEGEGGLNIRGVGLEEGEEGGDEEDGGVGVAVGGEDSPVGEEGKMPL TA VE01_02217 MGMDMNMGMGMDMSSDSAAFVDTNSSMARSYWYIIAAVLGFTAL LRIFQIAETRTRLRLAKLRAVEHPTQPRNALAQALATGSAILREIAGPKYHINNRWAS WLSPPSLGRSLIIVIYMAVILYMLLWHSITFDAYYYEKIAFRAAWVSVTQVPFVYLLA SKASLIGLLSGSSHERINWLHRWVSRTLLVTVTVHGGFFYVEWYRADLVEVELQMMTM VKYGIGAWAVLAWTFLTSLTPIRSFSYELFVLQHIAAAAVFLWLLWMHVPSYAQYNIW FAIAALVFDKLAMYAWTAWTNAPASGKTGSGLSKFIGHRAEIRAVNDEMTEVIVHDVQ FKWAAGQHIYLRIPSIGPLEAHPFTIASACPDTSSASSIQLLIEKRGGFTKRLHAAAT KQQKNGVTAIITGPLGRPPTWAAFETLILISASTGITFTLPILESIVNNTDGLTCVRR IEMLHVVRKRDSTDCYIERIKTAITAAERAGIALTVRIAVTCGTSTGTKSCCGSNCQC HNFEMAPNLAVEVSNTTAAAAGAASQKSDIEKGIIPIETKPITETSSLSITPSTEKGD ITSTIISRPSSSARTNSETTSLKPTNRQIIYSSSRPDVGSFIRRPVEATAGETQVAVC GGKSIVACVRNHVAALSDERGVHKGTGAQGIALFTEHYCF VE01_02218 MLNANVVLLGLAVGVAQVNGHFNLNYPTTLGFNDDTEGTGPCGG FEPSLVKTTDFHIGGDFIAVKTTHPKSNWYFRATTNATAGGGWVNILPEIEQTGLGAY CEQNLKLPDSFAGQKGFVQAVQHAADGDLFQCAPVNFVTGVAASIPSACTNATGLTAT VVGGAASSGASTTGSSPSSTGGSEASSTSGSEASSTSGSDASKTSSAAAETSTGAASA IGGEVFGAFGAAAMSLAALFAMVL VE01_02219 MESDNLRTASVYINNLLLSRGLLKNGQNLDFAHPEQGEGGSEGT MGRIMGVVNDLILRRDRDATQRETLSNTLRTLRADSLRQTTDLTRLQTKHAETQRKLS LSEATERALKAQLRGAEGAARGLREEMGRMRVLVGQARAQCANEVRKRERVIEGLKKH VGEGGRARGSGKAVGVATVTIVAGVGGEGGEGALVGVGESDYDLRQETNEFLTELAKG LSEESENLGMLLRTTVESLKALSGWEGEEEGGRGGELVISVDDSYENVAAEIDSVIEH LRTLLTNPSFVPLEEVEVREDEIIRLREGWERMESRWRDAVRMMDGWRKRMATSGQTV NLEELKAGLELSPVKDLSGKDQNTQDLEDGYEEGDTQADIDAMDDSQVPGGEDITPDL DEMSDASSFEEEPEDFVVEPTPQITAPSVQAAKPVEAEITDQRPKRSGREARTELSSS LNTQEDNKKKRKLDPQAPPSSTSRVSKPRTDSSSDISTSRRAPAPRKEQVVERQQPEP EMAPSSSPGPPPQLSPLRPIPDPNHRRKSEFTTIVEENTWDFAQMDRSSTDPVPSSDE ISLLKTSRHDAPPPTTTETSARQASAAASTPLKLTGASRLPRPASAMAQESPLTMASI AAKLAATEREADAARVRAKLKAAKLSSAAAATNLAPPRRSGEEGEREREPVKGGGEMV EEEAPRKRKASSRMGGRASRRRSTLSPWELESLILGGVGEGAGK VE01_02220 MDRFLGKLKKKAGGGRNKAGKDKASWTPEASRSPSPFLSPESEP KSPFSEEFSSFWNSNEDQPQEAFETTLRPPVTPSGDRHSNYRPHRRVPETPPATATSL FPPGFSSHPYEHTKKSYPPPSTSKFAAIYSPEKIKRKELPKTEKLPDNEKIHQALETF KASVYAVPKVDKEPEPKPKREPERESDPNTRSTSQEIEESIHSVESLPSLDSESDIVI KTKAERTAELLAKKYARLVHQQEELHAKKYMRIIHNREELENRGILWPTNAPTYEFPD DENETHVYEFPQFVPKPLALRIQRKPSSEGLQSNQRQISNGVVHDYEAAKLESWRQFY GKGEMMKTLHNEIDEYLSALLFKRLQKETAADASRQSTEYRSELNVRKYWDGVRGFLG SEFKNEETRN VE01_02221 MDPFSSEVELVDLRDHFAAGRFQEVVDYDTASLSPENKVPAHIL ALRAKVALGEAKEALEEIKDAESGPEYSAVKAYAEHAVGKTKDALKAAEELVESNPDN ATVQLLAGSVLQSEGKTEEALALLSQHQGNLEAVALIVQIHLQQNRTDLALKEVLAAK KWAQDNLLINIAESWVALRVGGEKYQEAFYVFEEIAQAPSGAATLALLSQAVSEIHLG RFEEAEAALSQAIKQFPENADVIANMAVLSILSGKDRSEYVQSLQKLDPEHPYIKGVE EKSDLFDKAASKFAAKVGA VE01_02222 MPSFAATVFSHPVTDALEKRDDHTFKTVLKTTWTDLDVAEKAIH KYDDDNYEFIEAVDTAPKNLQKSEKEVKASGALSKAGVADIKLIFQDLVTVSKALHDE LIVKRPTIEATRKCRFIRVKLSLLWAFSANLVNAIADNSEPKIKDLIRGYAGDYLVNI RKAEYGFDESKCIDATYDLSSQGDL VE01_02223 MPSALTPPVEGENEDLSVPRTSYQPTQTDAASKKRKVICFSDFD GTIFMQDTGHILFDSHGCGSEQRAILDEQIKSGERSFRDVSEEMWSSLNVPFEDGFEI MEEKLEMDPDFREFHKYCLANSIPFYVISAGLKPVLRAVLDKFLGEEESSHIKIVAND AEIAEDGSEWKPIWRHDTELGHDKARSITEYRESAKTAASTDELPLIVFIGDGVSDLP AAREADVLFARKGLRLEEYCVEHKIPYIGFDTFADVQREIESITEDDVKKTGGKGKPA RFNPRANFWRRVSSQQSVTR VE01_02224 MVRIIPSRLKSYTGGGGSGSGTATPNTNSNNSSVANSTCAPAAS QKSNMHGKRDASPAGGPANGLMLRIVVLRGKNLAAKDKNGTSDPFLVISLGDTRDTTQ YVPKTLNPEWNHLCDMPVSSIKDLLLDVVCWDKDRFGKDYMGEFEVALEDIFANGKVS QEAQWYPLKSKRGGSRRKEKKDSNISGEVQLQFKLYDSSTPGASPPQVMEKFWAIAGI ENDNLTTTKSGSDDASPTGDEDDDDEDEERSGSADEVDEVDDPSKPETVEKKRRRKRI RGLKKRKAHQLYEFVGASSVTGIIFLEISGVTDLPPERNVTRTSFDMDPFVVASLGRK TYRTRVVRHKLNPVFNEKMIFQVLRHEQAYSISFSVVDRDKLSGNDFICAASVPIQDI TSTGPKADPDTGLYDLPDLPDSGSVPKAPSKSRFKIALSRSTSSQSLNKQGRPPLSSK PSTQGSVTGEGIPQVGANLTPEAIPPSTLEQTDSTDERGDPDLHTFHIPLKLKNMEKW EEKHKPELHLKAKYLPYTALRQQFWRSMLRQYDVDDSGSISKVELTTMLDTLGSTLKE STIDKFFKRFPHMLNDEEGMLSYDEAVICLEDQLEMKSKQSQSATDKVREQIQQRLGR SAASSSLSLPVTQGESEATLSEEADAAELSNAEIGTPLEEGEFSNSYDLYDKDEEHVV EIKECPICHQPRLNRRSDADIITHIATCASQDWRQVNNIVMAGFVTSSQAQRKWYSKV ITKISYGGYKLGANSANILVQDRITGHINEERMSIYVRLGIRLLYKGLKSREMEKKRI RKLLRGLSVKQGVKYDDPASKNEIPKFIAFHQLDMSEVLYPIEDFKNFNEFFYRELKP NARPCSAPDNPRIIVSPADCRSVVFNQMDTATKIWVKGREFSIKRLLGNAYPEDVERY TNGALGIFRLAPQDYHRFHIPVDGVMGTPKTIEGEYYTVNPMAIRSQLDVYGENVRVV VPIDSVAHGRVMVICVGAMMVGSTVITRKQGETVKRAEELGYFKFGGSTLLVLFEPGA MRFDDDLVDNSNGALETLVRVGMSIGHHPQQDQHTPDMRKPDAEISEKDRADAQRRIE GSLAPDRVVNGAADLLPAALQKPPGAY VE01_02225 MSPAKAYGPYSLGNRPPPSPYSQPQSAQPSPYSVSGLISPPISN AAESRRTSDDPAEYAPQQRQSLPSISEAIFRSSTQAPSQPPAAASAPSQQFANPNPFA HPSPTPTSRSYSSEGPPYSTQQPNHSQYPQRPSPPPLQPPSSFPGYNHFSAPNSDAQR HQSLPSLPSLRTALPSQTNPFPARQPEQARYEQAPRPGERPENHVPRRHNDPYYGYPT DSTGYTPEYSARPRSLPYYNGQGPPSRDEPRGIKRDLENGEPEPSMMFQKTLQHNLRN HDFEMALSELQQCGTEISKISEDILDTMRRSQRDPDAQGIPVMRFHDPMLGCQRKLDE AFHNVKQYMAQQQRILDDHRQRNQNGGPGPEYGGEEEQWGVDKEQGFSGGPDPKKIRR GRAAPPGRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRRNGTKQTQGMVGTSL RPKSLDGTSPLS VE01_02226 MGLLALGTPLEWPEAKKNADQVRDWGIKQLLAIWNKAKGKERDA LLWGDEVEYIVVNYDENDPKVTLSLRQADILHSLAHDDELKEKGGCVPDLQDVASANG DTLPVFHPEFGRFMLEATPGKPWGIGFKDLLDVEQNMKWRRKLAKEHMKPEEYPMTLT TYPRLGSPGVFTDPYFPPSGEKLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNV PIYKDVNTPWPWKDPTVNYDLHNWPEDDDVRNGAAPDNFIHMDAMAFGMGSCCLQITF QAKNITEGRRMYDQLSPLAPILLALTAATPVYKGFLADTDVRWNQISRAVDDRTAEEL GEKPLEHDRWRIPKSRYASNSTYISNDSRLRKEYLDPDLVIDPDIKQQLMDGGMDSRL ATHFAHLFIRDPIVIFAEDLTTLDLTKTDHFENLQSTNWQHMRFKPPPAGVDIGWRVE FRPMEIQITDFENAAFAVFIVLVTRAILSYDLNFYIPIQKVSENMETAHKRDAVLEEK FWFRKNPLPTRLPRPYNAAGSGASTPVMSRPPTPTGPVEDEYAQMSVDEIVNGSAEFP GLIPLVESYLGSVNVDVETRCELQRYLDLIRRRADGTLWTAAKWIREFVKGHEGYKGD SVVGEEINHDLIGAVIGIERDGGEGVANVEKLLGKQRQRGGL VE01_02227 MEPFTKKRRLAPKVTENPIQDQAQNEQLFEYQASSSLPDAPQPP PQPSPDQADLERFARQLQGAASVIETQNNRLPYSSASVLLLRWEDDLSVADDLLALQK LFRERYNYRTEAWSIPTCPNPTSKLSIQLAQHVEYARPGHLLIIYYVGYSYVGTDNQL YWACNPREDSSKVKWDNIKSPLEDAPSDILLLLDCCAVVEAPDSGSNGLKQVIAAFAP DTAEQDPGPHSFTYHLIDALHKLSSEPPFTAQQLHDELIVSLRHYRSLDHNGLTNGSG KANSTSERTPIFFTLTPNSSRAILLTPVPPTAMGAPHIASPSGAADGDVHQALTHGEQ ALQDASRPGMVFEEQRALVSILFHGEPDHEMASFKHWLNSTPATAAKVTVEGRFQGPP TVILISMPLDVYNAIAQDKQCILLGYIKSHNLLREHQSLVDLTAIANHASSKHLEDGK ILLEAADALAAASSPGVPRNDSLAGSSSNITYQHGHQSPHSLPPPPPLSMSAPPEPGL IPEHKDHVEDSDEMHEAAIQLKALSHVRPVSHDSKRQDRGASNIPAGESPSFQSPRQH SISSHDGGESGMEDNIYSSEYNSPASRPKARRSSQKAGPKQDTRCNMCSHAPFKDSSS LRKHIAAAHTRPFPCAFSFAGCTSTFGSKNEWKRHIASQHLCLTFYRCSSCPQSTVEG KGNEFNRKDLFTQHLRRMHAPFAIKKALAKGDSKLQVEWETHVKDMQASCLVIRRSPP QRSACPKADCSNVFEGTGSWDDWTEHVGRHMEKGEAGRLGVDKLLARWALDEGIIERR EDGEYRLCGAEREGGNGGGYYSDSAAAKRDEGDKMEEAIMMADEALR VE01_02228 MSNPIAPGVFPQTTTTPAKPTLPLAPTTSTPSSTNPSTYLFLLL LVAVLLLVTAFYLVSRRHRARAIAARHAGVRALALDVEALAGAQHPRTLSPSTPSPPR GWRFARLLRLPTRRRVVDEDADWELDVDEVGRAPPPYAGEWKEGGGNAGDDAGLPDYE EVCGGRMDEVGPRRSGEVGLAVPERIVRRGSEGDWRGGGRERDLEEGRRRESA VE01_02229 MPRAIRGVLVECDPSIKAIIVKLDSERNDFIVEELDDQTLVINE AKLGTLKMMLEDKLKETQQPADESGSD VE01_02230 MFKINEGDVPFVRTRRALLVLDLQKNLVAPGGITPVELPPNLAD HVAKLIPVFRQSGTVIWVKSEFEQARRINDGTSRCENVITDDEVVMRPPKPSPSRHSL RGSKKLQAMMEKLATEASAESSGSADLEGAGPIEVDDKTTPDEPEEPVEESEAFLSLE PGKEHMSLKGRASDSGLVPALEAVVDQSKDMFFTKSYYSAFKSSPLLQTLRSQFVTEI FICGALTNMSVFATAMDAARYGYAITLVDDCLGYRSKARHDQALRSLDEATGCDMSTS SYVIEEIQKKPKQSSKVEKTASKRPVRQNPDSENINQMLEKLKLKSDPKAASIAEPVP GKAVQKDVNTQTSAVRDGSAPNEATTSDAPVPEASQPRPTAEATIKSRVPNKIKIRRR TSNRVAPESGESKSRVDPKQSKGTASPSPSATMAPVESSVKGKEKAKDESNGVTPVPE KPTPTPRTQENEPSKKESIVSPPAPTGKAAVVAPIPAKENGLKADEQPSSSDSDESSL TSTEGEPICEGDTVIITDLLPSKDEAGIFERVKTEVQWQRMSHQGGEVPRLVAVQGEI GKDGSIPIYRHPADESPPLLEFSPVVNVIRREVEKKLGHPVNHCLIQLYRSGTDYISE HSDKTLDIVPDTYIANVSLGAQRTMTFRTKRILKDGKQLQTDEENPRSTCKAALPHNS LCRMGLMTNMRWLHSIRQDKRLERDKSPEELEYSGVRISLTFRLIGTFLDKDQAKVWG QGAVAKHKRHARPVVNGPTPVAQKMIEAFGTENRSSDFDWPEVYGQGFDALHISNTRK LLLSGNPTVDIGIVAYLAQLGLDWTSSSIAAPVDPSLGTADAPVMKLVDTDLSRSTIE SPSAIFLYLYMVYAAPSPAPTPLQYSRLFTRLHHALDLGARRKSDPRALDAWETYAAE DAFIAGSAPSIADFAFWPVLRAIVAARGGGDEFPRLKAYFRRMGELEAVKEAVVAVEE GKEEVGKKE VE01_02231 MSIEGYTNFKWFLVTSPAEYVAHVQTNRPAKFNAYHEPMWLELN QIFNKLSVDSNVRAVVFSGAGDKAFTTGLDVQAASQGGILGGGENKLDIARQSVQIRR HVEEFQNCITSLEKCEKPVIAAMHGYAFGLAIDLSCCADIRIASKDTKFSVKEVDIGL AADIGTLARLPKIVGNHSWVKEVTLTARIFTAEEARQVGFVSHVEENKEKAVAKAVAL ATLIASKSPIAVQGTKELLNHARENTTAATLRYTGVWNSAALHTDDVKAALLSGIKKT KPTFSKL VE01_02232 MSPPVETFSAAELPTRVLGDVNGKRRKGIEGLKLEECEMLEILQ YSCVIQGYEKGEVTRESIVQCTPIARLFRRCQDRKGSFLVETTAWEGEKTEK VE01_02233 MSSLRMPGQSASRRDQLSRPGHQIHNYHPREGYGAEAHATTQIL GMEEPDIPKGPISPKSIPASRPESVASGGIEDANSPDAPSLPSRKRSSSTQTARRPSE EPAAKRLRTSEAEEAITVTVEPQSVSWDDLITAVPYTKPLFAEEPQYLLERSAALILN HVGFDGASKEALESICAQASAYADKFLSYVTESMLSCRRAQPIPLDYEYALRRHGLTD SLLRPHLKPPVPRSKTQLVFEAQEPEIQDVQVIPELLGQELSGAVDKLTKPFIPKQFP AFPSKHTYKATEVMPDRETDPRKIREKATEASRHGEEALRRLVGVGKVGDQKGVRKSL LKNPAKKHRHELWDLAMQDLKLNTVQAPAAKPELDGSERGILIDAGRKYGRQSTTRAK N VE01_02234 MAIKPITGMLRRGLVLDLSIAFGIGGATGYAFWYGYHMPIVHRR DAYYAKLEEKRVANQIQ VE01_02235 MTEQLILKGTLEGHSGWVTSLATSMENPNMLLSGSRDKTLIIWN LTRDESSYGYPKRSLHGHSHIISDCVISSDGAYALSASWDKTLRLWELSTGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRSIKLWNTLGDCKFTITEKGHSEWVSCVRFSPNP QNPVIVSSGWDKLVKVWELSSCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNAGDEIHALVFSPNRYWLCAATSSSIIIFDLEKKSKVDELKPEFAV VGKKSREPECVSLAWSADGQTLFAGYTDNLIRAWGVMSRA VE01_02236 MPVSSAPPDEGDDAPRQTHNFAPTYRGLVYRAVGHDGGKEGEKG GGEKQAKKAGEEGQGGRVEEGGGEEGQKEGEKEVQYKLQTMKWGLIPSWTKRAPDFSA SLKTINCRAESLMSTHGMWTSMKQRKRCVVLMEGFYEWLHRGREKIPHYIKRKDGRML CLAGLWDSVKYEGEGERVYTYTIVTKASSRQLSFLHDRMPVMLEPGGEEMWRWLDPGR GWGGDVAGCLGGWEAELEVFEVDRGVGKVGNDSADFVVPVGKGRGGIEGFFGGRKGGV GKKEEVKDEVGKKEEAEDEVDKKEEVKDEDTKNEEGQDHKRNIQHKPSTKKEEPNEGD IKMESIETENLSEHAHQREPPKKEEPDEKEEYKPETPKKEPPSSPAKKEEESKQGIKR EHDDNLDLDKAEPVKKEARTLASPVKTEGRGLRSSTRNDRGVVTPKKGKKAEKGEQKI TGFFGKK VE01_02237 MASAHKTSPSTPSGSGSNRSKKATSKSLIVRLKLSSASLAKFLP AAAEPIKSESQATDDKPASSSTTSSLSDSKAPTASPLAEGEASNGNTPVPSGTDDSVA MPPPAEGVKGGKGKKRASLLVDGVVKPRGKPGPKKRKLDDGTADSPSSKPPHLSTAHK LGPKANQGAINAGLRALDRSGAPCRKWARGGFAIKSFTGTTWEIPRWRAPPKVSVTGV DGKGEGENGGEGSSGKENKDSSQVESEKSNAGRDVEMSSPGVQASSPAPAMVAAAS VE01_02238 MSEKTSVLFVCLGNICRSTMSEGVFQSLAQTEPYTDLIDRIDSC GTGAYHVGDSPDRRTMATLKAKGVKGYKHAARQLRPSDFQEFDYIFAMDSSNLSGTKR IQQLKAPNGKAKVLLFGEYSGNGKVEQVEDPYYGGEEGFEVAYEQAVRFGTNFLEELR GKEVDGKAKN VE01_02239 MANPFSSPGNRTTNMPTAPPGHAPRRSSYAAVVSGTTPTTDVFG FDSGNRYLPSSDQRHLIDPRHTLNGSWGTPSHGGLPRYSRAYAELINTYGYDGDGDHF FVPSYLRGSAYIQTLETAYRAKVAAAREAAQSRHSSQPGSLSTSASAANLNVAGKLAP SHRGMTFELIEKAAPVEAEGVQMLPSRWNANDKYAGLEVLRDGCEVAFTGGKTTEYEA YSIRADHAMPVQSGIYYFEVKIVSRKVEDSSIAIGFSTKDVPLSRPPGWEPNSWAYHG DDGHSYCCQSSGKTYGPTFTTDDVIGCGVNFHTESAFFTKNGNHLGTAFREVRGKLFP SVGMMKPGEHVWVNFGQSPFEFDIDSMILKEKKAIEEQVAATSTANLAPPLDETSLIQ SLVLQYLAHDGYVETAKAFSDEVRSEKQALNIGNKEEVKGFEFHDDGDASQRQEIRNA ILDGDVDSALQLTESHYPKVFEDDEDIYFRLQCRKFIEMIHRGAEIRSRASTGRTNGH HEYDAYDDMVNQDMELDHPHEQNGGFDKMDTDSGGGNGGGATNGAVHDDYDRLLEETI NFGKAISAEFAHDKRQSTQRALRDAFALMAYEDPVNSPDVAHHLALHKRVTLAEDLNS AILVSQGKNPSTALERLIKQTVVLAEDLAENGGPGSFVNVYDYMKPRPPSPSPF VE01_02240 MPPSQAPSSTSTSPPKDEQSNSTQQTDTETATLPTRLRTLFTIP APIRTLFDLVPLHTYAPNPLPTRSPSPSPLPTLHIFTSPSSPTGPSPNPTCLKHQTAL RLASLPHTITNSTNHASPTGALPFLLPPTSSPLLPAALLPIGAGQILNYAAKAGHPLP ARPSHPKAKVYASLIDDAIRPAFLHAVYLTPANASLPTRLYLAPATSSWLVQTYQGIT LRRAAHEQLSIAAPGGVVDIDDIYARAAAAFEALAGALEGEEWVLGGEGGGVTMLDAE MFAYTHLVLEEGMGWGDGRLGREVRRWGALVEHRERVLKRCWGGE VE01_02241 MKLSTALLISASAALTSATGPDYDPATGKWTCHVPDAVYCAGDS LKTNIIIRCTGLVGQPGNCNDNLAGEPPVGVQPTLCYAPQLHSAACVKNCIVYGGSGN LDGTFPLPKDPTTTTEEPTATTITHTAEPTEPTDEATVTVTIFTCDNVTPLPPSTVVT HTNGTGIVHPTGGDTNTPVGPTSTNIPPIPNAGGANSASLGMVVLAAAFAFMV VE01_02242 MSTPQPPPPPSGSPTSSDTLPRTPSPKSPSISAPPQTLNFQPPG IIITSAPEEPFIRSAAPSPSSSRFAAEERSRRSAAPSPEERSLKTPSPSPSPSLTGAV VAGPSQGSRSRSSSILGAGVPPLIPGAGNGYSAAGVSGSMPPPPAPTLIPDPPGSTRL AAFPRTRFSSHHVEFEGRPPQQPELLATPLSSYFEGRHTVDPASIADSGRSSSSSSRP YSRNAAMVIPQLSGPASQHGGDPSSSGFQRSGPVVGTGTGQNEDAVPRRRGRSAFERV QDFMRGACMPIAGRKRGHEEMEDGWALTGMVGDGVGNGFGNGLGNGNANGFGNENGFV NANGFGNGFGNGNENGNENGVDGYFHEEDGDVDMDGANAMATDDAVHGDDAMDGANAM ATDDAVHGDDAMDGDATIHGATMDAVETGTEHTEPQNGYEDHDEALATAPRQLADPIT MSRRNASTQGSSPAERSQTSVVVSSEAPTLKGFARLAHRARISRLAQLIRIDRLSRLA RAALYWLPFFGIHHVFMITISISIIFLSILVAGCTTTGLKDVYLLSLSYQPAPPSSPP ASQLSPSLSTTFANLAGNSTTLQVRAGYIGMCISAAPGNWTCSRDADALARALNGTRS GSSPDPLNILWIAKTFRDTIVFDGLIFASIALMVISLILLVTYPQWHTSEDDDGSEVE VRPFPSRAVSKITLVAILIAAILMMISILWQHVATATASSMGGSLAYGAVRGGVGAAA MVLGWGGGFLVVVAMLGILVMVLSIQTIQAIEY VE01_02243 MSGALQGNASMSRSSREASENFTGYPSDSDSDSDGDESMSVYRR EAGAGGRREQSFFIVSDPKKHPEYRLGPLERIEIRPILKASTFKILKPKKKRRKSMNQ YAIDAIRRNGVNGSVLDLPEANIRLPDSDSDSLSGDESGQSHPQNIKWKDRSSLDAII REGNTSRNSKALPTIMEPTLRHFKYSDMIIRASPVFRHFYKVLDGVPSRNNNVANITS IDFSDNFRDSPTEFIVGSNDSHNIRRLGAIGQIPENVKQRLLIVPDLAPQIINLLGNL FGLSPEVFEEHLINSGYEGANYDDKPAHTWSTAKMKKSHASIKWYRPVQRRPVPPYST RDREVLLDPTQGRLKRSEDYDRDGLVYQTQSNIFRSEWEMWTDPTITAEDDRICGWEE RATIWSQKLDGRDCYIVILVLDPLPVIEEGVEQVVEAARVYRPDKDGSDSDDSFVDPA EDAEHLWKLAEKLQTQRASKKRTTQLQKMLNPSGKDRKQDDEAASVLSQAFEKVKVKV LNLVRLHPVFQQIVPRAHIAVGIDEAFRHAPLLDELGRQLFNTTSTKDEFCQWLDSMP PGRDDHHFALTTPLYHIIQQDSINLLSHLHKTLDEINLDMLSDAKMEDRVVMWRQIIA RAQLELPELKRSITSFFTFTQLLGVKGGSDIQGQFKDLSTGIDDMIQRLQIASSSLTS NMALLDSRRSIAEAQAVTKLTELAFFFIPLSFTATLFGMQVEQLATPAPIWIFLVLGT GFIALSYLVRLTIRSAWLHRLIKAYKESIAIYAQSKRQPLKQGNVPASMFVRWAGHMV AHGLAANHRAMVTWISSSRPGRIIVGASLVSFIVVPLAVIWTRPLAPGIRAAITAVIV LLVVTTVVLNVMWRIVSAARGSNDRYGSTTYTHASGSGSGSGSL VE01_02244 MSTTDAHIPAPAEAQTGSSPAATDSAPAALTAAAAPTTTTAPPA TSTPPPKISLDTSSHNSEPALDFAGDVDTNNILPTQATLSKIEDYPVLDRDGKSIPFK SLYTGPNVPRRVLLIFVRHFYCGNCQQYLKALSASITPDDLLRLPVPTFIAVIGCGAP SLIQMYADASECQFPIYSDPTAKLYSELGMTRTLALGARPEYLRRSMVASTLASIVQG IKQIPVGRALVGGDMQQVGGEFLFEPVGELAASPVTPKVGGEEEKKVVWCHRMKNTRD HAEVPELREVLGLDGLGVPGDNAKRWMKAVTERKGTGLSAMGSLNEGGSGVLVGEPKG VVEGQ VE01_02245 MTEAETVTEEKRSSSRSKLSGYLKEGLEKGKEGALKGKIVLEQS LGLGHKPNVVPPGEANINGELRTVQIGWHPVGGLGGKWFAEKTGLGKKITEKINKYPD PTQHWAVLVGGFCHELWMDEHLDVIYINEVVVKDEWHTYEVGTTRFNDEALRQAGEMV IHNMRATRPAYNIISNNCQNFAVLLLDAIKIGAHKEFATSFAVYQAATGAGQIKDLFV DQHPEEQQDQQRPTLHHQDTTQNAQQVMEDNTTKLDNHQSSFFSSVKDRGTSLKDRGL SMFSKGDSPKDA VE01_02246 MNGFASHGLDEDRFAEKGSIVSAFDAFPKSKPEYVTKTSGGGKW TVLMLIISALLTFSELGRWWRGNEDHTFEVEKFVSRDLQVNLDMVVAMRCPDIHINVQ DASGDRILASKVLKTDLTNWLQWVNVKGQHQLGHNADGSIITDEGWEEDGHDEGFGEE HVHDIIYTAMRSNKWAKTPKIKGHPRDGDSCRIFGSMMLNKVQGDFHVTARGHGYQEA FGTKHLEHSSFNFSHIVSEFSFGAFYPKLVNPLDQTVITTANQFHKSQYFMSVVPTIY TVSSPNPLSSKRTIFTNQYAVTHEDRKIDERTVPGIFFKYDIEPLMLTIEERRDSFLR FTIKVVNILSGVLVAGHWCFTLSEYFVEVLGKRRKRQSDGVLTGKPGHSD VE01_02247 MSYAQYDQFGGNPHGGSTPGYDVSLGDPYTQGESTELRNMSVAP VQATQGGTYVEPRTQREFLDQVAVIRDLLRGFDTSMAEVARFHQRLLDATDTASAAEL GPGLQQLESETLSRNEQLKRLIKNLEQDAANTRNDTQNMKFTQIAPLKKEFQLKLTKY QGVERDYRTRRQEQIRRQYLIVNPDATDTELAAVADASNDPNSQNVFQMALNNRRGQA QSALGAVKARHDELQRIERTITELAVLFNEMDQLVVAQEPLVERTEANAEHATQDLES GNVQVGKAIVHAKNRNKLKWWCFFICVLIVLAIALGVGLGVGLASSTKNKAQNAT VE01_02248 MLRKQARQRRDYLYRRAITLRDAETSEKRSKLRASLATGKPLAP EIANDKKLREDYKYDESRADLTANEELDLDDEYAQLSGIVDPRVLVTTSRDPSSRLSS FAKEIRLLLPTSIRLNRGNLVLGNLIQSAQASGLSDMILLHEHRGTPTAMTLSHFPHG PTISFSLHNVVLRHDIPNSSRGTVSESYPHLIFEGFTSRLGQRIVKILKHIFPPREAV TNRTKLGSRVVTFKNIEDTIEVRHHVFVKTGYQSVELAEVGPRMSMRCFEIRGGTLEN KDGDVEWRMNQYTRTSKKKDYL VE01_02249 MAFSGFTSPTGLDNSSVTSSTLNRARSHSISSDQPSANGYSAML SPPTLVQPQPLFLAISAASQIVTNDHDSHSEAWFDQHGLEPSGETALVNPGALKLVNN FLDQLLFNFLAISKSTSLASLRPAVVETLKPKLAKEAIHQADQELEEYLGGGDDEELI SFHNGIEPSGDWDLELVWKRTRLRCMVYSSLGDMEEEDEDHYTELEHLDGPPGSTNRY SNSLGVVSPAVAIFLTSILEFMGEQALTVAGQAAYHRMRSKQQKDERDGSSVPKHVAD RVIVEDVDMERVALDRTLGRLWRGWKKRIRSPNASISHSVRRSYSRDSMQSRPHSRRG SLAPEQQVPEINHRFQDTPINEPEEEDENHPQPTANDEEPVLFEATIPLPMGEDDVRE IEIPGVAEQSGDEADDEVDEPKQSRRKSMMIFTANTKGLPTPINSQPATPGFLSPKSR KRSHSMPSPAALAFASPMKRRKSTDEDEEPAVEQDTESEIPALSENVSQDSEDTYVLA TKEPSKESKIVSQTPRELEPEKPSKSETVPHSRNASQSSHKGGLIAGVAAGAAAIGAA AVAGIAAVKHHDKTSKVTKEDEDDIDLTESAEEPQIMTSSRVSIGDILALDTSRTRSC DSSARSASVRSKRSASVHSIRLIEVNSPRSPSSRHASGDLTSRPPNVKGAEGTDAAAS RGTSPVQKTPSGSPILKAPSPLINQVSKDSEASLPEVVEPENLGLGLDGVTVPRSLPV PVRSPLRESPKTKLTSEALSVQDTQFAATSQGSYGNSEFVLGSAPRPKSTRDADSLAA PSTPASLQPLWTNQVGSPGSGTSSAPPLTPLREMMENAPDTSDEASSIAPSYSDASVF QSDLPAGSYSGPGRKDAHTRNRTNASDRSVARSSPPRSHREEDMGPKPEFLNKSARQG SSSSSIKSYKVRPIRTSEESTSAIEDKGQTFEQLIRSETTLQYTLTPSNMRGIDDIPE SPLLPRQSSFGPRSTPLGSPMVASFLGSNSASSPKVSTFLGNGKEASDIPKSPVGGTR FAPKPAVRSAPPPKSGARVRPNAPQARDAKITSESISDFADFIRSTGPPQSRDGYAAQ PPPRQANISAHRISAASVPIVVAKSVASTGNRNRLQARDAVVQSDNLSSSELIDFIRQ GPPSDKSHDNPRIPRTVAPFRSTMDSDQMSTALSGNRLSTLPEGRDPQMNFSMGTSMH TSTTANSGSAMKKPSLPQAHLPAFDEEDMMPKRKTHRVKDPYAIDYSDDEDDDDEFTL PKGPPKRVEESLIDFLNSVPPPDTSTMSSIFDEPAPPPKKTIMARFSRSNSTASSSSA STRSSVLTRNNALPRNSSLPQHPTSSPKKHTPITPSYSTTPPRPRSNYAAQVTQQRKP GSRASQKPSPREATHSRNTPSSDLADFLMNTPPPPSSQPIAKEQGGFSRMFGRRNKAI VE01_02250 MRSLSLLPLLALSASAQLCADNPSICPASTVCQDRGDGSPSCVG GFSAPCAAGNGGAANWGQCGGQGFAGPTCCAGAPDWLCFYANQFHSQCLRVIYPAPAE TTAAPTTMATSTTTAAPATSTVARVCTAHWGQCE VE01_02251 MPSSPTIHSFFKPISPPNNTTPSYPGDGFIPTDPSIDSSTDPLY TPFAPTRTYTQHAIVALTAGSRPVRFQGRIANFRVTYGKSKRVKLYFSPLPPPLHLTQ LITIYTPHLVRASSLLFTPSPPLSPPTPTTIPLHLSTPLGTSIFSGRDSGAHLILHPP TFSPSLYRTPLSSPSDLMTLSSFLASGHELPTARLLLVLTAIHPSRKVQKKDPSSPEH PARMTDFVVSELVLADRTGECKLTLFDHATVPFKVGTVLLITGATMKRGGEKACISLG QESLVDAEPEVGGVEGLRRWAERERRVSTKRQEVPDKVMEMFEKTVGEAGLYTLAELE ERVREEEGVWTMWLSLVVGQVNLVRVVWRGMAAVGECCGIPIYSLEPTTPCLTCGTVQ TLSINPAVIGSLLDETRALEAEKLQWTPKAWKELLGEAGAVGVLSSKKGVGDTVDING VRELQEWITGLRFSFLMGWAGEVGRLCVLGVRM VE01_02252 MADTSRMSGFLPTIKCSMCAQEIEISMMGEHVCGPAEPTPPLEP SSKGYSTYSPYDSKPLPPPDNSGAYKSTRLPPPRVDTRAANRPFTRPDQLTPVSASSE SRSASPMTPSDGLRSPYPRALGAARTQINDRSSPDFLATNADSSFPPFPPPKSPYLAR PQQYAEADPRYAPVSPRLGQGGGVLKRMNTIAPGPFDIKGRRGKREGTEEAVDEEPNH MRAKSTDGRAHGRSTSSGSSMSSRSPPRSEIPPIPIRTERPGGYGGFGPPPSSSSSLD APPPLTPTNRSNTFPKATPGGSNFVGLPRRPSESARPRHPSTSTVASTTFDGPTFVRT SPPRDSHTRLPSITPPPPPRGAPLARQGSVAGINLDAEFGAQNPFHTPSVSQSSSDSS HSPRSKTSSRSSPPSSVEPPRRRQEKSAMGGFDGLMQDLEQAIAEVPVPQPQPQQQPA VQDARGRRNLDPSLLSPEFADPAIQSGLRSPGLPRESSSGSRYNPQQGHSPRRPSAPR LEQPNPSLRHPSQPHSPSFSPARRPSTAASDTMNPHTGPPARRPSTAKGACKACQLPI TGKSLSSSDGRLTGHYHKACFTCTTCRAPFATASFYVLDDAPYCARDYHRLNGSCCGG CGGGIEGPCLETAKGGGMGGRKFHPGCLVCSDCRGPVSDGYFELGGRVYCERDAMRRA QMRARGRGGRGRGGPGGMLGVGGGMGRMERRTTRLMMI VE01_02253 MAPHADNGTGVANGNGASPVKDLFTVNSPNVVYTDETIKSKYTY CTTSVSKDEAGKYVATPKETVYDFKVERAIPKTGMMLVGLGGNNGSTVTAGIIANRRN LTWPTREGNRTANYYGSVTMASTMKLGTDAKTAKDVNIPFHDVLPMVHPNDLVVGGWD ISSMNLADAMDRAAVLEPTLKAMVSKEMAAMTPLPSIYYPDFIAANQEDRADNLIAGS KACNEHVEKIRKDIADFKAANNLDKVIVMWTANTERFAELIPGINDTADNLLKAIADG HEEVAPSTVFAVACILDNVPFINGSPQNTFVPGAIDLAERHGAFIGGDDFKSGQTKMK SALVDFLISAGIKLTSIASYNHLGNNDGKNLSSQRQFRSKEISKSNVVDDMVEANHIL YKEGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFMGGHQTISLFNVCEDSLLASPLII DLVLIAELMTRVSWKAEGGEYKGFHSVLSILSYMLKAPLVPNGTPVVNALAKQRGALT NIFRACVGLQPESDMTLEHKLF VE01_02254 MDPLSITAGAIGITQFAMSSIAQLYDNINDLAEAEEVARDIALT LEGVQRPLAALEKLTISDPTIYVAAKVDLEKTGIVEAVNNCGQACADFADNLKRWTKH STSTKISLRDRFSVGVWNKEKIRTFRMQVQSCQDTVQFAITSTQLIVQLRSERTSGTD RKKLKTQLQALETQIQEHIDLAKKQQDEAQRRMQDLQEELEDEEDGGAQRALATQEVE KQKGLLEADEVSSVVIFSQVQPKRTRQDISNVITSDDSKVLVGMPESVVGEVNQRIKD VATKSRSAAAVGVFDKNVDMRNFFKST VE01_02255 MAGITQDISSVFIDHHSRGAVGTFHAPVYFGNKENFYDVLNTLP NAVNARFNAYQRQHDPTCLPNTRVDLLQDIYSWADGQDERYIFWLNGLAGTGKSTIAR TVARRYHEQKRLGASFFFSRGGGDVGHAGIFVTSIALQLASSVPSLDQYICDAIKERR DIASQSLRDQWQQLVVRPLSKLSGSGSGGPFPYILVVDALDECDNDKDIRTIVNLLTE ARSLNAACLRVCLTSRSEVPIRNGFGEVPDVEHRDFVLHTISPQIVDRDISLFLQHNL KLIGKEQSLGIDWPGAKVIEQFVQIAGSLFIWAATACRYIQDGLCAGENLQILLGGST CTTAPEEHLNELYTTVLRRSVHQHYSVIEKKALYSMLQRILGSIVVLFSPLTASSLRR LLDIDKDKINQALKDLHAILDIPRDDAHPLCLHHPSFRDFLLDPKRCADKDFWVDEEQ AHQILADRCIQLMSISLKQDICGVGDPGILVADVERSFIKQGVSPEVQYACCYWMDHV QKSGTQLRDNDQVHVFLQEHLLHWLEALGWIGKISDGVHAMASLESFVSTSECPGLWS FVHDAKRFVLYNRVGIEQSPLQTYGSALVFAPASSIVRKNFEYCIPKWIQKLPRVENE WNTLLQVLEGHMQSVNAVTFSPDGKMIASASDDKTVKLWDPASGALLQTLVGHSASVH ECTFLPNSKSLISISLDGEVNVWNSGTGDLLLKLSDSTAVNSAVSHNKEVVPLSGTLQ KPAIVQHVSFSSTVYANLISPNGKLRASWSNRNVTLRDTNSGAEICTLNQSPFFPVKA IGFSQDNKMLALVSSNEVTLWDTKLGAEICTLNPTLWDPVKTISFLWDNKMLVLLSNR EVTLWDTESGTQRCMLKDLCCELVEAIGFSQDSKMLVLVSSREVTLWDTESGARLCRL RDLFNLVEAIGFLQDSKMLVLVLNREVMLWDIKLGAELCRLKDLQYLVEAMVLSQDSK MVALVSNREVTLWDTNLGAELCRLKGVQGLVKAIAFSQDNKIVALVFDREVTLQYTNS GAEVRRLRGVQGPVKAMGFSHDRKMLALASSTTVILWEISTGKVIQTFERYAGVKFAK ATSLDGKLRAEVSGSRVVRLRNDVSGEGFRSLEGHSNQIIALDFSPDTKLLASASRDR TVRLWDTGIKPALPSLDAHWDYVTALTFSPDGKFLASAANDCRAKVWDTDKIALLRNF TVHLGHVNNMIFSPDSKLLALASTDGTISLRKAAEGTVVETLKGHSTCIIAMAFSPDG KRLASASGDKAVKLWDAAMGKELKTLQDPSDRVNAVGTVGFSPDGKTVALASSNKTVK LWDTASGVLLQTLKGYSGPINAVAFLPDDKILHTNRETLLISLSSSGTSEAQTLPPPS ISIEGHWVLRRTKRILWLPPDHRPRVTTIHGSTIGFGYTSGRVAIIKLAP VE01_02256 MAHQPTIPAQPPRRAMPSKVQTSFLPTIPSTSVPTSAISASSLT SSSQQASPVLSPQTQLTTSNSSVQGSPGPDLPKGSCDSDLPPPFELPESIVHRKNSHS AMSEAMALNKGPGIIRRLSNKASQFAGRRRQSSAAANSRDHSSGPVIMRRRSDSTSTA PEVRPVLLTESDDESRDDTRDDMNGFADGMKEYYSNGSPTGSISGVPINDGPVIPAVL LQGTTMLKVSKKKRKLLTFALDIDAAKVSWDKNRPSKAFYIDDIKEIRSGSDARNYRS EFGVPACDERRFFSILYVISDKSKGRSQKLMHLIAGDDRTFELWTTTLDAISKHRHEL MASLSSFNDKAVRAYWRREMNKQFGDRAHSEDEEMIDITGVERLCRSLHIHGVNNHLR AKFAQVDELKCGLLTYGQFQELINLMKRREDVRALYNELTVPDKKGITLEDFLVFLKD TQGEDVEGRRTHWTTVFSKFVRRSKTKEQAQQDLQNGETPLMNESALASYLTSTYNVP IKDVPANFTLDRPLHEYYISSSHNTYLLGRQVAGQSSVEAYISALSKGCRCVEVDCWD GSEGPVVMHGRTLTSQVSFADVMTTISKYAFVSSQFPLLISLEVHCSRQQQIMMAEII KATCGSKLVTEPLDPNSQQLPSPSQLMNRILIKVKMPCDEPAFNAEVTAGRRRGASVN SPYVRPIVLDNTMIPNASILQSPPMSPRQRPTMGITRSQTTSIRSPQHAGESQDSLSN STSESESMNDDGSEKRKQQSNIVKVLGDLGVYTAGVKFHGFDTPESKTYNHIYSFMEA TFTKNSKTPDTKRALVRHNMRHMMRVYPNGWRVASTNFDPLTYWRRGVQMAALNWQTY DLGMQINDAMFEGGTDVSGYVLKPSGLREITMLPNVPEAAGEGYVKRERKLVTFSIEV ISAQQLMRPKNLPATRTVDPYVEVEVFHADDKAKDVAGVVGEGGPDAAGRGGSSGLGA PRRLRTQIVPENGFNPLFNQKFKFALTTKYPDLVFVRWTVRASRDGHSYDDRGTPLAT YTAKLGSLKQGYRTLPLMDANGDQFLFSTLCCKVKVKEATSIYVDGPPEAVGKLKSLG RSIIGRAQSTPVTPKTLDSA VE01_02767 MKIVTSDILLLIMMLPLLEMALSVAMVSAVSAAASSFDMARAVT DPTDYSWIKKFAAVGDSFTAGIGSGNLYSLSDNSDDCSRYSYTYPVIMNHFFGPTVKN FTYVACSGAISSGIFEQINALDDDQDVVIMTAGGNDLCLSNIINECIAGVAPLDSRCD AAVATAHAALGPDGYFKQNIKDLLIALDSKMANNGIVVQVLYAQYFNDQTDACTKENW QVLKTAGLPLNTSRRTQFNSLVVQTNAVIQAAVDEVAANATTTMKIVTADWDPWAQVT GGQFCEAGASPDPDDAGNANVMFFKLFTGVTAPLESRGGFGYMHYDNETYGAEPYGLE MSKRGPVDPGCPASSIPLPDSIGKIFHPNGLGHEVIAAYALDAIGDARAAILGESPVC LVVDNMFCFSDHGSRAYASAGALNNNIDDFCSYVAKNKPANVAGWHVAKTYYSGTLDE FIMAISLSDKVSTFSEDSCQTAISSIINGCDVPRSGNNPMNWKGGGNRTEGEYFYAVS VHRTNRPWPPPTAPSQSCKGWYKIAFQNYDIYGAGWATYDWGQQSLMKQNFDYFDQPD ENGYERLGLVVDALTTIRFSVLPEALVTPRVMATDRQ VE01_02768 MLLVKAIHGCLYTGLLFSTITLACPDYQDLPFFKAANGSRLDKA ELLKRYYTVEPSEEATQAPSTWPGSTLPYCFENDNTKTLLKDLVEDGWKRWQTSGVDY NIHMGEYNNCPAPVNGNVTKGIGYLLIQANTDGRLLTTIGTQFYSEGNGAFMLFDPNP DLAMGDAVANMAHEIGHAWGFYHEQQRPYFWNKVQYAQATGPTNQINFVCTNLADYVD KAGTPNGDSNDVCHSEATAKSRGFSALEYLPMKMSFTAELELADFDWKSIMLYGSTIG GKVVNGVRANVYTRGHDGAVNPHNTSPSQLDVDRFNAMYTKKAKYKNPCLINHGCNPK YASYMNLKVKCKKKLS VE01_02769 MTPEKIPLGYYTHINFAFALIDPVTFNIAPMGSDVAALYQRVTA LKILQPGLQVWISVGGWAMNDPGPTATTFSDIAASSTAQSNFFNSLISFMEKNGFDGV DLDWEYPVAPDRGGIAADHENYPVFLQNLRNALDQSGMPTRPGISITIPSSYWYMRGF DIVKIDPVIDFFNIMTYDIHGTWDSTDPSIGAIAQAHTNLTEIEQSLELLWRNNIDPA RVNLGLGFYGRSFTMSSSSCLASGCQFSGGGKPGPCTNTSGILSATEIREVVAGGASV TFDPVAAVKIITWDNNQWVSYDDVETMKVQYANSRCLGGTMVWAVDLDDGTTINALGS DLSRPKTPTLDPSLLDPNFNNTDLGSD VE01_02770 MAVLRLLLLSTILAAWNVQGGIIDLKAAQVVRRATTAHTSYRTT AGLPTQTGIAANCNKFYDIVTGDNCETVEAAFQITKGQFLAWNPAVSDDCVTNFWVGD SYCVGTAAGGVSSTKSSTKSVAPHTTVHSTADLPTQSGSICNCNKWYDVVAGDTCATI ESTFGITLAQFLKWNPAVSSDCATNFWTGDSYCVGTTIAACPTSTSKTTTSHTSPTIS STYSILSQITSSYVPATIATATSWPPTHTQAGQVAYCNNWDLVSASDTCDSIQGSYST FMSMDDFISWNPAIGVNCTGLYVNYYVCVGIQYQTSAIDNSTATSYWYPSYTPTTAPT LNTSFVASPTQTGIPPSCLAFYQASANDTCETIVEKEGYVTLDELNEYNPALGSDCSG LIPGDYYCVMNGTLPLPSVATVAPTATQTGIVSTCVSWYRADSGDNCQLVVEIFGTFS AADFLTWNPAVLSDCSGFTVGDYYCVAIPSTPTTRTTSYSSTPLPSNGVGPQPEQPGI PAACADYWFVGTLDTCDSIATKNNITVAQLESYNPALGSDCSGLTQDYYICVGKPDNS TTSTTASGALGIVTPSPVQPSMVSGCERFYYVESGDGCYNLAAEAGIPLNSFYSYNPA VKTDCTGLQAHVYVCIGLNGPATTITTGTPVPVPSS VE01_02771 MTELDSKYDLENVSSISYALAVDLHCLDSRSPDPKRQSAYCMLA DRNRVRDKYAKSSGVLGLTFYLMALYLVYSNFTVQASNECVVGRVGFRQNTRKSFIAD RPTQSIDLYTEELTRHMIMDFKKLFSRKNRKISRPKRPEYQDKDLQPRLPKIRERALT LYDPSQLASGLLSRLPIELRLRIYDDVLGHRKVHVAFEFGPREYRTDKKKEHLEWRWW HCICTWDQTKGYDHSWRIWRDGCRWANLGGDPGPPNGMMGKLKLDFAILLTCRQMYSE AIDILYSTTTFDFDTRQLLCDFSSLVLPQRFALISAIEMKWYFLNLGCSVIPAKDRQL YHDMWAMLARMPNLRHLEIAVIAHECPNPAPADLKEVWLGPLKQLGKMDVFEVLVPQT YATHLSGNEGSDYLPSSNLRNLSVDEGSNFTLIAFPDITTARACFGSSANV VE01_02772 MANDFDADFSIDLVLPGLFVNQLGECNELFRLNVGGHDDDGNDG LYGNQTLMSVDEDDDEEEIFKEEDPPAGAEDPLS VE01_02773 MTLFDVSVMHLFIDRYSIQGSPVVRIISPHAVDMAECLISRRLG PGREQPQNPLGAYRPEWQRVLGDDRGKSLDCRHYSERAIVEQRIKDSCEEMADKIEEH VAVLDSNLAAQRRYHRLFKHLRQLASDEDDARE VE01_02774 MKITTALAGLLATAFAAPVTESALVERSSVNYVQNYNGNLGAFT YNEGAGTYSMYWQDGVSNDFVVGLGWSTGSARSISYSSSYSASGSGSYLAVYGWINSP QAEYYIVESYGSYNPCSGATNLGTVSSDGGTYTVCTDTRTNQPSITGTSTFTQYFSVR QNQRTSGTVTTSNHFNFWAQHGFGNSNFNYQVVAVEAWSGKGSASVTISS VE01_02775 MAPQVVNGPSLEAYLAQTARSTYALPFESTPMGYPKIIGRTWDP VIGSRPFTIGQSETRTVLRSTNSTAIWALLKMEHYSQALTRGGQTIYDAARELITIHG QVMHGSAYDDRRRPSGRTTERDYMVEHLEPRRENHQDAINEYNNVILNEACFRIEDRT ALYQEHLFVQHAIGEGSDAGYPTDLGSTSDDEFDFGSDFGYSSDPDYQSKNTGAMHNG AMTISAAAAEERAAQRIRDRERERTVNHDSMIDGMWRASLKFIMATLRQISCAGQSIR PKALRTIYFNRLEAELMEALTYIQKKFGLVNAEDITGFGGIYNI VE01_02776 MADNNDLETVTKTKDLYKAMYELEKKLVATLKAELEDAREKEKA MGAKYENLLNRIVRVVPGHVTDVTIETASPFMAEETQYHADKAWDEAMERIDREFESE VE01_02777 MPLFLNPFGKHDIEDYPEVYVPLALSSRTSDKEKKSVGDAPADR ESDQESHIGSHGGSSEDGFTIEQLRAEIDAEIAASGTDSAYDRKSKVINRAIQDIGMG RYQWKLFVLCGFGWLADNLWLQGVALTLTSLSAEFGISDTQVRFTTLSLFVGLCIGAV FWGVACDAIGRRPAFNITLFMAGVFGLAVGGAQNWITVCGLYAALGLGVGGNLPVDGA LFLEFLPFASGNLLTMLSVFWPIGQLIGSLIAWGFIPNYSCDQSLGYCGNVGPGEACC TKELNMGWRYLNFTMGAFTFAMFICRFFFFHLFESPKFLLSRGRQREAVAVVRGIAFK NKTKTWISEEVLDAIGGSLEEVPDQVLSTKDIIKRQVEKFSTKRIAPLFAYKRLAINT ALLWFCWTAIGMGYPLFNSFLTQYLTSVDPNAPPTPTDIVYRNYAIISICGVPGSIIA CYTVNIKYVGRKGTMAIATLITGIFLFLFTISTNPDFQLIFTCIESFFQNIMYGVLYA YTPEVFPAPSRGTGSGIASFLNRVAGICAPIVAIYASSGNPKAPIYASGGLMFAAFVA MLGFPIETRGKQSL VE01_02778 MATTTAFALVALSKALSWNEALSGVFGSISLATWIFLLVPQLIL NYTTGSADGISLAFLTVWLLGDITNLAGAIWAGLVPTVTALAVYFCFADFVLISQCLF YNHLNARREAINEAAAPPDSEEAPLLARERSNESIGAPGARRRSSTHLSHSSGARRDS LVKILEDDVSGSGSSWKRNTWSILMVILVGTAGWAIAWRSGVWRPTPNHPGDVPSKEH VAVGASVLGYLSAVCYLGARIPQIIKNYRDKSCEGLSLLFFVLSLMGNITYGVSILLH SLEREYIMTNLPWLIGSLGTIVEDGFIFVQFRMYARDSGSAVEA VE01_02779 MRFSTIIPLALAAAPAVVSAAGSLGFALGDKKTDGSCKFQADWA ADLKAISTVQSSKIVRTYSASECNTAKEILPAAKAAGFKVILGVWPDYDDSLAKDEAA LIKYTPDYKDQVYAITVGSETMYRGNFTGPELLQRINKIKKAVPGFKVGTADSWNKYQ DGTADALITGGVDILLANGFSYWQGQTDSNATASFFDDIMQAFGHIQQVSGKVDGGIE LWVGETGWPTDGSKYQAAVPSLEGAKNYWKKAICGITAWGVNVFYFEAFDEPWKPKSI GQDGSVADETHWGAWNADRTPKFSMEC VE01_02780 MSSSWIILDLVAPFTPEDEAADDFDIVCARLTTPANISLSSSPS SSRAAPVASIDFIPATPESDDFVPGSPAFSSSSTAEGGSSPILPPLPQPTINGRSLNL SNTPPSESRTESSRYFTSWGSPYQEPADPVPSRPHRGTLSSDTSEDSPLRRLDFHSLH LRPPPSFLRSATEPVAAPVSASVLVNRARRPTRGLTEDWIRQHTATEDTEIERLAWLS DSNKSDEKGYSSLSSSEAEDQLEEYEFGQKTPTLKSFLERRYSKHDSRRHLRGPSAET IRPRDVPRYKAPTSMTSSASYETAAQSVAGIVAQDDVFAASHDTPPPTIDKPLPTPPA DTASSWRAAALPMASDVALEDLTPKPIPSPNPEPPRLKTKVPWGKKNISILLPLDEDR GQEGKGPKPLTEKDVISMLRDWEQLGYNVTGFNLDADGEPHEAVSQGQSRGMWPDATE IRLERDARDYRISIPDRRHWDAYVEELKEAKLRALGVSLGDEDPIPAPSPAPTLSRQA SAQYGLPFQPPLPTSSAASSHGTQPSKLFSPPLLPGAGPATGQSSFVGSTASTSSGHG HMLGKFHNPRQSISFSGGEHPFGSPYGGSQQQSPVPWSPQQMLYQGMHRGGSPSVHNF GGFASPGSPLPQDGYFPNETTPIAVQPQRQFSNQMSFHQVQQSISRQSPRLHEFQADS EKSASKTPEANQPAHRLNLSDSLQKEIEDAEYHLEEQFERQLDHDDYSPHSERAPVSP PQAEQQQQEKPKPAFAGLSASKYADEEGPVLYHPQPHSRGHSLSQRPYDGESASPTLA SEPAKLETSESSANPSALGTPKFVNSSIHQRSQSIATNLWADSEPTSGAEKASRGHVS KASTSKLNVAAPEFKFNASTSSEFKFNPASASEFKFNPAASSEFKFNPASTFTPSKPI FAAPQPEVSAFNTTFQPISPSPVSPPVTFGGSKINVNAAPFTPGQSEFSFSSSGPMFR PDAPEFTPLASYMSDSAGSSAETPANLRSSIFGNISMSLSDIIKQPKKSKAIPIIRPD TSSGKSGDEDGGVEGEDGRITQGDGRFKRSRADVGDGDSVPLFAQPTMPLQETTREQS PPKDATPVTAKPIDKENASPMDLDQEPLRIVPVPERALQNQTRSRFEDSPDYEGKAWA PYEWQQQEDAVDFSRSNPHSIGSAPFTVLDQNVPAEEPAAPAPPAENNDHKKHKKNSL SALAKPFQFGSNWFGTEPTEPEASAPTNPFAYVAPEPAKVTPAPRKGLASSRYADPTP SPPPHRSEVDAEVEASDPEISALEEPAPQSPAAYDGASYIATPPREASFEEIDDIMRH INEADSSMGAVRHVTEPVGSEQTLQMPHSESSPIRLHPQNLMRSDAPSPSPRRFQALP GGRGQRVFSRVEDDPFVSHSPIARAFETSPIHHLNGDESVEPSDWDSVLDRDDESKFN ARSQFFDSHVNDIVGGILAEKLGPMEQALQVIQLSLATLRPPSSRRARRSMSAEFQES DADDEDDDEGHRRSLSPRKDRKLDKMRSMIAEAFAEHHSVQRATSQPEDVQGHSEVLQ ALKELQGQFSQPKHTDVDFRNIVESAIESRMPVAPVVDDAAQAKIAELEAKVSEMTAK ITSADEKMELEVTNRRTAEDRLSEVQRLLRISSEEEVRLRESLEERDKKVKTLEDTRA KNAMRSNLLEASSQNSQKNHSDLTNRIAVLEKDIADARQQGRQWEFETQKATEMANAS KDDAEKATAESTALRRAVESLKLQMQESLRVRENFRNKLGNLQDDMARAAQEVSQENA QRAKKEQALIARQEVLDARLQAEARTRERLEKEIERLEAGEREGIRAVNDSKKLDILV VNLENELNAAEKNVLRHKREFEEARESGLSEVQRTRNYMQAEIEAANNQVNIVRQDLE DQLARVRADLDHVKLDADTARERHDMLLEEATESKAKELNELIRRHQDQIEDMQTQHE RQLSNTLEDAQRSEQHLLERLSLSSAKTEHLQDRVAHVEEKLEVAKSAALAAVQAART VKDAAQTPALSMKLPEKVSPQALRESIMVLQEQLQNREQTIESLEHQLAGVDLDAPTK IAKRDDEIMWLRELLAVRVGDLQDIINTIGEPGFNPETVRAAAIRLQANLQMEEQERE RAISGGSAINLPNIAASIREAASPRVAQVVGPMAAAWGSWRKTREGLQPARASPAGTV ASGAQSFLSGLMTPPATTVRQTPPPPQAQPSAFQNTGRRLAAAQMARERASPRKELAK GKARAGSADEPPATPPMMHRSNYDADARAEDYSDAGFYDDDESTVDETMFGANLGR VE01_02781 MTSPTLSPGAEASFLTPSNGNDDRSIHSEQDTDSEDDSVLGAAR TSADIREHDRRVLLEEDERDQMLTENRLGRRGSGLALPNPFKKLMGKTYGKVSAESGP SSESLSNNADSEEKERRRQRRARRQDKKDRLTEAAAHGEDRGLMYEMEEGFLKEGSAT GDSSNTEDLSDVDKNEPHGMNDKSKQRRKWGRLCYISVLILLAFVLLSLGAWKLSMGR RKMPVQTMFNNGTALFAPTTILISLDGFRADFLQRGITPRLNSFVAEGISPVYMLPSF PSVTFPNHYTLATGLYPESHGIVGNTFWDPELKREFYYTDPSRSMQPEWWGGEPIWVT AEKQGIRTAIHMWPGSEAHIMDLEPSFLDTFKSKEPLLDKVDRVLELLDKPGQEDESA LIADMRPQLIAAYVPNVDSAGHKYGPNSTEMRATISSVDNMIDQLFIGLEERNLTEVV NVVIVSDHGMATTSTDRLVQLDDLIDLNLIEHTDGWPLYGLRPKSADDLMPLYDTLSA AAKAHGNFEVYLRDTNMPERYHFSKNERIAPLWIVPNTGWAIVTKKDFNIATAKAEGK EYHPKGLHGYDHENPLMRAIFIARGPAFPHSPNSRVEVFQNTEVYNIVCDTLNLTPQP NNGTLRLPLKPVGAHSPETTPEEPLDPLPSDGPSTSKPTSTVDPSIDRPVVSDVPGVD RPVISQGTPETPTASKVPGAPETTGEAGAGDGIWDMLDGDYIAGKLEEFRNWLSGFLG SSGGAGQKEKEE VE01_02782 MSDAGGSSRAATPEDNGSPQDTPVADVNENSDVEQAEENGDDRD DLDSELSEVDEAEFADFDPTTVALGPVEIDEEVARTLKAGKRKRPEKDGEGAPKKPKE GKREKSKKRKERLERTQTGAEEYDSGADGEILEGRRTAKPKSVRIEGERKERDRAKER KREEERVQAVDQENMTPEQRRAAALDAAMDAALKNPNKRRRKKDDVDLEEAFDDEIAA LKIRMEQACQADNTARERGQPAVHKLKMLPEVVALLNRNTVRHSIVDPDTNFLLSVKF FLEPLNDGSLPAYNIQRDLFNALAQLPIEKEALLSSGIGKVVLYYTKSKRPEIGIKRI AERLLGEWSRPILKRSDDYKKRKIATKEYDFQAAQLALRPSGTQSSSQPSNGLSRKEL DRERVLAAPKAGNRARLETHSASYTVAPKSTFDPQRAMDPASRPIGASGMEAFRKMTA KKGTKRS VE01_02783 MAFSFGNTQAQPAQTGGGLFGGLNANTQNQAQAGSSIFGQKPQT QPAQQSTGFFGQNTQSQQQQPQQSLFGQSAQAQQPQQSSLFGQTQTQQQQQPTGLFGQ TAQTQQPQQQQPSLFGQSAQTQQQQPNNQQSQFGSSQLWQPQTQNPQPKSVPEQMQVV LEKWSPNSPTCVFKHYFYNKVADNQVPFYKAGPNEDPKAWDEALSKKPGPGYIPVLCT GFEQLGERIKTQNTTLAAFNRVLHQINGSLDAMMIRHDTETSVRILNAKRKHTMLKQR SLALATKVQILRNRGFAMGAAEEALKVKLTALDKSVCDPALSARAEEIWARMVSVRER ARLLKEEMERQAGGNGSSMDEQTARRAEKILEDYATQLAHLKKELALINTEFGDWQTE QAPADSETKRR VE01_02784 MSNVEQRPFVPAKKVNTAYPLIDSDPHVKRVLAYTRPSDYATGA VVAAAGPGLMLTWEKIAPSYVGKGGFAPIMRLAGFVGLTAGFLTMYQRSILRFYGFSE NSREVERDMKEMVSKVKKGESLYGESSLTPYMQGVASRNSRYSGVFLHVMPWFNFVNH NQHGVDTAKYYQQAERELEAERLGKAGGI VE01_02785 MDAPANIDGGEHPANPLPNPPETFPPEERPLHEGFMREALAMAQ LALDTDETPVGCVFVHNGAVVARGMNATNRTLNGTRHAEFIAIASLLSPTPLPASTSP NDLPQPDPSRPAYTADVLPECDLYVTVEPCVMCASLLRQFGIRKVYFGAANDRFGGTG GVLSIHDCASVDPAYKVSGGWLREEAIMMLRRFYVQENGKAPAPRPKKERVLNLEVEP LVKPTGDNPS VE01_02786 MRFTSSVLLLAATGLAAQVAGASIGSHAHEAARGLENPVAVRES NHSRRQLRRRQNRFGGGNRFGGNNGGQNANNAANTGGNNGGQNANNAANNAANTGGNT GNTGNTGNNGGGNNGGANPTCLAANAVQTGSAATGQTNDVAAAGQVNSATDPANFINF CSGQTVTNGLQNTDGSCNGVVMGKIPAKNKMVSSMIINPQPGQVLEPLTTFNVEVQMA NFAPGSFTNAANTYYSAPQNLDGQGQIIGHTHVTIQDMGNSFTPKDALDATAFVFFKG INDNGNGQNLLSAVVTNGLPEGFYRICTMSSSANHQPVLMPVAQRGAQDDCTKFQVKA GGNGGNANQGNANTGGNTDNGGNTNTGGNTNTGGNTNTGGNANNGDNTDNGGNANNGD NTNGNGGAADTTSAADAAATTDAAADATSAADATATTDAAADTTDAAATTEAAAATTT AATKNGGKGGKGRGGKGNQSGNTNGNTNGNGDAAASSVVDNNNAATTAADVPATSSTA IAMNKAGNLGGAAPPVLNSGDAKRPFCVKDNTFTNRATAVQRSCDIQFNACADAMNGG KLPGVTMSDCNAQKQACSKQG VE01_02787 MPTSKVIILTGASRGIGLAAAKFLLQEGHRLVVVARTAGPMEEL KGGFPGKVEIVVGDATGEEVSQKAVSLALSSFSRLDGLILNHGTLEPVARIADATASA WRAAFDTNVFSCISFIQAALPSLRESGGRIIAVSSGAATNSYAGWGAYGATKAALNHI VGTVEREEGSKGVVAVAVRPGVVDTEMQRDIREVHGAGMDEGDVARFKGLKEEGGLLR PEQPGNVLGRLAVEAEKELGGKFLSWNAPELVKFQDKQ VE01_02788 MASVRRPLSLETRVFRIRRAHANHSYGRQYSSQHARQLLSRLEA DITLPPGFLVPVALPRVRLYGEDVAPPNQHATGNTGQRDLASDRTFKTREKADLGPEG RQRLKQRPKKTPRSISKDKPRPLSRLRVSWSHACPGEDCVESLCDLDVEPTLEDTMPC ASTGLSTGAFLDYEVPELGSHALPSLQLVDTLYTSALNAGLDDSTVQKIVTEILPPSS GVAFGKDARDLLIECCVEFITLISSEANEISEKEAKKTIACEHITKALEQLGFSEYVA DILDVANDHKEQLKGREKKANKLEQSGLSTEQLLAMQEAAFKEAAERHG VE01_02789 MPPISVRPAFASSVRALTHNATPRVSQLTPRVSQAISRRFKSGP YGYTQAKALVYSQNGEPSDVLSLHTHSISPSLPSNALLLRSLAAPINPADINQIQGVY PSRPPFTALLGTAVPSAIAGNEACFEVMRVGSGVKDFAPGDWAIARAPCLGTWRTHVE AQEDSLLRVEKEGLTPVQVGTVGVNPTTAYRMLKDFEHVGEGEWFIQNGANSGVGRAA IQLGRLWGMKSINIIRERGTEEETEAMRNELRGLGATHVVTEGELMARGFSEQVKEWT VGGRQKVKLGLNCVGGKPTSAMVKCLSDGGHLVTYGGMAKAPLQVPTAALIFKDVKFS GFWVSRWSEKNLDEKRRTVGEILELTRAGMFADVPVQKVQWDWDTKEETLKEAVTGTL QGFRKGKGVFVFGDT VE01_02790 MPATTTTPSRKFELPVLAPLDSLTAGTDIPPPPDSPIAEAPPPP AKAPSAISTTSKPDDEQSRGREPGSPTNGLLSPMSMTSPRRPGSIRRFLSRRSLNGSA PDEGAPPARPLSSLSMAPTSGQKRSGSWWRRFVGDSEERERKRTSVVYEERREKDEPA APSLPEISALGVKSGEQEGLDGDMFKNIK VE01_02791 MSYSSRVASVPLPRPRPSNLPYRPGTKRIEPHKVIGAREKLRSY RMAVKLQGVPVPTSLESSSPFQASHSSQGSLSSASRTFPPPLSEASYATSHTDYTVVW SQQDGNFLSEPTKKALCPAMKAQKALIRYLGSCLGDCRKRKVKCSLSHHALEDILDGN HDEQNESDVQWALDYDSEAKGSDPLSGFHQIEEDAIKEEQKSTILENIDNAANKLDIH TIPDSIYPSTINEGDFEDSLLEPEEVAQCSPLHPSNPFQSFDPPSIARRQFSTIKTDR TYLLATEIEMLGPNGPYHYYMCLCDPENCQNTFSSSQQLLDHTHHCHGFRPMAFDPMR LVCLACMTFCAERSNSGICPNPQCLSQQEPVVNIYGEFYLPDEVSWMDDENALLGPMA YGTYGPSFY VE01_02792 MASLVQHTPAAPTAIPLTQNTAPVIEFRCLFTSDIRRKQKRWQD GRLKFHTFNKRVMVYDERLNFVGDTHWHDYHIDEGEELELDRAAILVQVADCLGSRDQ DLTELLDHRTKQREERAAVRRPSSSPAEVPFDNLRIARQPTGYSKLSQKPLSALLGTP TGHHGRALLPTTSPFEDRQKEQRQERDTQERPSKRRKAESTYSKAGYAQNLTGAMLDL SSTPHSTAPLRREPLWSRTSRRQEGVEGSKEESHEINLVSKEFQEPPRQERRQWQPPE KKVKSGFAQTLTGAVLDLSSTQNTNAFLRHLPPTRNVERGAPSESIHDRGRHQRSRNE TVRPNVLQTPVVEKQSKYQVKAKSAGHLDKAPDRNSSVGATKAGQTMSGTAQQQDSVN ASDEFAAIDDDFIDMDDIEQACRPQHSPISNNNAVLEGDDGLHAINISPSPEVPPPKE PRRPVPIVPIKASEPDPDVTNGPPETVGSIRLKSRPKRKMLVLNDSRQPQPSPAQTNP RPISPAEDVVPEQSQATKKLDKFHAQQKEQLRSRAVRRKAAVEQDDDVASEADDAFDD IRGFAGKVVDSLPPKPDMSVPKVSKEPPSRQPQSERRAHQDHPTVAEELLPLPTKGTT SRISRRSPEPAPQSSEPAFELLRSVADRLASREGVALETTSIKPYAPMVPETTAETKV PQTRTAEVTAPQTRTADAKVPQTRTSGRRRSEVSPIATNTLTATTSSPKDLPVDAPPK RTQITKPQLLKTSKTARSKIVVGWVGAPKEPVVIQTLPVQVPQRREVSDVSWGMGGMA QHRVAAQDVETPRFVSAKAQPLEDVSLRRRPPIARKSAGKVAESGVPPVRSDSGPWSR EAFDLFDWRPDEIG VE01_02793 MSCHFQPHGASCACDPNLSQQEYESFEPYNTGYYSNARRSYGDG SDSHSNINLSYGDETNFHSNAYQSFGDDANYQANAYHSFVDGTGSYGYPSFGQDFDGY SSYGHSYGYPQQYEQYGSPYPEPPRQYAQQYGCSYQDFDSCGGGCCAVDMSSTGYYTP SYYDYLWPPVMVETVENVEEFTMKRETGPMAGTKSRGPRKRAGGRRGREYYGSYGMGG CGMM VE01_02794 MGSVVLPHLRTGWHVDQAIMNEDERLVVIRFGRDYSEDCMRQDE VLFKIADRVKNFAVVYVCDLEEVPDFKQMYELYDPMTIMFFFRNKHMMCDFGTGNNNK LNWVLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY VE01_02795 MMKSTVSMHRTEPLSIISSTAPSIDDASRNELVTGFTALHRRCI MEDATIATFLPPLDDNKMRDFWLGLIQQCRSGTPETGEKVIVYLAEDGAISGVASLLL PWSQTGNHRAWVEKLMVDGRLRQRGIARRLMDELERIAIEKGKWILLLDTEKGSKAEK VYPKLGYVEYGTIPDYSVSPDGLVLKSETFFYKDLRPSHSAAS VE01_02796 MAIFQSKKFRPSAQANTIAARYRSALAKHPFALFGLPFIATMVA GSFFLTPATAIRYEKHDRRVQRVTKDEELGIGKNARKVDMKEEYYKLAAKDLDDWEQK RVKRLKGEHDGII VE01_02797 MPPGQHATNGDSIDTGPGAFEPPPSTLAAKLVNKLSGSHKPSLQ GSQDSFGLLVSEVSKFDKTRNEHTSPEEIRENNYRVVYVLTKARLGPVCSDESDPFAK KERVLDDAAQVLDLFITSIKETPEILTKVGAQNDLVRTGRGVPLWIWLWPRLLRLLGN EGCEPLWSKVKELFHTAFDVSRALDVEFWGVGLQFLNYLRHCADASLHNVAAISTSTI ALPLATIEDDLSFQSRLGFVENGCTYNLRRGSDSFHHTQQLLTLVAELSLSSFVDGQL YESTSHRYMAWVFDSFLELRTTAARSAAYKTEQDEIHSCSISVDAVHRLVSSQAQHID APAHRKGYRVLAHLCSELVAYPAPFSDVIDRVKLAAILLDLASACEASGSVSQVVAIN LLPVLDKAIVLAAPTDDDLKSCILLLRQKCQNIPPQTAPITLENKALELQFRSLCFKD LPSVDNYNDGGPQTKRRKIAPHSLLEEITEEAYILLGAQKATDLDGLSHIAADCFSHL EDTNRCKALKLLGMIPCASAHTLTVFRDKNGEFGEPECTVCGSSSKAEPTIDTASDRT VPAPVSVSEPNSTAQADPIIHQGPQSADMAKVEAQAVAILSALLKVGDFELSRKPRIL AMVVVRKFALHFEGAQFMDLESSVLGQWCLTSLRSSVRELRIAAGRTVPAFLRDGNNV DVEVTRRNRVNAINILRQFSGETPPHLTETWVVTWGQIARVSKDRELNLTLLRLIEYL GHTNQIISGLAFTEILSTAEVHGVPVGQMFSPFWRTIAHTAVSDLQNRPQTVQLMAEL LSISVPEFLVETQAYTLPWLVLAKKTDIITKISQAREDDDPSKAIISNMVAIMPLLLV QAVPDIETFIMGLLRGVSTAFDKIDLVQCLGIGAIEIAVELLKNAGDEDDSKKSRQVR HALNILAAHCDIIPSDGEQSKDKIGAFLEQYSLGIMSHFSELVNDTRVWQSPNEKKRS LRGIEELVKVGKSHTRSALPQIHACLQSALSNDHLRTAAFQAWGTMIRSFDDEEVSVM LETTFAIIIQHWQFFDSSTREYARKLIEYLLTKRFQTLGVNADLLPSLAQIPELQSCE ERLVKMRSKPDVKQEYQIFSRRISHEHASVVTQGLTELASYLKKNQEFLQASAVSEQP EKVVGELLRAILDACVQFNDSNTDVARLAGECLGMIGCVDSNRVETVRKRKEIVVLSN FDGSADTTDFVLFILQEVLVKAFASATNPRAQGFFSYAMQELLRRCDFSVVVSQYRSH QINTGDAEKLHKKWLGLPESVQMTLSPFLSSKYTVTAMATNPVQYPIFQSTKSYNVWL RAFVLDLLQKPQNANASLIFDPLSRVIRIQDTSVANFLLPYTVLHSVALGSDQDRSYI LGEILAILEHDTSTDSHNDLNNVKLCSEAIFRVLDYLARWAQEEKAALGLLSTRTNSD TEEKSRRHLRLQLVAKLLSAIPAQIISRRAVECKSYARALFHWEAHIRKVRKNNEPIP KSMLLRLQDIYTQIDEPDGIEGISAHLYVLDIDQQVLGHRKAGRWTAAQSWYEIKLAE NPSDTEAQVNLLDCMKESGQYDALLNYVEGIEQSSSIVSKILPFATEASWSTSRWNML EKYVAKAPVEIAGDFNVNIGRVLLAFRDRDFNVFDAAIKSLREHIAGSLSADTTSSLG SCHDSMLRLHVLTELDMIAHSSDDASQRQQVLSSLDRRLEVVGAYLNDKQYLLGLRRA AMQLSRNTFTEANIASAWLTSARVARKGNSVHHSFNAVLHASKLGDDSAMIEHARLIW REGHHRKAIQSLQGAIDNNAFISHNQAPSELETGQEGNRDHQNLLAARAHLLLAKWQD DAGQTHSTALRSQYQFAAKLSMTWERGHYYLGRHYNKLLESEKTMASDQQIVPYLTGE MARLVIENYLRSLSYGTKYVYQTLPRILTLWLDLGMQITQPVDAKYGTKEFAATLKIK QKEQIASIHLRFDKYVQKMPAYIFYTALPQIVARIAHPNQEVYQYLHQIIVKVVSTHP QQALWTLLAVTTSTQTERKTRGASILQTLFSASRKLSPAGVDLKSMIQKGQKLSQQLL VACTAGDFPTNRTTFVSITKHLGFNPKQCCPSPLAVPIESALTATLPTLADSVGSHKA FSRDVITIDGFADEVMVLSSLQRPRKLTTRGSDGKLYGLLCKPKDDLRKDQRLMEFNS MINRSLKRDAEASKRQLYIKTYAVTPLNEECGIIEWVDGLKTLRDILLGFYKSIGVAP NYTELRVLLKDASENDDKLFLFKDKILAQLPPVFHQWFVQQFPEPSSWFTARLKYTRS CAVMSMVGTILGLGDRHGENILFEEGNGGTFHVDFNCLFDKGLTFQQPERVPFRLTHN MVDAMGVYGYEGPFRTSSEISLRLLRQHEETLMTILEAFVYDPTLDLLENKNKKRRER DPALQKVPNTPQGVLKSIRRKMRGLLEGDSVPLGVEGQVDELIKQATSTKNLVGMYIG WCAFF VE01_02798 MSSRGKDATYTLYDPSASSHNALTGKSQPLGLSRLDSSLLHEPV DAHLPIDVDVDVIALHGLNGNAFTTWTNRQNQLWLREFLPRSLPKSRIYTFGYDSSIF SQSKAGIRDYALKLLSELSLVRQSETDQRRRIRFICHSLGGIVCKNALVLSHENSIYL NILQSTKAIVFFGTPHRGSEHADFVSVLAGVINAFGYVSMAHRLFGKIRKDLIHLLRP RSKELIDISTSFTERTKDLDIISFYEENAMPPFKTQIVERSSARIGVPNEDLIPLSDN HRDMCRLDNEHTFAYRKIVEVCQRHGAASYKQGQSRPLDILEQECLSALNMIDMKECR AQLRPRTPGSCEWILSNMAFRKWISDKNSTLLHITGGMGTGKSILTSFLADRIKPLIE TAMAPCDVTMCSFFCDDKDIGRKNGREILRSLLYQILQQRHDLIQHATTRFSQIPSNQ WSLNALWETLSDITLNPMAGTIVVIIDAIDECEIDSRDRILAMTRKLLTQGLNPENNC IKFLISSRPSVDLTEEIQQYSTSISLDNEDGIKNRDIPLVIQRLKHGPQNKKFLERIV NENPKDLDGMYCCILSDIDIEYQTLASKVLRVLVTSLRPLTTAELQLALAISLDHRTL KLVEEDSDMAIERTVRLALGAFVGIYDSKVQLTHQSAKEFLLRLSTGSVANLESIKSD LRNIYGVNQRTANLELATVCIAFLGLDEFSETHLINENMLAFKELPGATEDILRFNDN SSQVDSIESSETAQVPRFFEYSARYWTSHLSMSGESIPKSFIISAIRFLHRGTNCLEN WSSQYRLSSVEFAALPKDLDPLLVSAFFGLAHLTNEILENYSSPLQDPNKSLALTWAC RMGHIDAVKALLEHGTSPSGKSLEGGSPLSWVCAGGHLEIARVLLHKSNRPQVNRGDE NGRTPLSWAVGSSHLQIVQLLLSREDIDVSIADWAGSPPLFWAVGSKPQGQDLAVLEV LVSEPRVDISQRDRSGRTVLSWAAEMGALEAVSIIIQSQRSGVRILLSDTGDSKGWSP LSWAAFNGHDKERPKCSFISSHAEIIKVLAKYYPEGIDWPEETGRTPLSCAMWGSPGN EETVRTLLQTQLVDVNQKALNGRTPLSYAVSAGRADLVRILVEEGGADLSITDNDGRI IRDMNIDWRSSQVKEEIDRLTGL VE01_02799 MARDEELAELAHPDYWNERYSLEKTIKQGETQEVLGSFEWFGDF AKLRAFFTLTADIYNLGYTNQTSVDFSQVVIDAMQSKYSSLETQWRVMDVRQLELPDG SVDVAVDKGTLDAMIHGSLWDPPDDVRSNVGQYVDEVARVLKPGGQWLYITYRQPHFM KPLLTREGSWDLSVEVLAEDAGAFEYFGFIMKKHPNVDLGHVTAPIIGKDKATLHVDS SPKILEMILANI VE01_02800 MSIPASNGKVVLITGINGYIGSKIGLDALNQGYSIRGTSRSVAS THALLAGPFAPYSDRIEIFEVPDITAPKAFDAAVKGVHGIFHVASPVNFALNTWDTVV VPAIGGTNTLLTSALEQAGSQLEAVVITSSVAALWNRGSPEGYVTTEADENTWAVEAA KNVDADGIPEAQRGGIMYAASKAAALRAVQEFRDTRKPPFSIITIHPSIVIGPPVLVP SSPSKLNETLKPLYSIFAGLTGVLPTRYGSGATIDVRDVSRVHLWAYENHTIANGEKY IASSGIGPNQGIADILRAQYPERKNIPIGDPETGYLFKKDANGRIVEISHVPGTSRIS GQKAVEATGKEWIGFPQSILDTAKALESLNGED VE01_02801 MFAAYDNTVIKSGEGIEIDEIHALRLAREHQLPVPEVYEAHPLP NRGASINMSYMPGETLEKVWPTMTPDQKHDIALQLRAIVDKMRSIPSDDNIFCSCSGG ML VE01_02802 MLSTPSVGVLGGGQLGRMMMEAANRLNIQLNVLDAPNSPAKQIS AHDGHANGSFTDPPSMRRLAETCDILTTEIEHVDTYALEEVCSKVKVEPTWRTIRTIQ DKFNQKEHLSKFDIPMADYRELSNNTAEELAAIGEELGFPMMLKSKTQAYDGRGNYSV KTKADIPAALKALENRPLYAEKWANFTMEVAVIVVKTKDGVLSYPTVETVQEDSICKL VYAPARNISDEINQQAQALARKTVAAFDGLGVFGVEMFLLPDNSLLLCELACRIHNSG HYTIEACSISQFEAHLRAILDLPIPAQSLEIREPAIMLNILGGATPEAHLQVAERALS IPNASVHLYGKGAGRPGRKMGHVTVTARTMEEAERTIAPLLEFVGGANPESNILYTQT LPRLNVRPTVGVIMGSDSDLKTLIPGLRLLRDYFGINPEVEITSAHRTPEYMATYSST AASRGIKVIIAAAGGAAHLPGMAAAYTALPVIGVPVKGSALDGVDSLYSIVQMPRGVP VATVGINNSINAALLAARILGAFDAGVQAKVEAYAKTAKEENLDLKGVKMKELGWDAY HQQM VE01_02803 MTGRSGEISQHLKAPHIVQNGDATWNLTEQAGHASNPLKQKRKY AGASGNLSAKKQHRSPASGYSSCEETYVEDPAKPGQALVRSKKGPRAYNHYHQSEQEN LPAPYGQPPVWASKRQQLCETLPYYRAYMSGGYLHDGLVRAILIDKEIRERDVFEEEV VITRCGGGRKLDEATNKMVQTTDQERSAYGLGFERARDMHSPVAIIAGKSQTPRSQGN SKSPSKLPHYYNILDWFHVTDVWVEKVEGYKTWCVRIEKIRLDEKSWWAKAGSTLPSP YRDLGAIKAASGKCCVCGDISKAIFEQGWVCLKASCDKFFVFDSPIDDRKLIYTETFL KERTAYTGNAPGPLAPPLMTEADMIITGKRGTEFAFKQGIVCPLCKGCSRRKQWSKWI CETVGCGFTHSLPIQIMSVKDTMSDIARNHRQQNFEPKFGIKLEEKTMGAYNVFEYGV PGPKGNIVGVLRLFKSSDAINRKPDGPNDLFRLMQESDFDLQRRPVRQPNSSGEVLTN HFATNWGAPYKFVVAQSSRGFSEAPIVIVKALKRLTWAGEQTLTDVGEPFHPFNELLS IGYFQDCSIGYHDDGESTLGPTVATLSLGASATMSLRPKAKAAVGVTSKNAKGTKAPV IRVTLEHGDMVIMHGSGVQTYYEHEVVPHGTLRFALTSRYIQPAELGSDAVREEARIK GALPEGHEQYKYDGDENVIFSPEEMKKAEKEARIHALMRSVNESTAIRKAIESQGDDD ELCQMRNILGEHIRGFMGDEMPDLMSACGNGVLAPEEVGEAICRKENLEEVDVPMASA F VE01_02804 MSYFEGDEEFARQLQKVMNNNPRRTATRASATPSNVRTPSRKKA TPSAPRTPGVSKKAPPNSSKYAEPRPSPHDSGFSEVASTDDEQVLSDFTPPSISSSDS PQESSVISPSSPEEDAEEDIVDPSSPES VE01_02805 MAPGSWYYEAKLVEDTPENEISTDNILKSPRRRRPPGGVSGSTL VQKSESNHTEHGLRRHEQLVKGKNDLQAGIESTTAECSTDTRSIGKKTSGFHSDTPMM DGVSDSEQPAIPEEVESVIVVQRTPKRAKTESSLSEAANSVSRLSFNASGVNELERSN CYVETGVDTTATDVSSAETSSLSFSQANLGSTRRSTRRPNKASCHQSPTGTVGDGLIN ASKALTARPQEGSVCGVVVNSSSSTPATDLSISTKSFNEELTQNNLTASILQRPGPTS PSIGFHDQSSLDDSRSTSLLLDQIPQQQLRPSVHQTTPHPQLQSSFLQSTNSLNDKSI IPQTQESSIILPPTPALSSTTPLGSSDNTPHLSNSKFIATSQVNTSTTIQQDQQTHNP LMTNIGAMAEAGTRPSSAEELDSDNSSVLSDLDSDDFTEEEAALILNSHQLELAAEQE VNAPPAKSREARQKNQTPKRPRGRPPKEKNVSQKAADHAKAVTKKSPARPKPLKGASR ATGRKKKVGGEDETDDFQPEKDLGSATAEPGVGLRDRSKLKAPVTYDDQVAAIADLMQ RPGDKTELELVVSRKKTGKARV VE01_02806 MVFIPPASSPAMLDIPDTVSLPDFVLDNKYRSVPHEQARNPFTC GLSGKTYTSLEWKDRIGWLARAFQKELGFQVNQGTEWEKVIGIYTLNTVDTLTVSFAV QYLNGVASPANAAYSATELAYQLKAAGAKAIFTCIPLIENALQAAKIVGIPEKHVYIL EMADSFTGGKKVPFKTVGELIEQGKNLPALEPSKWTQGQGERQTAFLCFSSGTSGLPK AVMISHRNCITNIIQITLHEQFARAGKGSKGGPRQDVALGLLPFSHIYGLVIICLTGA FRGDETIVLPKFELATFLQAIQEYKISVLFIVPPIVIGLINAHQISSRYDLTSVESLF TGAAPLGESTARDLAKLHPTWLIRQGYGMTETCTGVCLTTEEDVWFGSSGHLLPGFKV KIVRPDGSEVTAHDEEGELLVQSHSVVLGYLNNPEANAETFLPDTDGNGRWIRTGDVA IVSVAPSGNEHITITERIKELIKVNGHQVAPAELEALLLSHPSVADAAVIPVPNDRAG EVPRAYVVKSAKVSVEDNDKIVKRDIAKYVEANKSRYKWLAGGIEFIDVIPKSPSGKI LRRLLRDKEKEDRRKAGVKL VE01_02807 MEGQSNKGQSQHQYHSSQWSRLVRRNTLAISAAPPPLSPATLIQ PSPQLSTTIETFPDLLPQQTSSYQAQLAQYNKLITSGRATRVQSSPLPPLLSTQHIQA VPRSGTTSGYENRQRRRSTSRLGHGNKKPSITGNGERPLIAEQSLATMGPKKADAPKP QLSRPQPQHQHSALTQQSSSVPTTPHQRARKFSTASREPSPIPAPSHSPRSAYSETSS AYPTYRSLPSKWVRCTHETGMAHSRRRMPYSLGSERLDQPKQSSIKAKLSPDEDKKLT ADMEKLYAELLPTDESEAKRQRFVQKLEHLLNTEWPGHDIKVHVFGSSGNLLCTDESD VDICITTEWKELERVCMLADLLYRNGMTKVNCVSTAKVPIVKIWDPELGLACDMNVNN TLALENTRMIKTYVQVDPRVRPLAMIIKHWTKRRILNDAAYGGTLSSYTWICMIINFL QLQEPPVLPVLHDPQHQRLPQADGHESAFADDLEALRECGKSSKQSLGELLFRFFRFY AHELDYDKHVLSVRNGKLVSKQEKGWNLANNNRLCVEEPFNVGRNLGNTADDFTFRGL HLELRRAFDLIAETKLDECCEQYVFPKEEERVWEKPTASRPVVVRSVSQSRGGGRGGG NGGRGRNTNHNRNNQGGRRASSATYDGSNGYPPLATPQGMSPSETWIQNQQAQLQLHE LYMTLEAQKDNLLRLQLYSQEQNYAQGQQRMEAFAQTHRLQPNAPVTSQQAADRNRGM SFDNPPLTAPLYYYPSVSFQYPAGFGPQQGPNTYPSSPAIPSAVPELRRSLHRSSVTS GSGPGGAVSNSSARSHSTPAARTTLSPILTQYGMEGQQSYSPLHPGDITSHPPSANEN GRPGFDTDSVASRADSLPAGGFEKDYKGYGLNGSIIYQNPIESNFHSTVSASPGHRRL STEQFPQAILERLRRTSRSPSPLGRDRGYTTGARAIPAAYGGVPHAVSNTNVRSLNEL SPLIVNGSFSIPHSRASGAPSSASGSSSEDQYHDALGASVDSLYLTPQDADSRNMQPP QNSGLAVSLPPRNRSEREPSPSSRQRQRPAVETHLGPPSTSNFAPSSAQSYSHATQTG AISPGTSTPAQPSSPSVRNRPSRSQGTGMSPLDLGPQKADALRDVPHLSPVFETRSPS PTASRKTDSSAFAPPPSPLRESAGSLGNAGRNPSPLANGNKGIGMPNGHTRGAKSEGG GVGTWQQIQKGKRRGQDGTGKGGGNAEAEELPRFEADRKGG VE01_02808 MLSHTLYTQLSTALQKPLPCILIATSSIIAVLVLRSLLRDITSP LRDIPGPFIARYTRLWKLREIYKGAFQETNIELHRKHGPIVRIAPNEYSIDDPDAVKI IYGLGSQFIKSPWYTASGDPDPHGTPDLFSDRNPTRHAASRRKVASAYSMTALAQLEP LVDECYVVLRDRFMEFADRGKVVDMAHWMQCYAFDVIGEITVGKRFGFLDQGDDIDGI MAAISQYLSYGAMVGVYAEFHRFAAKLLSFLPQKQGGGMAAMGKFANAQVQQRLSTTT AVDGGDDKQPNQKGDFLSKMLNLHHENPEKITLKDVFLTCITNIGAGSDTTSISLCSV FYHLCKYPEVAQKLQAEIDQKAAAGELDDPITFKQAQSMPYLQAVLKEALRMHPATGL PLGRVVPSGGAEIAGRAFPAGSIVGVNSWVAHANQDVWGPDAAVFRPERWLVGKEQYN LLDRYYFTVSSDFRLSPPIPSPAAALVGNLLITLLAADLLLLTSVLTTLLLPHIPSPL LYPVWGLAKTYAIYLQLLILPCLLGIWGAVKESPLPTHILASTLLLDALLSLPPRLLL LASLARAPAGCGAPGTPAQGIIWTRCADAAIGPIVVVVVVVLGSGLEAWGGWWVRGWG RRLGVEQRRGRREREEEGGKEMFCDEV VE01_02809 MSYYDNQQWPATAAGQATWEHQTPPARSGASSVAPREDSTAFSS QLEEVDRAIENLFKSGKAFSAPARRDSAPIGAPARSFPEQYSGPPRHNSVSGGAGGND FSGDARSFSASNLQSFYATQRHQPARGASEAEQVLQAKRRMAAQRERELRNYHQEQQY NRTALAELSAFGTKPDRALSPSTMSDDSRRDLIARQRSALYGDSTFPEGSFVDENGVT RQATPAQPGRGHSPLAFEAPAPKDAAAGAVGTPAEERSSPFENRGASGSPAANSPPNA KGQSGSVAPIGTRPAATTANSSNSTATTGTTTPTTSSTAAPNQATAQKGRTTPLPSPL SYGFGAPAAQEKGEDAKEGASNAQGGNGNGNGNGGMGWGKGAGGGVWGKGSLGVQASV WG VE01_02810 MSATNPYDEDISDEEPAMLGEDEALEEIAGEDDTAMDSGDDGGD DAGEDADGDQAMEEYTLHNDSVGHFDNFRDSIFCIAAHPIVPSLIATGGSDGDDAGGI GYLFDSTPADSPVLPESYQTQPAERIERKGIDAISTLDGHTDSINALTFTLPSGAALL SGGLDGALRVWVPSTPSNPLSPWTLLTSATEVDEINWLSASPSPDHPNTFALGAADGS VWVYTVDTSAPAADSLTIIQTYFAHTTPCTAGAWSSDGSVLATVSEEGSLYVWAPFSD TSSQAIVSLTAADARFAVEGGLFSVAIAPTGSYVAVGGAGGAIKIVGLPKPGAAPAKG KKAGGPGGATQAGVILADLRAQSDSVESLTFAAPPSTVMAAGSVDGSIVLFDAARRFA VKRHIREAHAEDSVVQVAFMSRDPKILVSSGMDGVVRRWDTGGAGVGQSGLVGEWRGQ GGGVLAFVGSGGRVVTAGDDGVSLVFEEK VE01_02811 MVSLWPWKGEDASPASFEKTLSALSEKITKAQLQLDTLNQRSRR RIALWTLYTSFAYILCVIILALVVGWRNWGAAEYTGVAGSPLIMYLVRAAISSYYTYR ISTATRRLEEYQLDRTKTIDKLKTATKYNSTQQLLEKYGGVTPAPKPKLKPSTTGPGS FTHAQQHQSTRKPARTSIAPPATANIQRPGSQPATPQQLPPQRPLAEMSPLAQALQKQ VRQSAPSTPLQQQHIPSQPRAEFAPNAFSSRASFDSSSGGGGGGGGGQWYDRILDVLL GEDETHPKNRVVLLCSHCRLVNGQAPPGVKRVEEVGEWRCFNCGGVNGKDEGKRMVEE IMRGEEGGSDKGGESEKGEGEDDGVRRREKEASEEVEVEEQIKAGLKEGEEK VE01_02812 MFQRLKGAIDSRIAEEQAKAKAAGTPATGSGTVSRSNSARADPT KRTKPKTKVVDDGARGPDPSEFESAFVIDDDEDTPESTRSATPLVTEGKGATTTMAGK SSPAPAAVANGEGVNEKSEAVPKSTTPPPTTTAATELPMEVRQKLRKLEKLESRYQEL LRSYRIAHARAVSIEPFEKTLKENTPLVSISEPEALVEYLNQLNLRGDMVMDELKRVT SDRDLYKKKFEEAEREASDAQAELEALKSSEGVKAAKESQPEEQAKATDSKAQADVKS PASSVFKIFSPKQKPTEPEGASEDFFSYDEEIPQLQSELQAKTTEIETLKSEVVVLQK DLDAFKETNEGLSTTVQSLERQVSEASEKSASNSARQEELKELQGKLDASTKSISELQ AKITEKEKEGTDAASSKAAELEKSQATIDQLKKELKDLQALQDGDKKKIKVLEGIVAT LKKKGEAAEVASAKAEPEKVVAPMTTPVTAESAAPGAAKKKNNKKKKKGANAGKDTPA AATEAPQEPTEAATPDAPTHDLEAEVEKLKAEVASRDVQIEKLQKQQKNVETMREKID ELEENYLQVGHEHVEAKQKIKELEAEKKVLQQKVDVLESSISSQIEHQEKAGQAEASL KSMTSDHDELKTKLSTLQSDLGAAEKLASTRYKELTDLRDVLQKAQPELKSLRTENAA LKTTKDELVARTAELRKLEARERELRSDVGSFKKQASERETEVKSLQAQLTSETNGRV KAEDTARVAGRDLRRVEAEKIELSASGEKAARELGAVREEAVKLRGRVRELEAEVGRV GRESREVRAEMELKASQYSSAQSLVGSMRDQTAEMAMQLKEAKEAAESLEEELGEVQR LLTERTREGETMRRLLSDVDERAEAKVREMRERMEAAVEERDRAEEEAATGGRRRARE ADELKGRVREVERELKRALEDREELDREAKEVKRRRDEMEGVSARAAGEVQEVRAAME ELRTALDGSERQAREAEKGKVDLRRLLEEAGGRYEKLAKTLKARETRLAELTGAGRRV SGEFGGSSRGASPAGQGVSDVQYLKTVLLQFFEQRDRKLQQQLVPVLGKLLRFDGNDE RKWNAAIAAK VE01_02813 MTSTGQQIKAAAIAKAKSAVSSATTNGSNGSASKKRRGNNQLKP IITNETPKNADTPPTTMASNSTAGKSSGSTNSPLSHKGAMNNRSPSSTSSRDEAETTA DEEDSEDYCKGGYHPVQVGEKFKDGKYTVVRKLGWGHFSTVWLSKDGVTGKHVALKVV RSAAHYTETAIDEIKLLNKIVAANPEHPGRKHVVSLLDSFEHKGPNGTHVCMVFEVLG ENLLGLIKRWNHRGIPMPLVKQITKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVE HIVKTFVNQDEVKKEEKKDNNPNGRRRRRTLITGSQPLPSPLNASFNHAELFRNQGGS ISSLNEMMSEGNETPVRGESASSLGGVVMSGAITGSPSTTPTTDKDQNQREKSADILS REVSGISLDKSSSTAEKPKPSDPAFEKISVKIADLGNACWVNHHFTNDIQTRQYRSPE VILGAKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDDDHIAQIVELLGPFPRS LCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYHFKEAEAKGVAEFLTPMLELT PEKRANAGGMAGGGWVSDAVGMEGVGIGGRGGGGGGGGVEGWAQEVKKAR VE01_02814 MDRRTHEVPMDFEWQTSGPADVTSPFYQLTQKQQGLKRGFESPS KPPPPSQSAFFSAAPSNTATPFRNPSFTTPRKPFDVDLFSEASGAESSPADNADTEDT PDHPRPSYRAPIAMPAFTSTKLDKKPIFGRYGLSALGSPGRDTPRRGKLSDAVAQKAR KRRRHDRDPVIHSRRGSIDSGSSSESASRRHSPHNSHHSSRGSGSGSGSQNWFSSLLS GIESRPNLPHLLSYYAQLILNSFLVAVAMYLIYTSIRTIQSDVSKEEARAIDEAHHEI AVCTSHWTTNNCAPHLRVPAVAAACREWEVCMQADPTQVGRAKISAHTFARIVNEFIE PISLKTMLFVVATFLVFGYVNNTAFAAYRSKIDTHPPQQQFFHQPPPPPSPWPSQQAG EYGWGAIAPPPTPRHASGGYGDGNGGPMFAALMPPQTPQRSPRKGGR VE01_02815 MAIQSEEEKELIRRTLQFIIFAIRPMTMEEIAEAVVVEDSSTAL DPDDRFHTPEHLIKSVRSLLTTTGGYLGLSHYSVQEYLQSPRISKGPASYFAMTKSNA DEEIGRICLTYLAYDDFNAGSYESYAKSDRIVDYPFLEYAANSWFLHSREEQAQKSVV RLFDKIWTTAESPKYMSWHQAFSPWKFDMGRSTNQSIIYYPALFGLHVLLEHLVDDAN VNIQGGYYGQALQAAAVNQNHQCFEILLDHGADVHAQGGHFGNALQASAFVGCEDMVK ALVDRNCNVNTKGGIFGNALYAASSGGHTGTVCMLLEAGADANAPSETNGRPFKGPPG YPLHVAASNGHYLTCKALIDKGALVNAQWGMSGSALHEASFNGRTRVVELLLERGAEV NAQSNRGTALIAACYTGHEQVVRLLLIHGADASSHGGIDDGTPLRAAALEGLPRVVSL LIQYGADANEQGGFYGNPLQGAAYRGQERVVETLLSLGADVNRAGGQYGSALHAACLS GSMIIVKMLLNSGADPNFLSDIHGSPLQLSTSFNKAEIVQLLLEGGAKVNAVGGEYHT ALHVAAHRGYEDIVEILLTAGADVGIVGGEYGSALDAATSKGHIDVVERLKEAERERE AVVPEVVLTDILCPSCSDSEEKLQYSQS VE01_02816 MAEAIAGLAVATSIITVIDTTCKVVAIGWRCYREIKTAPKELVD VMSELMSLQGILNTLHSHLSTSHDHNLKGFLALEVLNQPGGVLTACEIVLQDVLRVIE GLQKKKISSVIAAATGGQKLLETKSRIERLKSLLMLALSSDHITLSHAIEEHLHLAFG ELKHGQGKIHCELLNIDNHITKLSRVSDEIASSQKEKHKSDQYYEIRRWLSTVDFEAN HSNACKLQQPGTGLWLIKGKDFSEWARKDHSTFWLHAIPGAGKTILCSTVIQELQSRS QPVANSPEEPEISTIVYFYFDFRDSKQQHTEGMLCSLLGQLANKLSGVPEEICALWKI YKDKTSRPSPEELLAILILVIQKYFDKVYVALDALDECSERQVLLPILHQLMDSKCAS IFLTSRSEHDIQKSLSEVSIYSAAIESTDVALDVELFVNRQIKAIESLRDLNVDLQNE IVQELVGGAKGMQV VE01_02817 MSRDPLKMIMFPNADPSPEEEEEETKWSIEYLQESLQNDSCYFR KVTDSSGCYAGFAIWTLDPSSTVTGHKTKSTQKRRESWNPASLDVRAWIEVSNLLREE RQRVLNGQHNIWRLTTISVTPEHQRQGVGSMLMQWGCDKADSCGWNSFVMASPDGVPL YTKFDFKVVGQVQIKHGAFTSDAIECSQHEILTYLLSEGFPLDMFDIRLATRRKERCA LEILIAHGWNINKPRTNRDPPLLSQAIKDEKLASWFLDHGANPNAECDFDFTPLSAAM VSASFATIKLLFDRGGSVKHGQLLHYVARRSGPDRIKILDFILSKGAPGMNRLLHQHR PADYLPLEYAGLSTPLGMAAREGTLDIARYLLKSGADPTIKNSLGYLPIETAEYYNNH DIVTLLSEFGAASSNH VE01_02818 MAAIRSAMRTNLRQLASRPRAPLSRGSRAWAGNQCPLSVTTTTS AGLRTYYKSAPADPANRAVSPKEERDVFAPLDSFARRHIGPTPSATEAMLKVLNPPVK SLDEFVESVVPSSILTAKELKIDGPSKGVMVDNLPINEEGYSESQLTTRLKSIASKNK ILRSYIGCGYAGTRTPEVIKRNVLESPGWYTSYTPYQPEISQGRLESLLNFQTMVSDL TALPIANASLLDEPTAAAEAMTLSMNMLPASKQKRANKTFFVSHLVNPQTVSVLQSRA DGFGIKIETGDVLKNGSARVNELGDDLVGVLVQYPDTEGGVEDFKALADIVHGHGSTL SVATDLLALTVLTPPGEFGADIAFGNAQRFGVPFGYGGPHAAFFSVSEKYKRKIPGRL IGVSKDRLGDRALRLALQTREQHIRREKATSNVCTAQALLANMSAFYAVYHGPKGLKA IAERTIACTRILEEGIKRLGFETGSRGKDDEGRALFDTITVNVGNGKAQEVLSWAVKE RGINLRMFDESRVGITLDETIEEHDLQDLLSIFTQFAPKKADIQLAQIGKELNGTTAK TSKPLIRTSEYLTHPVFNSHHSETELLRYINHLQSKDLSLTHSMIPLGSCTMKLNSTT EMIPVTWPEFSSIHPFAPVDQATGYKTLIDELESDLATITGFDAVSLQPNSGAQGEFA GLRVIRKFHEQQQGGAKRDICLIPVSAHGTNPASAAMAGMRVVTIKCDTKTGNLDMAD LKAKCEKHSAQLGAIMITYPSTFGVFEPEVKAACELVHQHGGQVYMDGANMNAQIGLC SPGEIGADVCHLNLHKTFCIPHGGGGPGVGPIGVKSHLAPYLPGHPLITTGGEHAIAP VSGAPWGSASILPISWSYVKMMGGRGLTHATKITLLNANYLMSRLRDHYPILYTNDAG RCAHEFILDVRGFKETAGIEAIDIAKRLQDYGFHAPTMSWPVANTLMIEPTESESQEE LDRFADALIAIRAEIKDVEEGRVAKGENVLTMSPHTQRDLLVGEWNRSYTREQAAYPA EWLKEKKFWPTVTRLDDSYGDLNLFCTCSPVDAIE VE01_02819 MSTRNTIDANATFQNNWIKLLRTRRGSSAPLLKCPYCHNPPTFT EENDLWHHVKKNHAVHIPRDESRVKRFRDEVLAKSRALSKKEATPPEPPRLPTDGGIN QEPTQLTASKLEDLQKAELASSNAAKRQPVMSNTFLADEQAYKKQAIGLGKSPSSSHS QGSNPSRLQASPASRRAASSPTQGKARGSMEFSSRGPSTSGKRLFNPSEPSSPQAQNV SSPGGSAQARPAHQIRKRLSNPQHVAATATEKPAPSFARGTLPGDILMSGKAQGSQGP QLSSPTFDHTRMVSQPATRPISQEQLVAEVKGIYVGLVMVEGKCIQVDLKQAQLAKEA PPGTPPKLNNEQYQALIALHRTLLHEHHDFFLASQHPSATPAVRRLPLKYAMPARLWR HAIHSFLELLRNRLPASLDYMLAFIYLAYSMMTLLLETVPAFEDTWIECLGDLGRYRM AIEDDNVRDREVWAQVARQWYLKSANRSPITGRLYHHLAILARPDALPQLLYYGKSLA VPIPFTAARESIMTLFEPTLNPVAGQRRFSVVITAIVRSHAIIFTGKSLDTFDETLAE IKSNLDGHIGRITKKYLEQGYYIAISNCIALLGYGADDNPLALLLKPQSTDADTIMRD DDSAPALTPTFTASLNLFAQTAKIHLGRIGDINTLSFVHVTLVFLRHLSRHPAAASLI YPHFPWTKLVRALSALTVLYPPTRAAIESPTIPIPDSTPPPAARPETTPHSPPPPTVP LDPSQLDIAGAHDTVAPAKDIFRPFPEEFAMQGLGFTAGYFPEGWFSNENVEPETHYL EAESMRSQHRPERVLWLGVQIAGLAPEWMGYSSVDGYTFSVGEKAKEYAGDETEDESG SGSGSEYGDDIDSENGSKAARSESGTLMMEVDDRPLSERDEGGKLSFLDMRDAGITSG GLEEGDAVGDVG VE01_02820 MESSVKMPKTPLAESIRGHRRMTSRSDSTHAPSKTLGEQSSKCP KPQPSVAGSRAHLPFTTASFWNLRHGLRDSNALLAPFQTRDFSALRWESPLASELNCQ QRFRMHVAARQPEASCDACHTAVDNEPPVALL VE01_02821 MMLPRILLMLSLLVLGVFGLRVPRSPDKKVKDIFPDLLDAGTDE LMKGLKQKHFTSVDLVKAYLRRIQEVQSQLHVVTEINPDAISIAQTLDAERAHGKLRS ALHGLPMLVKDNIATNDKMNNSAGSFALLGAKVPRDSTVVAKLKAAGVIIIGKSSMSE WANFRSGFDNSCNGWSAHGGQVLGAYAADQDPSGSSSGSAVGASLGLAFAALGTETSA SIIFPGSVNNAVGIKPTVGLTSRALVIPVSERQDTVGPLARTVTDAAHVLNIIAGKDP SDSYTNAQPFSQPPDYTKYLKKNFLEGKRIGIPRNAFLPIGDSNIDAPILATFEAAIK ELKVAGAIIIDNANFSQWEEYYNSSVTFYGAVNLVVAIDFITNLPQYFAKLTTNPNDI TSLSALRDFTQHNPHENYPTRDTAVFDAALTVTGDNTAPGFQAFADQTHAWGTYGGVT GALDTYRLDALVMPSMYAPGVPALAGLPIVTVPMGKYPAGTQVQRLGPCGLVAVAPNV PIGLSFLGAAWSEEELIGCAFAYEQRTLVRDKVRPIVMPTSQLEDVVGH VE01_02822 MSYRVEIAKQGRAGCQNTPCKAEGIKIQKGEFRFGTWVEMEHGA SFRWKHWGCVSGFQMQNLREYLKQGDPDGEYQWDFMDGLDEVPEEYQEKLKTAVIEGK IADEDWKGDPAYNELGQKGTQPRAKKAKKPAEGEDEIDAEGAAPTPATKKRGRGKKAE DSDEEDAKPAPKRAKKAKKEEADSEPAPKKEPAPKKERRNRATKKEESDEDVKAESED EVMPTRTSRSRRSIAKKEESADEMGVEDEAKPSVEVKPAKKGRAKKVKAEAVNENGAA ESPVEEANGRANATNGAKKEPKEGPAGVKPEPEEDDVVDMSGKATKPRAKKAKRESIK KEERDDSAVASQVKNEDADVVDFKPKRGRRVKKEETPEVNAEDIDDDAEAEEEETRGK KRAKVEQTEEVKPAAKTRTRRSRG VE01_02823 MSGIPDNFPMSLRPWPTKEGNGSALPTLISRINAERGQFRNLTE EDLLEEIAKGENEAAADNEDMSTEDETEAAPDRQKEVMDAKAEMLAQLEQAHHASMIA LDFVALLLSKDQPVQAGLSISDGLRQVVSLGTLGADRVKDTRLTEPRKKDIAAVGKGW KIQSFNTSVESILNAASRLETEIAAETKYWEAILSVDEKGWKTCKLPQEQHTLGVRFG FFDAAPAFSNRSLAALRRQPDGTAYLDHGAADPTPKRVLIQIETNGILTGALAPSTSA PDSSPLETLVLRSRNAVFEEELWQELNREARTLANHSVRMTGDEISCQLTPTTRILLR LEPLSTSPSTTTPEPRPHDEIVTMLSLALHLELSHAHRQNQRRRTQPPPPISSAPRPN PPYALLRPLLAYEHHIGILAALRATTASLTTTLCAASLDVPAATLVPATLTAATSTEE RVAALLSSREAEFLVPLPAGQSVTIKLRSDLGIQTRFRVEITPALAAVCRAPPNPSVE EVRTFLRWAAGCAVVRAVVGKGEEGGVGEGLKGWRETASPCLVRKEVGGKSREIGVVV VEGRLELKVKSGKESRVVKWEVGGEDRGIWEVIEEVGKSDGTEGAWGDGHEVIREVME IE VE01_02824 MGMLDPRPNGQKAELLPNGKRRKIAMMTSGGDAPGMNGAVRAVV RSAIDEGFDAYCIYEGYEGLVQGGDLIKKMSWGDVRGWLSEGGTLIGTARCKAFYERP GRLKAAKNMVINGIDSLIICGGDGSLTGADKFRFEWPGLLEELVQTKELTEEEIKPFM HLTIVGLVGSIDNDMSGTDVTIGCFSALARICEMVDYIEATASSHSRAFVIEVMGRHC GWLALMAGVATGADFVFIPEKPRADNWREEMCTIIAKHRGVGKRKTIVIMAEGANDQQ GNKITPEQVKDLLADPKGLALDTRITTLGHVQRGGSACSFDRTLATLQGVEAVRAVLD AKPDTPTCFIAINENKIVRKPLMKAVRDTQEVAKAIQARDFDRAMGLRDTEFAEIYAS YLITTATSLDKALHLPSQKCMRIGIIHVGAPAGGMNSATRAAVAYCQTRGHTPVGIYN GFAGFTRHHGDEPLGAVREFDWLEVDNWASKGGSEIGTNRELPNDSGMATVAELIAKY KIDAIFMVGGFEAFHSMSQLRKARKEFPQLAIPMALLPATISNNVPGTEYSLGSDTCL NELVRYCDTIKQSASASRRRVFVIETQGGRCGYVPVLAGLNVGATAVYTPEEGISIDM LAADIRHLRKAFAEDSGQSRAGRLILVGEKASSVYSAKLIADIIRTEAHGRFESRDSI PGHVQQGGTPSPMDRIRAVRLSIKCIEHLEKFAGASARTIADDALSSSVIGIRGASVT FTSMEHVESKETDWANRRPLEVFWADIEPVVDTLSGRPEIPRPEPDVKGQRAKDIKRG LVRGPV VE01_02825 MAAIPISPPPYAEKSPRTPRKSNTNPLGILNFGTPGNTPRKITK QRSRHDTPGSVANKAYFSASPDVVKEFLVCLAKGWAPEHTSGDRHLCGVYALHQSFRS MMGGTQALRGFGFKALKDEIGSERFKTFHRNAIRSRMEKFGFQGADLDEAVKQAIDQA GFGNNDFYSVEELSEFVRYINRMYNVQYRLGIVSKFDKRIPSAYIVEGDDPLNQLHYR VIWLYNIDNNHWESFGPKSSEDGRKARRKWRLLENLETVVNNGLYRVTVATEGVPAEY TLTTLIDQWVFQVAPPEGLEPREGLIYVHTTKGPNSDSWRVVGESSMETIRHQEGFIP LANLEVVNTYIIPHDRRGMVEKSRKPTNILDQRTGKRKRTPPPPEQPPAPGGTSGAAP GATGGCTTIGATTEGQEQPGQEQPGQEPIPTGLPGRVTGPQNDLPITPGNDGPTVRGP VETPTWIEGPQAKRVEPRIQLPPTPGSNGITFRGPQTNQPGGLIIQIPEPVIVQPIPP PDPRPKVIGPNTPLTISTFVNRRAFAPRPRPDSTRGPSLGAHPRLPPGRPKSRTMAEF TATRNLKNDWARTRFLNFFLADVPDTDADGKRFSPNHDQPYHQDQVLLALDETYEPGI PGPVRVRTFDEDEGWAHSANLRKITDPFGLDLNSATTQYSMDPNEAFREDLYPTAFLR GLCQGSRIDPNGSRQEIMAGLAAHRMELGVPLILYRLVEAVGVFFKDDLVRVPGDPLA NPDEKFRAFGLEPHKRGYVRGRDLVAEPRSWGARVRPHVHGLTAPFDVKWALPPGNVK DLVPLGSKLSLHGLNTPPREENGEVEVGGTGFAPAGPVVPSRRPGQVYMTKDGRPSFF TPE VE01_02826 MRILGILVSIASLLNVALAESETNRLVEAFTKVPPCAQKCSVTT LKAAGCELLDVRNCFCSNEDLQLQVSVCVVETCKVEDQAGIYSQHFTSSLFLDYGLTL IYTAATLILQNGICYDIPQPSRSAEIIRDVIIISAITFPIIALRFVSRSLVSNRLWLD DWAVVVAAILMIPMVVIPIYNATLGFGRHFWDVDPKNVIILRKLYYISQIFYLLVQGL AKVSILFLYLRIFPDRQFRRIIHISLVWMACRTIIFVCVVVFQCIPVAVSWDQSVVGK CADQQAFVYSGAAVSIFEDIVIMFLPVYELNGLTLSLKKRLVLVFMFAIGSFASITSI IRLKYIVSYGTTIDLTYANVDPVIWSILESFTAVICSSLICLRPLIVKIIPKSFPSTE MSEATRTPTTPGWAKSINVKLASKMRHTSNRFELHSQGGDGLTAADNVIRVEKSWVTE TSPADRAASLEMKGRSSCSTLTTGKTSWDQGV VE01_02827 MNEDDRYRTSSQYRYWSYTPAGLADLRSKTNKLATERVRAAIAR VQASRAASTSQNASAETSEAERSGTPAVTAASEVNFLTPDEELKLVRFFCQQALQLGD HLNLPTDVKATAIQYLKRFYLTNSTMTYPPAAILKTCLFLATKTENHYYRLTKFADAI GKTTPEDVLASEFLLTQALRFTFDVRHPFRALEGAAMELQALANGSAPVLPGMDNPEI PPELGDVAARVRDAHGNARERLKTSALLTDAYFHFTPSQIMLGSLLLADAELTRWFMT VKLPSAPLLERVMETLRACADMLAAVPPDSQPGEAEMRELKGLAKKLTRCRDPEKADL VGLRRAKRDGDGEEELRKAKKRKLEREKVQKEGEDLFGPALVKRDV VE01_02828 MSASRIKSRPKMPAPMPDLGGGSTEVAQDDHHPSGEIVHGGPKQ LIRFVLFMTYFWSCACTIVISEFVGIPLYWIKREWYYKNIALAKEAFGTTITCMTKWW SPTVIRISGDESVRGQLHQLPDGRLECNFPERIVLIANHQLYSDWIYLWWIGYANRPR TSGHIYIILKETIKYIPLLGQGMVLFNFIFMSRKWSKDKARMAYRLRKLKTPIPGTKL LRPMWLMLFPEGTNLSDNGRINSAKWAAKQGLQDLQHQMLPRSTGSFFCLNELKGTVD YVYDCTLAYEGIARGQFGQDYFTLRSSYFEGRPPKSVNMYWRRFALSDIPLDDAGEFD LWIRERWIEKDAFLEEYMSTGRFPASQDLESKTKDSEGSTVAIKGFIETEMKPAHWYE VFKVFTVVAGFGFALNLLARLWNFLHNGSSA VE01_02829 MLLRHWRLRSTPTSCLRLFSQSSRRQEIRDLAQLPDRIIPKYLE SQQSDLLSLQWPSPPRNILFVKKDGAPAVTESMIEYANYIHQNYENVSLIFEPHVATS VQSSIPFPIYTTSDSSVLSRKADMTTTLGGDGTILHASALFSTTTHVPPLLSFSMGTL GFLGEWKFEEFKRAFREVYMSGAAAGSHLFQDQQHPHVQDIAGGETGDVTGWSSIRGK SMGTSRLSKVLLRNRLKVGVFDANGQKVNSDGTVESEVRDIHAMNEVIIHRGANPHMA IIEIFVGGRFLTEAVADGMIIATPTGSTAYSLSSGGSIIHPLVSSLLMTPICPRSLSF RPLVLPANTPLTLRLSEKNRGRELEVSIDGKRSSMGVACGMEIRVLGEDIKRPDGAWS GGVPCVMRGTKSGMKHDDGWVGGLNGLLKFNHPFGEE VE01_02830 MTCDLVDPAGPVVQILLHDLEPLEGAAQYTRITSTVLFLATQGL QLHFPPIFLDQTSDPVFCGNWWGRITYTVNIEPEESDIVMEAFTQCLNHHAPSSSIML ASRHSRAWSYRPMFPPQDLTL VE01_02831 MAPSNSKIAGARLQQLQRVMEESSRRPFIRAYGQADLEAIMHIC RVTAHSAAAQEPANTIVPLIFALPYVILHPEYTFVLDNGHGDCVGYCVAAPCSATYLE RYKSEYLPTIDRSLYPPPPPCPGAIAGNEIERIGKNGDVAAALMQRLYYPDESVLHSK WPGLLKDYPAHLHIDILPEYQGHGGGKNLIAALLAKLQADSVRGIHLMKSGENKGAEV FYGRMGFERYPVIMDGGESGEIGRKTGGGVCMVQKSS VE01_02832 MRFYQPLAGALLIGAAQAQQGAWAQCGGQGWTGGKTCVSGYQCV YSNDWYSQCLPGTASTPTTTAAQTTTAPAQTTTATNPSATGWKWLGVDESGAEFGQGS LPGVYGKDFIFASTDVLGSLMKEGYNIFRVPFLMERMAPGGVGSAFSAAYLANYTVAI NYITQNGGYAVVDPHNFGRYNGAIITDTNAFGTFFKTLATAFKSNAKVIFDTNNEYHD MDQTLVLNLNQAAINAIRAAGATSQYIFVEGNSYSGAWTWANVNDNLKALTDPQNKII YQMHQYLDSDGSGTSDACVSSTIGVERVTSATAWLRANKKIGIIGEFAGGANSQCKTA VTGLLQHLKTNSDVWTGAMWWGGGPWWGNYIYGFEPPSGTGYTYYDSTLLQFRP VE01_02833 MTSTSTVAGWYNANANLEHNRLITNRLEFAISLHIIQQSLSSLP PTTGPKEILDLGGGTGRYAVELAKQGHNVTLVDISQTELEQARLHAQESGVALANITC ANALDIRSVQEVFRENYYDLVLCQGPLYHLLSIDERLHVLHSCMTATKRGGFVIAAFV TKYAHLRDLAQREPLRLVMEAGFYAEYLETGQYTRNPRNVGHHTYREEVGALFGTCVG REGEVGVRLEVERMVACESFLGGGLAAGLNGLDEEGFRRWFEVALGAAEDLDVGTGDH LLVVARKVVSEVV VE01_02834 MGNTEELDLVVIGAGSYGLAAAKTFLEVNPSASIAILDSEETIG GVWAERKLYPGLKTNNMLGTYEFSDLPMDTATYGIKAGEHISGEVVHRYLKDYARKFG IYSKIRFQTKVLSAEQAGGEGWLLTVTKGFSDKDALKTSEVLAKKLIVATGVTSDPFL PRFSGSESFGAPLFHTGDFKEHAGTLESAKTVAVFGGTKSAWDAVYAYATRGVKVEWI IRESGHGPTWMAPPYVTPLKRWLEKLVHTRFITWLSPCIWGNFDGYSRVRSFLHGTAI GRTLVNTFWGILGNDVKTLNKYSSHPELAKLEPWNDAFFVGASGISILNYDTDFFELV RNGTVSVHIADIEGLAPKTVLLTKGERLKVDAMVCATGWKHRVALDFLPKDLDLGLPH HTTSAPNAHTKQANEEILSRFPRLKQRPAARPKYVPLTENKGISDEDLAPPEETFEPF QHYRFMVPPSTIRDRNIAFTGFQLTISTTIMAQAQALWIAAYFSDKAGAVAIPADEEE VRYEAVLHNRFGRWRYPEGFGVIPDFAFDAVPYLDMLLTDLGLDCHRKRGLLRDMFSP YGPEDYKGLVGEWVSRVKR VE01_02835 MRKGTKVFIFVNFVVICLLVNAFSTLIALLFEDGAVHAIPAADI MRPSEDHTDRNDRQVIPRIIHQTYVNDSIPAQWVPSQRSCIDLHPDYEYKLWTDTLSR EFIRTKYPWFLDTFDSYPFPIQRADAIRYFILDHYGGIYLDLDDGCARRLDVMLEYPA WLRRTLPTGISNDAMGAIPHHPFFKRVIQSLERYANNYGMPYITVMSSTGPLFLSIIW KQYMSEERPEQDHVRVLMPPEYRNNAWSIFYIAKGNSWHGKDAQTIFWMGKHWMLLTF SGFAVAGVVFAVMFYAWTAWIGTSRKDKNGPGYILLPRRECVDRMA VE01_02836 MSSSSSSSNADQQASGRAGPSTAPNNYGPVIVDSATYTLTPIPE SPSEDPISSAVEALEHLTLREVGNSYIIDTPRAIGHLTDTLLDLPTSPPSLYLSISGT SIARNGSISLIQLLVLPTNRNYLIDIHTLRSSAFTTAGPRGRTFQSILEDAAIPKVFF DIRNPSSALHGLFAISLAGIHDLQLMELATRRRPRSKKFLHGIARCITSDLSLGAYEQ RRWREARARGERMFLPEFGGGREVLEQRPVGEDVAAFVTLDLGFLPALWGFYEARLGG GWRGRVGRETVRRVMEGQREGEEEGRRGRRDRAFGPW VE01_02837 MSGNSQTKVDIHILFFKSTTDPRNPGDVALWFNCSEPFLIHLDG PNGGHCLNVKENYYPSASRQFRKSVIVGAGKSATKAQIVSVVSQMRIDNSRPFGSEEW TGNALHLLAQGRYVEREEYLRSVNEMIDVTKGGAGRA VE01_02838 MAATGKTVSLYTTLLAHKLHHPPPEEMTLELPQPSKEFLAQKDG SRGIFLIYDYYGFVKSGRKVHLKEKHALDLAAELNIPAPRVYEVSSAPDGIVSIRMDY IEGENLEELWPDMSEEDRQDICGQLREIISTMQSAEFKTGAIGSCGGGLFRELFSHGD LSQHNIIIKDMEIAGVIDWEYGGWAPEYWEYVKFFEV VE01_02839 MGTSLEDEKSTAGALAIEDERLGATPTIATESIDSKDVDRAFIY LTGQDQSHLDHNVDLKALRRKIDWRILPIMSACYGLQFLDKVLINYSGVMGIREELHL VKNDFSNASSVFYISYLIAMVPNGYILQKVTVTKWLCILAILWGIATACTAATFNYHS LLVTRIFAGLFESPVSPCMMLISSQWYTSQEQASRYSFWFCGAGLAQISGAFISYGFQ HVHGTTSISSWRIMYLSLGLLTSFVGVAGFIILPKSPMTAKFLTDSEKVALLNHIAVN QTGIENRHFKWSQLKELVLDIQIWLLVLMTISVTCSSGVISSYSSIVIANLGFSSPKA ALLTAPSGLVTIISSLIAGFGVRYSSNRRWAWIAAFCIPGMIGGALMSFAPLSKPAAI IIGTHLVYAIIPTLMLTFQWAMSNCVGQTKRVLASAFVSGAFAIGSIIGPQTFQDRDK PEYKPAKIAILATQGGGAVFATLLFGYYYWANKKKDRVEAALGPRPATEEGRQEWGSK TDKENLSFRYVY VE01_02840 MSVSRSILDFLVTPWTPIALLGLYFLIPYFTTYGYLRGIPSPSF ASFSNVWLLIQARQGKRFLSVHAAHKKYGKLVRIAPNHVSIADDSAIQSVYGHGNGFL KSDYYDAFVSIQRGLFNTRDRAEHTRKRKTIAHTFSTKSISQFEEYMTSNLSAFTKQW DRFSELSHGGFYKLDSLHWFNFLAFDIIGDLAFGQPFGMVEKGKDIVEIRKTPDSPVT YAPAVEVLNRRGEVSGTIGCLPAIKPFAKYLPDPFFFQGVEAVQNLAGMAVARVSARL DAGPKGDDRVDLLARLMEGKDETGAKLGRSELTAEALTQLIAGSDTTSNTSCALLYWV LKTPGVLQKLQAELDAALPVGTVVPQYMQVRDLKYMQCVINETLRIHSTSSLGLPRVV PPGPGVRILDQHFPAGSVVSVPAYTVHHSTEIWGPDADEFRPERWEKVTDRQKGAFIP FSYGPRSCVGRNVAEMELALIVATVFRRYEFELYQDVLETREGFLRKPLECITGVRKR ANV VE01_02841 MSDSDEYEVEGPNLAADDPMRAFLPTSFGKKTKEADVAAQIDRT KRKVEPTRPAGQIEDSKYKKQEPAAKQDSSDDDSGSEDDEDDDDEFPVSHELVLKTHD RAVTTISLDPSGSRFVSGSTDCTVKLHDFAAMTPTTLRAFKSVDPSAGKPSDNTESHP INHIEFSPHSGSQFLCISEHPQAKILSRDGEVLAEFVKGDMYIRDMNNTKGHVSSITT GTWSPTDPNIIATAGTDSTIRIWDINHKRAHKEVLVHKDRTAGSGGRTKMTGVVWGSP KQGGNNILVATALDGSLVMWSGNGPHARPAGEIRGAHKPNTRTGGVDISPDGRMVVTR GGDDLIKLWDTRKFKTPVLEVAHPSTSDIYSLSTIKYSPNGANIITGSSSGHLHILNS GNLKPELVTPVTPGSCLISALWHEKLNQIITSSANAEVHVLYNPNTSFRGAKDVMSRA PKKRHVDDDPNFTTDQSAGISGDTIISPSGILQSGPAASSFAARHPTIGLTASGKSRD PRRPMKPAVTPFMKSQPDEAHINSTIPLSSMRDEDPREALLKYAELAEKDPLFTNAWK QTQPNTMYAELSDDEAEEPDKKKARR VE01_02842 MFISNPDVAAITATVTPFLNQDAVLHHIASRSFFSDDEGGTPIQ RWSSLIGIISSIVGNILISFALNIQRYAHIRIHKEAEEKKRQHRQVSDRYGTADGSWA GHNGHEETERLRSSFDSAKPGSESGGDSNDNVEQQSYLKSPYWWVGIVLMTIGETGNF LAYGFAPASIVSPLGVVGLISNCVIAPILLKEEFRLRDFWGVVVSVLGAVTVVLSAEQ EEKKLGPHEVIGAITTMEFEIYMAVTIGVMFILAWASPKYGSKTILIDLGLVALFGAY TVLSTKGVSSMLSTSFWDAFTNPITYALAVVLIGTAVMQVKYINRALQRFDSTQVIPV QFVLFTISVIMGSAVLYRDFESTSPGRAVKFVGGCLLTFFGVFLITSGRVIHDDLSSD LDSEDEAESISLTNHDDRRASYYSDRNTQRASLSRARPSHELLINDEAAESDDGFPAD DISRRSSRISYAHRPRPISSTSQTLVPGSAPASHPLDSASLAALPSTSEAPPDRPVRP AITRLISQNEFGQPQSDTAVLRPMTPARHSTGKPMTPGPFISPLSSSVVADTVRRSTD MYGSRRRPRLDLHQTRSRERRDSMEGLLTSEQNSPTKASRMIDRHSYAEDGDFGNGRR SLEIPFEESGGSGRRRAQSLGQRLGGFLRSLGGTAPTTPEGEQEAEDLERLAREQD VE01_02843 MEDTESFTLLPIHLDPKSKALSTTSNSKRLRDELESLNALHTAF LSLETPAPLPPVPVNPKRSAQITKLRESANAAYRSANYPSAIQLYTLGLDMALKRPEW EPSGLVRDEVAGLLANRAQAHMALRSWPEGWKDAEASVEAKKVGNAKAWWRGGRCLAE MSRWEEAKEWVGRGLEVEGKEAELVGLLKEIEGRVVGTVKP VE01_02844 MILPTLDEIQNWIQWYRKPSYQDIREFSAAVRDGKRESTLRRGS IEVPTRLGLDQLLQNWTCSPMSLSDFYRYLKHVEHSPENLEFYMWFIHYQATYVACPD EALPTIPSSVLSDRGSQVSKNTTSSHHRLTENECKFPESHNNVFDLDIECDVAHGSES DDITAKIAALIDQTSKCAPSKSEKSRSFMKSFMESCSFGTCRNPKSPGSALTRADLPK VVDLFLMPGSEKELNIPPGMRNAVLLALEHDDAPVRFRPIAEHCYQLLKNCSHRNFVR IGVSNGTFETVCAATTFGALLTLAGFILVFVRAFYPHPGMRARWDVLFSWPMWWFGMG LLLSGLRGSCFFMLLLSRRNHLPWEKQAIEQQQQASSSADSNMSSLIRMYKRLSPFEN KVRIKDLALRDLQHRIVFQSVVVGVIFATAMTLVFIFLPVWK VE01_02845 MEPETPDDEFDRKRTSEFYEPFVVDPVKEHKQTFIILHGRGGSG KSFAASFLDHPIAPTQDLGRLSGLLTVRACFPHAKFIFPTACLGRATSYGHLTHQWFD NWSPLIFPEYKEELMIEGLAETSSFVHSLMQAEIDVVGARNVILMGLSQGCAAAIISL LTWQGEPLGAAVGMCGWLPFRKQMLDRGDDGVQENGNGQVGDVENENAVADNEPSQAE AAKLQRIADWLRNELGITGAKSAAVPFKQFPMFLGHGVDDATVLCEFGRLAAQFLKHV GVDARWNEYTGLDHWFSGNMLRDIVLFLDSLEGWEING VE01_02846 MSSPTPNSTFTTPPLDRTTVATLISTSLSARPPLPFPTPTTLTT LTPTLLSHLPPTGHPPTTLSHLLTLPPAFSSPLLTPAFYAFVTGSTLPIAAAADNLAT ALDCNVAVHDRGASLATTIEAHTLTMLTELLRLSPEVWGGRAITPGATGSNILAMATA RDALLDRRLKASGSAETVASAGIVGACVQAGVKGVQILGAAAHSSVYKAAGVLGLGRG NVRDVGVEGEPWRLDLEKVRREAGREAGREGWVSVVVVGMGEVNTGRYFGGEEEMKGL KGCLEEVAKGRAWIHVDGAFGIFARSLPETEEFASLRASSEGLQYADSITADCHKALN TPYASAILLTRTETDLNNVCNNGAAAYLKTSATDPIPSPLNHGLENSRRFSALPIYAV LHAHGREGLALLFATQVRLARAVASMVKELEAYELLPTAEVAEVGTIVLFRLRDQGRN EELLGRINDQNRIYVSGTSWEGRPAVRVAVSGWEMDVEKDTSVIREVLKAAE VE01_02847 MSGAEAAFVVGLISGLISIIEATKTIYDAAKDAKGQPEAFRLVH ARLVLVIAILDRARERAQMVDETTQETLEPILESCKAKAENLNKIFKKVIRKDDDKWY DRYKKALGTLRKEYKVECLMEEILKDIQVLVCERLMGTATDAQVKEIQEAIKEMNEMP SSLQDEAGSVTQNHQGTGNNNANTGRGAQHNGIGDLYHNEIKGDAHFGSNPTFNNYAA PKRPETPPNPSVVIPFSRDTDFVERGTILDQIYQKCDVLGSRTALVGLGGVGKSQLAI EYAYRTRDRSLETWVFWVHASNTARFEQSFRDIANCVKISGRQDPKANIFQLVHDWLH NDRKGPWVIILDNVDDASYLVERRSTGQDVQNNGIGSGNLRPLVEYLPQCPNGSILIT TRSKTAALKLVKPRNIIAIEPMSQIDALALFRNKLGGNDGGHDASQLVAELEFMPLAI VQAAAYISELAPRCSIRQYLQMFQKSDSKRSSLLEYEAEELQRDSEAKNSIIITWQIS FDHICEIRPSATDLLSLMCFFDRQGIPEALLRSQSVQSNSVQDQNESDGDKHVNSDTN YDDDGNDEDDDEDNLTQSSVSDGFESDVAVLRNYSFVSVNADGITFEMHRLVQLATRK WLEAHGQQKRWEQQFIRNLNAELPTGEYENWVRCQTYSPHVQIAAAQKPKEQASLRDW ASILFKAAWYACRMEKGIEAEKMSIEAMKVRQRILNREHNDTLESMAMVGLAYKIRGR WDAAEELEVQVMEMMKEKLGEDHPHTLQSMGNLALTYGNQGKWDAAEELEVQVMEMMK EKLGEDHPDTLTSMCNLALTYGKQGKLDAAEELGVQVMEMMKEKLGEDHPHTLWSIGN LALTYGKQGKLDAAEELGVQVMEMMKEKLGEDHPDTLWSIGNLALTYGNQGKWDAAEE LEFYQQFNVNAFDKCRVERLITN VE01_02848 MASPASPTTPLPAPPHEPTTAHAYLLPILSLLSILILIVPFIFH LRARNTGACALIFYLTLFNLMVFINALLWPDNNFSTWWDGAILCDIEVKIMWPITVGV AASTMAITRSLARVLDVENAELNPSRARKRRRVWVDLGICFAVPLLIVGLHHVVHNRR YLIVAIGGCTDSYSGSWPSILIIWIWPLVFTLVNVYYAALVLTRLHRHRSTISTILTT HSLTASRFLRLLLLSLLLLLLYLPLNIYFFYINLSQPLEPYSWSAVHDPAVWAVIPYV PAKGVFTFDRYVPVAMAGFVFVFFGVGEEARRIYARVAVGC VE01_02849 MATPSDPPSRRTLTTAESSQFRRPRLASLPESSSPPPARPPRSR SPSPLSDSPYPPAPRRRSSLISIDSLSSLSSARRALHSDSASLLHPPPVDAHDGLSAW HSLPLAFALLPALGGLMFKDGSRDWYRSAQEIRTTSPSGTPLPPVTKSDTPRSPQHAR EIATYTSATQELRTHELLALLSCVTFPLLAAGLLHILRASLRADVSGLVSDFNIVVFM LAAEIRPVGQLLRLVRARTLWLQRVVRVDPRTGIDERQDGEIETLRQRVDALEQQQGS AATAGEGEEEVLARVREEVKRATRQEVEALSRAVRRYEKRAGVLAGRVEGRLGELEGR VGEVGRRSAPDARSTRLVVESRGEKAEMSRRGAGMGESASEGATGAGEASVRRGRRRR VVRAVNFISTA VE01_02850 MSSQKYDISPMAFKYDIAPRQFKPTLPPTQSYLPTRPSSTPPST TLPSSYSTATSNTLFTKSPPFIPMATTTEEPPATTTTTFHRVPSSDPRPSTDSDADSL STNARLIRPTSHHTAQPDYTHTYTIYPTTLFRLVALILLIVSVALYASKGAHRAVPAI LFISLAFLRILIGFIYHTSRAARWRGGRGVNLAVDFALVAGLAGSIGGAFAVDLSYWG HTNIAASILGWVACLFLGLAAVDTGRPSRIAITTRLNFDVTTSLSLDFRTRRGVLSLD GWDSERPEMGRSASRLV VE01_02851 MATVQAAPAAQQQQMGAALPSGLSQQAVQEIYMKFKQMKESGVS DQDPEYQKVHNMLMAIQQQRMYNKQRQFQQQQQQQQNANNATTNGNNAVRPPQQNSAT APTPPSQPTSATAPPVAVSKANAAQSAQAAQRSSAAGSGLFTADQLGILKHQIFAFKC LSKNLGIPQSTQQQLFASHQKKQATTDGLIASAVKTLDDAQPSANGKPKDSSAAPEPA EEEEEMSTTIDPYSLIPSKMSYSKHSLRQGRFLIPSIMPSGIDVDKLREDRERVIYNR MSSRYQELKAIPANLQHWETRNADDLSADDSLKRKALIELKMLEQYSKQRTMRDRIAR QMIQYDNLAMTANRSMYRRMKKQSLREARITEKLEKEQRDARETREKKKHTDYLQTVL QHGREIAIAASAQKSKMQKLGRMMQVQHQTIEKEEQKRIERTAKQRLAALKSNDEEAY LALLDQAKDTRITHLLKQTDGFLTQLAQSVKAQQRKAAERYGDAGAFEDEEESESEDE EMNSDVKKIDYYAVAHRIKEEVTKQSSILVGGTLKEYQIKGLQWMISLYNNNLNGILA DEMGLGKTIQTISLITYLIEAKKQNGPFLVIVPLSTLTNWNLEFEKWAPSVKRIVYKG SPLARKEQQQEIRYGHFQVLLTTYEYIIKDRPVLSKIKWIHMIIDEGHRMKNAKSKLS GTLSQYYSTRYRLILTGTPLQNNLPELWALLNFVLPTIFKSVKSFDEWFNTPFANTGG QDKMELTEEEQILVIRRLHKVLRPFLLRRLKKDVEKDLPEKSEKVIKTRFSALQARLY KQMVTHNKLVVSDGKGGKTGARGLSNMIMQLRKLCNHPFVFDEVENQMNPKNTSNDLL WRTSGKFELLDRILPKYQRSGHRVLMFFQMTAIMDIMEDFLRLRGIMYLRLDGTTKSD DRSDLLKEFNRPDSPYFMFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAH RIGQKNEVRILRLISSNSVEEKILERAKYKLDMDGKVIQAGRFDNKSSETDRDAMLRV MLDTAESAESLEQEEMDDDDLNLMLARSEEEVEIFRAMDEERAKDPTYGNAPGSKRMP RLMADNELPDIYLSEGNPIEDEPEEIRGRGARERKTLHYDDGLTEEQWLNAVDADDDT PEAAALRKAERKERRRLKKMRKEQGISAAGDSDTDGTKDSDDDEPEPEPEPVVKKPRG RKSAGKIDKRKAEDFEEEEKPAPKKPKRGGQGRPKSMGGGGGVSGDHRRTLQTSLRSV YKSLLELETSESGSEGEEETKRAVIGPFMVLPPKKVFPDYYELIAKPIAMKQIDTKIK KEDYSSLNDLYKDIELLCNNARQYNEDGSFLFLDANTIQAACKSKIQDEISDHPELGD QDGSSRDGGSTAPTTNTGTPAPRLKLNFTNYVNGGSSAAQSDDED VE01_02852 MASRTAFANGSTNGLSTIGPRDLPDSIATRSVLRFSDVPSSLDI PVQGMDEDDQQAVEVDLEDLLDDPTELCTLLENERVSRNFWMTVSLAYAKQKKIDTAI EMIGKGLAAVTGGPKEKLSMLTCLCWLYLWKSREAPRVAPEGQMVSEAKTKEFYLQLS TTALNDATRINPSFPPLFMARGVLYLLRASLQPPSKAPAAGTIDPEKLDILRHALKSF DDAIRVSQGRNMLAVLGKCRVQFSLGKYAEALEGYQLALSRMPELTDPDPRIGIGACL WMLGHKDDAKVAWERALEVNENSKIANILLGLYHLDASGHVPTNSKDFIETYKKAMTV YTQKAFKLDKDLPLTCSTFAGYFLSRKSLANTDALAHKAIQYTDVNAIASDGWYLLAR KEHYEDNVDRAADYYRRSDEARGGADRGYVPAKFGSAQISVLKSDFGEAKFRLEKIVQ HSKNVEAMSLLGTIYSEEVFANQYGASNEDKTQEFKKAVSLLESVRAAWKDPKKNLIP DASVLLNLARLYEVDNPEKSLLCLQQIEQIELAQAQAQAQALNEDKSKDTEAEEAMKL KIRENLPPQLLNNMGCFHYQAEKFDLSRDFFQAALSACVKAGEKEEAMDTDALVTSIS FNLGRTYEASGMFDEAKTVYEGLLGRHADYTDARTRLAYIALKESPTDEGPRAIGKLY QDASNDLEVRALYGWYLGRVHSRKRASASHIHEDPELRHYKHTLQHHDKHDRYALIGM GNLYLQTAREMPRNSDSEKAKRSSMYSKAAEFFEKALQLDPKSAFAAQGIAIAMIEDK KDFKGALSIFMQVRETVKDPNVYINLGHLFAELRQYSKAIEHYEAALSKNSGSDAQIL ACLGRTWLARGRAEKDLKSYKNALEYAQKALETAPEQIHFKFNVAFVQIQLAQTIYTT AESARTLAEVEAAAAGLEDAIVSLEEIAQHPQTPYPKALVEGRANMARNTMRKQLERS IQSQREYEEKNKEKVREAMEVRQRELKEREEARLKAEEEQRERKRVIAEERAKIAEHD RALAESRAREAEAREEAEMTVDSETGERVKRKRKVGKAGGKRKKKEGDESGEESETGT PKKERRPRRRDADGDEEKPKKKRRLAKKEKVNSKFKSAEVVVDSSDEGEGGGGGDDDG FGSDRGRDVSSPVSSPPKHDDDEDEEVERAPAKRTTRRRMVESDDEDEDVAEPEEGVS MGRDMEVGETDAPGLSGDRDAGDVPMEDADEMHDGEDDAEEREGDVDR VE01_02853 MDIIIRILRGDSRKAAVFLTDILHDFERHYAAQPLPQTSTSALA DAISFSDRTNTRFTQFLNSSQPPQPRLHRLMYLGALSTSNSFIEHMAVINFFARSRQL PWKETLITYFDEISDTGPDSAPFRSGVWPPDVPAHPLGLDDDIFEGEHPHTFYFCLNG GTDRNLVMSGSRIDKPMENIKESVFDRRYWPKTAPHSWPANRTYLDHPQNVRNATVGI LANQADDFLICGDCDNTFVDTTTDDTGCTCVSWHVEYPCVQVREYPPYPSAPSLLNKG VMAMQAFAKDEIIGEYVGLLLPPGRADPSIRKNVFADDVYTIDLNAAVIVTVKGEETS MPGNKIADVSAGWKGNWTRFINTSPRKGNWNIEFEQRLVADKIRILVRTIRDIAFGEE LIASYGTGYMRTLFGDNLGLPDMN VE01_02854 MRDGAIRTKPRALLEEQGPKSMAPLLPHLRHGRFTFKPEWGHPS DGVKLELLLLIQTPSVESLHLNGFVQLTQIRLDFLSGLKTLIMEQCVCEARVVGCILR ASVRLENFSYVHGHDKATTSKFDPQLMMEGLLCLTGSLRQLRLTGGSPLAIESNSARN SRLGSLVDFTVLTHLVLPAEFLVGTATGTGQWDLELWKLVPRCLEYLLIGRLENEVML AFAQQEVLELVKRKSIVAPKLNRIILQNISPVDADGGALMLLKEASRANQVWLSIYYG KQSDDDAIWLSLDFGALGIHKNYD VE01_02855 MQFGSAIGTACLTFVLTNIDDAFVLVTFFAESSTSRNLTPLKIT LGQYLGFTVIVVISLIGFAVAVALPSEPIGFLGLLPILLGVWKFYDLIFPQNDDAEEE ESESQRIANAKSVFKVALITIMNGGDNIGTYIPLFSQAKGAEVAVYVVVYYILLGIWC LMAFLIMKQRHILRLAEKYASFIIPFLYVGLGIYIVVKSNCYPWSVKEIDDDLLSHPG KIVMGVVTALLLSSIMGMMVWFKVRKMRKSTRNEEISLTENTLAVTKDENGGPLDTSK NGKNADSADSADTTVNGQPGKDCEETEMRSLEEGTHAEQLQPDSKVQPLATPGDGVIQ AAS VE01_02856 MRPGLSEIIRATATSLPPITDKSFASHFDFLSRHHLVLLGDSSH GSSEFYHARAEITKRLIEHHGFTTVALEADWPDAECIDRYIRERPGPKTELKEHEPPD APFERFPTWMWRNKEVQDFTHWLRDYNTSQLSPDRAGVFGLDLYSMGSSLNAVTKYLD SVDPVLAETARRRYACLDPWVDDPSEYGIASMMSPAFKSCEENISSVLMDLLKRRLEY AAARGDGEEFHSAEQNARLVVDAERYYRSMFYADDKSWNLRDRHMFDTLNRLTKFRRG GVVVWAHNSHLGDARYTDMSKRGELNLGQLCREKWGPGVAILGCGSHDGTVAAAHSWD GDMQTMNVVASREDSWERVAHNTGMASFVLNIREAGLHDERLKRALEEQKLERFIGVI YKPETERQSHYSRAALGKQFDAWLWFDRTEAVKPLEKRQLKTPAGLEETYPFGL VE01_02857 MLAALVGIVNDIANGVALGPQNRKVKKYLDDATCDWNEESFTRH VRSTHSEAAISSAALQLLWRSFHFYAHHPFRRDSQHGQFDFDAFQRAVLLTAFQCDDL LGRRELDWFWRNDAAFFRRASFERMFRSIEVPETTTQLLKEQNDINSALSDAMDVLIV VVPQFMHAAPSPEQLEVVAPNLFAREPILTQRELRREDVSILMDLLLRMRLSEEKWGL VYHLGDCVDASPADKELTEALVNSLTGDGSEKIVTYDQLLLAIDTVPNLRVAFYQLWA VLFQPPAPTAEAKLSRALEAMPTNINGGVWSI VE01_02858 MVRVSALFGAVALATAATAAAVQAKVTVTKTSCPTPLPTVDLGY GLWSASINDTGKHPYYTFGNIRYAAPPVGDLRFRAPVPPTGRNKTVNNGAGNPICPQA NPAWLLTAQAFLTGTPIENLTRPGSGSGSGGGFSLADIPKPGPGTTEDCLFLDVYTPK SIYEAPKKNPKKGGAPVLVWIYGGGYTAGSKTGSGNPATLLDSARDGDEKGIIFVALN YRLGMFGWLSGPTYQASGTANIGLHDQRLALEWVQTNIHLFGGDPNRVTVIGESAGGG SIMHQITAYGGLKGKVPFQQAIAQSPGWVPSPSNYQNEAVFEKTLSFASLIAQKQVAT VDDLRNLTAEQLYYTNYAVTGLSNYGTFTYGPTVDGDFAPKLPAELLLHGQFDKSLKV MLGHNSEEGFLFASPFVTNDTTLKEYLQGSVPAILPSALDTIVTDLYPPNFDGSLPYT TQLSRTSVLVSESVFTCNTRALDLAYGNNTYSYYFSVPPGLHGEDVAYTFFNGDTTTP NQGLPVDRTVAEALQDYITSFTMNGNPNEEGVPFFPLYGGNSSTQVINIGKLGTQITD TTANARCAWWQKALYY VE01_02859 MNIRATQMRVNMQSLGSMRPRALPVVAGRLFHGSIVYRRNNASL SSLDKAQTLTEKIVQRYSLGLPKDKVVKSGDFVTLSPHKCMTHDNSWPVASKFMSIGA TKVNDPSQMVFTLDHDVQNKSETNLKKYQQIETFAEQQGIRFFGAGKGIGHQIMIEEG YAWPGTVTVASDSHSNMYGGVGCLGTPIVRTDAASIWATGRTWWQVPPVAKCHFTGVL PVGVTGKDVIVALCGLFNSDEVLNHAIEFTGSEETMRSLPVDDRLAIANMTTEWGALS GLFPIDSVLLSWLRYKATTAAMYESGTGSKDRFSHAIIENLTTSPIRADRGATYAKSL FLDLSTLSPYVSGPNSVKIATPLRDLEAQKINIQKAYLVSCTNSRASDLAAAAKVFTE AAKTGASPKVAPNVELYIAAASLAEQHTAEEAGDWGVLLEAGAKPLPSGCGPCIGLGT GLLEAGEVGISASNRNFKGRMGSPDAKAYLASPEVVAASALSGYISGPGFYEAPEGVT KVVLGEGTGNVEADKATSIEEALAKLISEADSLVDNAQSSLFGEAEEATTEKEGAGLT EIVAGFPEKVRGEIVFCDADNLNTDAIYPGKYTYQDGVSIEKMAEVCMENYDKEFGSV IREGDILVSGFNFGCGSSREQAATAILASKIPLVVSGSFGNIFSRNSINNALLGLEVP RLVEGLRKQFSGADKALTRRTGWTFEWDVRRSEITVQEGEGGAKWTQAVGQMPPNVQE IIARGGLEKWVKAEIGL VE01_02860 MPAPEVNQPKAQTMDVPSAQEIQEQPKPVEKMTVEEPVSMRGGG TGGLCCGL VE01_02861 MDADTLFPDIYRNMFKRTIDDLDLNRFLDSDSDSGGGPVPPQRK RKRAPPKLPQPLYKAVVKDLAESGTIRVSSSTADIDNTILERIKKCLDRANHPGTPEA EAKVALHRASRLMGQYNVTQAEVLAHEPPSAQRNYAGQSNVEIVRVDGDKSKSVKHQN YVNTLLSAITLFFDCKSYSTTYNHFLKLTFYGIAQNTVTAALSFEMVYNLIAEWARPH RGTGPRNSYTIGASDGLYKMAKKRKADELAEAKKAEKEATEAKIRQEELERQAQLDRL APHVDDEQVEDEPVDLCSPEAAAPAYEPSEASSDEDMTDYEDSVKDEPLSDSELPGGS PGANEHSPIVLDEDSGDDCAEPDFKVEVGTMDDIWGDLDEEINSFIGPGTAAPPAPNT EVPSHTSAPGKNAGASADVDEEPESKWASQMQLDIFRATATKIAEEYLTEKGVKLKNG RKRSNVIRDHKAYKQGQKDGKKIDVHRKAIKE VE01_02862 MDTVLRQSKTMCPFLKKTSPATLRSLSATSGPTRSYHASPGGGS MSNLQTIARRCPVMGSAMAVQSAKTGGNVAFGAIAALKGIRGFSGKASTGKAKLHTSS AHEARPMEGILMGEKLPQIKPAKPFKEAATVPENLVKKDGKFDYDAFYTNELEKKHKD KSYRHFNNINRLAKEFPRAHTANPEERVTVWCSNDYLGMGRNSNVLKAMHETLDVYGA GAGGTRNISGHNQHAVALEKTLATLHAKEAALAFTSCYVANDATMATLGSRLPGCVWL SDSLNHNSMIVGIQHSGAKKMVFKHNDLADLEAKLASLPLSTPKIIAFESVYSMCGSV APIAEICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYEAHVNGRPSGTIMDRID IITGTLGKAYGCVGGYIAGSASMVDAVRSLAAGFIFTTTLPPATMAGARAAIEYQMST QSDRRLQQLHTRAVKDELAARSIPVMDNPSHIIPVLVGTAELAKAASDLLLEKHGIYV QSINYPTVPVGQERLRITPTPGHTKEYREHLVGALESVWTELGIKRTSDWAAEGGFLG VGADEAPVEPLWSDKQLGVEAAKGTNGKVGVIQALLEREEAQAQTAFCAELDATSLRH QYPSAPLSGLAKSADRQLSTSENQRQPNTFKTTTTTPPTPQPPPNALLSLFPHPPPHK PPLATASLAPSHPGPPPRAPAGPSSSPPPIPAVDEPAPPPASWPISHSSSSPTSPSNP ITTANPRLPAPSSQPPYPTPPAAARRRWSLRNAFAPAPLPQLPDPRTQRLRLEAQRAT RLWNPSNSTPRTRRPTTTTTAVQRGARARAASGGSVAQPAIPLRHPQLTKGEIEGEIQ GAGDIEFIGGKDKGGAGDYPLLSLREQRFSRNSSGRASLQVEYSAASSGTQSNRVSLP RSVTSIDIRRSFSLVAEDFTAGATVEHYDDKGKGKDIEGNSRASTERRLTGYRKRGQS IASLHPPVELSPTFKQDIGPPLTHTTRNYTTMADLESGPPLTQSQNPYHDHDTTDNLA SLTSTHTSIHGSDRPITPGADDAWGPAHPCFPHLNPYVPADSVAYANTRIIRIPRDWM IAGDLAPTFSATYPELLGEAGLGEAEFRRCVESINARLIEAFNPFGVRNLVDAVMGLC TGWFWDDAGLTYTKRCLAAVEKAIEGFNRELDMGSSQARFISLKKSAYMSLDVQIPTP QIGFIESEMGEDDDGVSDAGTERPIGERAETREGEVL VE01_02863 MSLERSLHGGCSCGRNTFIIEMPPKASDTAQVIFDGNNSFRTSP LAAHLRVPLAWYHSTTHAFFPDETHPAIRRAYAPSSNSLRHFCGFCGSHLAMWSEAPK GEGEYISLALGSLDGGDLHDLGELGLLPQEEAEKRLSVPSEEPVATRAAPRVGEGLPW FEAMIEGSALGRVKVRRGLEEKDGRRVEWEVVEWTEGGEEAEADSSAGEVAAGGKRKL EDDHADMGHREG VE01_02864 MVTPDPTKAVLPKDFIWGFATASYQIEGAPDTDGRGPSIWDSFC KIPGKIAGGCSGDVACDSYNRIAEDIELLKKTGAKAYRFSVSWSRVIPLGGRNDPINQ KGLDYYVKLVDDLLDAGIIPMITLFHWDLPDELHKRYLGMLNKEEFVADFANYSRVLF KAMPKCKHWITFNEPWCSSILGYSTGLFAPGRTSDRTKSAEGDSSREGWTVGHNILIA HAHSVKIYREEFKPTNGGEIGITLNGDAVYPWDPEDPEDVEACDRKIEFSISWFADPI YFGTYPESMVKQLGDRLPTFTPEEAALVKGSNDFYGMNHYTANYIKHKSTPAADDDFL GNLETLFESKNGENIGPETQSFWLRPNPPGFRNLLVWLSKRYGNPKIYVTENGTSLKG ENDLPIEGILDDEFRAEYFRSYIAAMAQAVEEDGVDVRAYMAWSLMDNFEWAEGYETR FGVTFVDYEGGQKRFPKKSATVVGPFFDKLIKKE VE01_02865 MPAPNRPKQHARRQNPPNPHQASDNDSDMPPHLPPPTRTNTDLN LSVLRRYFPSTRTILSIAANAVVYTFSASTGQWEKSGIEGALFVCETEEGFVVVVLNR HGLENLVLDVREVRDVEVTSEFLILRVPGEGEGEKVMGLWIHGDRDDTREKNAGTILQ CWEKVRGVGVE VE01_02866 MLSPSISRESSSFVEVSELDPDAEELESTPHSLSRAVYERRAEY TRSHSMKIKVGTWNVAACPGVHNDIRSWFVDGKGVDTKLAGLKISDPDGKNVKVQSKG DTGDPKSIPETPLGEEGIVLGGEHVGLYVLGLQEIVTLASAKEYIGRVYVDPEPLNKW KRALEEAVPDGYELIADTQMSGLLLLIYASPAIAPTVTSVSSVSVGTGIMGYLGNKGA VCTRIVLGETTRLAFINSHLASGTEKAHYERRCWDYNQITTRTKFDPVSVAGETSDIQ EVIGDEDFAFWFGDLNFRLDGLPGDDIRRLLMLHARGEYAPGSSSRNYLESEIAKSEE PILIRHVESDDDEPIDLQAENPNTSSRSSSMDLPDPDDFLQDPHSDPTSLQATLDSLL PHDQLRRVQRQKKAFHDGWREGPITFIPTYKYDVGTFGVFDSGEKMRPPSWCDRILFR TRRDKSEYDGRVRDEEAARVKDEEMKSRGIEQAAQDEEVIFDYDPASDGVDTYGDYDE YDEGEDNGEDVTVKDEHADKLNLEIYTSHQRVTSSDHKPLDAVFTISYDAVVPELKSR VHQEVARELDRAENEHRPGVTILVEHSDFIDEAPGSQTTADAADGVNFGDVKYLYSKE RSLTIANTGQVPATFAFISKPSRPGQPDQVAPSWLRPAFDSYDDDGAVKRLESEVTLE PGDTIMVTLEIYVQDFAQVRALNMGELQLDEVLILRVTDGRDYFIPVRGTWLQSCFGR TIDELIRIPEGGGARALRTPGHKDTAEQNTLSTVDSSTLTEAVPRELTKLTDTFEPLL ERAIAEREMTGASETPVPDAWPFEPTTTSHSRSQTRANLLEALDTNTPLNASFPPGIR EVEKLETTAEVLQLFVASLTDGIIPAAFWKTIDDDLAARKRAPTPDETRILTMVPLAD SPPHSIALVHLVVRLGKVVSMRAPPPSSPVTEAKPSRFDFRQSMGYGRARRTTLTDDP SLAARRAIERRIAEVWAPVMIRGPEDVGEKERRASEARKRGVVEAFLAKE VE01_02867 MASSLYAKPYDGTAADGGDSLTVNLNQYYESGDIAWITTSTALV LLMIPGVGFFYSGLARRKSALSLIWLSMMSVGVVGFQWFFWGYSLAFGSGSSYIGNLS HIGFRNVLAAPSPGSPKIPEILFAVYQGMFAAITPALAIGAAADRGRMLPCLVFIFIW STIIYDPIACWTWNPKGWSFLMGGLDFAGGTPVHISSGAAALAYSVMLGKRSGYSATA GLPYRPHNVTHVVLGTVFLWVGWFGFNGGSALASNIRAVMACFVTHTAAATGGVAWTL MDYRLDRKWSTVGFCSGAIAGLVAITPAAGFVPAWSAIIFGVVGAVACNFATKIKYLL HVDDALDIFAVHGVGGFVGNLLTGLFAADYIAALDGATVIPGGWLNKHYIQLAYQLAD SVAGFTYSFGGTCLILFLMNLVPGLSLRASEEDENNGMDDAQLGEFAYDYVELRRETS DVVIQDIEAGSSKGSASMSAAASMVGAEQKV VE01_02868 MAMAHEHDEAEIPDGSAVSAEPLDAILWIHIIIQMVAFGIIFPV GMVLGIVRNRWHVPVQVLGTAIAIVGYFLGHAHKGRQFAPNVHAKFANWLMLMLVAQV VMGAYLRLHLTKGINGKVRPGVLLGHNILGKAIPVASWTQMLFGGIAALGFCRDDHLG QCLAHFIMGSAFIAYGIIMMIMLLVGQAWLRRSGRSQEFFDSAVIAAWGCVNTFTEHR WGGAWVENDIQHTVMGVIWWAAGLAGIWLARGRDGSPKRNFVPGFVLFLTGWGMSAHP QHNMLSTMVHVAFGYTLMAAGVTRMVEVMFVLNDKASTDSGEPRSFQYIPPFLLMASG FTFMSATEEQMALLSGAGIDHVSYILVLYSFAFLMFLLVNVLLHIYAVNAGPSVPPKD VEGVRRHRPSDSRQIREAEEFELDGLISDDEGGRERESGLESPSTLGKNSEVRVS VE01_02869 MPPPTRLLVASIGNPAPYLNTLHSAGHTVLRALTIPLSTPPLVK SRPHANGLLAHSPTHPITLWHSPSMMNVSGPAVATAFRAFQREYPGAELVVLHDELES ALGVVSVRGGDRGTKGHNGLRSLAKAVGGGYTRVGVGIGRPVAREGGEVAKYVLRKMT VGEREALEGCVGGVLEELERLLEGERK VE01_02870 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRYRTMEA ASLRLQKEAKGYLDSLRAMTRSQMAIAETIDAFYGDSGANDGVSRSYKQAVADLDAET IKALDGPYRTTVLEPISRFCAYFPDINECIKKRNHKLLDYDAMRAKVKKLVEKPDKDA SKLPRAEKEADMAKVAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETRDQYAEGHLDTRVEQVLQEIRELSISGTV VE01_02871 MDLYRTTFAVLVVGSVGLAYSQYRRDKEALKDAKAGSVELNNAA TAFKWKFIPVYLLGPYVYTLYKDEYGLAEPTVAMLFAAGFVAAAVSATFVGSLADRYG RRMACMAFCVTYALSCLTKLSSEIVTLLIGRLLGGVATTLMYSVFESWMVTEYFARSL DRSNMTLDSMFGLMTTLNGVVAILSGVVGESVVAMTGTKTSPFMAAIVLLMTAMVIIK RGWNENYGDRTEESKGTSDESSLRAILKDKRILILGFVCCVFEGSMYLFVFFWSAALK SAHAYSNPSTKEQSAIPFGLIFATFMASMMLGSIAFSRGSSEAAASKSIAMLGPAHFL TVAIAVSTASLLISVLIKSETLTFWCFCLFEGCIGIYYPCMGALRGRIVGDGVRAKVY GFLRIPLNFFVVVLLCLTKEGDAHRDRVFTFCGGLLLAGTVLSACYLGDTTEGTKDPE DGESEEFMDGSRIDSPA VE01_02872 MATFARSNRVVFNVIIGVVAFLFFMSVYHVSYNYDDIIDKVNGK TSPEKPAPNTPPKVEPPKIGTPKVEDTKVAAPKVEPPPKVEAPKVDTKRPSFREIGKK YGTDKVTAHHYQHMYEKYLEPLRDQPLKMLEIGLGCDMSYGPGASYHTWLEFFPNVDL YYIEYDAACAEKWAANTTGATIFTGDQADVPFLKKFLNETGGGFDVIIDDGGHTMVQQ KTSIDILFDSVKPGGIYFVEDLETSYAPKYGGGQGVATTFVERVKASLDGMMLSKPTP YFMAYVYSVDCMKEVCAFSKKMPGEKYD VE01_02873 MPSVANCNVPNKSRRKSNRNKIQRRRATAGIAKNPRGMALSSVI HPTSGPLAPLSGKKARKVEQARNHARQRALEKELAERGEVSMTDAPVTTKSKKKASEG AAADGMDVDEAL VE01_02874 MASNRIRRIAKELGDIQKDQSSTIEAHAAGSGEDLTHLKASFPG PPDTPYAGGQYVVDIKIPIEYPFRPPVMKFETRLWHPNVSSQTGAICLDTLGSAWSPV LTIKSALLSLQSLLSTPEPKDPQDAEVATMLMNNPEQFERQAREWAQKYAGAPVSNKA TGTYQSPSSKPKVAKTREQEQRELMLRYQGYNKELIDRFVNMGFDLDRVVEAFNFVGI DRMDGQDYELEEAYMGDITARLLGEP VE01_02875 MGNQQSGLGGPGGGGDDKDSKDKKKEKPKYEPPPRPTTRIGRKK KKAQGPNASAKLPQVYPTSRCKLRYLRMQRIHDHLLLEEEYVENQERLRRAKTAKEGG APVANGDPDAEDRNADERGRVDDMRGSPMGVGTLEEMIDDDHAIVSSTTGPEYYVSIM SFVDKDLLEPGASVLLHHKSVSIVGVLTDDADPLVTVMKLDKAPTESYADIGGLEQQI QEVRESVELPLMHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVG SELIQKYLGDGPRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYESTSGGEREVQRTML ELLNQLDGFDDRGDVKVIMATNKIDTLDPALIRPGRIDRKILFENPDQQTKRKIFTLH TSKMSLNEDVDLEEFITQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRAARERV LKTKTEGEPEGLYL VE01_02876 MHIHSVLVGAAALAVGASAQTDGLSPPSYPSPWMHGGQGWDHAY KKAVEFVSGLTLLEKVNITTGTGWMQDLCVGATGGIPRLGFNGFCLQDSPLGIRFSDQ NSAFPAGVNTAATWSKKLFKARGEAMGEEFRDKGVDVILGPVAGPLGRSPEGGRNWEG FAPDPVLTGVAMAETIKGIQGTGVIACAKHFIGNEQEHYRQQMSSNMDDATMHEMYMW PFADAVKAGVGSVMCSYNRLNDSYACENSYLLNHLLKNELDFQGFVMADWGAQHSGVA SALAGLDMTMPGEQVFGVGTYSYWGGNLTAAVLNGTVPQWRVDDMAVRIMSAYYKVGR DKSRIPINFSSWTRNTTGYFHTLGEADFGVVNQHVNVQSDHKHVIREIGARSTVLLKN TKRALPLNNPKSVAVIGEDAHLNPAGINSCDDRGCDKGTLAMGWGSGTANFPYLIAPV DALRAQAETDRSTFVNISNNYDLNAIRAAAAGKSVALVFANADSGEDYITVDKNEGDR NNLTLWQNGDAVIAAVASVNPNTIVVLHTVGPVLLEAYKHHPNITAILWAGIPGQESG NAITDVLYGEINPSAKSVFTWGKEAKDWGVNILYNDSSKVPQMTFSEGNFIDYRHFDK AGIEPSYEFGFGLSYTTFEYSAIGVRKLQDDEYKPTTGKTNAAQTFGTVDKSPSANKM PKGFKAVKAYVYPYLDSTTGNSEGGNGDAPKGSQDGSKQRKLPAGGAPGGNSGLWDEM YEVSATITNTGKLDGTEVVQLYVSLGGPNDPPVVLRGFNDLAIPRGRSKAVRFKLTRR DISNWDAGKQDWVISDAPKTVYVGASSRKLTLKAVLA VE01_02877 MPPTPSAALLRHSVTALLRRSPHLSTLSPHALRLPRALTSQFHS SPAPRLAVPAAKKEVQRPIVVNLPSGEEKEFQCVNQDTLQRSFDSFTNTDKTPQNVTS KEDGLEVIWQETGHKSFFPWEWLVTNMNKKPSAPKYSFWGAEIAKSPPAVHYDEVMAS DAGVGKWTAKIREHGFCFVDGCPVSPEKTEELLNRIAFIRETHYGAFYDFTSDLTMKD TAYTTLALPAHTDTTYFTDPSGLQLFHLLSHVGHGGASLLVDGFACAAQLRISHPEAY DILSRVPVPWHASGNEGVVITPATWVPVLTLDEGGGVRQLRWNNDDRASMPVENGGVG YEEWFEAARVWNGIITDKKNEYWEQLVPGRPVIFDNWRVMHARSAFEGKRRMCGGYIN RDDFISRYWNTNFSREEVLKRII VE01_02878 MAPTAHFLPATTTALLLLLSAALPAHARSGTHTTAPAATLPLYP AFIHLPTPPAVLDATLLSAAPAVTGTSGVFEWSIDCPSDENDDNDACRHLSVFPAQLW HTQNGGWRGKMTGEKGITTVWSCDLSGAEETGGPHCVDVISTKGGKAVTTTAQLGCHD DRQLVPLRISEGAEKFPEGWEGVLMGELNAQYGGGLEETGCARTWTMQSGAPIVTRNV TSTAPVATRATTTSVVGTGTSVVDAVASQTGEGKGVRSGVSGRLAAVGAVAAVWFGAW VE01_02879 MTLATTLLFPVRAAQGVFALIVMAMMADATVNYWTPPNEVGEVP LVLFTSVLALFVVAYLVIAPIFFPKAAHKYAVLTVEILTMLLWIGSFASLGSFTSKYC YYSRHQRREKKCDEFIAAVVFGAFSWALFVGTTTMAALHCWRTRGGNSEPPHAMKPQQ AYTGA VE01_02880 MFSAKQIWPLLLIASLLDTSQGAVLERKSNAAGHLTSSNRTATT SKLNTWWHPTGEINTQTPVEVGNVRQSHLYSVQVATSKASNDYYDSFVYETIPRNGNG KICLPGDLESLCDEWDQITLEVDVGITMGWTQFLYGSDVVVKVSRNNGKKISAKDVII RPSNLNYRIKAAGGDALITVPYNPNGVRFSVELKDDLFEYRIGREGEGSQYVQNEKPD GYGYVPSYDDSMPIVGVEPRNALLIFASPFPSKDLIPNDEANTLRVKPGLVTGLDQTD KSIVYFAPGVYYFTAKARAVLAASVTWVYIAPGAYVKGAIEYNSPEPELKATGFGVLS GEQYVYQANTAEGYKNIKSDATSLKLWRGNNVQPGARWTINGLTTNSPPFNSMDFYAS DASKMEEFSIHASDYKQVGAFFGQTDGIQMYPNSHVHDVFYHAGDDVIKTYYSNVLAE RIVAWKTNTAPIIQFGWYQRNLTNITVDHVDLIHSRYISQQTKYPYALVGSSASYLDD SSTSTADTSSHITNYTVSNWRAEGHSPALFGVNPLSNIDNFKIENVWIESLEPRSTNV GRSRLSAFTDASDDNKPVLLGENSPNKIGLLIKNFYVGDEHITIKAGNWDLNSLGNLN FDPSYWGRWTAE VE01_02881 MSAHIVNSIEAGKRQPLTKAWWKEASVYQIYPASFCDKNGGGFG DIAGINSKLDYLKNLGVDVVWVSPVYESPQADMGYDVSNYCAIDSIYGTMEDVDALAA GLHARGMKLVMDLVVNHTSDQHDWFRESRSSRDNPKRDWYIWRDPHFDSEGRRQPPNN WASIFGGSAWSFDELTEQYYLRLFCHEQPDLNWDNPQVALEVEKIMRFWLDKGVDGFR MDVINLISKVPGLPNAPITVEGQLYQPGYMHYACGPRLHEHLRGLRRILDEYDAFAVG EMPWVKNEKDVMDVVSAERKELNMIFQFDIVDMDNGAGGKFEKQNWELIDLKNIVEKW QTRLYEEGGWNALFLENHDQGRSVSRFASDEPQFRVYGAKMLATFIALQSGTLFVYQG QEIGMANMPKDWSIEEYKDVETQNYWKSAVEEFGNDKERIDQYMKEIHIKARDHARTP VQWNSQNNAGFSDSTPWMRVNPDYVKWNAEDQVGKPTSVFTYWQNLLKTRKLYKNVLV YGSFEMVDRAHKSVFCYRRAYGTAAATVLLNFSKQDVEWTVPPDVLRTTNVAPLIHNY EDLRQAMDGKIHLRPFEALVWIEDGYKANL VE01_02882 MAADSHDADTKASDGDATHVDSSAVTRLAEKKNSDFADLVEDAQ LGTAKEQQMSIMQALRLYPKAIGWSVLLSTAIIMEGYDVVLMGSFFAFPPFQEKYGVL NPDGSYGLTAAWQAGLSNAINIGQILGLFANGIISERFGYRKTMIGSLLLTIAFIFVL FFAQNVQTLLVGEFLMGFPLGVFQTLTVTYASEVCPVVLRCYLTTYVNLCWVIGQFIA SGVLKGLVDRKDEWAYRIPFAIQWVWPIPILVGVFLAPESPWWLVRKGRVEEAKQSIL RLTGKNDPDFNVDETVAMIIHTNELEKEIAAGTSYADCFKGTDLRRTEVVCLTWAAQN LSGAGLMSYSTYFYVQAGLATSNSFSMSLGQYGLGMVGTLFSWVLMTYFGRRTLYVGG LASLCVVLFIVGFVSLAGTENASASWATGSMLLVYTFVYDSTVGPVCYSLVSELSSTR LRTKTVVLARNTYNMLSIVNNVIIPYMLNPTAWNWAGKAGFFWGSICFTVLIWSFFRL PEPKGRTYGELDILFERKVAARKFKDTAVDQFQGDSKVFAEKAIHLEEVEKA VE01_02883 MKGREKSQDSAGLGSIFQSNGEPVYEGQQRLPTSSSSPTSLEYQ FACSLDNLQPNLPSNISVASHNDAASLSVGSPVYPETYGAPGLVARPAASEDSYDGWP DKKPRIQVSIVDLLPLIEMYFDHLFPIMPVLDRNKYLNSKVLEDQVALPPGEHALLTA VSALTIVQLSLPGHFVPNDIPTISAGMLIEECLRTRHRCNYIENPNLSTVLTSFFLFG YYGNLEKHNQARHFLHEAISFAEAIKLDDEVYLSQLETRQEQWYRRTFWLLFITERAY ALQQQNRIKIQTSLNKLPAVFGSEEPQLLYGFVNLVNLFIVIDSTFLSMVNSTSKSAD FSKTWLVEVLRKLAASSITYETLETHQLDILVSRQWLQMRLWQLSVKQGLLTTNSTEE PFNLQYPILLARDVVRTISSVNQETLDSHGIGMEQKLADIAACLTDVLKCAEGDMSEP YPQGREYLVALFEQLSRMRGAQSRYLQPLLEKSHAVTC VE01_02884 MADPNGDPEINNAPATESVADPTPDATTEKRKGSVFVDKSLEKD SFAALTENVTGEIKNPLVGIPKEQLIQDVEGFASEFDLREMIPMLIKGALVAQNPAGI DEITELDDEDRRILREEVTHKWKHPRILYLTIVLNSIAAAIQGWDQTGSNGANLTFSP ALGIPDTGEFCKDPANAATCDKNSWIVGFINSAPYIAICLFAAWISDPINHILGRRGT IFLAAIFSLLAPFGEATVQTWPQLVVCRILLGIGMGLKEVTVPVYSAEIAPRTIRGGL VMSWQVWTAFGILLGTCANLVFVNTGKLVWRLQFGSAFVPVIPLLIGVYFCPESPRWL LKKGQAARDLYFIHAQLVYEDALLEKSGLSKNSNMFTRFIELFTIPRLRRATLASGVV MLAQQMCGINIMSFYSSTIFRNAGASDIVALLASFGFGLVNFVFAWPAVWTIDTFGRR GLLLFTFPNMSWTLLAAGMAYFIPQDQTAHLGVVLFFVYIYDAFYSPGEGPVPFTYSA EVFPLSHREIGMSWAVATNNFWATVVSLTFPRQLRAFGTPGAFSFYAAMNLVALFLIF CFMPETKQRTLEELDYVFAVPTSTHTKYQMFTVLPWWFKNIPNFLRRREPTHCPELYK FEDDSWANAEDSKDSKGSGPETAPREKDEVEVPV VE01_02885 MPNQDARFPFLAIEFKSQANKGTHYVATNQVAGAGAIALNGQLE LMRRAYGVTAVDASALRFFSITIDQAYAQINVHWVEGILGQDEPCSFRVERIARHFMD SVEGLRAVACAVENILDYGIDTLLPSVCEALDAYETTMIAARDGI VE01_02886 MDNKNENNRGGGSLCNPETRFQDQGKDKDQNNDELQQELIPKRE TCSQFPIYPSLALFTYLSTVSTVHVLIILQQLSKLAKRKEFKVG VE01_02887 MGSSTQTGAAPASRGSKNRKRPREVKDEINNEIKDEDEDSSALP THCHRRRRHRNSRSRTPSPSPSLGGNENTPEEEDISPDDLPANLDRHACLRCVKFLAS EPAFECEFPARSIKCTRCTRLNSKCEPIPAFADAEARRILSLQTKYEHSPPCEVGNLR DRVVAAAEAFSVSVRMEAQRRPKTLMEINLAILRSQEEIVVLLRGIKAELTAQGREEK RKGKGKGRD VE01_02888 MKLSIIAALGVATLVTSRSIKTANHVAMERLMDMKVSSREKLRN DGYFAAGKWKSTKKVQACTNGKAGEYSCNGVDLQAFASHEDLGSKTKEGNDIWGWTSD DGREFAAVGQTDGTAFVEVTKDGQIKYLGRLPTQTANSIWRDMKVVDGYVYIGSEAPG HGLQVFDLRKLLTVTKPTVFDIKKDLTAWFQGFGSSHNIVSHEEANMIYAVGTGRNTS CKGGLFMVDVSDPANPTSPGCASEDGYVHDAQCVIYKGPDSQYNGKEVCFNYNEDTLT IVDVTDKSAPKQISKTPYSGSSYTHQGWIIDPENMAYLLLDDELDEMDKTGAAANGHT TTYIFDVSTLAKPAYKGLYQSPVKSIDHNQYIIDGLSYQSNYGSGLRIVDVSSVTQDP TGKGFKEVGFFDCHPEDDAVGGEVEFFGSWSVYPYFKSGYILLNSIERGLYSLKRSA VE01_02889 MSKSTANQPEPFIVLPTSTHTHTLILLHGLGNNGQKFGTKLLST GISSKGLTFTSAFPGTKFIFPNARKRRSSAFRRAVINQWFDIASVADPSHRRDTQVQG LVESAEHVRSIIAEELETIPRGNIVLGGLSQGCAMSMAALLSLEFPLGGYFGMSGWLP FREDIEDVVAPVKEEDNPFADDEADGEVIEPPLMAVGLVRDILSLDTATPSKERTSLT TPVLLCHGEDDEKVKCKLGEEAVQCLSSLGMQVTWKCYAGLGHWYKIPDEIDDIFEFL EAIL VE01_02890 MDEAQINRGSDSSASFDSLEFPELVKPNERIVKLNQEVLEILQA ALKLNPEMNLLAIFPEDYSQRRQGKLAASTASGAEYKIKKLPPPPPIRPQPPLGSRDF CADAIALSKTAIVIHPLSDEAIAFLSNFLEPLESSQVLLDSSDSLMKAIKRMLAQPDK LWEDPIRGVVLKCNDDLPVKVVRGYNDHTEYTSMQYLAKHAPDIPAPKPHGFIKLGRI HVIFMTLIPSITLEKVWDNLTDRNKTSIQHELNEIFLKLRKLKKNSAQRLGGLDGEGV KNDFMFGHRSSEVMTTAAEFEDFQFSACPRASKAWVTFLRSFLPVQSEDCVFTHGDVW MANIMVKLDDSSSNYTLSGLIDWENSGFYPESQESIWMLSGLTRYTETDWYKHVPPCV SPKRYTVHWLVHRLWSHTVDST VE01_02891 MSEADTQSHILKEHLDYVNRRHKGTFSCIHCFDDPDCGDYASRE SLWKHCLENHSRWFPTSSDKLLRYREAYEDVCYRAAKVKSTNPVDHVNGKLAPSESVF ASSNPPGPVVSSRIQDLVPEPNESLSSPYDQDDGEIHLELPSAHPASHYTDSFDSVTN HEDNLHNSSSLHDPLINNEDDLPSSARHQADIAGEPLKVAAGLPWRQRLPHVEVTHNH IPLHSYLCDSSNLPSNYRDDTSHNSNYRDSTRDDDSCKIDVAISYGPRAGFYSGISNL FNRNKNKIREASSDQTDIPAEHAKLASDVLSKHQHALIGSPKAYSESSIRTERGKPIE PPRRISQLDIMPISQGLLVTEGKEIYAGLTGQGRPRGSKHKGAVGLRHRENPCIFSLN AKPRENRRKVLRVRINGQDHLTCPDSGSEKNIISKACAVEHGFRIRRKTKDIKRFEVG NGDIVWSIGRVLETVGLPGSPLWQKKRRFYVLEDCPVPLVMGMKFLKEAEILTKYRHL LENCPAEISNIPSLLWIGSPRSRIRCTIDGRKLEAVADTGSDLNLMSLECAAREGFRI DARIEARTTILLGNNKKIETLGQVYVSNLTLDWREPESDLPEQSPHAPATDEPLEPDG HGSADPSHRDGDDDLYTIFHVVKHLPCEVVFGQKFLDDRDAFNKWPELLDIPPTKWSR QFGKRQQQFEFKIYISEGFSFRNFLTKRKPAVDVREQHESNWHNELHRRSKNTEEKIG LLPLGEQDAARRSEAKKVRDWHFAHARCQFCNSRSAT VE01_02892 MNQGYELPPAKPLNGFPRVAHKLASDPDRTTTIFRRFDRLSARN LILLEAEVAELEARQDRFDEEDKISTSEEVRSCHTEWSTFERLATERDADGNPTNLGQ ATKLELARRFKVNSEEIDEALAVHQTLLNSKPPAATTIKGMREWFLDTHNGKQYGAPQ LWGASEKRFDDPYDLVALRVPADQDRLSEFILNYFGGFFPATSTDPHSSYIRESTLAK TIAIISSILSAILLFGSIASLYFVHNGLALLGMLGGWTVLFAVCVGWLTNARRDQVFA ATAAYCAVLVVFVSGTLGGAAGGQVVVGEGGWNCTRGG VE01_02893 MNINKRLDRVKQWAGEKIGAESKTSVSDEFRSLETEMQLRHDGM DKMHKSTTAYIKSLSKRDEGDDREKVLPVARFGQTLANHGDDFEPDSEFGNCLIAMGR TNERIARVQETYTAHATTGWLESLERSLVQMKEYQAARKKLEGRRLAYDASLSKMQKA KKEDFRSEEELRSQKAKYEESSEDVFRRMQDIKEAEEDSVADLGAFLDAELEYYDRCR DELMRLKRDWPAAQKRAQSTTRANRSRSNTAHSYKERYAAHEDTIPEDQQRPAIRSSA RVPSATYLSPTTSHEAPPPLPSNRPYLARAHTIESPRRDITPPGRQASFASDAGVARD RLRPTSRIRTADLFGDPSDDSAAYSGTDRSPRAGSVSPATSAGSGGDVSRKASWANLR GGVNGVNVNGAAGGVVKKGPPPPPPSRGRKIAPPPPPPMKRAY VE01_02894 MASQGKIQNVGVIGYGMSAKVFHIPLIQTTPSFRLAAIVQRKPT ADNSAPADHPTVKHHTTTDTLLSDPTIDIIIVTTPPDSHFSLCTAALKAGKHVFVEKP FVPTSAEATALIETARTAGKLICVYQNRRWDSDFLTFRKLQKDGTLGRIVEFETHFDR YKAVKPETWKGQLEMDNGGGVIYDLGTHLLDQAYVAFGLPVDVTGIFSNQRGEGEGAE PDAFTVLLRYKDGLLVTAKAGIMSIDTAQLRYWVRGTEGSYKKHHLDCQEDQLKAGLK PGDKGFAVEDKEASGTLVRMVGGKPVKEVFENIEPETYGALYRGFAEAVEKGDEGLVP VKAVESRDVLRIIEAARESAKTGKAVKL VE01_02895 MASSTILPYSSTHYNTLPEFFTAKETFKAKNASKTIDITLASLF LRHKVHHNLGVQMLHTHFTIGDDERLVGIGAVAVPIELDIIVGSAIKPLVYRFVPTGV AVCEWTQGNDANEVDLSSPQYAAFMAELRIVLDEAGLIDVLGIFVRQPGDGKMKGVEF TAGRANITLHKDEPTEGGNSVEASWVFMEKTVQPGDATTRTMSACNSWCQPFVGNHSS RHKEKGGRYSLDRETQGASLKDPNPPVELAAPIDTSDAITATEVMAARKSWSQEFVGN HSSRHQEKGGRSALEAEMQGDLDEDEDEDEELVEPVTQVMAVCTAWCREFVGNHSSRH KEKGG VE01_02896 MAGETKGKALENFKGVNGHSATPRARRAKPRRSFFMWLFSTGTW LLTWYSIITVIFQCPESVDLITDASPRICKPYFQVKSTLAPYATPYYNTYAAPYIDSA RPYYNVLDKNVITPAAFYGKKYGAPRVAQAQAIGKEQWERQVQPQVAKLNKLAQEQYD KTLAPYVQKAADVAVPYAKFAKENALQTYYASILPAYAAVEPYGQQAYEIGKTFTVNT GIPYAQWAWTSGVAFLDRTIWPKARILYGENVEPQLIRIGERLGRYRDGKKLQSIVDE VDTTTSTASITASTESSITESVVVSSTSSETSTSTTTTTQEEASPTPLTEEEQRANAQ KVVEEDLRTWQEKFAKAADQGSDELEARITEITDRAINTQAQGVGEAHLMQLEETVKS QIQSFKMAIMAIVRLHGEEEHLNGATRKAGLAIRDKAQAVREWRHSFDQETTNLISKA SEDTFQIIDHIRDLGLQEIGMRWAWTDGVTHKDWAKYHALKNKFDEWRQDVEEVVTGH PGLEAARAASEDIESRAMAIAEDSATELSRLKETGRWKLAMGDASDDFSTHVLPPAAA AAAQKVVERVHEASEAIVGSAETSSDSPLEAASSTIVDAGSSIAASASSVADNVKSYA SEVSESVASAASSVSDSVVGAASSVSDSVGGSSKGSAESFVSAAQASVESVVSVAQAS ASSLADKASSAVIGTSQGTVESLSSVVSESASSILDQASSAIIGTSQGSVEKATSIVS ESASSLLEKASSSAEEVLETVSSSAEEVLETLSSVISSATSVVKNAAPNASSVSDEQD TYSEKIQSMAGEAGERLADVTKAMSEALLQQSTQGNFESATSVAAEQYSSVLAAASAA MHGKEKGSAENMASVVSEKYAQASSAASSAVKGTPAPVESVIAQASAAYDALTSQAEQ RLSRASAVVSGMIQGTPKPIHEKMFSSVQAAYSDSVASASQRLESVISAASEAAASAT ESVEDFASSVSSAVSSTETNMKDEL VE01_02897 MDANMEVGPVKEDEVRNPDLMNDPEYATNIDGDDGTGDQDTCRI CRGEATAQEPLFYPCKCSGSIKFVHQDCLMEWLGHSQKKHCELCKTPFRFTKLYAPNM PRTLPLPVFIKHLAVHILKNIATWMRMCLVTVVWLVGLPWMMRHIWGLLFWFADGGWS FKHYQFSRNIPEQLRNSSEALAVNEKLASLAANGTSPASPLLGYPTTTPIMWEALTKL YELVVSHRYNMSLPEPSIMGIFNSIYSTMGLREYGLPSNISNGTTDAMLLEPYPVFNQ AIVIKHPSLLSDVYFLNNLTRSNWINRLIVTCLEGQIITVVVVVCFILIFLIREWVVQ QQPGINMGAGFNAEFANPDAREQLPQDPIENLRQADRGDVAAIDRIIHDFEAIQDVID RRAEDRGEPVPARARRRLGGFDENNDNREDSLAAARNLEQQEARASDDEGDEANEALL AELPTSIDQIDATEFIALWRRADGDADQILRLMEEEGLGERLKYWKNALEALRQGDAE SGVVGSRRIGGIPSKSPEPENGEELGESSRNMDGPKSRPEKSRLLTMDPTQQELHIGD TLYQKLRIFIPELAGKVTGMLLDLETSELLDLVDDDDALYVKMDDALIVYNGFLMDEG NDPILTNEKGKDQLLRELVLRKARRMHVERAEKVADIFLEMDIEDLLHIIEDDVTFKT RTEEVFAFYELHLTQMRKAFPSRKAKDKYLAEHPEFMPPKITPSSPEFSKADAADGTG TRLRSRSASDGSQRLPSALARDYWDFDGLPDNEEERTNADSAAPLQGSSTDRSVDTDG LETDNESLKDHASEQDRHSTMQDGLAGGVDDSERSTGDGEQPDEPTAPLDVSGVATPQ GDDAPPLETPPPPPGPSNGQQRNPPEGIMGHLTDWLWGDVDGAAYEVEGDDEHVVDDI DAEEPFVPRNRREALDHAIDIGALNLDDPRDLMQAVMDNQADELDPNDPNELDDAEDF DGIMELIGMHGPLTSLIQNALFGAVLISLTVALGVWLPYNVGKLTLLLTANPISTVKL PLKLVFKAAAVVQDLTLVLIGYISYALIRMVSILMASFTIKPNKLFLSTSDTANLALN SLKFAYSAGERVTGGFIGAMAQIPDSEIPAFSAASHESLIQIQSLFSKIIGFITGSTL AQAVTSSTGSEALPAFDTSHLREAAAAAGQSIMAFLSALPSMLAKSETWVIRLNAHPR AEPIDLALSYWDGTDRAYAIIAGYAAFIFLGAAYIRKGSPFSSSQTGKDWESTIIDVL NQAGGVMKVILIISIEMLVFPLYCGMLLDAATLPLFENATVASRTMFAITSPLTSIFV HWFVGTCYMFHFALFVSMCRKILRSGVLYFIRDPDDPTFHPVRDVLERNVGTQLRKIT FSATVYGALVMICLGGVVWGLSFAFIGVLPITWSSNEPVLEFPVDLLFYNFLMPVAVK YFKPSDAVQAMYSWWFRRCARLLRLTWFLLDERMNDEEGYFPQWNYSWKRIRGDDLFK DGKPHPEIPFVKNGRYVRTPDSDMVRIPKSRNAFLEVNEKNQRIDGEPDPEEGLHGTK SKLFKMVYAPPWFRTRIAGFIFLLWLFAALTGCSLTLIPLVFGRWVFNAILPSEVKKN DIYAFSMGINILGGLVYCLINLRPGAVALHTAAVANTRAPAAILRKLLALFIRTLRLS YAYGAFVVLLPTLAAALVEFYLLIPLHTYFAPGSRHTIRFVQSWTLGLLFVNLARRAI LWYADSRPAAALRAVVRRGMLDPDVRLATRAFIAPLTLIAVLGLAAPMACAWTVNALG LFGSTEEARTRVYRYAFPAMTAAFAQVQGLAAAAEVVRGWRMSIRDEVYLIGERLHNF GESRRKGSVGIPSMGRIET VE01_02898 MGSDKARPAYVEDVLGDEDSDNNRTIRNTRVSARASKKEKKQRN EKRVAQEKKKSQSDDGYSSLPVLTRADERATVKEIRVIPDREPREGRRKSLNINPGKS PRKSSRPPTQRSVTFDHSDLPSRPKDRDDPRYFGQTRGGHPFVIQAQSTPRAAPSSAA SSQSRQSRPMSISSNNISRPPLSGSAHFYAPSPLYTAPIMIQPQYQNPMQMAYSPSQP GSPSRKTLEDRFARTGASTIVRPSSVSGYRPSDLQLVQKGVYDDDDEEDDDDDDDYDS EEEERNQAAIMQEAARVREVRRRAKEQQDALDMPPPQPMQRRRSVRQPSEQSIFLQDN LDDELQPRRSRDEPRETRRSRDYDHRPSGDYDRRPSGDYDRRPSGDYERPSPRRPSPH RRLSHAYEFNERERPAQPKITYRGDAAPPSRARRQSLPRAPESNESLSFAYDRDDANI RIEGATGRRASYYGPLSNSSSNYEDKIRDAAGYQADVSGGEPIKLTADLLRKQQNTIG SSRSTHSSNSRDESDFKRSVTTRTTRSVSGNDAGTGGDDVTIRIKGNAKVTIGAAEIT NADGVELNIVNNRRQSIRNGSEASRSEYAPSQSGQSQPPLSEYAPTATDERERERERK GRREILGAPMGRERTGSVAGRRRGFF VE01_02899 MAPIPDSLSAIHTLFTTLTRRTTATFTTTPSLHARSDTTTTTLH ARDEAFPHGSGTIQPTSVPTSAIFALIGIICAGFVITGIWFFFIAKNGGFHFRQGDWE DYKSTVLRRKGPNGTTLSGATRTTDLGGGSVVGKRYRDSESSVLSSAYTEQTESLVGT ETVVSEMTSITRGVSGRFQKEKTERSAAASAAKKERKRFREKGDKREKSRLRDAESVG GDELEGDDLGDAAIRSYRHEKPARVGGMNRAADGSAFESSVGGSEESATGLLAGREAT PTNSPQKVRRVRERGGEGYAVGSPSASPTKERAGIRKVETVREADRIKAEARRLREKG RSAAGRSGGGRRDFSYTPGDDLGSSVGGSTVSGASGSGSGMPGGYVDSEVSGDTGTKS YRHVIPGLSGTAAAPGKSESYAEERRRRRAER VE01_02900 MPSHHLIRRDSWPPTILRLGHDGISDISDTDANPFAYFLEPAIP ADDDNDDYLDLSAGIESDDAKMPQVREVSPSSLQRLPILSDEELEAEQAERDMHDWLS VPHRVKERVNSSGKGSKVGRTGAARGRGLVRSGLVPGPVRRSRSAGPRGRAWREPSPE IGVIEEEGEDVEMEMGMGIGGEPGPSGAGKKMEERGWGKIKKRVHWAMPVEK VE01_02901 MHATKSQPEKIVEIIDKHRPDLSEFEDIYKDFHKHPELGTKEER TAGIIAKRLKESGFDVHEKIGGHGIAAVLRRGDVPTVLLRADMDALAVEEETDLPYKS TVSKTMHACGHDMHVTCLLAAAKLLNDAKSEWSGTLICVFQPNEENGAGAKAMVDDGL YQKIPKPDVVLGQHVSHMKTGTLSIGAGVTLSAADSFKVTIHGRSGHGSQPENCIDPI VIASSIVVRLQTVVSRVVSPSESVVVTCGSFHGGESENAIPDEVEIKLNIRTQSENVR KRVIEAVKRIIKAECDAGGCTQPPDIEPTSRFPLTVNDPKIVDKLHDAFKPVFGDIPS TSGMVSGSEDFSDLATPHDIPYAFWFFGGTDEKKWDDAVKNDTVNLLPHNHSAKFAPV IEPTLNAGSDALALAALTFLKTYSSTRLHLDSSNFHAIIYQNTRYTHAYLKTFSYHYC RWAGFPFDNQIAPLPFGLLLKWSDGTRLEEVLATQVCFTAGLPTPKIICYGDHPETPH APVSILMTRLPGKEIGQVYESLSVDAKATALAELKTYLATIRQWKSPWGDARICSITG GPIRSIRVPNHIVGPYETSDEFHDYLLAPARNSSCFKSQ VE01_02902 MLSQQDNRLVFHYDAEELWIEPWGENALRVRATKQRSMPTEGWA LDVPPISPKAKITVDETEATITNGKINATISKRGKLIVYNSKGEKLLEEYARNRLDVR DPKCSAIEVEAREFKPIPGGDYHLTMRFESVDVNEKIFGMGQYQQPHLDLKGHDLELA HRNSQASVPFALSSLGYGFLWNNPGIGRAVLGKNTMSFEAYSTSILDYWVCAGDSPAE IVEAYSGVTGTVPMMPEYGLGFWQCKLRYQTQEELLEVAREYKRRELPIDLIVIDFFH WELQGDWKFDPTYWPDPDAMIAELKSLNIELMVSIWPTVDKRADNYPEMLENGYLIRV DRGMRTVMEFQGNTVHFDSTNPGARKYVWEKAKTNYFDKGIKVFWLDEAEPEYTAYDF DNYRYHAGSNLAIGNIYPVEYARTFYEGQTAAGQENVVNLLRCAWAGSQKFGALVWSG DIASSWGSFRNQLTAGLQMGIAGIPWWTTDIGGFHGGDPNDEAFRELFVRWFQWGTFC PVMRLHGDREPHKPQHGTTGGATCTSGADNEVWSYGPEIEMICKKYMLLREEMREYTR GLMREAHEMGTPVIRTCFYEYPEDPKCWEVDDQYMYGDKYLCAPVLKAGCVSRAVYFP NGKKWKLLDGVYVYEGGQTQNIDCPLDTMPVFIRQDE VE01_02903 MAAANFEAAIALIDEAHSEDPTIVTINGKEIPYELHYAQKSTHY LGLREPNASPVLKTAVRAQHFRRWEVPRTTYPATRVGYFAWRTFLKKRQADLASEICT RCNYSADEAEAVAALIRKEDMKSNVESQILEDVACLVFLDDQFEKFEKEHDEEKIISI LKKTWAKMSEGGQKLALEMELSDRAKELVGKALG VE01_02904 MLPALNPPRLGNRAWWKEASVYQIYPASFKDSNGDGIGDIPGIT SELDYLKSLGVDLVWLSPILQSPQVDMGYDISDYRNIHAPYGTMDDHDNLIKGLHSRG MKYVMDLVVNHTSDQHEWFKESRSSKDNKYRDWYIWKDPKIGADGRPQPPNNWASYFG GSAWKLDEQTNQYYLHLFATEQPDLNWENPDVRDAVHSLIRFWLDKGVDGFRMDVINF ISKVPGFPDAPIQDPTQEFQSGNEFYACGPRLHEFLKDIGAILKEYDAFSVGEMPGVT DPEEILKSVAFDRGELNMIFHFEIVDLDHGPGGKFTPRKWRMSDLKSVVEKWQHVMIY NGGWNALYLENHDQGRVISRFASDNPEWRALSGKMLATFLALQSGTVFVYQGQELAMK NVPAEWTIDDFRDIETLNHWHELLAAYPDDKERQAVAMQEYRIKSRDNARTPMQWNKS AHAGFTTGEKPWINVHGDYETWNAEAQVNQPDSVYHYWAKLLKLRLKLKDVIVYGDFI PVGTAHNDVFAYMRSTPGSEGAALVVANFRAEAVSWKVPTEVEPFASEGQKIIGNYET GPTISGGDTIELRPFEAVVYWTT VE01_02905 MVAVKMKATWRLLSYIFTISLGGAVVGIDLGIIATTLAQDAFSN YMFPPGTKNVTSLTGAIVSMGSAGNAIGCLINGLLLEKLGRRKTLLVSTFFTIVGSAF QTAANGVPLMIVGRFVAGVALGILNPTMPIYISELARPEERARLCGIFGLMIAIGFCL ANWIGYACSFASGDVTWRLALGMQIPTAVLLMALSMFLPESPRWLAQKERYEEFDASL KRIYEDEDEEFFVRSQVEIRAQIQLEGAQRSNITVGHALIEIFNRKNIRRTAMAIMVM QVGILSGSLAIQNYQSILYGSLGFKGRQVILISGCYGFMGIVGQIINFYGVSDRWPRV RTMWLGCLILAAMLSILMALSRYYGDGKDMAGARAGVAFIFLYSALYAVFFNSTLYTI AAETFPQHLRGYGTSIAALCQNITAIWMGQVTPFAFDAIHWKYYAVFIGCLVVLSAFY GVFLTETNQLSLESIAGAFGDETVAVDKAVAHAQQGDEKSVAEGERV VE01_02906 MRLLGSFALLAVPLFGKTSATPIAPRSGTSTESITYITPIWEGA LASHTRSDDLAVLSTMKTLLGLGGTYTKLGWSFSSWALSRDIHGPDSDYSFDPTNLNH MLDLAVSSDLPILVHMNNGRWADCCTPNSSGGWGDVLLDIIAAQPNTTVLNSSGGSLF SHNGGNNYFTLSRLNTVYRNYKKRNIQASTEAIVEWAAAHPSLFVGVSLDSETIMPNN GADYNPLATEEWRQWLQNIGIYGPGGAYFGQGRTPAFSGIESFNSAMGTTFASWNALQ PPPSITPGQTFSEEWNRWRVTLINHAVADETFWIAEAGVPRALVYGHQTPRLDDYGFA DALETATAANGASGVTYYAWTPSDIGQVDNPLRGTGKNNFGVFELNPLTTDATRSYNT LLTLVNDGIKVICPNSWESDQATKDQYALFGSPNWGDTFGLALNKFLADRAEIPRNIQ PPPWNPGNRVVDFYDAFPAATSSGPDNHLEPAGSVGGVIRKSVYSAVGGVISYSVALP AVSGTQRLNLWTSVGIRDGAGNGGESTFQVTINGQNLFGTGLRLNKSFWVWKHWLPAM VDVTPWAGSTVTFAFTTTGENYYGWTTWGAPAIYASATGNDLAAGKSVSVSSSDGAGA GWDSGFLTDGNVDGDVRGRIGWSSVSHASATGSEYASVDLGSERSIGRVVLFARSDLV EGTGSGFPVDFKIQGSGDGAAWRDLLVQTGFPAPLAGEGLVFAFPSANARWVRVVASK LGGVGGENWYRMQLGDFQVYA VE01_02907 MDILLTHGPPKWHLDANALGNEYLLKELQPTKLPLVVFGHIHAG YGYDVVAFDQVQVAYDDIVFGKKGIVPLIKMVFHLLIDKTYKKWIGSRPKVTRLVNAA VVGGRRNEETRPPIVVSL VE01_02908 MSTPRILSLFARAIPRTSRAIATSPRTVLRAAPRRLPLAYRPYS STPTSAAAPAQPAEDALSHLSPAEQRIHNSLSAGLSPSSLHVQDVSGGCGSMFAIEIA SSAFTGVGMLAQQRMVNKLLKEQMDEEGWHGVQIKTSATA VE01_02909 MAHANADELATSLQSLGLKGSLDAYPNCYPEINPVDIYRAHITS ILSEITGVDRSIVYPSLQWTQTLEKGDMVLPVAALRVKGKKPNELAEEWAAKFEETAL VQKPVAGGPFLQFYFKVDKLAQILLPTILKSQKTFGSNPNNGLKDPADPSKGKKKIIV EFSSPNIAKPFHAGHLRSTIIGGFLSNLYESAGWDVVRINYLGDWGKQYGLLALGFEK YGNEEALKADPINHLYEIYVKINTDMTKEKDEIKALEEAGKKDEAQKIKDTGIDEQAR KYFKAMTDNDEKAIAQWARFRELSIKRYKETYARLNIHFDDYSGESQVKEERMAQTGK KMEEMGIAEESEGAVIVDFAKHVPGKAGKALERPVIKKKDGTALYLTRDISEIQQRVD KYNFDHMIYVVASAQDLHLKQLFKIIELMGYKELAAKVQHINFGLVLGMSTRRGTVKF LDDILRDVGDKMHEVMRKNEAKYEQVADPEAVADILGISSVMVQDMSGKRINNYTFNM EAMTSFEGDTGPYLQYAHARLCSITRKAALSPEEIAGADLSLLTEPHAQNLIRVISQY PDVVNNTLRTLEPTTVLTYLFKMTHTLSSSYDHLRIVGSEAELMKARMALYDSARVVL NNGMRLLGLSPVERM VE01_02910 MNDMLNEISLLRRETIQDQVKVDGKPLLEATNEIITRVIRVFKT TKKRAATQEQQLSQLGSTKDQSDRRRQNSRQETVAKLEREEAELVALITPDNSIVSNS GISSTREQSLTSLQDEARVEDATQETDGTAPDFASLAGTGVGVKDGDTATGPQQMVEV VENWKLTWRRRFGSSEDGVAGWARPSRTKVDSCINRRSLKLTIERNGAHECQSNPAIA CTGCVKRKTPCIIASDVLPLPVVLPLPERHREGFGRQDPGFWIVR VE01_02911 MAEANKILERNIADTKIRFDKLQMDNETARRELASANSKIGNMS ITNETLGQNETMKGELALASPKIGNRSTATETLEREAIDAKTKLTRLQMENETLKGEL KLANSTTDDANKAIKILKTEATNPSARFDKLRIENEGLRKNLASANSKIASLDRDATD TKI VE01_02912 MDEASTLIASLHSKLRELDHKVLLYRRDMAAEFTKHSDALLRDV SPDVAASVTAAVAEGMGAYPSLVDTVAATATPEVSETPPSTNPAGEIAREVGRLKEEG KLAPPPPIDVAGVADTDVPRSPHAREAEFLGVFTQGYLPLLDSSDKHERRSSGDGTPT LKAAQDAEADKKAELAAASLSASYSPPPRKPEPRRRNTDDSGAMSDPENPVRRSALRR NSSSGKVNGLRRVRFEFAGKEFPTTSSPSVAETTMMPSVLDAMSSEADEGGEEHAEIE QVEDVDEEIAPRRISSSQALRMLSRGPVEDDGTKWDEVRAPADGSASVSIDDAAAALS DEEDFISIAPRRMSPQSPNGASPIAIPGPVSPSTSPHVSQLHEDVSNVSIEEAEEEKD ILSEMTPLAPMHSTRSVMTNNTTSPAMPIDISGGANPSNTLTSPSPPSKTPAPTTTQP TRPPLGATTAASTAAPPSPTSTPHLDSDSEDDFFAFDDAPPSTRTRRAKPPRAQSPDS TSSSSSAPASPERPVPAALSAYATSPARAIVTPKARATSAPEAGDVDADTGGGRGAGA DISYAPVVGSSLRNSYHPFAEPIVSPAMHEAARRMGEVSSFVGSLRDGMSGARGASWG GSAGGWVEGGGARSFSERLAMEEWAEGREKGE VE01_02913 MAHIYNSQLDAYSIYHIEKEDPNSLLYQHQHHHHGSPSNALPAL GHAISGSTGTAISNLVTYPLDLIIKRLQVQRIQHSNSTDSSDDTYDGILDAAEKIYAR DGIAGFFAGAIPDTAKSIADSFLFFLFYNYLRTHRLDAHSTHKLSTLDELAVGAAAGA LSKLFTTPLSNIATRAQTSRSATSVQDIANRILKEKGIQGFWGGYSASLVLTLNPSLT FFFFESLKRLLPRSKRDDPGARLTFLLAALSKAAASSITYPFSLAKSRSQVSPAPAVK QSRAELKAEAKSDLHKAAHSAKAAKAVGRKDLKRAADATVFSAVARIYRDEGLEALYV GLGGEVLKGFFSHGITMLVKEQVHGWVIKLYFALMSAYRKVGLGEVKKTDAYERAAEQ AKKLARKKDAYQRAAERMKGSKTAGATALPLVDGAVGMGEKMEGAKSAAAAKAHSVLD QAVRGTKEQVSGERVGGGVGRRAFGGDVDSRAEVESEGPGGWKEAKRLLDGVGSMGEL DMFKEKKVGGIEE VE01_02914 MSHSKRNTARSVFTSYERDLAKSAWSNNSARLSRDSFLPFASCS LCLMPAQSPVSCSHGHIFCRECALSNILAQKKEIKRMEKVAERELAEAQDVALKDDEE AKKRAVEEFERVQMGLQAKVGAGRSIVGRQSGKVVVEEDVVGGKRGEKRKFELDEDEL LRIAKDDRTKARKAIDDEKASKTTLPSFWVPSITPSSNTNNTLHDIKKKAKSSPVCPS SQADKPHFYSLHTLITVSFTEEKSSDKKITQRICPACKKALSNSSKAMLTKPCGHVIC KSCTEKFMSAGKGDPHGPEAEADKIRCFVCEADLTEVKPKEGKTQKPEKDKVTPGLVE IKSEGTGFASGGMNKVEKLGVAFQC VE01_02915 MSSLTPPNQPSLPWRIGSSVTIGAVGAFCRTFLYGLNDSQVVGL DRFLKVLEERRDVGARTRGLLTVSNHVSVMDDPLMWGFLPYNILFNPSLQRWSLGSYD ICFKNKALSTFFSLGQTLPTHRTAHSEFGGLFQPTITQAIRLLSAQPFLTPEQALSSP RSSPSASLKSPDVVDPFSSNSLVYPITYSTNGTDVFPAPSAYGSRKHSWVHIFPEGRI HQHPALAMRYFKWGVSRMILESEPLPDIIPIFIDGTQHVMHESRTFPRFIPRIGKKIT VVFGDSVDGEKVFGDLRRRWKALVEMQREALEKKGQDTTMEMGVLTEGLKYNAEAVAL RLEATQRMRNEVVKLRNSLGYDAEDPKNGFVETWIEEGKSGAREGHMKDDSWTKDT VE01_02916 MDSKVGRNIQRHQTTNSDHSTYCVYSGTESLLNDTRLTLSVLEG ACMMWNDEDNNPYGTSFERRDSGTSSSANQSTAEQAHSTSDSAAPDAFADPPDFAQSA GFVNESDSEEGYASEALGNSRPGPKPGGYDSRVEQLLYENPNLPILITEAGKGADGTK YIAYTIRTGDLEVRRRYSEFASLRDALARLHPTLIIPPIPEKHTMADYAANPTKAKQD QQMIDYRKRMLAVFLNRCRRMEDVRNDGVWWRFLDPNSSWSEVLHTHPIASIPKSTLN APPLDTANPTPAHAYLPRPPASAKLKAAGYYNTNSQGMLSQPSDFNSSPSAAAHSTPG PQVFGRFPPDTKNMSEQDLDGYFVNFEASSKELELLLMGSMEKVNKRTLTHLGQLSSD FAELGARLNALSLPEPSASLSAAIERVGRAVDDSYLATEELVNSVGATFAEPMRESAQ FAGVVRTVLKYRVMKRVQQEMVIDELAKKRATLDSLERSEAEAQRIEQYLSNGGRSEG LRRSTSSGRDAPSRRDAHSEETESIDSDFPPTHDGTAPSAQQGIPQDHNALSHKKSSS GNFITNKIFGRISHAVHGLADVDPEKSRRDNIGKTREAIGHLEQGQVAVAKDVTDASA GVLKDLRRFQDEKEDDLRRYMLAYAKSQIEWAKKNKETWEDAKVEILKIDES VE01_02917 MGSLGTSNAFTVGVLALQGAFNEHTQLLRSAAQFHPATQFTFLE VRTAAQLASCDALVIPGGESTTISLIAERCNLLEPLRDFVKVQRKPTWGTCAGLILLA ESANRTKAGGQELIGGLDVRVNRNHFGRQTESFQADLDLKFLGAEGGKADPFRAIFIR APIVETLLKASDGAQEDEAQKPETVIAPSKDVAEGIDPSIKQQPVEILGTVQGRTAAV KGQDSATNNEAGDIVAVRQGNVFGTSFHPELTGDARIHAWWLGEAVRTLEGRKSS VE01_02918 MHAILVWILYPFLFTAALAMFFFGLSLVLPQAGFVARILVSYLA LVACAIYGVTVSLIARPFGLQHSAQYATGRAFEFVMKYGAGINVIIEDPENYLNTVRP AVFIGPHQTELDILMLGAVFPTHCSVTAKASLKRIPVLGWFMALSGTVFIDRGNSKSA RGAMQGAADEMKRKSQSVWMFPEGTRSYAEEPGLLPFKKGAFHLAVQAGVPIVPVVVA NYSDVLFVKKYRFNGGNLRVKVLKPIETKNLTSEDVDELVINTRNTMITTMAELTAKQ RGKPVHIDPKLGLSNVGVVKASGVEATTSALP VE01_02919 MPYTPPSQRSPASSGPSSPATSRRGSCLPGQPVPSSPVSQRPEL PRSASYLTRHRRTPSVKSASFVTNDGADTALPMTDRERNSLQHNLNLRKSPPPVTDES KLPSGVILSPPESAQNSSDDEESEKPRGRQIEKLSELQAAIRNLAQHRASSPSDDDTE AKHAGKSLSLIVRPQPDNLEVTNGNPGQKLSQSAIKISHSRSNTDTSLYLNEMRHQQQ AETPITETDDDDTDDSEGSLSLKPPMVRKKSGELVRPALRPSSRRRPSSMPGTPTFSK AVHFDSHLEHIRHFLQVDRPLAVSAGSSPVESYASDSEFPFSDEEGQDRLPFEWEITL ANFPAETYDRLQLPVRVERFYLSSDNKMLIGSVAVANLAFNKSVVARFTLDYWKTTSE VLAEYTNDVRRKLQNDGYDRFNFTIKLADLANLEAKTMFFCVKYCVNGVEYWDNNNNT NFQVDFRKKMKPMNGKKVTQGSSSRQTTGLPRSGNRPSSRRTPTTFEDDFADGFDNPA TKYRFPYSTQSSNFGAGNGRLKGVRSEVTLSTNSLAKKAPSPSGQAFSNRYDFGVSIS AAIKAANRGDRPEIRRKPTQHRQPLKPLASPVTKSTAELASSRPVGAPLGHHTGSSSL RLNGAEQPPLASQSYNELLDKYCFFGSAKNSPPLKDGTLNSRPAQYDGNNDSNTGYVV DSETSSPDNSSPLMMQMVQRPAMASRSGSGTIQRSVSPAPMTGFVTSTSRMYPYDAHS ASVFNEAHTPTAIRG VE01_02920 MPSTPDTPGSGSSRHLCRETGKETGKETGKETGEETGEETGEET GDRYSYHGRKQSSRRP VE01_02921 MYTKAILFSLIAAASAQQVGTEQAETHPSMTWSKCTGTGGTSCA SQSGKVVLDSNWRWVHKVGDYTNCYTDNEWDATLCPDNASCAKNCALEGGDYPGTYGI NVSGNSNKLTFVTKGQYATNIGSRTYLMADDTNYQMFNLLDQEFTFDVDLSQLPCGLN GALYFVSMDKDGGMSKYPTNKAGAKYGTGYCDAQCPRDLKFINGMGNAEGWKPSSNDP NAGVGGHGSCCAEMDIWEANSMATAYTPHSCDTITQTMCNGDGCGGTYSADRYGGTCD PDGCDFNSYRQGDTSFYGKGMTVDTSKVFTVVTQFIGNPLTEIKRFYVQNGKTIPNSE STIAGVSGNSVTTEYCDAQKIAFGDNTSFADHGGMASMSKALGAGMVLVMSLWDDHYS NMLWLDSTYPTDSTKLGSVRGSCATSSGVPSDVESGSASASVTYSNIKVGPIGSTFKQ VE01_02922 MASKLPPPDQETEDQPTVDDAPPAYNEATGTLDLSRAGVNAQSN IADDGRIDIQINETDTQLAQQIQQLNMTAQQELPDHPSPAYTPSYLIGTLGAPPPPLN VVIQVVGSRGDVQPFVALGRELKSKYGHRIRLATHGTFKKFVEENGLEFFEIGGDPTE LMAFMVKNPGLLPGMKSLKDGEVGKRRRGIAEILEGCWKSCADAKEASEESGNETKGG VKVAPFIAHAIIANPPSFAHIHCAQKLGIPLHIMFTSPALIPKPKDWGPHISISGFYF LSSGSDYQPDAELADFLAAGPPPVYIGFGSIVVDDPNAMTKMIFDTVKKTGQRALVSK GWGGLGGNELDKPDGVFMLGNVPHDWLFKHVSCVVHHGGAGTMSAGIALGRPTVIVPF FGDQPFWGAMVARAGAGPLPVPYKSLTADGLANSILEALKPETLERARELGELIREED GCKSGAESFHAHLNVDNLRCMMSPQRPAVWRVKTTGPKREHVRLSTFAATVLGNEGIL DMNVMKLYRPCKYNVEEQFLLSNMEGPNPGLSMAGSVAHSLIHLPINIGRAYAGVVTK PYNGAKTGGWRGFRKGLGKGFGDLLFPMRGIVIGGTTYGLRGAYEAIRRRMGSDTLSF ILAAHFVDGYEEVKAATEEERLEVLRRWNELAPELRKEKTGGSSKSKGKGASLITTVF TDFRSSKQLESKQIHETAHDIQRTRESRATANIASSVAPPFFATNHTSTAEYAMNQTT LDEESHESSFGMPGSPPDLSLSSSKSSKSSFHSSYQSDDNGILADVGHFEDIGLDDAQ SEQETDDFVDELPSHSSDRSMKGRSRSLASIQRNLTSKGRPAVPGLGPHGRKLAGEGL GLGLAPGSAAPRRTFSTQSMTSLGAMNGRNRSPSPFTQPGPFPRSPRSPRMSWQSNRE RKSAQELEKECDDEDDDIPDECFLQNVPMSPRPPGERTVDVPPAVPKEKIKPAGNGTP ATPGAQGSLKQDESFHKPTSPSFYTKPGRAKSWTVALSELNAEAKSLTEALEAHADEQ LESNQPKRPPFLSDIKRSKSVMSELPLRRNDVMIDPLPISKEKMAVLSRTRPSWLPPK DPLEEKRHLKEYQKMMAASMEAEKKREASNSSDTSRDDTASSLLRIWEDHVLPNWEAA TSLKRTRELWWRGIAPRSRGAVWDRAIGNELGVSESSYNAALRRAKKLEKKLAKGNAT ADDLVQGKWFESINRDVTTTYPELRIFRPGGPLSESLVDVLMAYVMYRSDVGYVDGLS TATALLLLNFPTPAAAFCALANLLNRPLPLSFHTHDHGAMSRIHSLVLETLSAKFPRL YKHLCQTIQIDQQPGPCVNPIFSSLFTKQLSLDVITRLWDIWVFEGDAVLVRAMVALI GSLETKFYGVTSAREVLAILNDSTVEGEEEWVMALRDAGR VE01_02923 MDTWRAMISRTTSPIVTASHVVKVPKNFLIFRSLQLSLALLVLS LSIYGSIIMTTPMAGSLSTVIVASYTLVATYGDMPRIYNYWLILSLEAFVLVFWLLSI SFLALPVGAAFYFVNGGQAGHYSRYDIGFVADPVIIAFIDLMMVSVAAGVIEFILYFI TLATFSTIVHYHRLEHRHCACVRSETGHGDIESDSKAHSEKAQTEPEPLMFSGDEASP ENGSLDLTTPESTTPEEVVYQEEQQQQHRGQQEDLTA VE01_02924 MDEPPSSPPTESTAPEDALAYYKSQYEQLEHELAEFQSSSQELE AELEKDVEAAEERERALQQKAETLGFEVDEWKNKYKQSKNEAGAAQNTLQKEITTLRD SNRTLVMKLRDIEVANDDFERQARNTTSSLEDVESKYNVAIERAVMMEEEIKIGEQER EGLRIEAQRLRDELGDLKIEAEILQDKLKRHESRQLPILSTDITAPNTPSFAGEISPD SIASSPLITTPPDSKSVSTADTISETPTPPSPPISDRSSKAPKAFRTPLNPPSRIRAP SADLSVTPKPMRYPSSAQPLRSSRGPSIPASSKRPTPSVSLTASRSRTTQPKGLPNSA SLTHIRSLTAQVQRLEQRVQSARSKLPAPVNTPPRASPRTTSAAGGYMPSSITIRSRK RTGGSTISATSSVNGGEETPNPTTTRHVSRISTSGISRLSFGPVSNRGGSEFDGSRPS SRASTTGAPGGGLARPVSRTESNRPQSRTELARPLSRTSMTGARTPLGHYSQSTLAES RRPRSSIGGNFSASMGHGHSQSVSQIDLDEYREFEFGTPNRRTTLGREDGSAIPVPAS GLPRRQSGGLVAKTPSKRMSNGILRDGERELEKKRSDASLAGGGMKPPMRTRKLSGVG ESY VE01_02925 MSVQAKAADAVANGVKAHTNGTVPLVNGSTPSYASRHKLADHFI GGNKLENAAPGAVKDFVAACDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDERA IQFTVMATPEDLQANADYIRMADQYVEVPGGTNNNNYANVELIVDVAERMNVHAVWAG WGHASENPKLPESLAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHAKVPCIPWSGTG VDTVAVDEGGLVTVEDHIYMKGCVESWQEGLEKAQQIGFPVMIKASEGGGGKGIRKAD SEVGFEALYKAAASEIPGSPIFIMKLAGNARHLEVQLLADEYGNNISLFGRDCSVQRR HQKIIEEAPVTIAKTATFQAMEKAAVRLGRLVGYVSAGTVEYLYSHSDDKFYFLELNP RLQVEHPTTEMVSGVNLPAAQLQIAMGLPLHRIRDIRLLYGVDPAGSNEIDFDFSKED SSLTQRRPSPKGHTTACRITSEDPGEGFKPSNGVMHELNFRSSSNVWGYFSVGTAGGI HSFSDSQFGHIFAYGENRSASRKHMVVALKELSIRGDFRTTVEYLIKLLETPAFEDNT ITTGWLDELISNKLTAERPDPMLAVVCGAVCKAHIASEGAMSTYRTSLEKGQVPAKEV LKTVFQIDFIYDGHRYKFTATRSSVDSYHLFINGSKCSVGARALSDGGLLVLLSGRSH NVYWKEEVGATRLSVDSMTCLLEQENDPTQLRTPSPGKLVKFTVENGEHVKAGQPYAE VEVMKMYMPLLAGEDGIVQLIKQPGATLEAGDIIGILALDDPSRVKHAQPFLSQLPDL GLPQVVGTKPAQRFVLLHNILRNILQGFDNQFIMNATLKDLIEVLRDPELPYSEWNAQ FSALHARMPQKLDSLFGQIVERAKARKAEFPAKNLQKALQKFLEENVDPNDIDILKST LVPLTEVLEKYVDGHKVNEFNVFSGLLEQYAEVEKLFSGRTSRDEEVILKLREENKDD IPKVVQTVLSHSRVGAKNNLILAILDEYRPNRPNSGNIAKYFRPALKKLTELESRQTA KVSLKAREVLIQCALPSLEERASQMEHILRSSVVESRYGETGWDHREPSLEILKEVVD SKYTVFDVLPIFFGHQDPWVSLAALEVYIRRAYRAYSLKKIEYHNESTDPPFIVSWDF VLRKVGQSALGMPVQSSPSTPATPVVESRDPFKRISSISDMSYLVNQSDTETTRKGVI VPVQYIDEAEEYLARALEVFPLAGARLKKPSTNTLMPDLGGKRKFPQAPPLSHGDELT AVCNVAIRDSESADDAELLARIKTIVSTYKDELLARRVRRLTFICGHRDGTYPGYFTF RGPNYEEDDRIRHTEPALAYQLELERLSKFNIKPVFTANRNIHVYQAVGKDVESDKRY FTRAVVRPGRLRDEIPTAEYLISEADRLMNDILDALEIVGNNNSDLNHIFINFSPVFP LIPEEVEEALAGFLERFGRRSWRLRVTGAEIRIICTDPVTGMPYPLRVVITNTSGYVI QVEMYLERKSEKGSEWVFQSIGGTTKIGSMHLRPVSTPYPTKEWLQPKRYKAHLMGTQ YVYDFPELFRQAIQNNWAKAVGEHSLLAEKQPQVGDCIDYSELVLDDHDDLAEVSREP GTNTHGMVGWIVTARTPEYPKGRRFIIVANDITFRIGSFGPKEDHFFNKCTELARKLG IPRIYLSANSGARIGMADELIPHFNVAWKDADRPEAGFKYLYLNSEAKARFEDGKSKD VITEEIVEDGETRHKITTIIGAEDGLGVECLKGSGLIAGATSRAYEDIFTITLVTCRS VGIGAYLVRLGQRAIQIEGQPIILTGAPAINKLLGREVYTSNLQLGGTQIMYKNGVSH MTANDDFEGVSKIVEWMSFVPDKKNNPVPVSPAVDSWDREISFMPPDKQAFDVRWLIA GKEDEEGFLSGLFDKNSFVETLGGWARTVVVGRARLGGIPMGVIAVESRSVENITPAD PANPDSMEQISNEAGGVWYPNSAFKTAQAIKDFNNGEQLPLMILANWRGFSGGQRDMF NEVLKYGSYIVDALVKYEQPIFVYIPPFGELRGGSWVVVDPTINPDCMEMYADEDSRG GILEPEGIVNIKFRRDKQLETMARLDPTYGALKKQLSDSSLSPEQLAEVAEQMQARER LLLPVYAQVSLQFADLHDRAGRMKAKGVIRDSLKWRNARRFFYWRVRRRLNEEYIVKR MAIAAPAQPGATGTRARSLQLLEAWSGIVNFDTADREVALWYEENRKQVHEKVEAMKG ESTAGEIARLLREGGKGGLRGVKLVLGMLPAGEREEALKFLSQA VE01_02926 MSEPAGNTAGPSGDNNSPFDTIKDIQLRQEFVRLMQEAIHLEKV ARNVESECQHVRRERNKVNKDLDARSELASQIDRNDKALKQYLDQHQQDAGQSQPLPE RLEQAIPKHKQSISDLKQITTKHFSAINALNHNSKNLRDASDKLERDMNKLQQDTDQF FKRLRDECGMDLRMFDRIIGDEVRFIGERVENVVTMYWDAKNGKLPVEL VE01_02927 MIANSQLEQASIEVKRIAEQAAAELEKGTAGFSRDAGKLEGEVE EFLGGVEFVDVAGLGGDGQIVGEVLRKRIREHEEEKSKGPMLELIELFDEYSGYLDDV MVLKGE VE01_02928 MTGYGWQNPTTTALFAMYIILLIILIVCMFLTRRFKWIRERGTD KLRNRGYFIATLFMFFDILLAVIFMAMGESFVEVTYLIYIGYLIQGFFSRVANVLIVA IIARTITEDVGTVSQKIASYAVGLLWTITTISFGFLIAVYAEVMSMGYVERIASDGQL YTLILEASYMFALALFLTALAIFAVLKRSSKGTLLMLTIVMPALCLMTLLELVKQAVY NFSSDPYVRRSYTLSYASSFIAVFALMAIFLTVALIGGLRQRHSDASGLTQEQKLAQP GPHYVAVGPYTAPAPYNPHGTQLADGQYEPQTQYGAPVQQGQQQPFQYVPPNYGQQQQ QYAQYPVPGQFGQQQHAEMSNTPAMGSASVTTAASPVQYAAPVAVSPAPYGSSVSPPP QHDPYQHKTYQ VE01_02929 MALPFLPEELLERICSYLCPHCQTPHDIPNTDSPCVQTSKATLA RLCLVSKRLLAIAQPILYHYYATGNIIGPLNPKVSSYPTADDKLPSFLRTIIHRPILA THIRTLQLQETQSPNLPAFAPELLPLFNSTSEAHGIRAPIAAQWVIDPTLPRPKDAIE KKTRIAIHLWLRELAIILTPRTSHLIFGHSPFLPRTHIEPSSRLLPALTSLSFRNGVN GYNLAMMLPLLRMAPNLTSLHATDIFGYYGEGLGVSAPLSLPGVRRLVVEGMRMDGFC EMVGWCGGLRDVEYHYHRNFYGPEILGALAPLRGVLRRFCVMFISGRLASYSDVSAPY EYLMPKSQPETIQSLQEFCQLEELVIDQWAFNYYGNGHGGSKRLVTLLPSSIQTVHFR YIHRSMQAELQQLALAVPNKFPKLRRLKIGIADNCKPEWRHELEKMRSVEADFADVGV QVEWGVDGGYPCPGSAMPRHTVFPESELVVRRSADSASFIEMENTTTQRGRRRVIPRI RERISSFRSTYLSG VE01_02930 MSETNVAPTLVEEDHIQADETAYDDFEDEANDVASVGGSSYTSI TSSILRGEIGEGGRTYAVYGKEEYGLPMDEAELDRIDMCHAKYYALLEKKRFLAPIGS NPQKILDLGCGTGIWCIDVADEYPSAEVIGVDIAPTQPDWVPPNCRFELDDMERPWMW KENSFDFIFCRDLLLACRDFPKLIDQCYTHVKPGGYVEFQAVTACIGSDDNTLPVDGS FQKFADNLNKSAKIFGTPIDDPLRWKGWFEERGFEDVTVKVVKLPCNTWPKDPRMKVL GAWEMENLLSNLEGMTMRVFQKALGWTPEEVSVFLVGVRKEIRDRSIHAYWPYYIVHG RKPLSG VE01_02931 MSSTDVSAAIAATEKLLESLKAYDGSSSAHITLLNQTDQVRAEL EQPYDVVTRMFENLTVCGSLNMLLRIGAMHKLPSDGTSINAADLAAAVNVDVTAIHRA MRVILVQGIATETASNEYTHNQLSLALLPEGAGAFFLLCMDLSKAMGMLPEYFKTHAP EDLYDLKKSPCAFSVGKEGRSYYEVLNDDVEQRNMWNAAMQMSEKNMPVRGMFPFESL KEQVEKEPERAFVVDIGGGRGQALLAIQEDCPGGFGGKMILQDLPIVIDSLKPEEIPN IEATVHDLFTPQPVKNAHVYFYRRLLHDFYNPVCVEILKQTVSAMGPDSRLIVCDMLV PSRVTVGVPMQLYWLDMSLMLISGKEKTIEEFHEIFDAVGLELVKVYPSNVGETVMLE TRLKRTE VE01_02932 MSTQGFFKIKVVPNSNYQHSGLKSYVYLLQKWGFQPTLPGPYVH IDKVVRQGFGFGFLKKVIGKTRTDSALAKKEGPEEAAAKAETREVPTQDIQYDSIYLS EVYIGTPPQRLLLDFDTGSADTWVWSTELPSNIQKAAGHSIFNPKKSSTFKPMKNSTW QISYGDSSSASGIVGIDNVTMGGLTVNNQAVQLANKMSSEFVKVTGDGLLGLAWGHIN TVTPKQVATPVANMIAQANIPKSAELFTAYLGSWRDADDEDKGESFYTFGYIDEKNVK KSGEEIYWTPVDNSQGFWMFDSPSATVNGRVVQRKGNTAIADTGTTLALVSDETCRAI YDAIPGASYDYFNQGYVYPANTTEDQLPTVTLAVGGKQFTIQKEDLGFADAGNGMIYG GIQSRGTMPFDILGDTFLKGMYAIFDQGNKRFGAVKRIDTTTNLAAPIF VE01_02933 MTPSPTPHPTASAWKIIPHFPSRSIPATIAFYTSILGFSLGGTY VHDDHPLAGAGEPTFASLFAGDKAAANIYFFLTVDGQDRGMKMAEGRVMIALKEGEVD VLYEKLKRLEEGRARGSGEKEVGDWGIVDEIGDRPWGYRDFSVKDGDGNMLSFFCFLE DGEEEEKTVADA VE01_02934 MVLLSLSLLSAGLAFANAASLTQVTDFGYNPTNAEMYIYVPDKL PANPAVILGVHYCGGTGPEFYNDTRWASIADTKAFIVVYPNTPNLKDHCWDVASKQTL THDGGGDSQSIANMVKYTIAKYNADKSKVFMTGRSSGSMMTNVMAATYPDLFVAGSAY AGVSAGCAAGPEVDYWNADCAQGKIIKTGAEWAAIARDMYPGYTGAYPRMQFWHGTVD GILNYQNLHEEVKQWTSVWGVADVQPVTSTDSPEAGYTRMNYGDKVESVSADNINHDI PVHEEESLKWFGI VE01_02935 MSFTISHSIPVLPPSAAVYLLLVALPVLVISETTSSLAGHAVFK IVCSTAFASGPLLLKEWSPYDTLIIYGLVLSFIGDICLIPSGNEYYDSSPKSVEASKA ARKGNQSRDAPKEVEISTSFKLGILAFAGAHIAYILAFLRNADEVSQSTLVITFVASM AAGKWLGAIYPGPTSTKSGNVLNLSIAGEMRPLVSVYATIISSMLAVAAATTAPASSN WPRQRLLGAIMFVLSDLFVAADAFGDSGVARGIKKATIRRNSVIKIAVGWGLYFWAQM ILAGTLYV VE01_02936 MSVVLSQKPSWPRHGSKSNNALPLEEALKFHQTTRHFDYNATIV LVGSRGSGKRSLAFIGATHLGRRLVTEDQYFQQVTGLSRKDYLSKYGSREFGRQNVEV LQRMLEDNETGSIIECGMSSLARESQNTLRHYAETHPVIHILRNPARIRLLLNLKDSE AARLEQADKSHQSCSNFEYYNLQDSNCECHVEEISQDRQIPNYSFALKDAKQDFSRFL DKITGLGAKQSAYESPFSVDALPPENRPYTYAIPLSLSDLTNGAIDLPQLDSGGDAIE LKVDMLTPNILTIVSKEVARIRRTLGIPIIFHIDEILSNDVSAIISLLYHAIRLGVEY LVIPLWLEDNTIREIVHVRGETKIIGQFIDKKAVSWQDQMWISKFERAKQFDCHIVRL LRTALTIEDNTDVQAFASRVAAISTRQPKLIAYNLGPLGKASVIANTIFSPVTHPAIR QKDSRPENFLITAQEAMENLYETGVLDGLKFVTFGRHVNYSLSPMMHETAYAHLGMKG QYYRYTGSSLDELAALSQDPHFGGAGISQPFKVEIMSRCVAHSRHAKAIGASNTITPL RALPDGSADFLLNQANRRGHAGPVCAWFADNTDFLGILACLRRNATPRNVVQPSKTTG LVIGAGGMARASIYAMILLGCRNIFIYNRTLKNAEIVADHFNSWAGALSANGRVVSVL RTRNEPWPAEYQQPTFLVSCVPAHEVEIHSPADFEVPEQWLGSPSGGVALELAYKPLN TPLVRQIRRFRQKTGRAWVMVDGLEFIPAQGFAQFELMTGRRAPRAQMRAAAENNYEK VTGATTDSMV VE01_02937 MSKAVEDVTEVAELHTVASHESEVREGQASLSKPPKKRVSQACS RCRSRKDKCDGKKPTCSACADVDETCVYDSATKKRGLPEGYVRGLEKLWGISLRKADG LETTILKIIETGDTDPHSLAKIWNDKEGNETLVETWRRSKVYQELDRLLPLLDLSDDK PGKRKRTDPVPGRRPEEDPNLPSSIQAQIGPEQNPSPASTLGHPNDAFATSQENFVLK SSIIPREITIPSAPPLPERTWNLIDVYFSYTHCWFPIIEKHELLRLSYQYSQNRSASS PHKSGNLAVLWAVLAYADHQIRAIKPYTTTNGLDGNWTVARLYEESKAHIPPEEGDFE LGHVQALLILTLLNIGQGRWSGAWILIGKAVRIAIELGFSHATDKKCLSAHVLLGCFV LDTLVALNLDRIPQLRVSDIRQIGTIDEDGLDEWNPWVDCLSLEHNSTDGRRGPAATL STFNCLIRLTAILNSITHDESTGTVRAHKCQELLDELGSCGEMNPIKSMPASNFSDGT RPPLLPHQYHLHIAHLGTVTAVYAYLNASGNGEQASNGANLGVLAASAYKTMWLIVRH SEAFGLSIIPPTFACFIKVILRGFHKIPQSALDEQNLTSAEWQEKMFHSLSAMSSVWP AFTTLQSMLKSNLTSTQNLSQPLLPSQPAIDRHIASRDQMITQLVPHPIISDNLSSSN LDVRNGANGAHFNRTLTNNAPQSQAPPSVTSTDGRSSSWKEPTTPWNQLPSQPYDKVL NDASSVGTFTSHIDGDSTFNEFAALDAMEWNNNWDQGLLNLGFTETEMMRQDFNSFCQ VPEPTSNDLVQQLLADAEVPHPLLGSIHGISDPSVLEASQTLRSMFKGW VE01_02938 MAQSILLINGPNLNLLGTREPHLYGSETLADVESRLETHCTSLS TASKQVTISCFQSNHEGLLIDRIHQARVDKVDAIIINAGAFTHTSVALRDALSGVNIP FVEIHVTNVHAREEFRHKSFLADKAVAVICGLGTYGYVAALEFCVSYLKR VE01_02939 MSHGSNDTKAEAPGALGEAQLLEIYDFALDLGRRAGKILLDGVD QRCGDEEGRGQNEVDKMNAVDIVTQTDLDVEAFVKNEITARYPSHNFIGEETYSTGNS KEYLVTDSPTWIVDPLDGTVNYTHLFPMFCVSIAFCISGVPVIGIIYAPILDVTYSAL TGHGAWLNDSTPSSPAPSHKRRQQLPLVRNPIPVLPEAAPKGCVFSCEWGKDRRDVKD GNMWRKVESFLTMAAESSGRDGKGGMVHGVRSLGSATMDLAYVATGAFDIWWEGGCWE WDVAAGICILREAGGLITTANPPSDIDTDPIEEVRLGSRLYLAIRAAGDSATETGRQA QERVVRETWKRVYNLDYSRPGA VE01_02940 MASVSSSTMTSTLVQPPTAIGLTTDHITDDEPRHNTDHLDRVAY LFGHPISHSLSPLFHQAIYDSFSLKWSQLFHESLSIPPFLEAMQDPKFIGSSVTMPHK VAIIPYLDKLTPEGAAIGAINTVFLEETTAGGRLLVGTNTDCIGVREGIRQNISEEQY TALKGRPACIIGGGGTSRAAVYALVHWLECKPVYFINRDADEVATVIAECKAAGFGEN LVPITSAAHAQSLAKPSVIVSAIPDFPPQTDAEKEVREMIKTMMNGDSGVLLEMCYHP SSNTAVSRIATESKWQVVPGTEAMIWQGFEQAKYWTGKQLSDMPVEKVKSMIHAVLAE RQGH VE01_02941 MGILTLREDRPTPPAVYNWRVYFCATVAAFCAVMIGYDSAFIGG TIALPSFKEEFNWQQYNKSQSDTVSANIVSTYQAGAFFGAFGAYVVGHYWGRKIGLQI FGAIFILGCGLMLGANGSRGLGLIYAGRALAGVGVGGASNLTPIYISELSPPAIRGRL VGLYELGWQVGGLVGFWINYGVLNTMPASHEQWIVPFAIQLVPAGIMFFGLFFIKESP RWLLGRGQRELALRNLCWIRNLDSKDIYIIEEVSAMDTALDHQTATVGLGFWQPFKVL GRDKKVQYRFFLGTALFFWQNASGINAINYYSPVVFKSIGIVGTNTGLFTTGIFGVIK TVFTFVWLLFLIDKMGRRDLLMYGAFGGSLCLWYVGAYIAVADPANHPTPQLSSGGIS AMFFFYLWTAFYTPSWNGTPWVYNSEMFPQDVRTLGQACAAGSNWFWNFIVSRFTPQA FTAMGYGFYFFFASLMLFSVVFVWFLLPETKGVPLESMDRLFSKDLEPRKAHKVVMAE LENEVEQFRQNLEGSNIDIMKDKGITHVEEV VE01_02942 MKQPSESHSPVSSFSASHIPDASRTAAPSMKSSIATLSLGRAWV HNLDNKIERAAAHNFDGIELFYEDLEYLAKSLPGGATPANRLQAAQQIRSICDDNYLS IICMQPFMHYEGLLDRVRHAERIEEFKFWLQLCKILGTDLIGMPSNFLTEGVTGDWDL LIADMREVADLAAQEGVRIAYEALCWGVFVDTWERSYELIIAVDRANFGICLDTFQIC GREFADPAADDGCMPGAEEALRNSLKRLKETVDVNKVFFVQVADAEKMREPLREEHVF HVKGQPSRMSWSRNARLFPGEMSKGAYLPVLDVLRTLIGENGLGYSGWVSFEIFSRSL ADPASRVVEDHAKRGMQSWNWMEKELGWRRDTETRR VE01_02943 MEPPHILNLPGDILYKIFAHFQCDKIHRGLFDESMYDDDPQTVK IKLQTIKAARLVCRLFNLFASPLLCSTLRVRLDHVSLDFVDKVSESPHIADGVYNIEI VVDYCPRELATDLLRFGKFRVGELVNRIRGCCAYTMWEIEVNGEGHEDNPDYPHEDYQ QTIEYCEKLRSAWLQYVKTADKGSMDAISLEFLHLLIQGHQEYIQKHEEQFQLITNGS FIERLISAMSRMAHCSSVHFIDDVDYYSMPENVSPLAFRDDARELSRFMTSPLSWDYI NHLQGGAEILPAKLLWGLPIALYEAGLTLRAMLIRTFPHPNCCPVSYPTTWTNLYDAC QHLNVFILERMNLNNSTGPFDPTPRREQALIEQYIGAVLSSEVLEVIKLRFFDSTSTT VSYRVGLLPKAINWPRIKKLTIAHISLSQEELEILCSGLGYMLEDLYLYKIDLRSGNW ANALDMLRQRVPKNLQRMRPPYFSELTGGEFGKGNRHLVEGSLDYVFRVKSKNPIRGT SHNVL VE01_02944 MATGILKVKDTNVVDENGKTVILRGAGLGGWLNMENFITGYPGH ESQHRAAMLQVLGKEKYDFFFDKWLEAFFTEGDAKYFASLGLNCIRIPFNYRHFEDDM NPRVLKEEGFKHLDRAIELCTKQGIYTILDMHTLPGGQNPGWHSDNATPYAAFWDYKD HQDRTVWLWEQIVERYKGNPWIAGYNPINEPCDPQHVRLPAFYKRIEKAIRKIDPDHI LWLDGNTFAMEFRGFDEVLPNCVYALHDYSMMGFPTGRPFTGTDEQKAILARQYARKT EFHRENKTAIWNGEFGPVYANPRYEPEAEKINQGRYELLGEQMKIYDKAQISWTIWLY KDIGLQGMVHTSPDSPWNKLIAPFLEKKKRLQLDSWGKYPSEEVDNLMKPLIEWVDKV SPTAKDLYPTSWNTQKHLDRAILQTFLSDSLQMEFAELFKDKSFDELELLAKSFQFDQ CIQRDGLNRIMSDHATVAVLE VE01_02945 MHLFTRDGLLKVAVLSLTSSSYGRLLTIPSTPFEEASTGEKLPL NSVRKVIVGKRFASTVNKNGRTLIPQHSPNSPKLLREIFNPLLVAMLLWNMATKPKKG SIFLTIDSDTKFSDVAGRPSSEEYSLSVTKEGITIAGASPLGTWWGTRSVLQAAAVGD NKIPYGTGVDSPGWGTRGVMHSEEFGNNVYHGFRLPSDNPALADLSSPANESYTRAVF DDIQEKCAARGVTVFPELETPGHALAITQWKPQLALDHDISMLNLSHPETLPAVQSIW ETFLPWFYSDTVHIGADEYDSGFIDVYIDFVNNMYKFMSAQSPPRKMFSWGTFPREPG QTDINKDVTIQHWTGGMDNALPDYLNKGFNMVNSDDAFYIVGKSAVNSYPRSLNLTRA FAGNPAGGAYAPNIFDSRDATNNPPRDHPGVLGHIAAQWNDLGPNATTVSEAYYAWRD ALPGLADKQWGGDLTLEEYEGVFDMIHAAIPAQNLDRNIPSRSDTVAHYKFDSHPKNL GKINDYSGNVYHATLYGCSISNSEVKFDGNCYIETPLQSKGRNYTLSFSIKPTSDKSG ALVTGRDSILTTGNGDSKQVILSTGGEAYSVDYSFPVGSWTEVSLIGAGTQTFLTVKS EGGEASTTEIQTILGLLANGLKPAVIAIEAPFGTIGRGFEGYMKDVVLKQTASIYSVN NDNEWMKT VE01_02946 MSQTPPHLYSFTIQPPPTTPPSIPLVPPPTFILRETPTPDPSLT ANNLMAVASLVPASIESAIADISGVSGDDLTGTLAVPATTLSDGSGVGFVFRNLRIER VGNWRIRVIVLRMSEGGANAGGMQNGIGRVEANAEGAIVGVELVSRVVSVSEEAEANE DISEYFPIFLEWFFLRTFLIGYAREVGARPMFLDTMD VE01_02947 MDLDPPPPYEPPPYEPPSDPGDDASADFSTDISDISDDVSFDDS DPGVNWARNHLLEARAALASYPGAKRALKRQVLEEQEMIRLGIDHHGPPPAHAPRIIV PPWKDGSTLTDVVPTSSIFGPGNIEEELDQAIRFQEFGYMNMMPVPPRRQDPLRDAFG GVQTMAVRNPSIKWGAMVPRQHFPGAEVEGVLPEMQLTPEFVIIKRHVCGTQCPEGCH EGGGVRRKGKGKPVVEVGDVEGGEAMEGVVSAHWGNDDFDESGESGSEHERQEKSRKQ QELETIETLEHCTIIERHRRKWAAEIGALTFKARIMSEKLPSISLNTKEPSHESERVY EPAFMLAGKMMNRLDDQRKKEAELKQEKARLARIRIAKFRAARAGRDTSKMGDEAGET MFQSLVDSGNLAAPENNTGNESFNSAYEKSPTGRNDDGAPGLAPRSPDKEDAGERRKQ EKSRLAKIRLAEWRAAMAEQNTTRMGGKAGEAALKSIDDSPGPSVVTENAPTSDFDEA MPLQDSYPTVKSTEEAVSDLAEQEDNILQETAQEGVPEEIRKLEATSQETTAEESIAQ ALIAPAAIVRDATTPDLDLEETPISESAPKESTSVEATPEDATSEKSAAKEAAPEDAT AETPAPKEAAPEDLISEKSTPKETAPEDTTSGKSAPKEAALEDATAETPAKKEAAPED TKSEKSAPKEATREETTTQNAMAEENSAQENLGPGPSRKRPAPNKSSLKRRPAKRQSI RDSPFLDASERESPSTWILQRDGRLIRNPLDGAPGYRRWSDPEIDAPVPMPLSDSEPR PRSTTISEGTEPPVPRCSAEDTLPTVGEEGQMTEHVEVVDDSPDLPTPAEWFLADRES MPPPPSRRLRKRSMAVSEGSQYKGNLWSADDMFPSPERLSRAARRSSSGGDSDANVTS LAPARASTPEPPQMSREQIRNSAHALADLLGPSEERFRDPNIGIIVVRTNPRMADLEM RYRPTRAPSVLRMEILIDEEALEAENAARRGREVEDLERGVFASRVPDPPRYNLRSRS RASSDTPSPATPSIFSGETSGRSTEATSGTATPTLSGDLTSEAVAADEVAEDRSGMRV VERVVDRVLEGVYLPSSPTLAVDRLVMDRSSAPAVSGSEGGPNLWREESSGTEGSMGR ERSMGREGSMESLGSTGRTRSIGREGSIAMEVAETLGGLAVESVSEEGEPEEGSGVLG RVLPSTEVPEEAIEDDEAGAPLTKVSSHSSSNSL VE01_02948 MSLLPFALPLQRRLTKMYTDTKSSLAFVADPARAEDAELSNLHR KLRIQKDRLTTWGLRWSEASRTDSPEDADIDEALASAGLADVAGSVMATINAVLAEAE PLWAASGRAEKAPMEKGGVVWDKGRFEDLVRDLTSSIDTLYDISRARQSEPPKKGRKI EMVIEEERAFEKTRMDAPRVIDPRELKGWVGAGVALQSDGNSLPKVLDMGKRTILYMT KQAPMRPWASESEEVCFPVMLEFASYDPIYSITGISPSMTRFEKLFAGLQRRNEEAGR PEFGVLNLIGYFEEENSRFGLVYELPARFPTTTLSNSVVSRPFYTRLADMLQMRAQEP PLEVRYRLAYNLATSVFDLHSKGVVHGNLAACNIAFFEQIKPRPDGEWVHPAVNARRP YLTAYDLFPEPGRPSDAANAAEVAWYRHELDPRLTPHTPLTPESRSLDLYSLAMLLVE IGLWTVSRDIRHGVAGIGIALDIKVETEEVNKQLAARCGTAYLRAVQACWGAVDDEIS AVTRPDVVLQKVYGRVLSSLEKCCAIEDEEDEDIGDELLVTSSLSVSATPEISKSEKW SSPSSSSLSLSRNLGREKPLPPPPPPPRRPSKEEQMKAEDIEINQMIQSLHIKSEPIS EKSPMVQIEDPTVRPKPKSRRFPSSEIIQEHLDRWHDTLMPQINHALRGFYRKYPESV EISLEIIGETPLKAKPTILVVCTSVAKVKSILKRHFSYDSATYGLMVCRGKVMRSRKR APKRSMARDQDYVEPKNSHHQERPVNGASIGAFAEGQALMPVSFGGLVMVDGEPFGLT VHHMLDVPSDSEDEDDDYSIPTIPTIRSSDHGPGPAPLTTEALEALGYELSDCDSETS SIASSILSDASSFLEPGDIGGIAPDCAGGYLVTQPALDDVDPRIFPSAETMTQDYLDS YTLGSLHASSGLRRRACSLGLTHEIDWALFRFSPGRAPGGNKVAGAPAGWPGALIPTA HLGGLPVRAVARTSGVTQGRIQRAVTTVKLRGRQTPSQSFTVEGGLGVPGDSGAWVLA EGGACGHVLAWSDKRKVGYFCPMEVMFEDIRGTLGARVVEFPGASGVEARDEEDDETM VEVEEVQVLGDKEKMDEVGLSVYSLLKGGQVKSEVEDVDLVTGMEGMCVRA VE01_02949 MGGSYEDAIALLDTRRRVRRPSGALAKDAARDAGLKPEFRVGGE ALRGKPGIVGMSGWMEELGHSPADVAALNIIHVAGTKGKGSTCAYIEALLLAHGAATG WPRSVGMYTSPHLLVPQERVRISGTAIDERGFAKYFFEVWERLFDGDTATESQKGERP RYLQLCVLVALHAFIKEGVGAVVLETHHGGEYDATNFVEKPVVTVVTPLGRDHVKQLG PGMKDIAWHKAGILKSGAVAISSAQEEGLEEVLRARAEERGVFGGDVKVVTGTEEPGV QGVKPDVQMGNCAVAVVAVRAFLERMRGEVLSEESVRRGVEGFKWPGRFQVVERGGGR ERWWCDGAHNEMSIGVAGRWFVDGLEEGDRARVLVFSQISDSRDTEAVFRCLAESLKG SGVQLVIFTTYDPDQTFSASMSLDQQVATTTLPSLDVYERVWKELHPNAEVRFELQLA EALNLAKRIGEPGAGVDVLVTGSLHLVAGTLWWLGEGVGGAR VE01_02950 MSLSARQLRAIEITERTCSIISLLSSSFVIITFISSRRFRKPIN RLIFYASWGNCFANVATVISVTGVSHGVDGPLCQFQGFLLQWFILADAIWAFCMAFNV YLTLFRKYDVTELRKLEWKYCIASYGVPFIPSFVYLFIKTKARGKIYGSAIQWCWVRT EWGFLRIAMVFAPAWALIVLSATIYIFAGRKILHSRRRLKSLAKSSSMAQNEIGFPRA TDLEIADEGHLAVENGASSSNPHGDPGLEMTSNHRPEYPSDPVHTGSETVMSSSNRPL GDTQSRANPAAKDRRASFDANRIIWTYSKFSILFLLALCVTWIPPTSNRIYNFVNSAP NFTLSYLSALVVPLQGFWNGIIYVATSYPAVESIFDIPLLRRKRATSTVFRSHSRNNG HPRSIP VE01_02952 MHAPSPTPAHLAAALSSLPTRPRSQLQNIELPPIRQAVPEIDLA PAPVPVDARPSSPYAQKRRLLTPEPERGGSSGGGSSGMQTPYRTSPRSTMTGAPGGPP GPPPMTVFASAADQMPYPGRGYPEPAAPRLFDPRADYAPQAYSRDRYDAQPYPPPSQY AVYDGGGGAGKRGREFGVSVNGNGYPPQNYVAETGYGGEGMGDGKSGGGGRKRRGNLP KETTDKLRAWFVGHLHHPYPTEDEKQDLMVRTGLQMNQISNWFINARRRQLPSMISNA RAETAATANGSGGATSNGAGASNAARDESDGSSYGGEYGTEGGSPGRGGRL VE01_02955 MHETSSGKEQVTVWENQRYEAPISHSNAMQSLPLHLRPTPHHEE AEGGATQRQNAKGEYQKLGFQTSHGLEWFTLSPETLVRCLIDSELYMLRSAPTDNIDY EAVLGTNTFIIDLEEQTPVDFDSKSLLEAVDTAVEEGVRQRSMIRRVIKDMLEQRHGV SNFVLEKAEALLDNQIRELRVKVPEKWNPKSLAIKVRLALSNDAPLTEIQACVVAKYM LLSQLKQKPRIEGEEFHLALQRISGANCDNILTTDLFLPYDVPFYNLLATLREETMIP QKPTPTSDEVLSRALDGGPWMYRLVRPSEALGEWLSLSDEVEYQTVLQSLRKGEGDLV LMHKVEVDMVARYQEEQDKTHREWDEGESDDLLIDQSGDVVVFDRGRALDFLHLTFKT SSTKKRKIDKDELVHSNHTSEIPFASIEDQEISRRSQTPPRSDLSNQSDSQRGLPRHI PPHIHNEASFSAQDNIPSAASSPSAAYSGLSIEGERGGETGVGGGPQNSGSQGNRSQS PNKSFIQRAMMGGAADIPLRSSSPLKRPASELEQENPAKDKEDVDMEGIEISKEVPDS SNQGPDANGNGETQISQLQEPASQDTDTCLPEADGEMSEDVPPIDEQIRRVKELHEAF ESQPLTPGTKTYIISNTWLDNVQAHASGAKLSNAEPVGPVDNADIVYEILTDQNQQPF ARLKHEVVGGSVAYFPEAAWNLVVQWHGLKAGQVPIIRQAVDTSMDPEVPNVQFELHP VVFKIHRLWAVSSPLPISQILKAKNPPAPIFIMSRNDRFQDFLKSIKKSANIETQQTV RVWTVPIFSDEKTAAAAPAGGASPPLSRAGTPAPDAKSAEDWTKLLVEAATFNGLTRG AQREIVDTKDYTNDPKYNGRSTIAMTGLGKDQALVLDELIEGNTYLATYAQKVAKQGT KGIATGSANTNRATAVSRPDSGRSSPAPSVPMTRGRVQKSSGKTVGTVGLANLGNTCY MNSALQCIRSVEELTKYFLADEAEKELNTENPLGKGGNVALVYNALLRELYKEPPPSS ITPRQFKNTFGRYFGSFSGYGQQDSQEFLGILLDALQEDLNRVKKKPYIEKPDSTDEM VDNPAALKKMAKEVWDITKRRDDSVIGDLFTGMYKSTLVCPDCAKVSITFDPFSTVTM QLPIESTWGHEVFFFPLNDKPVRVAVDMDKQGSIKGLKTFVSSRVDVPVERLFIAEEF NNQIYRILEDTKSVSEDIQANDRIMVFELEAVPTNWPPVRKPKQKTRSMLNVSYSYQD DDEGDIPSWDSPRAERMIVPVISRRQNPNPTRFGKPLMHAYAPFFITLTPEEARSEDI IQRKILERVANLTTYNMSGYETDDDQSAEQDIVITTASDTSSGDGKVVATSIDGEDDV VDVTVTEQNNRPAEVDGQKDSNPRKRKFQSRRPKWIDPEQFLPSEYNNLFELSYFSSK KEVVPTGWGVVDEEKLYPRLSSRIPVVQDAVEVDDEASDGFASNNPQRSDGESSEDDI GDIPQLAPTRMNEESSDDDDLAPNTRVLPVRPIAQHAVYKVGQMKAFRRGIRTYSRKG NKRTPQRARMSSEEVEEDANPGPLVRLGEGIVVDWYQDPFATLFEGDQEDTMRGRECW SSVGLLEDPVLEAKRKSRLRRRSKGLSLDECLDEFGKEEILSEMDTWYCPRCKEHRRA SKKLELWRTPDIMVFHLKRFSSTAMRRDKLDIQVTFPIDGLDMSTRVLESEDGKKDIY DLFAVDNHYGSLGGGHYTAYAKSFVDGAWYDYNDSAVSKLKDPSHVISSAAYLLFYRR RSDRPLGGPRFEEILARFDNPPATSEDESSGSGEGQRLGADSSLRGSPSALTGVEATR QAGHGSVTGTTAGTTVATRSVTNTSIVPPSAIDSPPDYKDALEDDGAPLLERDAIMND GIEMRDASGDEAIDLGSAYDGDFPGARVGGMEPNWNFSALAQPYSHNNYPASATSVEL GSVGARSDDVQHDSSASEGSMAGRLADFDAADVDEDYVDQDPVPDLDEDQVDLLSLHG ELGDMQARRRYEEVVPEVPGSEGEAAEIHVHEGEGVN VE01_02956 MSIWDSLTGRKSSSKSSSGETTTSTPEPSTSFQPAPFNPSEGAD VSSFLGGAAFPDPSQLHPLAGLNQQTLDYISLEDSQLSDLPGSQSALPSRGWSDDLCY GTGVTYLSALTLGGAWGMQEGLRRSSGQPPKLRLNSVLNAVTRRGPFLGNSAGVIALV YNGINSFIGHQRGKHDAANSIAAGALSGMIFKSTRGLRPMMISGGVVASVAGGWAVAR KALF VE01_02957 MGSDKAVDTRSIQELLQNLAGQEDSVARDHLNVLIQDASQPRHM SGNSCTRLCYFLEQCRKSESPKLRDLAYSHETCRQLFDFYVEWNEKNQHRSMRQVLEL LSSLISLNPNKEVSNGFKKESLERLLSIISHESAQPLVKPAFKVLECFVIKGTYNSSD LFEAYGHISGDIEPTRESQWDKLVSEVFEWMSAPETCSAAAKFLVSLFGELKTSPIEG SEAAENYSIYWQRWIQQGLSKHPESLENVKNYLFPPLFKLDKPGSLQFLRNISANNAI DTVGNREVDSGATLFLSALEVGKKYGLVDDPDLIPPQKASAKKRDFVELPIQAIGGLL IHTDTTVRSLAFSVLVSSLSSTRPFPKESLDIIRQYLYVLHADTDAKFRNDLFASTKH MLERLRGALALLTREASLSVTRKQVATDPNSPKELQTVHSIEQIDKEGSDIQTHIQEH ENFLSWYLSFLAQELVPTASYPRHITSLKSLEILLKTGVQLSPGIPTINTSRGSDTFW PKQVNVFSPSVCRLLLDLVVDPFEEVRNCSVSVLNSAPRDCFGPLSDTPYGPVPQLLQ DLLSEAKMASSQTGRADAADGLAHMNVLHFKILEHDQHRLNFFHTLLSLLEDDIEIAR SDLKLAVDRVPLHGQLTTLAQLWDLMKVSPYEGLVAVESNAFKVGTITAFQDRLATFC LDIWDIVRITLCHDSPEGHDPSGPIGSEEADVKGILSYSFRACHESSELIQAILRKAN IIKSSDKKPFLTSQVLQNLGNLTFVQLASLRHRGAFSTVTTTFSLCCKVTQSKLFAEN GGPDLLRLWRDRAFQCINEQHSTTRRSAGLPGLITGILSAGSSEVTLDSVMVRLQEIA RTPVIISGKDEEQLPQVHAMNSIKDVFKSATLGKQADMYVTDCFIIATDSLKSDIWAI SNCGLILVKALLDCMLGTSDNKAATEAGWDGRSIRISYDRYKGLPEVLLRLLKIDSSI PAQTNLVFPALEFARRVGPPEKNRAQFREDFYNSVIKHLNCPIWIFRDLAARTASTFL LEDNWKAPIIELLESKSFSTNGLHGIFLLIRYLIEKRLDLDKPLTKDDLIDLLKAMSI RILPYGANPTDKFLIAAYEEAMFPIYQRIFKDMPGSEHPAFPFSSMEWFDQGQAILDE MGMAVVKELNDRPADERNSLANNTQIVHLLYIIRMEKSLCRITDGSISWDNVTDDAAA ELLQRAPKIFTFSSLTLDEIVAMVRFFQPFVLSVKRSEKVKRLAQTNIAALLGQHDFF FFSDEQINELAGLLINDSFLSDDYMHLAIRNGVEIPIFMGPGLRNARLITKGHVLRFR VHAFERLGVHESFPPSELELRIGAGLLAFGVEVLSFIHSGHDYDIRMAGATAFYNFYD THADTLFMAATKGKHLTPLLALNVAILDSLIDDDPDVRELGAATFSSLTLSPAVVPEA SAQSFSHWLYDNYSDSEPFIAETLRRITSGNELGTFDGLEPATLSDTALALAKAMVPD NALFAEEEQNLYIDELREARLWCEVFARAEGSQWDRVTAKLLMWAVKSMLAIIAIEDR DGPLGWMSKPKVFAICGRVVVASRMLAERFGSMEGVKGKPLLEPMVAGLMAAGRDLLE RGRTKSFHPLLMGELTVMAMAKGPSGEKK VE01_02958 MSTVSFTLSQDYGYVILAATSTFILNTIHGFNTGKFRKAAAIAY PAPYATNEVAKDNDDAYRFNCAQRAHANYTENHTSVLATLLIAGVQFPRVAAGLGATW VVGRYLYMAGYSNLAYGRGGKGRYRGMIAYIGQLGLLGLTIYSGLGMILGW VE01_02959 MAIQKSRISGPIGVAPTPKVKANALPSQHIEAFEYISSRLIRKN INLSLVLVREDTPLPASPSPPLEPESPSSPTSSFFSRLSPTQSASSFSSASSASSTSS DTPSWPLPSPSSPVSPRSPRSPRSLFSPRIFTLPSSPRSPTHSMHSNSTGTSTSSLTP LTIPSTPHPVITLLHAIPPTPKAATTLTKILHKASLRFPFRFAVPAAQPTLTHRSLHQ NTILFSSEGLTIVSLDRIYTLKHALATYSRLLSTGASAPAIALALARALSELRALIFA QSGRPVANTYLQRAYDHLRVSPFALRLVNAAFAALYKGSHPPISGVPEEAIRLAAPPT PKERRERESSTTVKSFRGPYCRGPVTPGGWGDITPVTRGEWGFVVGGWKGRGAVETC VE01_02960 MSVIQVEDLVSYQLRSNYLNTVKDGVGERLITLNDAFLNTPGFK AAGWRQNPSHVKRTHSPPIPTAITSEYFKAPRFGLRPGSSHDEFEEGGMVTGEGAGDT MGPGIATKRRRRREQMEEEDSSDLSDDSDEEEQRAAQQIKFAKMPVRLRSGSSPIRNS NSKSSPNLTSPPRQPGARRGSQSAVEAVKERARRDTVTSSEMSSENEFDNSGFKRQQA QGKVPAKAGRRVDTSQDEMEDYSYVSDMSSAFGDSVDSQSILGSVEGRTSTSLNHHAV GTLPRSLAKMSLKKSKPAPAILQALPPPRPISFIQPKSLLSAAISAKRTKAAMPFEKF ASYSGRGDPNPLRLRIWAPFASSSETFYEVLIRRTIHGGEGGDRQVTVADLIGLSLNR YAEEKKEPVLAAKQLNVNRWTLRLIEDDEVDYDFPALDRTKPVVAFTTVNNKVARSRS GSSSYDEFALVEATEEQFKENEQRTPQFQQESPGSTGDDEFIPVSKVPSSVSSAAPAP SPRNPVITTMPNIRSNTLADMPAAPIRGNAAPSGAQKLLRIHIHSLDASPGQLVTLNV ATTTYLAEVLDIVCKKRHLDKANHVLKHSGSGTVVLLDRTVESIGARTDLDLSRRRFA TDGPLTMSGSPASTSPRTPLRASFDAPSGAALRKMRKGAAAPTGMAGSPMHPLAREAM KQDEEGNPENFRKYIVWRRQPMRFVGMNERMLVIDGEYLHIMPGPSGKTLFEGKGEGK TTTVHFSNVVGCKVTRRHPTNFKVVLYRATETKRYDFEARSADEAAEIVFELKKGLLP YHES VE01_02961 MGLVDYSDSESSDNEQVSETKKPSKGSFQKVVDRSNPGKIKVSL PTTTASTNDEPPAKRAKTSGGGAFGGFNSFLPAPKKTGAAAPKTLGGVATGNGRGGGL GSGVSLKTGAAPAFSRNPEPIYDGGDNYDGHGEAEGGESSMGLPPPHASAQIPAADVK LVGKPLMFKPLSVARKPQKKKKPVITPKTAEGPASQGPSEMSEAPKAPQPKVSLFSVP QDADDDIAPERKGEYQPMLYGAKPEEDEEPEVVKNPYYEDQYNDAESRHTVPRPAAPA AAPASTTSNSLDNIASDLQLSASERRQLFGRQGARNLTASKVINFNTDEEYRKNEELR SAGEQAVHNPVRSIAPGKHSLKQLLNATVSQKEALEESFAKGYANRKEASGRYGW VE01_02962 MPSVRSTFGEKSRRQESLVRNVSGEKSVGEMQLGTLEAYIALVH IVYPGFDTEGIERVMLWAVARRALTK VE01_02963 MPLDLPITYLLSAHIPEEKRLQLEDEIPNLTYDITEAKLVLGKV ATKQRAEFELRSRKLWTEEVKGNEGENKNLVADQGSRGRSQEEGPARKKRRTGPINIP DHVEVVVIDSSTESEAEEDENQKRSVRGRSGSSTQSEDVQSPAQSPRREIISSPPREK SPHTPRTPTAIQEGPVFNGNGTVKVVKLSWFEESVAAGYLLPLDGYIVYEGRRIEAPK HRSVMKPPKSPLPGEIIARAQSDSPHASSPPFPRRSNPLQSPSSPASVTRPTPLIRQT TSEHDNPPELPPIPDYLKTNYSCQRPTPLYTLNDPFIEFLVRIRQSRILTNDEVGVRA YSTSIASLAAYPHTISTAEEILHLPGCSERIAALWQEFNDTGHIGTVEDIDNDPEMQT LNLFYEIWGVGPRGARDFYYRKGWRDLDDVVEFGWNNLSRVQQIGVKFYEEFQQKIPR DEVEHIGAVILEAANHVAPGCQMTIVGGHRRGKPESGDVDVVVSNPDETVTLYLVQRI VEEIERREWITHTLLLSTANSERNQTPVSWKGDIGHAGGFGAGFDTLDKALVVWQDPA WPTREEDVAADPRAKNPNIHRRVDIIVSPWRTVGCAVAGWTSGTTFQRDLRRYVKAVL GLKFDSSGVRRRDDGAWVDLEGVGGKAPDMLTAEKRVFEGLRLEWREPGERCTR VE01_02964 MSTRQYDIVLWGATGYTGKETAKHIARSYPTDIRWAIAGRSESK LKAVAESCAKINPDREQPSIEICNLDEAELADLARKTTVLIATVGPFCVYGEPALKAC AENGTHYLDITGEVPWVMSMVKKYEKVAKSTGAVLISQSAVESLPSDLVTYAIVKRIH QELSTTTREVIFSVEDIKSTFSGGTLHSILEVVDHFSIADLRRIKKPFIHSPIPGPTA PTTQSAWTNILGVREHPDLGILTTYFGASSDVPIVERSWGLIGNGKVYGPNFRFSEYA RARSIFFALFIHFVLTVGTILLKFPFATWAMRKVVPPRGYGASEEEAKSHFVEYRAVG RPNVDTLTRAHARVRYSGGLYESKRSNLASFVLQTGRMMAIIKDALLIFITVSGLLVS QAALTLLKDDVTAKKLGGGFMTPATLGQPLIDRLADLGFKIEVKMVER VE01_02965 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEITQHARYVCTFCGK TTVRRHSVGIWDCKSCKKTVAGGAYVVSTPAAAAMRSTLRRLREIAEV VE01_02966 MADQCIVCLDVLDVDDALPPKGDIVESEVIVKSELEDPASATAH NHHADTQQLLAVIQTCGHILHDSCLKEWIQKANSCPICRQQFNLVEVHDKTSGPILST YTVEDKKQVADFDPLAWIEDQAQEEELSRPCPICASSDDEEVLLLCDSCDAPYHTYCV GLDNVPHGQWFCMECEHEGANARTAEPSMAFGGTRRRNNERTQAQVRQARSRRRNRTE AWQGAWNQISDRIYDALELDIDYDEDNSLQAYRQSQRRTERERRELQQWQQRLAIASR QGAREVFRNSARSILHNRVEPPAPRESDEERRAWETYDRVREAENSGTPTRKRKSRST TQSPREPATNEPERKLKRPRTRRVMENAAGSSSDGNPESQRPNGHRRPVAPAPRRPMT PSALTPNTAVAAPSFLSSLLKEVEMSAAKEDDSDNNEHVFVNTNSTSPAADHSSPVLS PATSSYSTPRAMSLTPPPNGHGIRRSGSPLSLSSRVEPIYPPADYSPNRSPPERTARA ENGHSRPSTPPASHLRHPKPRRPQQPIPGESSPTQPISIEAKEGIQKIVKKALDPHYK KPSGITKEQYADVNRLVSRMLYDKIVDPTALNDDEKSAWEKVASAEVAKAVEGLSA VE01_02967 MAASSRGSEAAASLIRATKNPRLCNTCISSLPSRRTYAAAAAQL KPSEPTPSASPATSTELAPYTIKSGICLSRAPVLTQPLHPFESAFFFYQRRLNERLAM PFSRYFYFKKGTPLDSAWKRKAATRGGTPARDLGAYNPYSETGWNDEVMVGDRISEKK VTREALVEDAMNRGLSAEEAGAEEEEVVEIPQERYTEADTAKDVKRLDRKLTRTLYLV VKNKEGKWTFPSGELVGRENLHQAAERVLVQSAGMNMNTWIVGHVPIGHYISKPHLGG DSAITKQGEKTFFMKGRIMAGQADLKENAFGITDWKWLTKEEVQGHVGPKYFSHVKNM MAAR VE01_02968 MPLQEDDIEAGESSDELQPGESSFNDDKLKKSAIEEGILIGEFR KDKKGKRKLVYASFDANLKFIRRLSKKDIKGNIVGGKKYNGGAVSHKDIDQQQQKRPT TASPSNNSKNDWQQQEQLVTARTTEPPLRSMPFILERAEGSFDLYAIFSEWGA VE01_02969 MEVDQQHFWHELLPILKAISTASFVTVDVEMSGISTKLRYSPGG RFNEIGKPSLQQQYEDTKEAAERYQVLQIGLTCVEEDWKNGVYTARPYNFNINPLLED GDKLDIYRDITFSSSSMHFLRKNCFDIGAVFTKGISYISRKEQTQARQAFLERQNRNS TIPDIEIGPGDQVALDFYRNARRQLLEWTDSKNKDERDAMGFYNVSVPGGLSGYQRRL VYQLVRTEFKQYRAFLPKKNADFVQVEKMDTVKEAKIAASKVIANETQLSKQVGFRYI FDALAGGDLSAIAPNWFCVNAAGQSAFIDTSLKKLELSSLAKALAAKSRVLVGHNLFT DLIFLYHTFIGKLPPTVTEFQEEIHALFPMVIDTKYMATRDKLIDSRQSSSLQDLHQD LKSQRIPDICLPEEFRSYQNRHQLHEAGYDSYLTAQIFLKLAAKLDVGHPKHNIATHA VDPFELSIGSADSTSSDDDSGGVVLDPAPHSANRFQVLTEPSPVKKKARQSSLLDSDI EDDMTGSPPLAQDGAVFGDAARGNDFVPALTAPFWRVYANKLRVYGTLDEVCELDGGE AGGLAHLN VE01_02970 MAFNGSAPSLLPPNDSGSSSLSSSQLLSASMASSTSSRHGSSQI SKIYRQSSTLFLTRRLPESLSTILPVITAPTTTDSNGNVSVVDQAPIAKSSRTTRIKV WSLYLTILNAIVELDGEEGKAAFGTQEWRNLVTKVRDGIVWEEVVQNGYRGVEGDVDA DVVINLATLLLAHARTQHLNQTRLEAYLAAARTPNLDLTGRFSETSVRGASPTRSVGT DTPRDLNARVKILELYTLHVLLRNDEWAYARDFISASEVLDEERRDAFLAALQSLEDE REEAAQREQEMAREKEEKLRADLEEARRRRIESEEREARRIEEQREARRVEEQRGNRA GSEVDYGVDSSHPVQSNGSASGKAANGSASGKSSPSRSASTPPPKKGAIKSRVLHSTK PSGSGQRAAVATPPNMIQRATALMGNVQKLIKVLAATLLNNPGSVLRTVAFAVLILLA LGNRAVKERVRGVWGKVRGTMGMATKVSYI VE01_02971 MGIPTDNARLGISPDQILTKLHHAFSAQTAPCKSENTSGATRQR KGRRLQKPQYRCSANRQSEEGRWKTIIPESTRLKIRQIFGGEVYEKDAGEMETAPFLS RD VE01_02973 MLFRRSTQERERRGRAARSAEEEEFAAEGGDESFLDFCTYCDSQ LPPTPHQNTLYCSERCRQLDHPASSHTTFLPTSPPPSPYLSPTYNHPASPTTTANPGR DIIPRLSPTLSSPSLYIPPTALASLRSISSGIARRAERDHPSPPESSDEDSDGVVWAY RGKSGSKGYFGVVSSPGERPLPSRGGWGGRTRSVDLITPVGGI VE01_02974 MAGRTPGASSSNRDDLLLDLNDYDQPAYHGGSRPTMNDEDMLRA YNADHDDTQPRPSVSYDDFVGSSSGPSGVSMRDLPGRPGNFNRGGSDPYNNNDITRTN TSQTSGLGNYDRYGDDGDGYPDDTDSMYYNGGEPAGGRPGQRTSKPLNRNSVMSMGGG LMGKAKTMLGMAPAYSEMDLPLTEPGAQARADDPGLGAPKKEHKAFDLGNFKFGFGRG KPDPSTLGPRLIYLNNPPANSANKFSSNHVSTAKYNVATFLPKFLFEQFSKYANLFFL FTAGLQQIPNISPTNQYTTIGPLIVVLCVSAIKELVEDYRRKSADKQLNYSKTKVLRG SSFQDTTWVNVAVGDILRIESEESFPADLVLLASSEPEGLCYIETANLDGETNLKIKQ AIPETSEIVSPTELSRLGGKLKSEQPNSSLYTYEATLTMQAGGGEKELPLQPDQLLLR GATLRNTPWVYGIVVFTGHETKLMRNATAAPIKRTAVERQLNLQILMLISILLILSVL GTVGDIISRQRFSEKLQYLQLEIPSGIAANAKTFFFDMFTFWVLFSALVPISLFVTIE IVKYYQAMLISDDLDMYYDVNDTPAVCRTSSLVEELGQVEYIFSDKTGTLTCNQMEFK QCSIGGIQYATEVPEDRRATTQDGMEVGIHDFARLKENLKTHESSNAIHHFLALLATC HTVIPERLEEKGGKIRYQAASPDEGALVEGAVLMGYEFTARKPRSVQIVVDNQELEYE LLAVCEFNSTRKRMSAIFRCPDGKVRCYCKGADTVILERLSPDNPHTDVTLQHLEEYA TEGLRTLCLAMREIPEQEFQEWWQVYDKAQTTVSGNRADELDKAAELLERDFYLLGAT AIEDRLQDGVPETIHTLQEAGIKVWVLTGDRQETAINIGMSCKLISEDMTLMIVNEVD APSTRDNLKKKLDAIRSQGAGQLELETLALVIDGKSLTYALEKDLEKDFLDLAVMCKA VICCRVSPLQKALVVKLVKKHLKAILLAIGDGANDVSMIQAAHIGVGISGMEGLQAAR SADVSIAQFRYLRKLLLVHGAWSYQRISKVILYSFYKNIVLYMTQFWYVFQNVFSGEV IYESWTLSFYNVVFAVLPPFAMGIFDQFISARLLDRYPQLYQLGQKGVFFKMHSFAAW VLNGFYHSLILYVAAEAIWWRDLPQSDGRIAGHWVWGTALYTAVLVTVLGKAALVTNT WTKYHVMSIPGSLVIWMVFIPVYGTVMPLAKISREFEGVIPRLFTSPVFWLQILALPV LCLTRDFAWKYAKRMYYPQTYHHIQEIQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQ RGYAFSQADESQTRVLNAYDTTRERGRYGEMIGAGKVGGR VE01_02975 MSRHHPDLVMCRKQPGISLGRLCDKCDGKCPVCDAYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLERDRDGCPKIINLGSSRTDLFFQK KQQRVFGTRG VE01_02976 MAEPMEDSIDGSENPPLQPPQPSPPTASSSRASTETLDGHSLSL PDPQLQNQTDPTPAPAFTALPSLSLPGSSHIIVLPALPNLEPNPFSLFAANDSAPANN QSPVLAAAQRVFPVNTTRLSQAGQRRSIRANLGRAFDFAAAPRPNRGIQRVYFDNSPA LFRHTNPPPLVPSNAPAPATEPVVAAASPPSTTDSEPNQQELEQQRQQRRLERRELRR DDRQRQREIRREARREASREARREARQLESDTRQRSRWQRRAAAAHPNSPMRQALIVR SRERIREERRAGGQPERTPEAQGTPPAFDLRFFGGRAPNTGEEERVARERARLDEQQA EAMAQEELERLAAAGDQDAIASVRRRVAPAAPGPPVGFGPGFVEMTFEQVPGAEGIDG EMGGGPEEVQDPEQLRAARLAHLAERNAWIAESARAMGAGSMLERINMERREKMAREK EEAKREMEELLERMAAKKGRQERERWAGRGGGALERLKIRVGESFGVLEGEVVLARHA HVHHTDPPEALLKSTRAAARANARAAHKAANAISTPPITITPAEKEEVQRAARAFALA TEEERIMNAIRSGVPVARRGVAGVIRQEVREGQRVFIHETTEVRTVPVGEGVVDAESI TEEIERRNLEVERMMVRLESQRAGVRRGVAELTRMREEARAAGVEPERDQAVEEAVAE MERTGVELERRAAEVRMQGVELQRRRAEVDGRRMLERGREELERAERERNEAAGQEAE GRVVVVGAAGRLTPHMRLALVGTRGLRDAAANARAGVAEGEPLEGARLEEAWSRLAAG NVEVLGEVMRVNGVDEMGRAEERSAEAEETSSATITVPPAVEDAQAEMHRRVGVVEMI IGRMRDEGEMVDGWEVFEALETAMESLRAQGDGPVLLEGGGERYREALEMVQMLMRGG PRDGEER VE01_02977 MVCAKCQKLTKPTSLATPGVKKKSEMYYGSPAGTKTASAGSSGV GKSTSATLGQTSIGKSKLLSASAKNPYAAYAAKCTGKECVTKIESGRKYCNKCAYRAN ACAVCGKKNAPEKKAAPVIAGQKFTLK VE01_02978 MLRPMAIRTKTTSHCIPEEPPVDNTSPRSAVPSLTSNSSADEEE IPSVPRRRRASTVLISQNAEDARRIIGESGTRLIETCCGGGCCMLAPKADSQSFEYVP LPDNDAFRALKLNLGQLPKKLTAIHELPAKTVSLSPIRDNKAPAIVGADPDEKPFNPA VDQSIDFTPHTAEIDEKLQHTRTPTSSEHPPKFVKPHPPYEVFSAKIFNTRELTRAGA QKRTFHFDLDVSDYPAETGVDFKVGGAIGVQAPNEDEMVEEIMDLLSIPRVARDRAVL VTTTSGRWPTIWGEDKPRSLVSTRRDLLTWCADIQSYPPTKALLRVLAEYTTDPNEQK ILNYLCSAEGQGKFCDLRTGPHLTLAHLLHAFPSAKPPLDHLLAVLKQLMPRFYSLSN DPHENCVPVKGCSRLIEIAVTVHETESWRGDRTGLSSGFFERQARKFLAAEARGEKPD LRIPMFKGLMSNPLAREFISDGPMLLIGAGVGVAPFRGFVQRRLKSANCANKVWVLQG VRDSLLDELYSGEWGVHEDEVKKVVQSRTGVGRYVQEEVVAQKDLCWFVINALDGRIF VCGSSKGMGEGVESSLVQVGMEMGNMSRAESEEFWRLKKEAGQYIAETW VE01_02980 MAGRFVRASKYRHVFGKPTRKESTYDNLHISRNAWDTNLVKVNP EYLAVNWEASGGGAFAVIPLNEKGRLPEQIPLFRGHTAPVLDTDWNPFNDRIIASGSD DGKVFIWQVPNGFSLYSDAEEPEDVSPVSKLTGHSRKVGQVLFNPAAENILASASGDY TIKLWDIETGNSPLTLKHGDIVQSLSWSADGTMMVTTSRDKKLRIWDVRQERPAHEVA GHSGAKNSRAVWMGEHNRVATTGFSKMSDRQMALWDVGAPQEPIGGFTILDSISGVCM PFWDDGTQCLYLAGKGDGNIRYFEYENDKFEFLSEYKSGDPQRGLAFMPRRGINLHEN EVMRAYKTVADSYIEPISFVVPRRAEVFQSDIYPPAVGSKPGVSSSEWLSGKSALPPK IDLESVYEGNAPKEVASDYKPQTSAPAPAPAAAKVSAPAKKEPEPTPVAQRAPPPSVS QNKSSISEMASKFQDNDVEEDDDDASSFEEIPKPVVRQPQTTPAAKPQPKAASPVARQ APAQVAAAATSQLPSRAATAPSGSPASSTQASSSVENSLDQIKRLLEQQTKTITAQSE QIGHLASEVDFLKKKVGGSEQNERIKQLEQELEEARS VE01_02981 MSATPYLTALAARRSIYPLKKESPIPDSRLREIITEVIKHVPSS FNAQSTRAVLLLHAEHDKLWDIHAEVLKPIVPAEGWAATEGKINMFKGAYATILFFTH APTIVGQQKAFPMYAEKFPHWAAQSSGAHEISLWTALAAEGLGGNLQHYNPLVDARVR ETWGISEDWVLDAELVVGTPAGEAGEKAFLPIEGERLLVFGEKA VE01_02982 MRGSLVPRSKALGALLDNVITRQAPATQHAQGPLRQTSPIYKAA LRQLTTASLPKARLPVTQPKPNTANLKLVSSWTTIKPTWPSPATQLRALSTTPQRKEV RKTEPEPTPPKKEDWKEQARREEEEEEDLEAERGFGRSEKASRAAQVNLSARLGDTKG VKNTAGFGEIWRLIKIARPEARWLGLAFVFLLISSTITMSIPFSIGKILDLATNESGE GKLFGLDLKQFYIALGCVLTIGAAANYGRIIILRIVGERIVSRLRSQLYRRTYVQNAE FFDANRVGDLISRLSSDTVIVGKSITQNLSDGLRAFVSGGAGFAAMAWVSIKLTSILC LMFPPVAIGAFFYGRMIRNLSRKIQRNLGTLTKIAEERLGNVRTSQAFAGEIQEVSRY NKQIKKIFALGKKEAIISATFFSSTGFMGNMTILALLYSGGAMVKSGAISIGDLTSFL MYTAYAGSSLFGLSSFYSELMKGVGAASRLFELQDRKPTIHATVGQPVKSAQGPITFK DVHFAYPTRPAVDIFSGLDFTIPSGSNVAIVGPSGGGKSTIGSLLLRFYNPTSGQVFI NGIDISTMNGKSLRRRIGMVGQEPVLMSGSVAENIAYGRPNASRSEIIAAARKANCQF IEDFPEGLDTQVGARGAQLSGGQKQRIAIARALLKDPDILILDEATSALDAESETLVN SALAALLRGHNTTISIAHRLSTIKRSDRIIVLGNDGKVAEMGTYKELATDPESAFSRL MEWQMSGGEETVGVNRGHVTEKEVIADDLAREAISNEQEEAHEEEEAEQEKAESGGGV DGEVKK VE01_02983 MAPSSVFVKAGAVALAAFTSSAAAAAVVTPTEYKVADVYQGQTF FDQFNFINDVDPTHGFVDFKSQGQAKSQGLISVNALGQAYMGVDSTTVYTDLNKRGRA SVRVESKKLYNGGLFIADIAHMPSSVCGVWPAFWTSGQQNWPNDGEIDIIENISEAKQ NAVTLHTGTTDCTLSKAAQGGSLVTSHCSNYYADHVTQWENQGCSVDSTDSTNNYGDG FNSVGGGIYALEWTGTTIKVWNFPRLSADGIDALSANPDPTKWRKATITTVGGSCDVA KQFKNHNLIIDTTFCGDYAGQDVFWQATTCYKSNPTKYASCASYVAANPSKYKDAYWL FNGVKQHKGFNFNFDQGFNFDQGFNFDFNQGFDFDVDQGFNFDFDQGFDFDTYSNSST TAPTDYPTLTSTIVTTSVYTVTSCAPTVTNCPVGHVTTEVITSLTTWCPGNPTYTPVP TTTPESEEYTTSTVYATNVVTITKCPQTVTDCPASSTVVVTSVYPVSTTVCPVGSLPT YTGLPSVIPPYGNGNGTAPAGPTGPAGPGGPANPTKSSAPVQPIKPVTPEQPTKPITP VQPTVPAFASSGGRVGASVSLLMGVAAVALLL VE01_02984 MPLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQERDH RKLQIATFKYERIINDGFLKRIEGLLKALESHKSEAESRNADEIIFQSLMESADPDND QPPARPQGVHTDEKELPSYSKMIATLIDQVKATVAEKNVADSNKLPAYLEEVKQHKAK VDDLQRQLNEKLTELELTDSKKITSESIHTGFDSSSVNKSNPPPPTTAKPSGAKPRAK KVEVLNPQALDKTPSRDSGADADVDEPIAAAPGDSSDDEEHHATEIGKKFARIKMGDY RACVNFIGEHPEVIAERETDGLLIMAFDAELAGKSSVAQQCVHQALLLQYCRTLGRDG VGLFFKRITTPGHQAQKVFTDDVKDTYLRIHKRAKEILKEREKEEEAGGVEQIQLHAV EPGTEIKIFVPPKELLESADEEERRSREIFEAFPPGLQRALESASLDEVNKVLGKMSV DEAEEVVGQLSEGGMLSLGEQIIDTTTAEGQAELKRLEEEEKESKEEREKLDKYAGDP E VE01_02985 MAYTDDAVLAKLSALNETQESIVTVAQWVMFHRRHADRTGQLWL QRLKDSNSNKRLNLVYLANEVAQQSKARKKDDFLIAFSPVIAEATATAYKGATNDVQQ KLRRVVEVWRQRQIFETPIQDSIESRIDELDKNKSSGKRGFGDGGIFSKGGASVPTEL VPLVAPQQALTKLAAPTKTAVNAATMDYEKLTDPAAVPPSAPVHAARLNGLLKTLANA EGAVAESIKARTLLIEGLEKILNSNRTILLKEQEQLADLSAQRTEIDSKKREVEDVIM KGFGTGSNPATPTDATPGQSHSPTTPAPEADRPEVEALTPPPFEATTPPPAPEAQADV SQPSVETATQSFSSAPGSDLLASLSAGPYGQLSATNGHENGEGSANKRRKMNLAYDFP EMGGDDMDGIDDDVAEILRKDSTGPY VE01_02986 MADRFPSLEEFDSGAQTESKGEANFDVSSPAGDDFLSRERAVLG EDATQFASKNDKAAFVDDDDEDDLLGGGNNGGEEVTEFQNNYPDVNANDNFGPTGGAI TSSNYPSSYTPPSNEPEPEVVREWRERRDLALQDREEKSEQKKAETIKDAQQNIDDFY DNYNQKKEKTVAQTRREAEEFLANREDTSAGGTSWERIAKLVDLSGKGTKGGASGTGK EKFRELLLNLKKDEKAPGASGL VE01_02987 MSSELHCSSAHATHRNNSTTLKRFNSQQDTMAGFVNRENRVPYY QRLFQEGQKNGVRQWNQTARSKILLYPYYTILFGGLAGSMYMMSRMVLGHKTWFGKN VE01_02988 MSKGGLADNIWVPIVELGFKEPETLDGICQYANEMYDASGTRWL KLYFYVIQHMLLNHRGSDAVHWHDILSRRHRPGPATFQRLMRNVIISKEGDHKALKKI YIRNPYRSIYSKIVPVLLQNQEFDKAFDWHMFLQHHRDLPLNADIVQPLIQYFSIFDP KTAKGISSGLTASGNSLALGTTTEGGEDKSDSREDMLSIMSGEAPGSVVKGSQADLWV ARWFATKWVTLDIAINTAHALKVESIGPLALQAIALREPVAADITSRIGQLEQRGIGV GQSTYSKALTSFAKRGQQGLLEALLESDQHPLSFDDRVLQEKLLTAYAKAGDWRQHQL ILAVQLASAIDPVMEQYNMQLRNHATQRDQAAIVKVLETMRMNRIAVEIATIRFILRA ILRPRTRGHGPDNSVPGYVEQDIGLAISILKNIMEYNRFVPITVWREVTRRLGMLGRM NDLHGLSLWLVDQYGPDNNGRTRILGTSPTLPTRQPQLSTGHPLHPLRLLFSDVRQRS IVEWGFIWGLMSYATSVGSSPGLIAATTAEEQKNLALEQMTQGIRFVKELQKRGVYIK ESSVRRAVRVRLVILYGRKESVQQHNRKWRSYNPLSLEEVVKGAEVAWGNPLFPSVEA VRLMIENGGKQANPPPRARGPVTGIAGSSVNVDLDVRRRYDRQAV VE01_02989 MDLEAIEVSRERAVSSEPCSTRPKPFDDSEYSARKRQRVSSGSR SRSADTGKASSTYVTTPREHSPSIEARLTQPQTPSRTHSNKAIPEPTSSKVTINLRSN KAIDLTSSPSFSPTTPSKMSGTDQIPQLDIEVDSDGVDAPPETISSSSSTLGSPKVEL IMEDEDIEYGQSPPVAVIDDDDELLFDCDPVLDFPYASGNEPVMVTVRKIAHFFEHDA VDGDDMFCRLRDWIASYLQLTKDSDVSWFESYEKHPEFWNKFPDIVTALSRRRSFFGS FLQKSRDSRLALSEFFMQYAELTARFVSMDIRTLAKYEELDDQTSQPMLVSRPYLTAF GWLLQKRDFNIGHNLEQHYRFDWGDEVSSMVRHFIDGVGSIPALTRFVRGHLALISKY PKGVEDLTEPSRIVDLAISSSLSYYNNPGPAYRSPMENSELLINQGYQFFKVLAPALD TIIEKHATMLTAEAALVHIIGLSRIYLAALNSENSPVEESIRDQLQSHPTMPPKYSGI VISAEWKFSMLKKIIVCGQMQLRVCGVTTMCTELLSLYTKHKREDSSRQPILIHFAEY IMANKLVDYIVGTGSHPEIISESGNIVGFLMATKSYTNKETDTIWQTVTTSQDPRVVE AVLRMLATVLNLLDYDKLLYLCDKASALPIEAFTPAMRDHCQRVIGLLIEKATGDDKT EYLDAPPYELCIRLIRESSMPRPDAPLGLLDVQHWATNRLRELVRHGPEAGIRNTIYL ASIQDIASRSSTASGSICALYGLLSQNLATDLRILTAERDLTKIMIEEVEYTNRPQEP NSVSPNFNSPARQARRDLLLAIILNEPGSITPDLGERLWTAFVGHGGQSPADREIAWQ ILNSAAAKASSRNIFIATCFENYLPKLEPQCYTIGSLDFTREAIQAWLRGVQGDLLDE DTASGCHGLEHLWRMILTAPSNSIEDPAINMLVELYVDSPMIQSMARPRAHTVHLRLV NRCLEQMSYAAGKLKGSNNVAAGDDELMAIVSTEQSIGEEELIFTRSLVLLREFLRAY QLKPYFAVPKPRPTEPGPSTEVKGETLDFKYQIFDGQEHGPVSGLEVGKSNTVAELFA IVEMTTKFKNFKLYHWGAEISDNASTQGVTLEDLQIGKGLVLVTRQDDEHPRGGSPKS RTTSLEVEVINHFDAFWDYLGMEERLSKEIYHFLVKFPVYPKLLAAFEDDTTAWTEIF PLGQPFKCLYAVHALRETLEARMREGKPDSRLLSRSLGLIVSAITNQEVLDSCANEDL RSLLAQALVECLMQLLREPIPVETVTNCLTTTLLQCLLQILESARLSKAAKNSIQMVS SAFEAILEASLHSQSFWTSFITAPSTPEFVSKLLLEDHRSSLRKSIMKQMTSKCTFTP SLSALSTLDITTAFWPLLRDMIPSAVSLPDQCEEALSVALLVFRNLAESSVNSVDLDA CLFQWGTLLTQHTAQETVGSTDEIDVIARGLSNLLYWCTSFAKASEHPIPSNQLGIQL FNKHLFPPLSDMEDDDMLPENVPVLNSTTRRYLADAIVNLTRDDVEQYKEIMNQMESL APYDPNDDIPYVLDLQHGFDRSKYLRSPTGYVGLKNLSNTCYLNSLFTQLFMNISFRG FMLNVPLLEDPLSEGSSNQKLLAETQKLFGFMQNSFKRFIDPTSLALSIRTYDDTNID VNIQMDVDEFYNLLIDRWESQISSPEDKLLFRSFYGGQLVHQVKSKECPHISESLEPF SAIQCDIKGKSSLQESLQAYVDGEVMEGDNKYKCSTCDRDVNAVKRACLQDVPDNLIF HLKRFDFNLRTMQRSKINDYFSFPHKIDMRPYKVEHLMDGEIPSDMFELVGILVHSGT AESGHYYSYIRERPSHGKHPAWVEFNDDHVTSFDPNSIEASCFGGLDYRGPENGSFQF DKSWSAYMLFYQRSSVVEEHQQELMAATNQRTFQLPIPQLFSNYITRENEMLLRKYCL YDESHAQFVLRMMDNDRHFRNGRSPDDHSLSRLALSTTLLHLDQVIARAKDLPDFGTY MLTLCHRLKSCTDCCEDFLDWLAHHQEAFRQLLMRNPDHMVRSEIALAVMAALNKVKE DATFDYGLSGYGSEVEDDVEFIESPRLFAKVVGILLRFWDSFHMSIKAWPEYFGLLIR IVGLGTFETASLLDAGFLLKVLEVLTADSALPTHPQYARMLTIIQKRSVTRPVSLENI IGLLEVLLKSCDLAAGHISERISRLALAEEDTLLPLSTPEYNLLIQHWTRGNMNILTE KLLNYNQNPRSTQAVIGLLLEHFDDSYTCVFNAIRQGIRKAPSTTSSAAPFLAAAVTY CRTVDSMESIEKMVYHVSNVARGIDSSEGRDFLRFFKELLEVPSKNPNIDHGAFFRFA VDQIQVWAPNLLTHDESAIRRETEDYLQSTLFRHGAQLPDDISTEAQANSQVITSTIR LLGIACLRYLHEKHVRRRAEAVKANIMNILAVIEACKPYYDDAIENPDEIPFQDYYTS VLSQLKRLTVDELAEDEVSEWENSDDAFSSSEVLDPVSDICGPSGNEMNDADV VE01_02990 MSNTTEEVPEVVPHKSFDTILTLDFGSQYTHLITRRLRDLHVYS EMLPCTQKLADLDWKPAGIILSGGPSSVYEEGAPHVDPAYFDLNVPILGICYGLQEIA YRSGKDNVVAGVTREFGHADLKARKVDGHVDRLFDGLENEFKVWMSHGDKLAKLPEGF HTIATTPNSEYAAICHESKHIYGLQLHPEVTHTENGTKILENFAVKICGAKQNWTMAN FVDQEIIRIRKFVGDGQVLGAVSGGVDSTVAAKLMQRAIGDRFHAVLVDNGVMRLNEC EQVKTMLSEHMGINLTVADASKEFLDGLKGVTDPEKKRKFIGGKFIEVFEEEAKKIEA AAAKTDRAGPVEFFLQGTLYPDVIESISFKGPSATIKTHHNLALPQRMLDGQGLKLIE PLRELFKDEVRDLGRQLGIPHDLVMRHPFPGPGLAIRILGEVTPERVEMVRQADHIFI GMIREWGLYDKISQAFAALDTSKAVGVMGDQRAYEHLIILRAVETTDFMTAKAFPFSH DFLNQVSTKIVNNVKY VE01_02991 MPPKAKTMFELDSPYSDVALPQLSPADQDTILNLLTSFLSPIGH YHTTHITPSKGKRSKKAKRRELKGGAAKPAQDEASVPPPELISHILVGLNSITRHLES QSRKACPPSLGVPIPSYDGPANSQRHLAVVVTTWPSVPTILTSHLHKLVQTSSLLHHN LPPTRFVTLPRSSEKRLCSALGVPRAGFIGIFDGAPSATAVIDFCVANVPELEVDGWL KVDKSAFMPLKINTIEAADSVVQNKV VE01_02992 MTSQPVHPLRIQKSTPNTSPVKMGSSIPRALTELAPTERRQNSP SYNQGSPKKMAINMDSSPFQSSPFNTSEGNSSPRLFWQGRDPATPSNRFNSENGIFGK REMSPSPTRRSSIERLQRASRVKNSNMFAREQKQEYDPTSIPNIERPLAKQIQGNAYG GQGLDGLRSEERGRAFGHGRAPSKDIVSPYSPTKLPLTSTVVRESPTKEQTSPMKSSL ATTRFGSKLPYDEDKISSPEYSGGEHELPEGRSLHRHAKSVTFDAAPQINEYEMVTPD LSSVGTNSRENSYDSAEDDDEESYNRSGSLELDDSFDASLEDTDKTPVVGPDDWRFSN NGGSSDPFGAQLEPSSPLTGSEISSPTVTRNISADHRPLPPLPGTSEKRNSGLFAAAE RASNVTRGTAVPAASFSKTDIQGLTGGRMPLEERLRLMMIQDDDKAKPVAETQRERRM RRGGARDRCSATPEVDDGEIKIHEDEDTLADLGEYQLPERVSRESILRKVNGHDAFTL DSEYNFSSPPPSSRQERTTVPDPDVAIPSTEDVTIADDDSSVIIKPEEEDSEIDVYDI PEMYQGRPESRVEDYDINNSVVRDDSVVREGEDLESQYSDETPQNKSPELESNNVPED EGPPTPRLTAPTSDIPSVDTENMEDQASLPALPGFLDTNNFGLSLQSYITPTPPPPPP KDETSITSDAVIEPLDVERTSTPPGTPAKYALPKPDYDGAGWGSESEEEVGTPESVVH HAVSYEESPPAESPVVPEQTATIKSSSGSKLKTRPSATPSDIMAMREARRQISTEYPI PPIPQRHRNRPSQSMERDLEDPEAVSENEGMKRIPSLKRDGLTLDIGSDLGLSLDQDF DRLIEAQKVAFNLSHYHLIDSQGYHGQVSTLQAGALKFEEMHANITPRKQRGYLMRQN TKVVVASSGTDVEAQNFRDTRSAGNSPVKQPRPQSWTVEPWNGSPRKRSTRDSTGGRK RMPSGPAPPLPGQQSNVAGTGLGMVAEDAQVDINEPDNGERGRLFVKVIGVKDLGLPL PKNERTWFALTLDNGVHCVTTAWLELGKNAPIGQEFELVVPNELEFQLTLNAKLQKPP PQRVVESPTKAKAPKPSTFSRVFTSPKKRKELEMRQKEEQQRAADKQQSEMAAKRMSQ QPTAWDLLSPLAADDGSFGRSYVCLKDHEQHCFGRPYVVDVNCFNEWATEEVGGMSSV KSKTGATQTRRRAPYKVGKLELQLLFVPKPKGASDDDIPKSMNSCIRAMKEAEEAVAR CHSGYLSQQGGDCPYWRRRMFKLNGSKLTAYHESTGQPRATINLANASKLIDDRRALT QKETTGRGGSRRKSGFAEEEEGYMFVEEGFRIRFGNGEVIDFYADTAKDKEGWMKVLD QCIGTSSEAKNGVSWCDMVLKREDSLKRKEIRKASGEKPPRFHARTKSMII VE01_02993 MPDIEITGDNTLTENGGSADVEMGGDDVVEVATTTDGGDRNDDG EKDQEIAEEEEAPVIPVRITYVDHLKSPIVELVVGQGDSEIILSSHQALLVQSPFFED ACAHFDADTPRRSIDLSEEDLDAVGCFLEYLYTGEYFPQRVTGQRGLVKDPTMPELDE SGEQLLKHARVYTLAGKFGVDALKALALSKIHCVNSNAKGEIQYARYVYANTAEDDTT IRRPVASFWAHRSHVLRAEAEDEFRKMCLEFPQFGFDVLTRVLDEKLKNETREKPHST PSGSSRKRQRHIQI VE01_02994 MPPKCPSEKKHRLTLARLTSYDDILTDALVDHVYYWTTIRKNRN LYHASRGVREEDITPILQNMVIIGKDAEKAEAQLLQLPGLRKFLESLPPGKERDDFRK HMRRYVNIYLPDCPFEITSTNRYTVVTHEASVTARRLIKKGETVKYLCGVQVIMTKEE EADINQRRRDFSIVVSSRNKSASLFLGPARFANHDCGANAELMRTGAAGMEIIAVRDI EVGDEITVTYGDNYFGEDNCECLCKTCEDNRENGWELEDDDGSSTPATKPSIEEPTVV IGSYSLRRRRSDFVDSSRTPSVTPDLRPRVLKGTPKRSNKIAKEPTSPELSPSQQLME SNKKREWRDEAPTAEQHTARKRQRTEGIVKEESIHARDLDGLYSTPESSRASSVFESR HDSTQMLPSHDSNLTDTTSVDEDTIIVRPIRKKSHSRLRKDVREQSSPAAVEADNPVS DAAIAMAEFAGEIGAAVASITTLGATDSDALEPKSSRKLKRPSTKLLTKTLASTTKKR KYVKKRAPVVTDKDHAPEIRKPRDYVLTPLLLSQPASAWISCKICDEYFVQLDAYLTR SSCPRCERHSKLYGYQWPKTDKEGRNDSEERILDHRTIHRFIRPDEERSIRKRERSRG STGSPVVSNISVETTADTQERPRPQRLRRKPGRLTL VE01_02995 MIQLKTMLNCIDNSGAAVVECVKVLRMKRHAKIGDRIIVVVQKQ RNFGPESGPGSGSVSTSAANKVRRGDMRHAVVVRTKKKLQRPDGSVVKFDDNACVLIS KTGDPIGTRLNGIVGTELKKMKWSKILSLAPMHL VE01_02996 MSSPSPSHGEEPRKNMEQITFRFCSEWHAFISNMLYPKEDNDTR QLQFACRTCQYSEPAISSCVFRNVLNNAVGETAGVTQDVGSDPTLPRSNKACPKCKAE DAVFFQSQQRSAETGMKLFYVCCGCGHIFQ VE01_02997 MSDAGLPAGWEVRHSTSKNLPYYFNASQQVSRWEPPQGTDTDKL KNYMARNHSASEIKPEPSAANGNEGKIRAAHLLVKHKDSRRPSSWREADITRTKEEAM TIILAHEQRIRSGQTTLGNLAVSESDCSSARKMGDLGYFGKGDMQKEFEDAAFNLKPG EISHVVETASGLHLIERIE VE01_02998 MTSETMVPKELTIIVATTARNMGIGRAGELPWTGLRKEMAYFAR VTKRTPLAATPNPEPPKPVRNAVIMGRKTWDSIPLKFRPLKGRVNIVLSRSHTTPKPL PEIDTDEEPLRAASLSDALKALEASNEIGKVFVIGGAEIYRMAIQEQATKRILVTKIL SDFDCDTFFPISLPGDDGQWTKKGKEELDAWVGEDVAPGEQEENGIKYVFEMYERE VE01_02999 MPPKTNGRDGKPPPSAATNLIAGGGAGMMEALICHPLDTIKVRM QLSRRARAPGVKSRGFIATGSEIVKRETPLGLYKGLGAVVTGIMPKMAIRFTSFEAYK SMLANKETGAVSTKATFFAGLAAGVTEAVAVVTPMEVIKIRLQAQNHSMADPLDVPKY RNAAHALYTVVKEEGFGALYRGISLTALRQGTNQAVNFTAYTEFKSALQRWQPAYADS QLPSYQTTLIGLVSGAMGPLSNAPIDTIKTRLQKTPAKAGQSAWSRITYIAADMFKTE GVHAFYKGITPRVMRVAPGQAVTFTVYEFLKEKLENRTTLAELVGGKYEE VE01_03000 MQSGIAGALASAELVAAFNNLVASPSQRGLLVKIDKEKLIPGPA IEPSTSDFFNDLELLAPHVTDKEAAYIILRRYPDDSDSFIAVTYVPDAAPVRQKMLFA STRLTLIRELGIERFRETIFATTKAELTADGFRKHDKHVELEAPLTEEELALGAVKRA ESEEGRGSNVKRSHISDHFAMPISDDALGALKKLGDSAGGDNLVQLKINIASETIELA STSSATLPELSTVISSTEPRFSFFNHSHDVPNGSPSTSIIFIYTCPGASKIKERMLYA SSRQGVIAVAEKGAALKVVKKIEASDPDELSPESIEEEFKPKVETKKAFDRPKRPGRR VE01_03001 MSIDRRSRSRSASADQTANEASQAAITHDGDVTHVSPLSPPASL PPSVDARTGPSTNELMEREQGIVDAMLLRFKNIIELATTNKGDVTAEVAAAQAFQTNV ETQALIRAAQDLLSLTREMKELWLFGPLRGLGEGEEGGSIDDNSKRVVEMVEAMIQER TGREM VE01_03002 MPALGFLKKIRTKDAPGPDGTATNANDAVEQSTKPAAGDNPNKT GTAGQEPQGQKPQEIPVTATKADQTTADGVPMTPGTDYQQYAPSPQTQPQEEKKHYLP HIHNLIHPNQNENTGQTMTNQASPAGAVAQQQVRSTKGKYSLTDFDLRRTLGTGSFGR VHLVQSKHNQRYYAVKVLKKAQVVKMKQVEHTNDERLMLQEVKHPFLITLWGTFQDSK NIYMRFPNPVAKFYAAEVTLALEYLHSKDIIYRDLKPENLLLDRHGHLKITDFGFAKK VPDITWTLCGTPDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGYTPFWDGGSPMKIY ENILKGKVKYPPYIQPPAQDLLQQLITADLTKRLGNLHGGAEDVKRHAWFAEVTWDRL AKKDIDAPYVPPVKAGVGDASQFDKYPEETEQYGAVGPDEHGHLFTDF VE01_03003 MADQNKSSGDAPVATNSRPIDDRDRHGKDRKRGNDGGRESGKGR GRGGRGGNNHGSRQPKTEKGRGEWSRNLVDKRKRNEEEQESKRRKIAEFGEGAVPESP FSKEEIAAEERRPKRKVAVLIGYAGSGYKGMQINTTEKTIEGDLFSAFVTAGAISKAN ADDPKKSSLVRCARTDKGVHAAGNVISLKLIIDEEGIVEKINAALPPQIRVWGIERTN ASFSCYQTCDSRWYEYLIPTHSFLPPHPQSYLAKKLVDSATAEGNLESYNSRQEEVAG FWAETEEKYIKPILEKLDPELRASVMSAIHTEDVVENPDENTEEEKTSSGKPATPALK DESEGGQVKESTSTDSTGDAKTSPETTDDKEDLPATITAAAGEKIEKIPKPLTPLEAA IKEVKAAYIKAKKAYRISETRRDRVQEALSAYLGTRNFHNYTIQKSFKEDSAKRVIRS FTVNPEPVYVNDTEWLSLKVHGQSFMMHQIRKMVAMAALVVRCGTPIERINESYQNHK ISIPKAPGLGLLLERPVFDSYNKRAVEDLQREKIDFTKYEAEINEFKQREIYERIYRE EEKENQFHTFFHHIDHYRSEYFLWVTSTGISAAKQALGKGEVPSKADEAVGLGSEDEG GNGAEEG VE01_03004 MDLAQTLVRSVMRAFYDTKHILVIDALIIHSALRDDDMAFLMGM NTKELHKLCGKLREDRFLAVHTRSETKEGQQRPINRTYYYIDYRATVDAIKWRVYRID KNVQGNTIPKEERKEYVCPRCQSEWTQLEVLDKIDYKLGFLCHKCSYPLVPNEHGDSG GHEQSTRLNAQFRFITDLLPKIDQVVIPDSTFEVAYAAARPVIRDALLNPANETVPVD SLSNRPTAVKGLTNTGPTSIAVTVTASEGPTEADKAAEQARREAYLAQNVLPAHFTHS TVTGEQIIPTSQPAMKSESLEESKDKKDIVTTPSFSGDGAAIDDYFAQLKAEQAREAE KEAEDEFETDEDEDEDEDLGFEDVVPATGSGVGTPSSAVSDSKPHAGGLASALRKSKA GTGSPSGGTSTGVGSPATGPGTPESGRASKKVRIEEPAAAEEVESEEDVEFEDV VE01_03005 MHARLRVRANARVLNFPRAPNYIRSLSSAQPLRNAAPKDSERQL NKTSATITQPKSQGASQAMLYATGMTEERLNKAQVGISSVWHSGNPCNMHLLDLNHRV KEGVERAGLVGYQFNTIGVSDGISMGTTGMRYSLQSRDLIADSIETVMGGQWYDANIS IPGCDKNMPGVMMAMGRVNRPSLMVYGGSIKPGCSATQGNADIDIVSAFQAYGQFITG EITEEVRYDIIRHACPGGGACGGMYTANTMATAIEVMGMTLPGSSSNPAESQAKYLEC LAAGGAIKTLLQEDIRPSDILTRQAFENAMIVVIITGGSTNAVLHLIAIADSVGIKLT IDDFQAVSDRIPFLADLKPSGKYVMADLHNIGGTPSLLKFLLKEGLIDGTGMTVTGKT LAKNLEAFPEFPSDQTIIRPLSNPIKETGHIQILRGSLAPGGSVGKITGKEGLTFTGK AKVYDAESLFIEALERGEIKKGEKTVVVIRYEGPKGGPGMPEMLKPSSAIMGAGLGKD CALVTDGRFSGGSHGFLIGHVVPEAQEGGPIGLVRDGDEITIDAETKRMDLNVSEKEL AERRKTWVAPPLKYKKGTLAKYARLVSDASRGCITDGEMDENQKR VE01_03006 MTDPNHHIPTARSEEDNDSETDFAAQHLIGHEEPLITQNDTHDV KALPRRTPEDLVFTSTTIAPSPPPPTLCAPSQLRTALSSLNTAQQRYSGASSPDSSPV SASRLRVQRSFTSRPPELDASDTNQERRASKAIPIARTPSIKQVLASSFGSNSYTGSA PNSALSSPQLNAMPDLTPLPSPIMSNDSPGPWRRRISRSEYNESRTAPLTGDTALVTP NGELIPSAIANESKRRAYHGLLLNSEDVASKPSSYKREIQNSTHARNRSLSEYTPDPQ APKKRQQSVSGTYPKDMPPDHAPPVDSHMRREPNLALQRGIAPVPRPPTPPSSRTGGE SSESDSAASSATKPIVKDYKKRSKHIYFDAVTIADNRKRRWRALKLLGEGTFSKVVLA TSQLEKDSNTVDEDDVSVPDNGATEAALTEADTKKLVAVKICEHGPKGGASEERVEIS LKRELELMKSIHHPSLVELQAWSMEESRAILVLGYCPGGDLFEVASQRPSILGPSLLQ RIFAEIVSAIQYLHERHIVHRDIKLENVLVNLPHSELTKPTDWSTYPHSVITVTDLGL ARYVTDDEKLTTRCGSDDYAAPEVIMGQPYDGRAVDAWSLGVLLYALLESRLPFDPNP GLSEGHKQRSRTSHRIARVEWRWVMWEGEDGDHEADEAKFEAAGLKTAMEITENLLKR ARSRWTVDRVAQIEWVKNAIRVDGGIRFRNEEEVGKADDIELL VE01_03007 MDLGAQIGEGSAASCGTVTDDVQSGKDVRTYVNRSRWSSIAVAG RLDEAVFDLALDIEVCGRAPYDDGGRTPGPTLLAKLLAEVFLEEEDCGRDDVDEAIDV LRALVREVPLTTERPGAKLVFGFAGSPARSTSVFRFGSSLPTICKEAVAVGSGIAPFF PVTSPTSTLYLPVNIFLFVVKLPLFLAITVAYFFFLQWLPLGAFIRKAMLWVILGAPG IWWIDLQIDGVKKGSLAREKQRLPAPSSIIASSFTSPVDALYLAAIFDPVFTVSYPST RQVRPISLLGAMLRALSGPEEAPPAGVKLVDLGTLVSENPDSVIVVFPECTTTNGKGI LPLSPSLLNVPSTTKIFPINLRYSPPDITTPVPGRYWSFLWNLLSQQTHCIRVRIAEA VYNTAKPGDVSSEKKDKYLVNFLDLPEEDSSDTSSGMRKRVVNAEEQKVLDQVGEALA RLGRVKRVGLTVKDKAAFVGAWSKKIR VE01_03008 MEAMYFELKDTMADTNVERKSLEETVRHYKIKLLQLESKNSALE AKKTALESQNMTYDSKIASQQAELDAARQRANTMMTAADDDRRSHRIELDDAIHNHRR ELDQLRRDMTEEIDRLKKTHQRNTDDEFERLDKAHRDEIRELERRNASHLEEEERRRV QDLYEVKKQITLEQQNLDLAVGNKDREIQSMREQIEQMKAELDQEQTSKANLQKSLND SSSSVVNLEGTVRSLRDRIQFLESGSQAQSDRFELMEKQLQDALEQAQESKSKLTKEE TLRRILFNQVQELKGNIRVMCRVRPTNPSEEVAKILYPDIDKESKELELQGPEEKSSL GTITRKTNAFTFDRTFGPSTTNEEVFSEISQLVQSALDGYNVCIFCYGQTGAGKTHTM SSSDGMIPRATHMIYEKATELQDKGWTYSMEGSFVEVYNEEIHDLLGNPREFDKVKHE IRHDEKKKQTAVTNLKSVELDSPDAVESILRRADANRSVAATKSNERSSRSHSVFILK LIGRNSTTGETSEGTLNLVDLAGSERLKQSGAEGDRMKETQNINKSLSCLGDVIGALG QGKEGGHIPYRNSKLTYLLQYSLGGNSKTLMFVMISPLEAHIKETLTSLKFATKVHNT HIGTAKKTTRVKERDA VE01_03009 MSASTRFPINVKAPSVLLTECLQDRNLTVKSSDQLRDVDSGDDK SFKTWIMETIDSKTMLTKEELNLYNSLEEIGEARLLGSLVDLGQVRAFEDLDIQTATR QLQSSTAAIDKQNEILKIQQAALSTLAATELQQRQRRSMANAVQHRLWKEALDQVNAE VEEAASALSEHVSSNKTQCAAMDAALIQQSTNLLRSDDKIISSLQKLSKTLQYGMSPE NDTFERIRKLCTSLIKYTVEGVRLRLDRAYIEALGVHQVERKGTPQNEQEVMELQAEL DSLYSEILPVAQMSAEQRYLDCAVRAIASQDKQGLEKSKTIIEYISESTTFLIDRAEV FKRHVEAYQSHIATLNAVLKLLSSEINSIDLSRPKKPEQPRSPLQSRSHKPASPSLSR SAHRRRSSASSFDESTPPDQQILRIMGIPPLEELCRDRAPEAVLHETLSDKIQKLKAH EQGLQASSESAIGDHLQDAFATLQLLSDTMLSETKYQEIRLLDEEVQEAISGLDQELA DLSSHLQSLDFERLKERNSHKEAFIERWAH VE01_03010 MCVTEIFVDSYPDGAEVEFHRVKLCQHGYPEDPCDLHVVKEQPI RFIQYGEPTSEFIISQIRSPPRSSAPPNMVEVIEEVTTPRAKQKRRPLSNLVMGALFP STTRRRRRSSRSKSRERVVIINAPPSPTRPRTPPPTASPIYYDPRVFMPPMSPRYESN EEAYIVEVSPSRGRQRPIIHETSRRPRSASIEFRYTSPSRQRSPSQRRKDERRRRQRQ EERERQELRELRDRREARLVAEIKEERERRLREEFLMLQDAEINARPVRLPSPAPRLR SILRPVVDQSRRWTNVIDDLGLSIRGERVIAEAIADRERAESRERLLRERLEAEEAEE AQKERLKRRFTVSEGSGPRGRRHRVVYDDGTYRWAD VE01_03011 MYTASFAFFEALWEAGVTHCFVNLGSDHPSIIEAMVKGQNEKKG EFPRIITCPNEMVALSMADGFARLTGKPQCVIVHVDVGTQGLGAAVHNASCGRAPVLI FAGLSPFTIEGEMRGSRTEYIHWIQDVPDQKQIVSQYCRYSAEIKTGKNIKQMVNRAL QFACSDPAGPVYLCGAREVMEEDLTPYSLEQAHWNPVEPAALSENAVKTISDALAEAK NPLLITGYSGRNHKSVPELVKLADTVKGLRVLDTGGSDMCFPFDHRASLGLRYGSEEN ITTADVIVVIDCDVPWVNTLCKPSKTARIYHIDVDPLKQQIPVFYLAATSRYRADSYT SISQLTKYLSSNYAAKFAEDTYNRKWEALALSHQARLANFAQLALPKDDGSFGTGYLC RTLRKLVPEDTIFAIEAVTNTGFVADNIQATLPGSWINCGGGGLGWSGGGALGIKLAS EYENGAGKGKFVVQIVGDGTFLFSVPGSVYWICQRYKIPILTIVLNNDGWHAPKRSLL LVHPDGLGSKATNEELNISFAPTPDYAGIAKAAAGGKLFAEKVAKASDLEGVLQRAVD AVKGGTTAVIDAVVAPGC VE01_03012 MASPNQTSADGSSPEYCLPPPPNPAADVGLSVQSTTPDPELATP SVSSLDVFKLPPISALKLVCAGIEALVRVTGDIPPTPPTSGRQTPNMRGMQEEKENIV RSHSLTDLSKHRRPSVPFAFTAANDEYDGINLRKRPIASLENEQMENEQKEPYIIIGA NAEPLNLQHSAITRKFYSKKPPPISIEDYLMRIHKFCPMSTGVYLATSVYIHRLAVEE RAIPVTRRNCHRLLLAGLRVAMKALEDLSYPHRRFSKVGGVSENELARLEISFCFLTN FELRTTKEMLLDHALTLKQISSSQGAFNGGHGFDLRLPLGKRVVLAKEGVQEVTADA VE01_03013 MPLSDILFLVFALVPIAYADVRFDVPGPGGVVPGGAEFKVSWSE SDTAPSIDDLVEYSIALYSGSNEAPTQLLTLVPSGTFAESPKSASINIPVTAGEAITN AYFLGIQSTTKSGEFITNYSSRFTVNSMTGEFPDAIKAALSTVSGTTGPQTEKSNLRP RVAQAAPAVPAAPAVPAAPAVPAAPAAPVPAVPAPAVPAPGVPAPGVPAPAAPAGGSW SIPYDQQKGDTRYAPMQPKPANAITATNTKPLWPTSAVKVATTFLPPATIVTTITQSP TGTAVMHANTAAAQSQPDNDMQKYLKRWKD VE01_03014 MASSVDAILAGKYPAKAHARRVVEYIKKTKPEAAGVLYLEGQKT RLIEDNDEPVHFRQRRYFYYLSGCDVPDCQLTYDIASEKLTLYIPAIDPDSVIWSGLP LSEKEALELYDVDQVRTTPQVNQVLGTSQSKKTVFAIAGQVPDDVTFLNFENTDFDLL KPAIEECRVVKDEYEVALTRRANEVSTIAHTAVLKAVKSAKNERELQALFLQRSIANG SPNQAYSPIFAAGTSAATLHYLKNDQPLDGKLNLLVDGGADYSCYASDITRTFPINGK FSKESREIYDIVLRMQEVCINMLKEGVAWDDVHLEAHKVAIEGLMKIGILQGDKEDIL KSRTSVAFYPHGVGHYLGMDTHDTGGNPNPSDKDTMFRYLRLRGNLPAGSIVTVEPGI YFCNFIIEPYLQDPVHSAYINFEVLQKYWEVGGVRIEDNILITKDGYENLTTAVKDVD EMEKLINGA VE01_03015 MASSSKPAIYPAAAQSVSELIGNTPLVRLNKIPQSLGIEAEVYA KVELFNAGGSVKDRIALRMVEEAEKSGRIKPGDTLIEPTSGNTGIGLALVGAIKGYKT IITLPEKMSAEKVTVLRALGATIIRTPTEAAWDAPESHIGVARRLLKEIPNSHILDQY ENVDNPLAHEYGTAQEIWEQTNGKITAIVAGAGTGGTITGLSRGLKKHNKDIKVIAAD PQGSILALPEQLNEEHANEGYKVEGIGYDFIPGVLDRQSVDVWYKTDDIESFSFARRL IAEEGILVGGSSGSAMAAMVKGVKELGLGKGDVIVVVLPDSIRSYLSKFADDDWLAAN DLLPPSPPTTPSPSAPKSKHDPYNGATLRALRLKPVTTISASSFCADAVETMRDKGFD QLPVLASSGGKLVGLVTLGNILSYISRGRATGKTLVSEVMFDFSKIPEVVTDPKDYSS NLKDLPKVDGAAAQEDTKPQSLPKRKFVQITMDTPLASLSKFFEWNSAAIVTEKGGAE TAGLPKPVAVVTKVDLVSWMMKQSSV VE01_03017 MLALAEKPTSAEYTIQVLREQYLAFPEATTYGQREATLTGDAAQ SILDTLHGVDSSDVRFPIGGEKPANLIDIGCAEGQKTSALAEAWGLPHQHAIGLDIVP ANSLPTNIDFEIMLPDTLPERILYNSQDLAIVSMVFHHSTNPRALLQSIHSALRPGGY LIIREHNANATPHMASFLDTVHIFYDAVFAGKTCMPNAQTYKSFEEWQDIFQSQGFEH IKSDYTPFSANPRAGVQANANTGENFTCVLRKNKP VE01_03018 MCSYDFSVTSDPVLPPSHCNAFLQGTPGLPDAVEASCPDNVAYT WSITNKDDGGLDFAIWYGFNSRSNITYCHYIPAAELIVEQNGAAQSEHYKGPASFEAS FLNCPTA VE01_02517 MSTPEDPEPLYTVIVRVPFPRDNFVDPPPVAWDASKDRSLWKIL SKTPKNNEMNWNTLAESYDVSLSFLLQQAAYLYDRQFSQVRAQLRKVGASKNTVQSPI LGTESTGGEAMVRTVSGGSQQQTPSSLSIRRDSPAPNADGSVQQPTGRAGAPQISRTS SSNTATQIQSRHTIIPSSPHTAKAQLYGRSMSPLSRARRPSNTINTAHPHISSRPTPF SPTSVLESSSEESSSDSDLPVQSRMLRRPPRRLHHSEDGDDDEDSPTFLPFTATTKPP AAYNPSATAAHEDTIGGSHRKKASDAVLRSHTSDSSASSTAQNHPPSHRDPKQVRGLA GAGPIPGRRTAELAGRSPVSKGKEQGMGRESDGTPSMGSSFSDLDDASVTQSALEEAL LSNMQHGGMASKMSTFSHAFRSSHQSLKKPVQSNSLTNSCPFASKYTRVNLRRAPVMA QSSSTLTRLSETFVISCGTITLDPVEKRILLIQWKKNEEIFLPKGRKNIGESLLEAAV RETYEETGFRVTILPLRIPTLATPKATVEQVNELNTEPIAFSQRLTDNDTLKLIFWFS AQGDSTAAPGVGTQEEGEDFDPIWVDLDSAIETLTFADDKRVVKRY VE01_02519 MKLSVLSAVLLPVLIHAQAIPDCATACLSSAIVAASCTPGDNAC ACTPAKQAEIGTAGAPCLITSCSAEEIPAVIAAGSAACSSYFATQSGSATATTGSTAS DSKNSESTAAPTITSDRSTSAETTSTETTSVPSTSGDSSDTTTSSDVSTTDGPAATTT GGGGAQAPSSSTGGAAAMATAFGGVAMALLGVAVAL VE01_02520 MATSTELKPFGSPTPFAEPLWYSRNLTPHYNESHRKLRAAIRAY VDDELLPYAFEWESAGEVPDWALKRHAELGFHALHTKLDNVRLPGDILLKDWDNWHTL IITDELARVGYTGILWGLGGGNGIGVPPIANFGTQEQKDRFLPGVADGSIRFCLGITE PDAGSDVANIKTTAIRQGDHYIVNGSKKWITNGIWADYVTAAVRTGGPGSKGISVLVI PMKVEGVTTRKMFNSGVGASGSTFIEFDNVKVPVSNLLHKEGRGFEVIMSNFNPERKS MITSALRMSRVCCEDAYMHASTRETFGKKLIENPIIRAKFLKMGRLIEPAFAFLEQLT WMMEESRKTGRTDIRIGGMTAMGKVMATRCLESCVREAQQVMGGLGYSKGGKGGRIEA ISRDVRVMAVGGGSEEILSELALREEAKDLFLYHKTKL VE01_02521 MEYEKDVATTSLGPPPHLDGDSLLWEEIDLHKEAVVARPDLTKE LDDIQMRGGSSWCDKLWLGLFTLEGKIQRVVGRLVKDHMEGVYMSLECPVGNGTAAIL CSVHNGGVRRLRCS VE01_02522 MLKAATSLLLLGGALASPAGVLEERQSSCPGIHIFGARETTVSP GYGTAGVVVNLVLNAHSGSTAEAIVYPACGGQSSCGGVSYANSVQQGVKAVASAVNAF NTRCPSTQLVLVGYSQGGQIFDDAFCGGGDTNEGISDTSIPIQASAVNMIKAAIFMGD PRHIPGLSYNVGTCQASGFAPRPSGFQCPSASKIKSYCDAADPYCCNGNDANTHQSYG NVYGQQALAFINSQLSATGTNPPTTTPTGGSGSGTVAKYGQCGGQGWTGGTVCASGST CQAANQWYSQCL VE01_02523 MASLIPNILPKDSHTQIIAAGASIAILVGIVFVTTFGGKKTPKN DEELSTWSSFIRFFYACFLKPHEKSGENGQQDALESFYKAQAGVYDATRKRLLCGRED MLGLVAAQLKQKATTANQSKNQRRIWVDVGGGTGYNIEAMSKYLNVPEFFSSVYLVDF SPSLCEVARKRFVRLGWNNVKVVCQDARLFRLEDHEGESDESSSDLILPPPAIDEYFS DSKSAVGADLVTMSYSLSMIPDFYSVIDSLTNLLAPSGVIGVVDFYVQSVVDLSSRNY TGGALTRHVNWVSRLFWRAWFDIDRVGLDASRRDYLEYRFGTVLSADSRNYLLGAIPY YMWLGCPKKHSTALSPSSHDIIERIDAAVTESPYLHPANYTANLSKAVEKANPEIRSK AYSAALLNLTSNLPLPSFFYQNHHYRIHFDDQLQKHTQFNNEYIYAFTWEDTRVDDRI LNLTPSDVVLAITSAGDNILSYALRSPARIHAVDLNPSQNNLLELKVAGYHCLPYADF WKIFGEGKHANFRELLIEKLSPHLSSRAFQYWLDNVSVFSPKGRGLYETGGSRYAVKL FRYMSNVFGLSNEVRKVLSAKTLIEQREIYRSSIRPVILSRILSSVLISQPRFLWAAL GVPKNQLAVIESDYPCISQGTESSDGKILDKKVREQNRGKAVWQYMVDTLDPVVEHTL IGQDNHYYQVCMAGTYTPQCHPEYLDERAHKKLSRKDAFEGLRIHTDEIEEVVNRIRP GTLTVAVVMDSMDWFDPGSQAAATQIAKLNNALKLGGRVMLRSAGKKPWYIDEFEKWG FVAKREGVRENGGCIDRVNMYASCWILTKTKGVPVEVVTRDTASPEALEI VE01_02524 MHALTRFSVALLGLASFVPSALAVPGYRRDNSGLEPYITINPDG AASTVTPVATTISGVATTINASPSATTTGAGAQQTQAGGSSFDVCHNKDGPNAPFCVP TNGTHLEPGSTYYVTWDTSAFPGNASVFVLGNYFNASVGGEQAFESKTLSGSRGWYAM SVIDEWKQGSKENYIDLFLATETGGKRLPGPRIAIGTAPEEVEFKPTQVPKGPALYIA LPAVFGFIILCVCGGFWWNRHARVIGLGNVMGRRKGYGIGKSRGERLGMGRKGAIKLQ EREAEAGAPLDAQTSFRDDTFDFEQERPRRRDSDLGSLVSTPTRPEFGNGVGGGAGGS NVFRSEMERQERERRA VE01_02525 MSNLDAAQLFSVKGLVAVVTGASSGLGEVMAHALDVNGAAKVFI LGRRESKLREVASKAKNGSLIPLVCDVTSKESLEAAVSTIEKQTPFINLLIANSGYLG EVSGMVPRPAEQTLAGSYFTFLAFLGLLGAGNTHSDSIGKSGLLQSQFISTTSFGGLC RAEAPSYVYNASKAALSHLTKTLSSEYAKHSIRANAIAPGTFAYTPEGDISVVGSLPW QAIPVTRAGTEEDIAGAVLYLASRAGAFVNGVHLAT VE01_02526 MARRTSSRAASHDQASSPPKPDASRRTVRSPQKRSTRATRSQSR DLDEPYAPDLRQGGGRNERQGSVNSVGSDASTANNSRGKKVRGNAPIQTDLSMVAEDE EMQESLRNEAEAREFPNVHQHPEPMSGLSEIPHPAPAAKKSLLPAAQIRSTSRGNSNP KRLTGLSYLMSKKPQASAAPPSNQQQLQQQVAAGPSAPKTPQNNVTRQLPLTAPAKTS QEEHPEADDNRPFHFSNEDFANKMTEYDAEKNKENRPLPAEAIPQTLAATLPANPQRK SFIERQPSAHRVSQISDSDADSQPEPTARQHAKRAREEPEEVSEHEVEAAMDEDEISE DDGFEQDRNQLPSRFREPPPEVIQVTGGARKRARIPSRVVSHGLAGEVQSRQPRIEAT ASRLPQQPSSSRVQGRNSVVSPIEEELNNGMKAYREAQHQATQYDAAREMAAQQAQAR LLNIGAHRPTQRRTKWTQDEEAGFIDLIGRFGVGWAALHARGQAEGIFDECRNQGSLK DKARNMKVDFLM VE01_02527 MKLRLCRASPLLVLLVPSLVAAAAIAGDASDTPTPTNIAVAREI PPSATELGAQIAGQKPTSLGLHGTKDAPVDGKDGKPHAGPFVDSDRKKPEPTGASDEL VEAKKQTPLKGAPEDITMVDGQKIPDVNDGVMNDPERQLPKDGTTGTEGGVSQKDKDR KAQEGQTGERLEKKPDPPKEAPPLPHSEQELINTGNEKGDKDTKKPKAKEADPDDDYE LAGLEKPDELPKKPVDLPHPIPDSAHKDHLDFTKPAKTGQSDVDAEGVEGLIQPLHSF ILSLTMILVSEIGDKTFLIAALMAMKHDRILVFSAAFSALITMTVLSAVLGHAVPSLL PQRFTNFMAAILFLIFGVKMLREGQAMSPTEGVAAEMQEVEMELEEKELELRQQGRRS SASPYALEMGLGHNSRKSRSKTRLPSPPRSPSSSRGSSPVPGSALKNAAAGFGNLVSL LLSPAWVQTFVMTFLGEWGDRSQIATIAMAAGQDYWWVTAGAICGHAVCTGVAVIGGR AIAGKVSLRVVTLGGAFAFIIFGIVYLLGSLHST VE01_02528 MESSLDSQDGEKPPRSRWRSFFGRNTGTPGSQPDQSDGDRENLP SKWSMGVLNDRETHEVPGSVLLLANLRNEPLGLRNAPARTSHSSLPSQYPSPSPTPSR RQVRRAVSDIASKKKTSDGTIVLEPQPEDSDNDPLNWPAWRRDSALLSLGLYCMVGGG MTSVLAAGFTDVAKTYDVSVPQVALTTGLYMMGLGVGSVFASPTAILYGKRPVYLAGC VLFVATSVWCAASPSYPSLVAARVLQGMSVSPVECLPSATIAEIFFLHERAYRIGIYT LLLLGGKNLVPLVSAAIIEGLDWRWVFWIVAMIVGFCGVLVFFFVPETFWDRTPRPRG RTLSRLPSVVQMYHSVASTHRKKAKGDADGLDDGKNVTSSIPPTPTVGDAPRGPHPRP GLNRKKTPLHVGFSVPSSGHEDGPPDADYFGLPMSTIADRDNVDTPTISINQGEAIET PAPTARPQTSQSESQGQVRAVADLSSSPMRERAESPGLAPGADLSDIPSDLEAAIGRP PGRNPKTGERYTTVLRTAPKRSFIQQLRLYEGRLHQDNWFRVAIRPFILFAYPAVLWS AVVYACSVGWLIVLSETVAVVYRNPETYNFSALGTGLIYLSPFIGGILGTVVAGKVSD IIVRALARRNGGLYEPEFRLVMAIPVAFTTVIGLMGFGWSAENRDSWIVPTIFFGLMS FGCTLGSTTAITFCVDSYRQYAGEALVTLNFSKNVFHGLVFSLFVNKWIDDKGLKDVF LALGGIQLALLAMTVPMYIYGKRARMWTVRMNLMERF VE01_02529 MAIRLPSTTPTVRVCIRSIRQFSSSAPHSSKNRIYTPIRHPAAL HETILLSTSSRTPLITYWSAGYCPPCKVISPILHQIISSDSPPCPADPGNKVGFVEVE FDAPENMGSSLGMDFIISSLPTLVVFDRGEVVDRETDVKNMSNEQWLREWIERQARRH GEGTGGGGTGTGLFGGLFGKL VE01_02530 MDSELSKQSLWVPRRASTASPIESPTSPTSGAQVQPSVPEHTEN DDEPSPLSPSDPTSGEPQQRQRRDSRVKNTGRRIAQIVKLKPEFVEQYKEYHANVWPE VLKQIKECQIRDYSIFHDPGTGILFASFLYVGYGFADDMERMRDNPKVREWWALTDGM QESLVEGAVSSADGGWWREVESVFYAA VE01_02531 MFRDDSFHPSSSQPRQSPPPSPTTRQDEANNLDDVFGSAPSSPT LSYATRSTRNDEPSEVPRLRSAHSTAGYRDGITTAKGTTIQEGFDEGFGLGATMGLRI GSILGTLEGIYAAVAKPDTKRAPALDEGGVQIGTGAQPETERLKALVVKARSDLRTEG VFSRQYWGEDGIWVYDVIESGGGWKDVVDAHPLIQSWEAVVAEEVTKVNLDTSVMDRT EVKRLGEDDEAVNRS VE01_02532 MDIEKTGIQKTGIETTDIEKVDIEKGEFEEPVDWATARWTKERI QSRLLSYTLALCSIAILALIFQPRYTPSDTTDQTPNPQGTIPSYAIDYAPLIWLDVNE EYFPSSLATHIQNTHPSLDHDALTFNTTLDLTNLSLLNALGDEGLNIFLTSNLDVTTL PPWLTGTKPSSTGLTSSTSAAIIAVPRSAGVVDVFYTYFYSFNLGPTVLGQQLGNHVG DWEHNMIRFVDGVPEAMWFSQHGGGQAFAYSAVEKIGKRPVGYSAKGTHANYASPGRH DMLLPGTHLPFDLLLTDYSSNGTLWDPTLNAFWYTYDAITASFTGAAGIGGEEGNPVG AMEFRGRWGDKQYKDGDERQSWWWGWRRWVDGPRGPWDKKLVREGVCPDGGFGGCVVK QDLREEEGRGVWVGSQAWVGRD VE01_02533 MGISKTFAAVAALSAVASAAGSSARSAVATYWGQTGGTLRDYCD TADTDYIPVGFIDYFPAQGNGWPGSNYGSSCWASTYTAPGYNGVDDPLHNRLLDHCTG MAQDIQYCQSKGKKILLSLGGGPNTYSLTGKKDGEAFADFLWAAYGPPTVEWVGPRPF DPLPGTEGEGIATIVDGFDFDIEHPDTDNSVGYIAMINRLRSYFPDGGYLITGAPQCV VNDANMDLMIQGAQFDIIWVQFYNTDGCAARDWVKLNPDYATTGVETTVPKEYPHGGF SYDAWLKRLQAPGSKSKNAKLSIGVLGAPNMDPTIPIEYYLSADQLKPLIDEYYCHDN FGGFMIWDAVFAGKNKDQNGVNFLAQIKNLLVAREAKGCAAPTSTVSSSTKAPVTTST VSSSTKAPVTTSTPGPLTTGPSTTAVTTSTGHWGNNTISDSPTLTSTILRTTVYTVTS CAPTVTNCPLGHVTTATLTDYTTWCPGNPTITPAPTTTSAAKPTKSGKPSTVYTTIIH TITACPPSVTNCPANEKTTSVVTETKAIYTTICDEETTLQTAIPTGGIKAVGTGSPTI PQTPFVGGGGRVGGSVFAAAVVGVLALLM VE01_02534 MILGIFYARFLPQEGIKIVHQKPPGIIVPEPGYETASLLHFDAI TDFVIPRQSFCNRYITGRDPSGQYRILGFPVCVHNEKYARNEFIFNFGIVISTDHDTA RYEPVIRRLATTFTEMEVQTQFLSQEGVADHVQRSIGGLLEIIREDLNNYNECMIPVD KSNTINMKLFPVQCDPPPVKSWHVPIAKIRFDEIVDDRWDLTMRKVIPLIDGVRDVRR IAAAADVSLELTKLTLRHLLYYKTIMLVDMFFFSNIYAVTPLIRDFVNNVDNMQDECA AYVLAQRPKAVGYQLCRLLGTFGQGRTIREWLRLHIDDGQVAVDGLDVRRLVQFGVIK SLLRRVHKFPVSAIYVAELAEGKGVEKGKGKGKGKKGQRVGVDDGEALEKYTDGRHHF DQIITEVNLGEAEIMRGLSRLSAGDVQIVYR VE01_02535 MLIRETEHARQNGEPINVFDLPQLYTKPSSLVLLSALVSLKPKP ISWEESDVDHDQPREDNNAIASYLTKIVSSPLTWLTEGEQVEVWEQASIRLSERSGRN GMPAQTRTFNIPTTPPTSLAIHEPTMTNDNIGFKTWGTALILAQKVLPHIPTYLPHLF PSSLAAPSVLELGAGTGLLGLAAAALWGTEVVMTDLPAIVPNLERNVVANEGAIGEHG GSAKTDILDWSCMGPGQRYDLVIAADPLYDPEHPMWLAAAIDGRLGQDEGARAVIGFP LRDETTRGYGEVLRTELGGRGFEIVKEGEEMGFDDWEVNGERVRVHCWWGIWKRKGAE A VE01_02536 MSQLTPQTHCSACKATAAQWTRANACTPSNLDLSSPGKPYPIPP TFGTDSHPYARQIGETQFMTAPEPEVEVTQNKGWIKPEYDVVVKKDVDMEYVKELVAN IFPPGSAPEEDAIPPPRPNVPDRPPRKCLSSVEIVDAIPPPRPNVPGRPPRKCLSGLG MEDAIPPPRPFVPTPPYERLSGFNQEDVLPNPRPNIPLPPDAPPNQGLSSFNPEDAIP TPRPSIPLPPDAPPNHGLSGFKPEDALPTPRPNIPLPPDAPPNR VE01_02537 MVGTQKWSMEEILWVITMVKQGLKDDEIYEKFCGIFRNARHVRG ASSIKYCRTGFMKQEEYSQEKYWEETPGKIGFVLGRYDAGVYNGQVVADVMHHFPTHI WVPHIKPTARCIDFVITKFSGYTRENPLVEPVLCLIKDGVSPGEIFKTANENGITVDD IYYIMARFWMEVNQRPTAFDNSNYGHSGPSTAGASTSQAAPGNVKAGSLIFELPPTDS KAHGSQHNAHQSSSDTNSRLPRHDPEYEYINRAGIQVAYHGVQGDFESSLLNSGYPQG ISSAAPSQTQLHALQRRSQPGLLQPSQQHDMRFQYPHMPQDSQLQNPMAQQRFEPQNL QGYGGEYPSSTVQQNIPGQFNQQNWVHDFTFGGQRRVSEQPQFSFGGYQQTPGPPVQG SYGSSSQAGQFSYQAMPGHNMTQAFYGHPQILTQEPPQSVIPVAGYENIDPALLGMDT NQASPPDSSIMTLSQPPLTPRIAPQDFLHPFAYSGMQSGQDVSPLTIENPQFPVTLPP TPEVAAHDGVVHRGQSTIPDPFVRGSVLTEQAKLGIDLGHSSGMNGFDQDAFVRALTL ANEAIDTNPGHENSSESIRSVLDVPDQHAGHKKDGEGSIANQGGQNSFQNPKSILHTT LKEEVASTPIANQDPLSEDQPMELDILDEMAEYGELFELFPDLQYQNIQGYNFNYDET MIGSGAIDPFENGLSNDSLEEHGPPQKWPCDNKSSLEAMDALSRSLETRMAGPFLTQN SSEDNDVSGGIQSSDSGVFEDDWAPEGTCCAHHQNFECYFEVPDSDGESATVEKH VE01_02538 MTLFNAPQDEGYSEAPLGTQVAARSSGRMDPTVASWYSSLDVDD RISLAMTIMGDLPTSAVYDIVNAMGPLLHVNFLDRLPPEVCLKILGFLDPLSVLQMAL TSRGSLPLSMDWTLWQQLYYREGWRTNQIELKSFEKELINESLESRSGFRSQGGVVRK QSPSHDRVPPRKQLKLTENNNRPEFYVKDQDDDLEMADVSPRSPPEHKSIFGSPAPQV SRNLAPEGAYASEHPATARRAPPRESLAPQPIMRRPSNRSTKAAPSDLPSMSSLVVLD RRENKRKLNWHYLYAQRRRLEANWEAEKYVNFQLPHPDYPHEAHKECIYTIQHSGKYL VSGSRDRTLRIWNLDTKRLVMPPLQGHQGSVLCLQFDPDPSEDIIVSGSSDSTVRVWQ FSTGRMLQVLEKAHPEPVLNVRFDRRILATCSKDKTIKIFNRRPMHPGELGYPGANEG IQPAVIVLNNYGYNPSPRETLPILPPFTQIGCLEGHGAAVNAIQIYKNEIVSASGDRN VKVWDWPLQTCIRTLVGHQKGIACVQYDGRRIVSGSSDHEVKVFDKQTGLEVASLRGH THLVRTVQAGFGDLPGSEEDDQAAAKNVDNDYFKAVDSGVIPRNLPKTRNRNAGSSRP EDIMAYGAKLPPGGGGGRYGRIVSGSYDESIIIWRRDKEGVWKAKHTFRQVDAARSAL TNFEGNRPPPPSLPAQSAAYFHSLIDLVVPLGANVLRLVIHEHPQIIWYSRLGSAIMA EPLEARRQQLRAVVDHAMHRNTGLPSSDNHGLATRGMAPAQDAPEDSARPQSSVAQSQ IMAEQQSTSIPQTNSESPIQHTQSAPPPPPPIPQPQASSAPPLDTQATIQAVAQAQAT ARAQAQALLHAQAQTQAQAHAQQAAAAAPATPGANMARVFKLQFDARRIICCSQTPII IGWDFANGDAKIEEASRFFGAVE VE01_02539 MAMAHGPGLTRIHTAPTLSTSTRTSRDPFPNKMIGHGPRSSKMS SSTLTSASSASLNTLSTTTTLSQNGSNVVATNNIINQRADASRSLYQICVSLRQRLLL VPGFEQHLQELDDDEIYDDDPVTSLWRCLRKGYPLMTIYNALQPPEPLQVDDKVSEAK KPKSAASKFVLACLKDLKLPPEEVFVLNDLFGDDTTGFVKVTQVLNVVLDVAEQRGLL LTPEAEAETPNAAVGGKMSYRDHVVRELVDTERKYVQDLENLHELKKQIEQKGVIPGD VVHAIFLNINAILDFQRRFLIRIETTNSQPDARQEWGAPFVVHEESFGIYQPFIANQR RAADIAKREFDKISLADHPVVVDFNTLDGFLLKPMQRLVKYPLLLKDLRDKTNADDQT KAELTAGMEASNRVLHQANAAVDRELRNEALVDLCTRVDDWKNHDINQFGDLLLHGVF TVITGKSDLEKEYTIYLFERILLCCKEVNPNKATKDKLMGGGQKDKKAAKDKKDKSGK TIKLQLKGRIFMTNVTDVVSMVKPGSYTVQIWWKGDPGIENFMIRFSHEEVMKKWSAA VDKQRKDCLDREKGPEVGTPEFAWMQAQNAPIQNPYAQPEDDDDDDDDYPQLANSGVF AEQAIPPEQSSFGMNRNTSSTSLRSRSTTGESIQSIAGVVRQAPPRFPMGPQPPLSLQ TQMPLQTPPAGARGGDSYFSPVAESPASSRTSQNSMFPFPRQGSITGNWEDHNRYTAP AIGRTPSRESQQSINYRNNPQRPSLPVMSSQQAQMVNAQNRSRSYSTPDINAQVNAAR RRNDSQPVPAVPGIPPHLHPAYDNSIPRSSNVSPINGIPVRSATQSPGTQRERSQPPQ AGYPAVPPAYLRSNTTPVLATVQGGEDRTQTMSPPLNNSLPGMDMPLPTQLKVKVNCD GNYVTLVVAFNITYQSLIDRIDAKVGRFSNNAIARGTMRLRYQDEDGDYVTIESDDDI HIAFQEWREQQIEGLKNGMLGEIELFCASIE VE01_02540 MNTFGGGSSSESESEDDFLHPSADPNADEFADYNPRKRRRTGRD AKESAALGVFGSESEDEGPGRRWKTKTLRGKGMAFVSSGDNLLKDEEDNEEGDHTTAR VGGQYLEDAEDVDMDDAADDTDEVPRAGLGAQSAPGLGQRKPGLGGGLGWQSPAARSM GLGNGDSTASPLGRGFMPSSAAIPVLKDFSDEKPVKRVAMPSAFSTPTAAKGGRPGAQ KSAPINAGSFAARMMAKMGYKEGEGLGKEGQGRSGVIEVQLRPQGAGLGAVKEKSKQE KEEEKRQAAKRGEVLEDSEEEERKRRRRKAKTSGTDSGVSTPKRRPKPKFQSLPELQK AAPGLKIPDAFTPILDMTGSGQKFLTASSGLATPTSGVVESTEVVEARKLARRAQNDL SSFVEEWKNLEERKAWVEMEILQQQQAVDEQNGSSDQLRKAAEIVEGLSVAVRDGQWD PVIGALTEVEKLDVAGNDELASIAVAAVHPFLRQAIEGWQPLEDPKLSGVASDGFVSA ISGISKLLGMIPEPNGSSAHTTKPHSNRVHKTTPYESLIYTVWFPKVRSAITNTWDVQ NPTPLLTLLDLWTPLLPAFIKSQIFEHLIFRKLDEAIADWNPKKRSRTNSLPHMWLFP WLQHLPAHHLDPRSSSGLVADVKRKFRVLIDSWDFRRGVIPGLTQWKDLLRPSTSSKV DSWTPLLLNHVLPNLASYLRNNFQVTPQDQEPYLKTLTNVLAWQPILGNRITGQLLVD ELFPMWHDVLHQWLTLDDVNYLEIRDWFDFWRSIIPASLSSVPAVQQEWQKGNSLINT ALDLGARAKTDLPAPTRPSRVSPAAQPAPEPAAPKMEKPELEEVTFRHQLEDWCMARD LQFIPEKSTLEANGPVYRVTAAAIGKGGVLIYLRGDSIYAQIKRGTWTPLGKEMDALF ELAHR VE01_02541 MAEAEEDFSALPLPDRFQHKNWKVRKGGYEDAVKQFEVTPDEHD PAFKPFIQDSGSLWKGAAADSNVAAQQDGVAALCAFLKFGGRECSTRTRGNVVSSLAE KGLSSTRAATKASSLEGILLYVELDTAGPVIEELLPSLGNKQPKVVAATLAALTAIFH NFGCKTADPKPVLKILPKAFGHADKNVRAEATNLTLEFYRWLRDAMKPMFWGELKPTQ QTDLEAQFEKLKAEPAPKQERFLRSQQAAMARAPPPGADAGPEEEEEEEEVVEVDVFD LAEPQDVLSKVSPDFSDQLASSKWKERKEACEALFKILDVPRIKDGDYGEVTRGLAKC MKDANVAVVTTAANCIEVLAKGLRKPFGKYRSTIMGPVLERLKEKKVAVSDALGAALD QVFMATSLGDCLEEILECLKHKNPQVKEGTMKFLIRSLKTTREAPAKPEVASIAEAGK KLLSESSEGLRSGGAEVLGTLMKIMGERAMGPHLEGLDEIRKTKIKEFFDAAEVKAKD KPKPVPQAAPPKAAAAPAAARKVVAKKPAAAKKAPAPPSIYAQQPQAALEPQPTARGV SKLGPKPGLKAPIKRTLAGPGGAPAPNLASPRRPLSQAPAPMEEEVIPAPRIGLGGRG LAGRPLGKPAPAPRMDSPSPPPSSGFSALEKAELDELRLDKDRLLRNCDDLRQERSRL NSEVQELRNQNAQLIEDHTRDMLSIKAKETQLVRARSEAEAADAMCAQLRREMERLKR ALTAAERAGSGPVSPGGMGERSVSGGSDEAGIYRDTGMYGQESRRTPGFGGRGGYGEE KENGNGYESPGAGMRKLRSPDLLSRGLSRTGSTSSGRSPAREAYRGGREASNPSPAPG DGQPVESWKRAAEVTSALKAKIEQMKARQGISRP VE01_02542 MSDTTNSSPSFPTLSDIGDALSDITTADWESAIHPPTLSGIAKE LLLVEAHYATEEAGLRKQIEELESTVRRQAKSMARQDVIIKIQEEEMELLDGLIKSRN KSIDEKNETIKRQGECMKVSDSRIATLLGQWDTLQSKGEHQSPYRNKIICVPIPPNSL SHEDSPLSHTPGTDSLSTPKAAVASFLASPASTLMQVNPFATPSVSPASTPVQIDVFS DKRANSPAKSMSTLEAALHPSVKPVVSTPTTTANTPAILLPTPKTTLPTPDKLVPPPT PKQNGESKFSFGKPAPFFTFGDPIPVSPSKFARGLKPHHTHTRSKSAELRASPAMSPF SSRKPFEESEGWKPEDWNKYLRFGPPTAENVF VE01_02543 MSSAAEIETYIGPLTKELRAASQQLLNAEKAVKAVSDSCIQYAD EITQIPLVQKRFEELRGQIVDKDATISNQKIALDVLEQRASDKEQAAKSEVAANRAEK ERLQSEKEDILRQREADDEKLRAREEKLRVEAVNELSRLKGVQDREFIAQRKILERDL EKKEKEQAGKVRKLEATSKKALDTINELKLQVETQRNELIVMAGKFEDLDKAKDMYKM EKEQLAMKLEDLQKEFSLSSKPLEYYNGEFLKISTKIQAISSQYLARKLSNEEMRNLP AKISASDSAFTSVPLSNSETSLQLRTAHAQRVISDAVYNTVWRHFSSDITSDDLRLST FIEKMGSAVAKSDGSGRSADVWRAVTVRTLESLSTTETRRQEGILQEAGTAKSFKCRE DKLVNLVLSILGSLVDPPSLPQFKADLLDIARDAISVWTSAQSDERTFTINSTLNQEN KRDWNVAALDYDSLYADGGPQQVVESKPFTEVFTLFPIIIATKRVQAPKAATGPPGSW PEQDQQALGKEVTLIHNGLGLPQESEMVQRGIEEVEDKKRLRLELDELLVQEMAEKGR GHSRNNSTVVTASGPSSPIQRWSENRRNGHPTEI VE01_02544 MADPLSVTASLLALVTFAAKSTQSLHQLISSFKSSQRTIRGLKE ELEALNEVLQSLHATISNGKVDLTSLTLPLTRCNQACQEFEAIVLKCTKHSSGTRRSL RDWTTLTYMGGDINAFKNMLGGYKSTIAIALGDANIRTTNVTISLLNEYNTMIANTTS DLKEHLQELDEKLQAISQGAQAFNVDNLEQQRIKEERESAQSCLDICIQVSAHIDDVQ QNAFVNTAGTSANNQDSAAESKKFTLAEAVTNNTLTDCKLGLAQTTSQLREQLHRINE QMRSSSQLLTPSGEQAIEQERIREELRSVEQSLKICSEAAEKAVPDRIHVFEDVLMGD DGDQIIVATFGDLISAKRVTTGSRSRQFLGQMSDTSLQLLSQKISRGPLEVDVDRSTG SNSPFEGRYGTGRPLNPGRLASEKLGTLASINNLAVALRLQGKYVNSHLVRAEDDNDI PINPTGPIQKIIDSASAASNIQVNRAGVFDRALDSSPMTNFNPANLPGTVDIPGLSMA ALSNHGFPSTYTPLSDYEPIVTPTYPFDTLVSTPNMQYVPPILAVSPHNSSTPIVPAA ALSIHSAPSRPQRTRNLQVKPIEVPLSSLGSQFRHSKYEYDSD VE01_02545 MNLLHPGAGLHGHEYSKNAPKSRSPSPRITLHRSPFSAPTKTTS QLDTTPSRPSLRTTTTGQFSPTKPRDTPDRVAFLDPDFDEVMSDDGRSCGYSDGSAAA RGRSKRKGRHRSTSYYLAHPAPTLTQKQRLLHIRPKLLLQLQQLSADARPRPMVDVIS SSTFVPRLSKKFPNLYRGSGELKINDVMIVRSDDYDALDEDKEVESVVEEAARDRDVL AVICQVPKGDLGTVEICLEDGSRWTAGPSSKGVLELVKTDPLTGERTIGRWVPRGTSR RNSLQTPARGAAAAGGAEPRYQFSFIDPSTRRHPILATLTQGTLKISDTYSPVANSEE EHPPVSSRTSLDGGEQGDSDSNVTVSVDRKVLTVYEEQRLLIEVSAVWVALKQGWCPS FKYGDYCSPTSSGKFATPSSSSTPSSKGERPRSFSLTPSGAARHRRSRSNTVTSIPES VDSSTGTTRSRRGVFARATFSASTFSAALAESEAGSGAGNKRNSAPPSAFQQQQFKPP ERSFSNGAAFMQKAAKKKAGRPASSSGSEGVRTPGRGSSLDMVEGGVLGPGLGVVGRE VPVKERRRPVSEVVGRSMAREVGGKKTPPPPPVVKKEKEKENRRFSSIIKFFRRGKGG RS VE01_02546 MGLASKMAAAQGAAGAYGAPQPPYPGGPAGGQPPYPGGPAGGQP PYPGGPAQGGQPPYPGGGAPAYQAYPGAAPQGQGPPQGQYGQQQAYGAPPPQQGYPQQ GGQKPVRQAQTNPQAYRGLLQATIQEKNLQSFFSNPATLDQICQGAPGKVQHLVQTWK VEPEVGQDIVKLALFDIILYVDDSGSMQFEENGERISDLKLILSKVAFAASLFDSDGI EVRFMNSEIQGNNIRNEAEVEQLISRVQFKGLTPMGTQLRNKVLEPLVIQKVRSNQLR KPILVITITDGQPAGEASTAVFDAIRYTSGELARSPYGKGAVSYQFAQVGNDLKAREF LGKLDDEPGIGELIDSTSNFEVEQDEMSRANPPVDLTPDLWLCKLLLGAIDSSYDTKD EKSNRPAGGQQAYGAPQGQYGAPQGQYGAPPPGGQPPYGAPQGQGQYGAPPPGQYGAP PPGQYGQQPPYPPQGQGQYGQQPPQGQGQYGQQPPYGQQQGQYGAPQGGQQGYPPPPQ QQGQYGQQQYGAQGGYPPQGQAPHKPPY VE01_02547 MYSALGTLLFTQCLIRICDAVPDSVVVRENINTADLAQALVIPP SQYFEGIDGPWSTFNLRVGTPEQDVRVTISTTSPETLVVLSEYGCSTKVFATVPAGCA SSRGMMFSPNTSSTWIDNGFFAINQDGVGLEANLGYSQAANFGTETLGLGLVAGANGV TLKNQTVGGIASTSPFYLGIFGLGTQPANLSSLGNSTGPTYFTSLKNQNVIPSLSWSY TAGAMYRLKQVYGQLIFGGYDTSRFTSNSVSFTLAQDVSRDIVVSLQSISYSGTVQAS LLASPILIFIDSTDPNLWLPETACKQFETAFGLTLDDTTGLYLVNDSHHQTLLTENAQ VRFRLADTPTGGEAVTIVLPYSAFDLKAEYPMVANSSRYFPLKKAANETQYTLGRTFL QEAYLTVDYERGNFSVSPCSWVEGAAANVVTTASKDSTAVNSEGPSTTGTEGTTGSPE STKSGLSTGAIAGISVAAAVIVLALAIGGYFFLKKQRQKQASMQTITSVDPNDDDAII ADSKSIQSHQLSNLGEVPKHELNDTQIYQMHAGDEPTHELNDTQIYQMQDAGGVANQE SKGHVAYELTGSEVPRVELDAAEKSKPTSP VE01_02548 MSFASAPCALLALFFFAKATNAVAVPVGEVNSTAVSATSTGLPS TITQAPNLPISDQVVPINTCSYYNSTISQYQWNDPWCSIQAGTVQLTYWPTDTNYSYP ATIYDSQLDYTFTSPSVYMVVNTIYGWNPCGPLGPTTSNGIFGFDLTDVSTLVPYDDM TATTRRATRQLYLSDLGKNCPTTENSEAMTNTHPVKNADSRCNPNLVIPKAIKQMGLP YWNHCGNVGNKFGLFDPPYAMPTLDGLFPVTTTAPVVPPVVPTTSAATGPTAVPDLPT NTVAVPEPTAPTPDVPVVNKPTPDVPVVNQPTPDTPVVNQPNPDTPVVNQPNPDTPVV SVPAEVSSAAGAAPTLPQQVVSLGPGGFEVIHSEGAVPSTYLVPAIGATEVGVGVAPA SVVVYQGQTVTAGGAAVTVTNPAAEVNAGGNAAGTEAATEADNATSTSPVYSGSANKV LGSTVCLGAGFLVAVLML VE01_02549 MNNFGNGSLHAQTPHSDAFSRSTSPGLGWDGSSSDSEREHRYRP RTFPYFKLLPYPVEADTERNVALQEILKQLYTAVRAEDFAPGAVHWTRELKGWLGLKF EITRELRVKLAKLYYMLSLAPGLDPSSAERFLTMFLVLTKKKHYLKPGKDLTLDWRPL WSEIRSLVLPTETAPHQNLRRRPSRHLARFCMLAQLYFDPRERQAMFEEIFPYFSTSE VSGAFIVGGVLNVLMPTTAAPDEPGQLQPADYLPTFFHLWALVNRSKVFDTIFIDLFS RLARDILACEHVPFSEHGVFSKAQSDLIFTAILRLTEIPVGQASSPYSGNVDLGVGAA LYLERDKKKHPIAYTISRWIVMSLSPACLDAPGSILANLEGLIESVDTFFHPSNQGGW TTMLSQLTYYLVDFFVMRWNRERSGEMDTPPERRLNDALKRRFVLCLKEVTFMGIFAK SSKSLNHYLSALQGLAYLEPSVILPGALQRFYPSLQGLVEVHRTSSSLRGLQMVAPIM AREKGFRCHITALLALALPGIDANDLDKTMNTLTFFQAVAYSIPFVDITRPDGGIHDT SLAMQWVQGEMEKMEIEGQDVVLDYKEGLSDEDEVNILRSSTAGFAEFVRALLGKIFT LLENLPDAARVRSGSPEENVINTLPAALTPLFAAMSPEVFEVALEKLAAFVGGHVVHQ ARDAVAFMTNAMCKANPKKTLRTFVPMLIVGIRNEIDHNGAASDRSSGTDVLPRDRAL VWHISMLSMIIVHVGADVMDYKTELFDIALYMQEKCRGLPTVHISNYIHHLLLNLTLT YPVDNALYEPSVIARGVDAQDWGRQTPLSELTINWHRPSKEEIAFAVELFESQVKTAT ERLTDLMSDNPSVSRSGKVKVWSDEVSRNLTQLRLVISGLSALFDPKEASGERGTHKA NGEGDADRDLDMTDADGNEDDEENSLLAEGDDDETRPQFHYQAGYLLQPDSPEFVRIH VLRSEVGQLLSRTHAFLNQHQEDDVACFTALYSAYKVWITDVGTERSAHTLDRVIKLY NADINPFKVSGLRKNYPRPLLIKRASVYHLQRVRHNASGRQKCGTDKALLLDLTQSSM SLYTEVRRNAQAAAESALKVLIGGRPLIIPPLLKAFKAALAAKDLDRVKGGLYTLLFG SLLKTISKDWRVAPEMIRLYLEACAVDKASIQKLTGGALFALSEFGRPLERMVILDEE LLADIQPSESVVAAINSRHDFIVERRAAVEAKKREFAEELVEMLKTAHWAVASRCVLF INNIGLRFETIAPDSFIAISAQGAIDTHPGLRACYAGAFTRIFSTIDLRAVYAHEYKN FLLEKETEPNKIQVPVDRSDPNLTQNFLDSFADPAMPEYFVDSDHPGWLVWGNKFNAF TARPTKRFDGYDELEMKTRIHIGKLITREWYAKYFAYLKQEPRDTRSDQFRMASVMLL MHTFDLLYDGLTAATLEDVKEEVTRVFEDGSDKHQHRATAEIIGALLAGVMDEPLELR DKIWGYAVPIMQGVFADGLTPENIAYWMTCLHLITNSKDPRRLREVVDRLAAFRLDMT SNAAFKESSKIQLLEFAIADAGWHFRLEKPIVQDFLDHIDHPYKSVREAMGRTLATIF RTRYYESFKDVPALLAANKAESTIGIEPYVPTDEFAATITGVFTQLAKWRTERTPGQQ TPSSYTSGSKTVMLWLDTTLCSYECTSLLPFFADTFMEELLHMMDVKEDPELQRLAYH VYRHLPNIPIRGSDAAFIDALIRIGRSSTSWHQRLRTLINMQVLYFRRIFLIAPAQQS ALFAAVSAMLQDTQLEVRMGAATTLAGMIRCSPLALRTSAITALIKEFSDLLDANPMP RKRPGTDTPVDHAKQVLRRHAAVLGLGALVQAFPYATPPPAWMPGVLAMLARRAAADA GAVGKTVKGVLADFKKTRQDTWGTDQKYFTPEQLEDLEGVLWKSYFA VE01_02550 MAASEGPNLQDWNISKSAFLPPTNRGALRAWDRAKVPSRAPRLH GKKVWKKVARAFEKDTNDEEAQLELQKEGTGSRKKSRATSAKQNIRDAEWDGTEWEGF PDEISTAVESAAHAGDDESSIQDDLKFVPRKRRNDNKVVSPKKSLRQTKLNIQAQSEP LQISPEGAIKRATRPRKSLRKSLRRSMLIDTEAVTIPPQTESPKITPDFDLANEVSML EVFSPVIRSPLKSPGKKQRSRAAKEQRSSAGGDLRLSGAFFAGADNESSAPNGPSTDN AGVMGTPVRAAKATAVPATAPPKVHLSRSELENQMVNFFTPIAKMKKVKRRSSPIKSY LQELLEKKSLPLEPLEKETEECLALADDHISTGTGDVEQGQEQKDEASTEPATSESDA PSTDDAQEIPVEHEENVTSATDITSEEQEATDAEANDASEDPEPAEADNTSPTQPEQP VFEHTEDATHSDSPSESSESADSHESAESAESASFTPPAVDYDHDDTDMLRSFLTRVQ ASKASKSSPKRKRSPHSPLRIPLGDMDSNLSPSPVKTASKEPVDPTSSSPVKRSKRLN SSAPGDEPTEPQSIRRSGRTRLPVKSAAPGAPSFIPMRRLGGEDTTLTLGGKNEVKEL AALTRVNTRKNKAGALGAMELLAKKAEEKDDPVLRQRLLKEVFDDKEKKGKGGKPKKA VVWAEELTCVREFDEKKIISKSIAGPKGATKKKTVVVEEDKEEKATKVRVGMASSRES KIALGMGVNGTPAPKRRIREPRVKV VE01_02551 MPPKKVAVPKAVATRLPPLPKLRVKRPNQNNNNPCLGIMTSVLT CWASSGYSVAGCSALETSLRVCMDTPRSKDLKKNTINYHLSRMYPQIVGPRKRK VE01_02552 MPKPLFLTRPLDSPHQSLLYLFIAYKSLVLLIALFSPGPGYDTS ASLLTLPVNDGSSLTTFFELVAEKLTSWDAIYFTTVARRGYLYEQEWAFAWGWTNLIS FFSSDLGQTSLAYLPLESLVGATIAHASHGLSVFALYHLACAIFPGPSGPQLAFIAGC LHILSPAGIFLSAPCGESTAAFLTFAGVLFFAWGVPVGGVSSVRQDGFVVLAGVTLGL ATTVRSNALLNGIVFAEEAVLVAWSVTKGLTVQKIRRLAAVGAGGCCIAVGFLLPQYI AYQEYCSSASPRPWCASLAPSIYTFVQEHYWDVGFLRYWKVSNIPLFLLAAPALMILL SSGLRTADLTSRETTRPSHKVDHYKVTPTERALSQRTIRLLRSLAYPQIVLAVLAITN YHVQIITRLASASPVWYLWLAYSLLDAGQVEGKAKVRNMWPNNNFGSNNFGSNSAPTH SGARDNNNNNQSNTNQENYQGGEINQGSEDFVNYPHHTAGFPVYPLFPFEYYPPPSSN YFGGYMPNVFHPMPGFGNNEFIQASFTNPANPEQAMEPLALARPGVSTHSVQATRSIT SARPDTPTEPAALRKPVANMALNSRAEELKAQLIKSKEERAKAKNAQKSDGAVAGTLD PTSLEMASLLRGSPTMPRNQPKGPRSCSIVSSTGPKIITKEIISGNTGPNLARKTLAC DILGRPKADENEVAKLIEAGRAAVEENCKKNLSSNGLPTSGIGPSPDATKVVQNTAQS KGSQTQLNLMHSKPASTQARASQDIEQRRTVPKEEHEKVLTDELVLEVVRKEKLPSTP SAVEMQGLIGFHRSAITPDKKPAPRHEYSGQRGSNANTIDKPRLDSCGKDKDNSNANN RNSINMIHSPKEEKHSPLEKVLLSNDDLRDWLKLTKWDNLAHRKRALGRHRAIIAINT EKATERAHLLESAAKIEALDKEKAKLEAQMTDDEDVFADRFPGRSKTRSTARGGSDED SVNSPGQVTEPTPASVAPRKRSFSTFSNTNHVSVRPKSRRHYASDVRRSSPSNSGQEL FHDRHDRRGRSRERRSYEDRRDMSPSLKSFLEREDTREEREAAARRHNTGFRGYHRDE HRPYCGYRWRGRGRGRGDFDERR VE01_02553 MAAAMLSEEQVQQSMPTSEDDAEYEEDYDQDVDMGANAEAFAAN NQLLNGVQQNHTDGSAYDEHGDENNEDEDEDQRQEDDLSDRDAEGEDDYEQDHGDTIR MSMGADGAMDDEEENEDDEDGEGVGAVKIQPRELEDDEEDAEFGQEDAEESEDAADDA SHDSSDESEVEAEAEWEAAVAQDDEAEPIDPNRCIFCHQDEDHDPSPEFEEYLACAVC GDNAHRQCSRDAEALEVDEDAAKWRCPGCVSNALEPETVNQESPSVITLQRSSAPKLA RDLLPSQRGAIKPDSHSVFNNLIVDDDPMDGSRLLRKRKTSSAEAEEGVISSIGKRRK RTSDGSSNAIMTDAEAAENIDGHKLEPAEGFNNENKAAEPASSRPSRSLRPLKVTKPP VTIEKHSRTSLIIRIRLNATDLARILSNPPKPVKKRPRSTPAARRASISAPPPAYVPR IYSQPFYSFHDKDMDELKSKPYGGILTEAQSDTTKTLPQADDRRMFDNARQKAEEEWA QKTALEHAEAENVKKPRKVSGPASQIECINFGGYEIDTWYAAPYPEEYSRNRVLHICE FCLKYMNSEYVAWRHKMKCAAKHPPGDEIYRDVSISVFEVDGRKNPVYCQNLCLLAKL FLGSKTLYYDVEPFLFYVMTEYDEFGCHFVGYFSKEKRPSSQNNVSCILTLPIHQRKG YGNLLIDFSYLLTLVERKSGSPEKPLSDMGLVSYRNYWRLVLCYRLLDTREQKRSISV IELSQQTGMTADDIVSALEGLRALVRDPVTGTYALRLDYDFYEEYIKKWEDKNYVRLN PHGLVWTPYIMGRNNQNIIEHAPPMSAVAPREDEDEDEDEEDENPAGATQPALTNGDI ESGQSNGLTNGVSFASLDVEGEQADEMDLDPSLAETSGQGSRPATETTPTPASPPSSR PSLQPFTNGGPHPDYPLAINTVDDFSPIPPSRFEIYPPINASRIKAPRLSLSQPSGTP RSARPTASRPSTARRATGSRARSTGSSRKASRSRRSAGGTGRGPGRWPKGTKKSDFGN ADSGPGLPPRLKERSRLGVEVSLGESLDEGKEESSEEDEGILYETPGMSRRRNGGGQG KGKGKMVISDEEDFVVGDVDVDAEGEDDE VE01_02554 MGVPFETLIPFAIMLTMFGITGAGLSKVRAMQNGGKRGRHSVDQ WDSVLMDRDRRLTGFLRGQTGSAIAPPGFELNNPWRLEKKFR VE01_02555 MLPTADPFSHALSSNASWASYKSHQNPAFFPTLATGQTPTILWL GCSDSRIPETTVLGLQPGDVFVHRNIANIVSPTDINSSAVIEYAVAHLKVQHIVLCGH TSCGGAGAALGDSRVGGVLDTWLTPLKKLRRENKAELEAITDQAARAARLAELNVAAG VETLLSNVVVEDAVNERGLLVHGVLFDIGSGKLRDLGVGNSKANFVESERIVRGNHGM LVFGGEGSTLATR VE01_02556 MPPKSTKKVAAAPFPQGKVGAASKKAAKNPLIEKRTRNHGVGQA IQPKRNLSRMVRWPEYVRLQRQKKILNLRLKVPPAIAQFQNVLDRNTAAQAFKLLNKY RPESKAEKKERLVKEASAIAEGKKKEDVSKKPYAVKYGLNHVVGLIENKKAALVLIPN DVDPIELVIFLPALCRKMGIPYAIVKGKARLGTVVHKKTAAVLAITEVRSEDKTELSK LVQAIKDGYTDKYEEAKRQWGGGIMGAKANARQEKKRKALESAIKI VE01_02557 MAPSICAICETNRALIIRPKNHQKLCKVCFIQIFEDEIHHTITT TNLFTPGDRIAIGASGGKDSTVLASVLKTLNERHNYGLDLVLLSIDEGIKGYRDDSLE TVKRNAEQYEMPLKIVGYDELYGWTMDQVVATIGKKGNCTYCGVFRRQALDRGANMLG INHVVTGHNADDVAETILMNLLRGDLPRLARSTSIITGDATSSVRRSKPLKYAYEKEI VLYAHHKKLDYFSTECIYSPEAFRGSARTLIKALERVRPSAILDVVRSGEDMAKLVPA EVTGGPKCAGRKAEVLAADREEEGAGGCGSGNGRSSGSEMADMEKQLRDNEGAGEREV EITSAQVPRRRDTNSSMASSRPGNLRKEVMGRKLPRQTLGTCQRCGYMSSQAICKACT LLEGLNKNRPQVEIEVDAEDEDDSSTLRRKMEGIALTAG VE01_02558 MPLTVLTDADVCQVLESLTIDDAHALQKSLRKALHEYSTGTQGE AACAMHQPERTAMVAPSGKTTLFMPSTSSSGIGMKVVTLATPGATGPTAATADMTPHG ALTIMSSNGTPTGFLNAEEVTAFRTALCSSLLFCRRKSFKSITVFGAGRQAFWHIRLA LILRGSSLKTVNIISRTFSDRVRDLLKTLYSIDPAIKQREGWENVKFSILTPGYGEYA RLLKEQLRAADVIITTVPSTTPLFDHTILTSTEGRRRGRLIIAIGSYKPHMIEIPVEL FQQAVKPHEHRHYHKHASEGGVVVVDTLTGCLKEAGEIIQSGLSPNQLVEVGELVMLE GQLAGDSSDDHEVDADGKQIDLDSLRRELSDISSSGEGSMHAVMREGSDLSTVSTEST GRKSMDSARSSKSSSRKSLGPGSIGSKIRSLSMDNRKVKSKDDAMATWLGEGNVVYKC VGMGLMDLVVGGGLITLAREKGVGTTIPNF VE01_02559 MADKASDKEIKMEYTRLGKSGLNISKLILGGMSYGSSEWWEWVL NEEAALPLLKHAYDAGITTWDTADMYSNGESERILGKAIKKYNIPRNRVVLLTKCYFG VADPEEGQQRVYATPGGSIDPKWVNRIGLSRKHIFDAVDASVERLGTYIDVLQIHRLD HETPREEIMKALNDIVESGKVRYIGASSMAAWEFQTLQNIAEKNGWHKFISMQNYYNL IYREEEREMIPYCKDTGVGLIPWSPMARGALARPYDSRSTVREGSDQVLSGIVRKESE IDKAVVGRVQEVADKLKVSMARVAIAWALQKGTNPIVGLSSKERIDEAVEAVKWQSGG GLTAEDCKYLEDGYAPKHVSFI VE01_02560 MANLLGLSKRTGATALEQELNIRIWWTLCMVDVWSSKSVRLPRQ MSHFSDLPLPIDEYTFLQWRRGAQDNIEVSSDRSSSLIAQMILLNRILMEINDAITQT VTSGTVTLVLDKTAEDLSLKLDNWYNALPEYMHNTPENLQRYASQGLGRIFVAVYLGY YHYGQLLFYQFLNDDQHSTGGNRYAKKCKEHAANLCLIVYAAQETPGCEVRYNMVGHI LVIASTVQIHTLLFGTDEAEIAVARQRVVRNFQILTDMQRWWAWLDMCFVRLHIFHDM CRTSMATSFRMDEWMMKFLSEFSKPIEEKTEDSAQAALDWARNIEAYTQGPVEEQTSV SVCPSPSPRYNVLPP VE01_02561 MALTSITTFPADIPNWNNLSVIHQNTLPPRASFLPYRNEEDALS HESSKATVQSLSGTWKFKLANSPFEAPEDFQLPEHDVSDWAPIQVPGMWQLQGHGKGP QYTNVIFPFPVDPPNVPFDNNETGSYVRTFRVLEPFRDHQQRLRFEGVDSAFNVWVNG KHVGYSQGARNPSEFDISNVVDVNGENTLAVQVYQWCDGSYIEDQDQWWLSGIFRDVA LVAFPKVHIQDFHVQTLLDDKYEDAILSVDVELSSSAKVSLNLRDKDNAIIASASDSS NGNSVKFRIPIKNPHKWTAETPYLYHLSLSVDDCSIASYVGFRKLELRDGLFLVNGKR IVFRGVNRHEHHPLTGRTVPLEFLEKDLLLMKTHNINAIRTSHQPSDPRLYDLADKLG LWIMDEADVECHGFSHVDDAALDEEQKKLSFEEKKAMTYGNAARWTSDNPAWEESYVD RAKQLVMRDKNHASVIMWSLGNEAFYGCNFQKMYDWIKSYDQTRLVHYEGDTHAQTVD VYSRMYPQVSWIIDFATKEEKWEKPLILCEFVHAMGNGPGAIKEYMDAFYKYPRLQGG FVWEWANHGLKTKAVTGEEFYGYGGDFGDTPNDGNFVMDGLLFSDHTPTPGLIEYKKA IEPVQVIGGSYNEVEVINRYDFATLDHLQCKWSIVGDRYEELGGDISIPKGIQPGQTA KLAIPFTAIDSVPAGAVLQVTFTLKEATIWAEAGHEIAWGQIELKPAASLQSLTPPSS TCPTLIQKSPTLLSISSATSAWEFNLVTGSLSSWKKGGSEIIHTAPVMDFYRPQTDND FPHDGAEWKEKHLEQTAEHTRQVGWGTSGTNVTVTVQKRIAPPVLEWSVDTTTTYTFG ETSVGIKVTGTTQGANLPKTWARIGLTMALNSEVNGVEWFGRGPGESYSDKKLSQRIG TWKSPVDALFTNYEYPQESGNRTDVRWVAFQDGTGAPLLKASFGDKEGCSFSASHYTA ADIDKATHPYLLEREKREEVIVRLDWRHHGLGTGSCGPKTMEEYALKSGPFEFSLLLE VE01_02562 MASDTKNADMDTKDGDFDHLEKETGNLKEINAASVALSAAMAAQ KPSLLSKSMLKLYFIMAVGYMVSTMNGFDSSLMGAVNAMKPYQETFGLNGAGSSTGVV FIIYNLGQIAAFPFCGFLADGYGRRVCIFVGCLVVVIGTAVQASCHELNVFMGGRFIL GFGAALASGAGPAYTVELAHPAYRGTMAGMYNNFWWFGSILAGWATYGSNKNYATSWA WRIPTLVQAGLPIIVMVLILFFPESPRWLIYHDRPEEALAIFAKYHGDGDENAPVVQL QYHEVLEQMNLTRNDNPWWDFRELVNTAGARYRLYMVVLMSFFGQWSGNNVVSYFLPL MMEQAGLKDPNQQLLINAILPIFTMIGACYGATLLDRLGRRVMLLGGLTGALFSYVLL TAFTAQSEKHPSLSYGVIVSVFLFMVTFAWGFTPLQTLYSVECLENRTRAKGSGLNFL FLNVAMVVNTYGISVGIAAIGWKLYLVYIGWICVEMVVIYFFFVETAGKTLEQMSSIF DAPNPRKESTKKTTVLIDDRGNVVDIQDPVRLV VE01_02563 MNTLETLEVLDIVIIGAGPCALAVAARMRETTPSALFTDAEHQR YHWIKRHKHRVKIVPTKGRATNKILVEDKSEKPCGPICKPPNYSIAVYDSSGSDWMTK WTCLFRAYNIKTLRSPLFFHVDPRDRDGLKAYAYAKGREKEMIELKGVVGKEISKHLM KKKLKRGPSVNAHTGKSIDERDMQDYYTPSTSLFNDYCQDCIDRYSLNNLVTQADVHS IEYDEHGTDERPDNCFTIKTSTGTQLARTVVLAIGPGLPAPPPFTSNEAEGACHTSQL LKQECLAPHVKRKVDAKRTTNIIVIGGGLTSAQIVDLCIKKGVSKVWHIMRDNFKIKH FDLSLDWVAKYKNISQAAFWSADTDEERFEMILSARNGGSITPAYKAVLESHQTKGKV LRHTKTQIVGKSWDSTSKLWTIQTDPPILDLPPIDYIYYATGAKADIKTMPLLQPLLK SHPIETVGGLPCLTYDMQWSKDVPLFVTGRLAGLQLGPGAANLEGARAGAERIAWRLQ EILGEVDGDGDCNECIDEMDELRDRAERSWTHLNMFDTLSVRGGD VE01_02564 MKFQLSTFVGLLLSLTALAAPTAEDATALADVVDARSEAQDTSP EALGLEARKVTTCIIINSDSKRVNCRKGPGFSYPDIGESVYIEVGNAYPFGCYKKGDC YQGNCTWQKLATINCYVNGYYTDPRCTAAALGKC VE01_02565 MNPIWHSKRVQRSAFFIFIFIAAIALFAYGGDNLPSASGVFRSG SLSKGEKEQKANEPLGPNVEPLYSGSEYDTEHMPKIPETPHTGQGAATVAPGLDPKRI ALIIETRPTNVLPPLLTHFIATLPPAWVVKLVGSQEAFAVVHKSYSLKQHIASKKLQL QELPSYYPVDSSESISQTLTNVTFYSEFLAPAEWALFFQTDSIICSASEQNIDDWVAK GYDWTGAPWNGDVPGGNGGLSLRHIPPIIEVLKKDSREPGHRQWEDTWVCERLKNAAP AQEEKYFSVESLYVERPLGYHIRGSGKLQDPIVWGNATRRRQIFDYCPETKILLGNMK MMSMDDAADLKKEQAADAEKARKDAEDAKKEAEKPKTEEKANTEEKPKTEETPKADDA AAASVKDKKIATDDKKPATEGEKKSSSTTTAAASTSSAKAKETTSQTSAANPAKTSGS TDYADS VE01_02566 MSPISSHPASPAVLKTLGNGGEYEHHEHRRTRTNTAEIDNTISH PGSVKINVEGAFIVDRDSTSPNRSGVDGANHETTDIRLPNHTAVVSHIAVDIGGSLAK LVYFSREPPPAKPGGRLNFMSFETDRIDDCIKFMKQLKLKQQALNGSEPGELCLMATG GGAFKFYDKIKEALGVDIVREDEMECLIIGLDFFITEIPREVFTYSETDPMQFAEARA DIYPYLLVNIGSGVSMIKVSGPKEFQRVGGTSLGGGTLWGLLSLLTGARTFDDMLGMA ERGDNTKVDMLVGDIYGADYGKIGLKSTTIASSFGKVFRMKREAEMEAEDTGGFDEGV DRDMSPIIEAPSPPAPQDEPRDAPFDGADVSRSLLYAISNNIGQIAYLQSEKHKLEHI YFGGSFIRGHRQTMNTLSYAIKFWSQGQKKAYFLRHEGYLGSVGAFLKRQPKNWGRRG SFDDGIGGPFRRGDAARDAEGMEE VE01_02567 MCRWFAYIAPSEESPAHAISKQVNHHYLPFLLSHDPKVHAGTTT QAEVSQRNALFNVDGFGMSWYTPTKSEFTPSAPGSSSTTGPILHPAVYKITHPALHTT NFQTICAATSSTCVMAHIRAASTGVIAEVNTHPFVFGRHTIMHNGYISSYPAIARQMA GLMSEEAHTHITGRTDSEALAALYMTYLTSGAGHGTGTDAWEMAYTPKEMMAALQNTI STIIELQRTLGEEVEPNDLNVCVSDGRQLVASRFRNHATQQPPSLYHSASAGVTLNRK YPDEADGAGGRWGEAKGEMGTHGIKAEGRNPRAHKGVGEHGRHVIVASEPTTYKKCEW ELIEKNRAIVVNEKGGVEFVDVTYAGQEV VE01_02568 MNAAQQQPAGPKLDGPILKTKEPWQKWTELTVRVSQLPATMTTW DLFKRFEREGSIAFIELHENSRGVRQDSARIRFSPPPNTDFWTRDPISIRLESPEMSE HTVRVFPEGKKRSFEIQSPIRKMKWYPEVTTLYPQELNFGVMFSETAMMKKRTEVLPA RNQMKLKIDLMRRRIVAVFDVDYGNETASNPGLKSIKHMFQVPFGQLRVMHRVKLDDD RWGLLLTLDSPPQFYLKDLNIQRTHVKQSLVWSEFDSWFRVTDVVADSGAVRKAVVSL NKAAPMIDIGRWTTYLFVFGEDDESSKVFPDVQSALQDFNIDVVGLDSFSTIPNALAK VWDMIDAPNADEAKDELHYLMENSDKSTILPFEVRYQLEVCISRNILEVHNITPEFVK ELASLVDTDKERATCILEYISEQGKRIYDVMSIFKNPDALAHSPKSKIPTYCAYTRKA TVTPTTIYFSSPTVETSNRVIRKFSAYGDRFLRVQFTDELFEGRINACADKIRNDQLF TRVYRTLKNGIRIGDRHFEFLAFGNSQFRENGAYFFCPTDELSCVQIRDWMGKFHHIN VVAKYAARLGLCFSTTRAIRNTGMTVLELQDVERNGYNFTDGVGKISPFLMKMIGTEL HLLHEPSVVQFRMGGCKGILAISPDAKGQEIHIRKSQTKFTAEYMGLEIIRTSNHSVA TLNRQTITILSALGVEDHVFNRMLDEQLSNYVDAMNDPDKALELLGRYVDDNHMTMMI AAMVLDGFMRTNEPFILSLLHLWRSWSIKLLKEKAKIIVEKGAFVLGCTDETQTLRGH SKTTNDGKGTITAEDIDKLPQIYLQVTDRKDPRHNVIIEGLCLVGRNPSLHPGDIRVV QAVDVPALHHLRDVVVFSQLGDRDVPGMCSGGDLDGDDFFVIWDQDLLPREWNAEPMD YTAPPPVKLNRPVEINDVMSFFVKYMKSDTLPTIAHAHLALSDKLDFSVRDPKCLELA ALHSKAVDFVKSGIPAEMPKYLRPRKWPHFMEKKHKPKEQIYVSEKILGQLYDKVESV DFIPHYESPFDKRILRAYPEDRAILKAARQIKSQYDTAMRRIMAQHDIETEFEVWTSF VLSKPRVGSDYKVQEEMGIISSTLKARFKEICVEAAGGNDFKALGPFAAAMYQVTCEE LAIALHECRTMKTIGGRDVPKRKMEPKSMPLMSFPWIFQSVLGRIATGSEPTTLEDVG LSFITRNEAKAKKPRLAMSDEDDHEDYIETADGVTHRGELLDLFRPDAVDSDGDEVIL PYNIPDETSKVQDEPQLKHDLDETPNLPKTSMDLLANFLEANHQQEELLSITQTSECS RVNSHYEDLADLGGHCHDLADLGAECGVTGAEELLVDIAGSESHADLADLGDHCHDVA DLGAECSVTGAEELLADIASAKIQGDTNDADEFEDGSDYGEEVTLEIEESALEKLQRM S VE01_02569 MSSRKCVACFRLATSAPKAQRGVAARALRTQQQQCRWNSTSSSK QVPPPPPRSDASKSWEWTTASVLLLAVGTGISAYAVAKLQDPSLRVVEYARTDKFHKP KYGSVKDMEAAIAEVKAALSEDAVSIDDEDLRAHGFSEWSSINIDTLPVAVLYPTSTA EVSAIAKICHKYRVPMIPYSGGSSLEANFSAPYGGFSVDFAFMDKIIQLNKDDMDVVV QPSVSWMTLNETIADSRLFFPIDPGPSAKIGGMVGTNCSGTNAVRYGTMKDWVINLTV VLADGTIVKTKRRPRKTSAGYNLNSLFVGSEGTLGMVTEITLKLAVVPQEYSVAVVTF PSIRAAASAAAGVMRAGVPVAAMELMDEVQMRVVNINGATKPKVWKELPTLFFKFSGT KATVKDNIVMVKGIAKQHGGGNFEFAATPQEQKLLWSARKESLWSMMAMRKEGSEVWS TDVAVPFSRLADIIEISKKEMDDLGLFASILGHIGDGNFHESIMYDSRVPGEKEKVER CVKNMVIRALEMEGTCTGEHGVGMGKKDALRMEVGEETIGVMKSIKLSLDPYWIMNPG KIMDVPGSP VE01_02570 MGRGPKKHQKRLSAPSHWLLDKLSGSYAPRPSPGPHKLRDCMPL IVFIRNRLKYALNARETKAIVMQRLIKVDGKVRTDTTYPAGFMDVITIEKTGEHFRLV YDTKGRFTVHRVQAEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KIDLATGKITDFIKFDTGVIAMATGGRNMGRVGVITHRERHDGGFNIVHIKDAIDNSF ATRENNVFVIGGEKPWISLPKGKGVKLTIAEERDRRRAQQIAH VE01_02571 MADPVLTSSSIEGLPKIAEGKVRDLYEVDEKTLLFVASDRISAY DVIMKNGVPSKGALLTLLSSHWFSVLPTLVPGLRTHFVTLDLPPSVPESQRAALRNRS MQVRKFKIFPIEAIVRGYITGSAWKEYQKTSTVHGIPIPAGLKEAEPFPQGAIYTPST KAEAGANDENIHPSEAAKIVGEKYAARIEELALSLYKAARDYAYERGIIIADTKFEFG LDEETDEVVLVDEVLTPDSSRFWPKDKYEVGRDQESYDKQYLRNWLTAEGVKGKQGVA MPQEIVDNTKVKYVEAYELLTGKKWGSA VE01_02572 MSAPFPTKKCGVLGCTGSVGQRFILLLAQHPHFKLHAVGASSRS AGKKYKDAVKWKQASPMGADIAELVVKDCKASEFADCDVVFSGLDAEFAGEIEMEFVK ANIPIFSNAKNYRKDPIVPLVVPTVNLPHFDVIPAQRKQLGLGKGFLVCNSNCAVIGI VIPFAALQKAFGPVTQVSVVTMQAVSGAGYPGVSSMDMIDNIVPFISGEEDKLENEAQ KILGGVNAEVSGFAEQKGLKISAACNRVAVLDGHTACVSLKFERRPPPSAEQVKQAMR DYVSEAQQLGCPSAPQNAILVMDEPDRPQPRLDRDTQKGYTVSVGRVREDESEIFDIK FVALSHNTVIGAAGSSILNAEAAVLKGYIE VE01_02573 MYATIQPRLIALLNDDHSDTPGPYPRFDLPPLQDPNILKASGRP SILEPAASRNDKQPATGSQPKILSYDGDSPGSHHKTNAKSSSQAAVNSSVIDSSVGEP SAQSLRKILSDDSEITHPSASRKRQRLENRSVDFVQLPQPPRKQKAVKRVVPPIIIGL HEPPPNQAAVFPPIASSSFHDSHGRNTLNTSTNEAKEDISTNVQAKQPKLPEGLPGNK PSGNKRVGVQARKKWTDEETRNLILGVQKHGIGNWKSIFEDKELTFNGRTPVHIKDRF RTCFPGALQNDNIIKPISKVNRKPSDSSKSHADPSSITTREEDSSDSSDDSAVNEKMN GPQVKPSKKPRAHRKYFDDLVEQGVVKAFPKSTRRGRRLFTEEEDKNIKKGVETYGQS SWTLIQRDPKFGLESRKPTDIRDRFRNLFRDKSNNNVDEEGENPRNSTSATALFEIQP LSSSLSLPTQESLSQDRMFGPVQYYPDIGISKQAMQPPSFNFRGSFTEFLGPLPVTDA ADAFSFPPSFDWQDNTVPFSSTIGEMDISRLLLDDSWPAEPNSNLKQKQSVTDISSIC SAIPPPVPPLMSNHNVPGM VE01_02574 MADVNENGTSAGDHDDSEYEPTTDEETDERLHDFFEQLMDEDED EDEDEDEGSGSEVYYDAEGGATIEVLPDNEGEGEEAQEYDLGDLQGASPAQIFYLWAR QQLLLRSTHDVDDGQGATFPLRRRRRREKPDPNRFPKVPSEKGTELMSSGDFGTNEIQ AARTTGQRKKLASRILNRELGICGGARHKANQNLMAQGMIPSSKVDTIINYDAPVYSG QFSDDGNFFFACVKDFKVRMYDTSNPYQWRYYKTVNYPFGRWTLTDASLSPDNRYLAY TSVSPRVCLAPTDPNDLGDPYDLDLSNNGTRGIGSNGFRQRHDGFGIFSIRFSGDGRE LVAGTSSESILVHDIESRQTILEINGHEDEVNAVCFADKSSSNIIFSGSDDNLVKIWD RRSMGDSREAGAFVGHTEGVTYIDSNGDGRYCLSNGKDQTMKLWDLRQMTASSQLSQA SIPRGHFDYRWGSYDLDDWRPHPNDNSVVTFRGHDVLSTLIRCHFSPPNSSNRRYVYS GSSTGKVFIWNMDATIAGEIDVQKATQDTRPFSPETANNMYYDDDDGESAPQWRTCVR DASWSPNAPVIAASAWNGHGMWAGTVTTHSWNDEAEDDEAEPGGERVNEKLEVDPSYY SSNSRTPRRRRGRMGW VE01_02575 MSDQFQEILDIPKDFVKDGTMFINRCTKPDRREFTQISRAVGIG FIVMGALGYVIKLSP VE01_02576 MADDDFDIDIYGDTNNEQETPTTPAAKDEEGDIKIDGANNDDTD AANKPTEVEEEEQDTDYVDIKVDSAHDSHPQEQRQQIKSTDESGKDALNIPKQAPQQQ GVKRKEGSDERPIDPGATTALLISDLHWWNTDDDVRGWINQAQCEDELKEITFSEHKV NGKSKGQAYVEFSSQQAATAAKHKIDTFGEGQQYVKKHVVTYSNPNVNPFKTLPKDAP MRAGKDGPTNRPVAGNYDRTQQSSGNNYGGNFRGRGGYNRGNMNNNMGGGFNRNFQNP SMGGMGGNFQAPMGGFNAGGMMNNMRGGPGVMRGGRGGMNSGMMGGMPMGGMQMGGMP GGMQMGGPMNMGMGAGMPGAGFPGMQPHFNPAFFPQNQATGGDWQNPHGAKRPRPE VE01_02577 MAKGGSELTPRQAADFGNPGYSQPENCECYRRYRFDEDKAPFLG FLAVALTIVVIAALLAGLTLAISSLDMTWLQIMSTTGPKRQRRQAEIVSRIKRHASWF LCSMVLTSVVCMETLPIIVQSLFGTGWIPVVVSTIAIAICSELLPQYLIPRQALLWSY YCWPFIWTCMWLTAIISWPLSFFLDRLTLPKEREAMYTREQLAMLIKLHERQEKHGGH LGPDAGRAARGALDLDGRTLERSPLGSFYDSKSITDIAGDPEKADYTTSDIIVPWSAV KFIGIDDLVNEQFIVKIKQFSYSRIPVIGNEDLVTVAPTEHGGASNDQRIFGFLHIKT LLGLDLQNSGKEIRVRDLPLYPLPIVRDDLPLYDLLNMFQLGISRMAVVILAPARDWT DNQATLSPNIKDNTRAAVPLWSSATGVNVRGSLDLRKLGGRVDWIADFLDTTQNDAGD ANPSPIVTGIRCPAPLGIITFEDILDALLQKTSRDEKDFFERRTFDPPTKSRKEGDDN TVRSSRSIFRSRRDIPTHASGAHVAFGGNSQGQGALRRRIPSKTTSAMDCTPGVAAFG MDGNDERSVSVHGALTADHSSYTENSEGGFHGPSSSADTELSTLAQRNISVNHKLRCL KGRSVNSTRTVSAPENPTEPAFQLGLDILPRHSSSGFSRFVGEAYDATSYEREVPGLD ELPANLEDTSDVDEVLPQELVGGSALSSYYSSHSGVVARPQTSEPASHIANENVRAGS GMNTLPRMNFGPRLAIGTIISQEIHPREKSFHDDRSLLPSQWRDIESGESKENTARRT SFWI VE01_02578 MDKHEEFTRWAELQGVKLYGIAAHQFPGRGLGIVAKERQEAGKI ILTVPTSALRTTLTVPKAISVSLGKITAHGLLAADLSLDTDKARSRWRDVLPSQEDFQ KSMPIQWPPILQDFLPQAALALLSDQIKKFELDWATVSGAFPALSRDHYLYNWLIVNT RTFYHVPPGTKKKKDPKDCMALNPFADYFNHSSEGCTVEFGPEGFEITSNKVYEKGEE IYISYGKHSNDFLLAEYGFVMDENDFDHILLDSVIIPKMDAVQLQLVRDAGYLGNYVL DKESVCYRTEVALRALCMPARRWERFVDSGVDGQHDQAMADELLIKVLKLYVAKAQNV IGQLLSLEVKDRSQVETLVRRWNQILLFLHGAIERSVL VE01_02579 MALLLRRPIVSKLPHELFTACAISASPRPFSVLNRPPPNYEGHV PLTRLEQAGLAVGSAITSLIDPYRHDMVAALGEATATPYFIYRLRDAMLADSTGRRIL RDRPRITSKTMSLEHLRTLPENTVGRAYADWLDREGVTPDTRDQVQYIDDEECAYVMQ RYRECHDFFHAVTGLPVFVEGEIALKGFEFANTLLPMTGLSLFAVTKMKPAARKRFWD IYLPWALYNGLNSKDCINVYWEEELNTDVAALRKRLGIEQPPDLRSIRKQQKEKKKAE KEGREGEKRV VE01_02580 MADADLEEIRKARLAQLKSQGGGGGGASSAGGGGQDDQRQQQES DARQSILNQILEPAAAERLGRIRLVKASRADDVENRLITLARSGQLRQKVTEEQLKDL LNAVAETKEEEKIVISRRKGGWDDDDDDLFNM VE01_02581 MVQIGFDIENPNLTQAEYTQGRMLSTKNVLFGQDGWNIDQLIVT TEAPVTVRSLLPIKDRLHSTSTILFLQNGMGVIDEVNENVFPNPATRPRYIEGMTSHS LRNHPARTFTTLHSGEGEIFLAAQKGDETSSDAVDMIDSTRSLLRSLARSPALNAKGV SPAELLVLKLERLAVEAVIGPLAVMFDCKNGDLLSNYMVTLLLREVLNEISTVASELP ELKGETGLSYRLHPRKIERTFVQVATMTSSEIHDMVRSVRLGKKSEVGYFTGYILKRA AELGIDCPNNTLMERMVKAKTAMRSQEANSYIPYKKDRRF VE01_02582 MDPTATDILSLLEQLDDEIDDLEDSLAPVLETGISDTASKLPLL DKAKLYVLVTYAVESILFSYLRLNGVKAREHPVFLELTRVKQYFDKLKEAENPTPKQP GLALDKNAAGRFIRAGLSGNSKLDLERAEKLARERVHIKFNSEKKSEAPAAMKAAVPS GPSSPSSDDSESDSPKESVKEKSKPSKKRKAAALETEVASGDKGATSSSQQDSSKSGK KRKDKKNKSQKKSKGGKKD VE01_02583 MARQGRSGGGSRPSRPTVAPARPSVAPKQQTRPATTAAYPGNRA AAPPAAAAPGAAPAAAAPAGPGLFANMASTAAGVAVGSSIGHAIGGFFGGGSSAAPAE AEQSQMTQSQGSAEQSNWGARSCEVDAKQFTKCLDENQGNMQTCSWYLEQLKACQSAA SGY VE01_02584 MFYEGDLRSGINTAIEQSKLVACYVRSQDDEEETTTWENDFLKD PEIADLISSKAILLRLLSPSEEASFLEQICPIVKLPTLVVIHNGAMKEYLTSGISKED FINRLTPVLKGEESSFSPLSGIPATGANMGEASDNNQNQAASVAALLQERKVRMEADK KKKDAQEKAERTRKAAERKAAVESGKPEDPKRAADLKYAAQQKKRQQEAREERERVLK QIEDDKAERKARDATRKEQAKIAAGTENPNPFTAPSSEMGSMKRGDHCALKVRLFDGS AISSRFPSGATLEGEVREWVDQEQQVAESPYIFKHILTPFPNKEISISEEGNSLQDLG LVPNATLILVPIKDFTPAYAQGPGVTGLLSSGVSAGYGLVSSGLSSGFGFASRVLGSV LGDSAQGQPPATAAPPGQEPRQSERHELYNGGGLNFEPRRDNQDKED VE01_02585 MSAGYSTVSQALRVASRAAWRRPQRSLFQKCPECRHISRTAIRR RQPAEDPNFLSILDNPPNIVRSGGKKHGPGLIVLALIPIIAFGLGTWQVQRLGWKSEL MARFEDRLVRDPLPLPPHIDPSAIKDFDYRRVYATGHFRHDQEMLIGPRLNEGKDGYL VITPLEREGDGTTVLVNRGWIAKKFKDPKTRADGLPIGEITVEGLLREPWKKNMFTPD NSPEKNEFYFPDVAQMAALTGSQAVWVEETMAPNLMTSWDREARGIPIGRAPEVNLRN NHAQYALAAATSIMLWMVIKKPPTDVARKVRQNKDWS VE01_02586 MSARRKSARKSGVGASAIHPPSTTDSLNLAAPEQSPSQVRQPRI TPRNSLTEDAEAAKPKETPYTTLRQLSNIIPRPTTPIRRASSAGPPSTHRTARRTPGA QNITPGGYGPGSTRRPTAATPHGRAAAREIEMRRAAALTPGKDRRRSGLQKRETPRDL LRQLSKRLAPGTIPVAALPEMQEAEGPRLPFTRLNDVDDEPAPTRPRLSMAIGDDEDD SLLLPPHSDALLDDDNMTMRSVELPRRAVSEQAGGRLSRGSFGSIRYSDQFDRTMGDP ERFDSSFIQGNFDDDGPFLQDDPSILDERTGTLDLRGAFAAGRSSDVRGPFEDDEHEA TFAFAVPPRREDMATSAQAANVGVPRRSIGPLEGTEVEEEEEVQSEPEEVEEDNELER ELELELEVEDEDEDLEDLDIDQLEPTVDMTMTDTVMTDIQLSAQEVRKPKAKKTIRVS KHGIQYSSLPAGVVKKLATTFARTGGSGSGKISKDALAAIMQATDWFFEQVSDDLGAY AAHAGRKTIDENDVMALMKRQRQTNAITTPFALAQKHLPRELLQDFRMVPPTKLRGGK KLKSTEEE VE01_02587 MSDNELQEPLLEGPISSPEPENASSPQKKRKRDAEVEAKDKKQA KKPKTKKQKEQFEEDYLDIEAGINKRFAEMDSQLLADYVDQRTTQFESDLSAIELEDR HISATSIRDTTSWNKPRTLDNLPGFLESVCSNPTRLWGASKKNGAPHTIIVAGAGQRA ADLARVVRKLQSKDAEVAKLFAKHIKLQDAVKFLKSKRTGMAVGTPKRLEDLMDDGAL QIDRLERIIVDASHIDVKKRGLLEMKETQVPLTHWLNRAEFKERYTTTGKDKIDLIFY VE01_02588 MAPIKKSAGPRSERKAAKRKAQDAIPDVPEDYNNEGAEEVAAPK KRKRDDDEEGGEDTEESGIKKVRKEKKEKKEKEEGKKEKKEKKPKKDAEGGDEDTMME DAAPKEPKEPKEDVPKKSKKERKAERKAQQAAEAIANAKANRAAEEAAAASKPTVASD GTEASKPAAAAAAGGKTPKAEKAPKLDKDGNPIKKKNNRNREKKRLAAATAIAAGEKA PARFIVFVGNLPFTATVESITAHFAAVHPISVRNLTQKDDPTKSKGCAFVEFEGYDHM KTCLKTYHHSEFDDGKGGGGRKINVELTAGGGGNTKVRKGKIAEKNEKLMEQRTRRIG EEEKQKLVKRGVPEEDQSGIHPSRRARVA VE01_02589 MAAPGTQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW FPEIEHHAPNVPIILVGTKLDLREDPATLDSLRQKRMEPVSYDQALVVAKDIRAHKYL ECSALTQRNLKSVFDEAIRAVLSPRPQERGKKSRKCLIL VE01_02590 MENPPPRDTDQQFSETQTTTSQRPESSGRMSSSTGAPAELAAAP SGNAPASAAPAPAGGLYTEQVQNVVNSEIGVQVLLNRLKQSVASAKEFALFLQKRSKL EEDHSVGLRKISKITHDNLRRPEHRQNSFIASYDEVTRIQDRMAENGAQFAASLHQMH EDMLEMAANIERGRKHWKTTGLSAEQRLVDAETAMRKAKAKYDTLAEDYDRARTGDRA STKKFGLKGPKSAAQHEEDLLRKTQAADTEYASKVQTAQSVRHEHLTKGRPEAVKGLQ DLINECDSALVLQMQKFAAFNEKLLLHNGMSVSPLKDQAGEGGKTTRSLREAILDINN EGDLNYFIASHSSQVPPRPAEIKYERHPVMAPSAPPAAAAARRQSEIPQGFNSFASRQ GTTGPAAASTPTQQSPMGAGGPGFNQGGPPPPAAQQQQQQQPQQYQQHERSFSQGRPQ SRDNGPPGRMGNGGPAPAPAYSSAGGPPQLQTLPFQTPAPQTHPLQQNTPTHQQGPPQ HLQQHQQQQYGAPQQQQRGPAAMDPSNLPPLKPVFGMNLEQLFQRDGSPIPMVVYQCI QAVDLFGLEVEGIYRVSGTAAHINKIKAIFNNDSSKVDFRNPEAFFHDVNSVAGLLKQ FFRDLPDPLLTTAQYASFISAARLDDDIVRRDSLHAIINALPDPNYATLRAVTLHLHR VTEAASVNRMTSSNLAIVWGPTLMGMGGVGGGAIQDAGWQVRVVQTILENCYQIFDDD VE01_02591 MSTRPPQPGPRPPQRSLSGTGMLQRQAQQRSHLQQSQQQPSPGR ASDSSAVEVIADGAETAPPRLEPSKPGGSMVRVEGLMAQKQNIVSMESPSPAGTPGAV MPLPKRARQRIPFRRHEAQSDAPKQVGSPQKSSANLPLPMPARPGRSGVSHIQKKAHD GGNSPKKETRPKPYVLEPPPDAPSYPSNGHLDYFPWTGTHNEDSFNDNVIRQGYFDKV QTGQNETASAKQQLYPSLRQKNGLQTLGSLFAHVLGQRRQHSQITAAPSFKPPPRVTL TDTKRETWLRDLANPLIPLRRLSRTIPHGIKNKVLLEQCTRKNVPTERAVWLAKCVGA NEIRASKRKGVPGALAMGGESKWIRDWTVCVEQFVDGVVAAGGDKDWKSKVLYSIRLS AHLYSEQLLDTEHYLDWLLSSLESTPLTRLPIWLLIAEVYWADILRYRKSARRVVAAL CSNLQDAHESPDRDLLLPLIERVGNILTATVRTNPESFIALSHWPKYSDTLEACNTSG GPDETQIFDAISRRNARLKSRSSGGDETQNSRRRVIDLLDASLSVQFSPDLPRECWKG MRDRTLLVQTLFDWATSCARPSLMKTFVAARLMRTWSRHGIDVDESVLNYLTFRSNGP GVSGDAVYHLVSELARSGHFCVSKYIQWVIARGGLHGSQDVATDGPCVTRLLAELPVH DLPENLLRIRKTLLNRASFSVDNETETINNMSLAFERCSPNLYGKTPSGEEAPTTADV VQNITHLSRTVKAELGLQLRKQINLRMTKTSPSEMGEWKADQEYETATTVTVADFNFT RAVLEATEDLSMLADILKLVSSSSSIQILASIADTLNMHRLTFSAIGALNDLFDTLVS RQFSLGAIQGPESVALLHSLCALSGTIPDAANVHVQLSRDVARCVRRSAVDACSPVSD HVAEALQKSDGDISDEVDKLLSSGTSMDAPTLKRLFQVLINQMEASWAKSDSGLQKHG PILERLRTFDTKQFDNLLTPWVEQTILKSDRPNLSHVLGPLISAGCLSFKDVLKASVS SVQKTRDDSDKLMTSRIAFESLSLVLNTYRDGDIMSVEDAYTLNIRRTQAQFKDHLAI LKIARNAIEISEPSIDNNDVTVNLLCQGDAFRRFLQRLVLTEFDCVLEEFVTPLAKSQ DLHIITCLRAILRGLLDLKTTTTGPEDLVVARRIEVIFGVASDLTLPFCQLALRSLSA SEAPSSSSEAAADTSRLEAYERAVDAALANNNPTWTKIIPTLDPQIAQHLCDRAERSL LSTIPSFKPPSSDSPAPPQPPSDPALAKRMLFVISATAYSTQNLGSNTTALDIGEKIN NLAHALSQPPTTDTYSETTTWLPLMLEYITTHASTLPSSRPATDVRARVLLALSALLL ALHAHTGTDALSEHVLDVSLLLVDELPDDARAQCGRFLSERGKEVVLGDPGLRYVFGL ETGGGGGDGGGSGNGGTLMINQRGRMEGFVVRRWECLSEPTPLVGENDAALSLSLFQA RR VE01_02592 MSAKKDMRREDLIIPYQQPAPKEGSLGDIGSTLSSTVPMAAMFT RNKYIGWASVVIALQNWLSENPDTKAAASQPAYMGVGMSYLPPNVPPSPTNLRSINTP SLVVSHAAERHDA VE01_02593 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVTDNEMGEVIQLQGDQRKDVQEFLTDKKEGL ELDVKTIKVHGF VE01_02594 MSRWLVHYTPQATLVRLLTINSINAYITSWVLYLSGGSEDPRQL LPAWISIASTLTVAYHTTQRHINIRRETRETISIFSLASFASMALLLIQLHLSRVNTM PVPPIFQLLGRAWEVGKKVVRRTGLGPVGREL VE01_02595 MRIACLQFAPQLGDVDQNLNRADAVLSKANPKNIDLLVLPELAF SGYNFKSLKDIAPFLEPTAAGISSLWARTTALKYDCVVTVGYPEKVDITPKWPASPEY YSSAITVNKEGETIANYRKSFLYYTDETWALEGPDGFYDGVVEGLGNVAMGICMDLNP YKFEAPWSAWEFAYHILHRQANIAIIAMAWVTREDARSYSRTPKEPDMETLAYWVARL EPLIRCEDDGEIIIVLANRTGVEGDAVYAGTSAVLGIQSGEVKLYGVLGRGERELLVV DTSNRPQAKLVSDPSIPARTRGPAGPLSGGTSMLGEHRNESCDSRASKGIQSSFDPLI EPEDIQPSIDEILAGGISMSPVEPLSAHVFFGSNPTKPVDRHPLKSTAAENMPDGSQI LKSSKDETYQALKSAVNGINRKDSSTPSPEADRFERPSSPKSRNASRTRQAVHQEQAL YTHDLAGVELQTKRATSRNGMGGVEMTRGPVPGQALNSSSHAMDIISGSDHEGTESLV PPVASEIGLRPKSTGW VE01_02596 MGHPAGLRAGTRYAFSRDFKKKGMIKLSTYLRQYKVGDIVDIKA NGAVQKGMPHKVYHGKTGVVYNVTKSAVGVIIYKKVKHRYIEKRVNLRIEHVSLSRSR EEFVRRVKANAELKKKSKADGTHVHLKRQPAMPREARTISCKDNIPETVVPVAYETFI VE01_02597 MAPRSYSKTYKVPRRPFESARLDSELKTVGEYGLRNKREVWRVL LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKVEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALNS PFGGGRPGRVRRKKAKAAEEKNGGDDAEEDEE VE01_02598 MPPAGTQPLKPPAPSAASKEANEYIPSFISKKPFYIGEDDQNDY LEHQRLQKAQSDQSKWYDRGKKLGPAATKFRKGACENCGSITHKTKECLSRPRAKGAK WTGLDIQADEVIQDVNLGWDAKRDRWNGYDSKEYKTVIEEYAQLEELRTKSVANEAAD EGETGADDGAKYAEESDMGRHQSTSTRQLRIREDTAKYLLNLDLDSAKYDPKTRSMVD SGATADTAAALVAEEGFMRSSGDAAEFEKAQKYAWESQEKAGDTKQHLQANPTSGEYY RRKEREEAEKKRLLQKKMLEEKYGASGSTVPEGLRDTAVTESERFVEYDETGALKGAP KAVAKSKYAEDVLINNHAAVWGSWWSNFKWGYACCHSIVKNSYCTGEAGKAAFHEADH LRTGGALEREAAPLKITGRPERAESEAEASANKDPASQDATKKRTLEEMKGDVTEEDM DEYRRKRAAPNDPMAHLLGKDKLL VE01_02599 MDLFRVRLNCIDHYQAFPTRFDPQLRRDVAPSEITSEPKVPVIR VFGTTETGQNVCAHIHGAFPYLYIDYNDQITSDEVGAYIHRLHLSIDHALAVSYRRGA YDRRTRFIARITLVKGVPFYGYHVGYQYYLKIYALDPVVMTRLADLLRQGAIMKRVFQ PYEAHLQFIMQWMTDYNLYGCGYIDSRQVYFRGPVPKYEELDKLSHLWHDRSIPAEFV TSEAKLPRASHCSLEVDILVQDILNRQEISQRPLHHDFIERSNPLPPDQKLVHSMSEL WRDETKRRKAKMKVAEPGSNTFPPEVLISMSAGPRSSQKGRWVHEEEYMELVHTRIQE ERAKSDGCNISFDTYIKPKPDEDDINTTFQSVEDLYPENLGPILGLLPEKLPVLPKED FIAGDIEVDENCIFNISDDNEFPYDSDDGLLCDIEQSQEKKDNIISPPIVATSGFKAE RTVDHDSVPLNNSPRVLKSEDLSSPIEPDDREHPIKARIYEPRREATPTSALELASSN ATAEDLLRDMKVATPLGGSKTTSLKRSTSLIPSDEGYNKRSKFDSAIAPIQSTSSAAE SRPIVNHQALSGYDIMAKNGSCNIRKLGNIPSERDQHNLPMLPTVNGLSHTLNQGKRL VHRNVDSGKLDPQPLPNSEPINQQEIDTVFRRSQYRSSQDQGDTSFNENKNLLAVTSR SIQTQLESEAHRIASPSEQQLKLVSSITWDLRNTNWVAQSKPVAAFAKSPPLKETVIP SLHPPVIYQDPYYGNELDVPERPTEWAGKEFKLESLTVPFLPDFDKTGSSAASLGEKP AIVHNKLSENHVYEHRQRRCRLRVWEIGRPPPAFNDVADWERREILAKAKSRALKSSG QPGTKPENSSHSQIEGPTQTNKHGFQYNQPHKTSTMQNSVQYMSVMSLEVHINTRGNL APNPQEDEIQCVFWNLQLGEEHPDNLGTGGVSHAGIVAVSEDGQTARNIAKQTPIQVN EESSELDLLVRIVEIVRTNDPDILTGYEVHNCSWGYLVERAKLKYEYDLCEELSRMRT HSRGYSEKDSDKWGSRHTSAISVTGRHMINIWRAMRNELNLLQYTMENVVFHLLHRRI PHYAWRDLTMWYTSGKFADLAKVIEYYLRRVQLNLAILDQNELITRTSEQARLLGVDF FSVLSRGSQFKVESLMFRIAKPENFVLVSPSRKQVGAQNALECLPLVMEPQSAFYTSP LLVLDFQSLYPSVMIAYNYCYSTFLGRIVNWRGGTGKMGFTEYKRQDRLLELLKDFIN ISPNGMMYTKPEIRKSLLAKMLGEILETRVMVKSGMKFDVNDKTTQKLLNNRQLALKL IANVTYGYTSASFSGRMPCVEIADSIVQTARETLEKAIALVHSVKRWGAEVVYGDTDS IFIYLKGRTKDQAFDIGNEIAERVTNMNPRPVKLKFEKVYLPCVLLAKKRYVGFQYEK KDQQEPKFDAKGIETVRRDGTPAEQKIEEKALKILFRSADLSQVKSYFQAQCEKIMTG SVSIQDFCFAKEVRLGTYSDKGPPPPGALISIKRMLEDHRVEPQHGERVPYVVITGAP GARLIDRCVAPETLLQSDHNELDSEYYISKNLIPPLERIFSLVGANVRSWYDEMPKVQ RVRRVDFGPQLTGQPADVPFNKKTLESYMKSSSCLVCRDKLESNTGICPTCLGNRPSS ILALQTRLTAMERKYQELERVCRSCSNISWTDEVICDSKDCPVFYSRVKEKARLTTER KVAGRLMERLQGDSGGHEDLEW VE01_02600 MGGVTYFLLVYLLGGLTFLPFLVVVLILHAYLTFPVRETTTEVP GAPRSNGLLRDGDRADAIRSASKNLDEKFQSRSSQESDVAAGYFAVCREYVPGGINGK PPERTTPTGSTVVTSPSPSVYQSMYRSIFDRRKDTNPLDIKGAGKPTSKGGNVFYVVL RHQHILLFDTEEQLEVRYVLSLAHHDVSIYGGGEEIPDGELFIKRNAICLTRRADVGE MTPDGTPSKPFYLFTDSLSEKEDFYFALLKNMQKRPEDSNNVPKPLQFDVKHIIELVQ RLHSSEENMQIRWFNAVLGRIFLGIYKTPDVEAFIRAKITKKISRVKKPAFLSKIIIR NIDMGEGAPYITNPRLKDLTVDGDCTIEGDMLYTGNFRVEIAATARIELGSRFKAREV DLVLAATVRRVEGHMLLRIKPPPSNRLWMTFETAPRIDMSIEPIVSSRQITYTLILRQ IENRIKEVIAESIVMPFWDDLAFFNTDGKKWRGGIWTDSELSRPSQPLETIIAEDGDV DELEQIENQTSSPPLPPLGKSMSTPALETSPHVESFARKTAKSVFNMGPSKKNASSTS VETKSSVTSNKPKSIRKHSFASAVVSTDNTNIDALKLESPSDHSAAASTMAALSARSP PPSTTASPVGSPSKPSSILKTKSGSMSSFSSRETDSVECAGLDSTICDELRAADGESL KINQHKGEASLAESPSSLSDSSHAESIRPSRLGIIGQDANGSLNVVEDSEAGASVSRG STSKRNTLSAVASAAENARKWGLNALQRSRDQTKLSMASELGSETPDLTQPMGRGKPL PPPGTPLPPPDRRTKTAPIPVPKRRPLPPPHMARQPSEVKARRSASSNMSHQAPPLPK RHSRNDNAVSQEGVLVVAAPDSEPVSPTDDSANTHISHWEGGDNDDEAHGHSSTPAKE GPLLPANVLEDTESLHNPSSRDGSTGISEC VE01_02601 MSLQTARHVDNAKSESELAINIRKATSIEESAPKRKHVRSCIVY TWDHRSSQSFWAGMKVQPILADEIQTFKALITVHKVLQEGHPITLRESMANRSWIDSL NRGMSGEGLRGYGPLIKEYVYFLLAKLTFHQQHPEFNGTFEYEEYISLKSINDPNEGY ETITDLMQLQDKIEQFQKLIFSHFKSGANNECRISALVPLVQESYGIYKFVTSMLRAM HTTTGDDEALEPLRGRYDAQHYRLIKFYYECSNLRYLTSLITVPKLPQDPPNLLAEDE NAPSLPQRPKQEVERQPTPVAAPKNEEPDDISEFWKNEQNRQQKEYEEQQRVLEERQA QQLYAQQQAALQAQQNFEEQQRQLAEQQRREQEALMQQQTQWQTQGRLAELEQENLNA RAQYERDQLMLQQYDQRVKALESELQQIQASYGQQITSKDDQIKALQEQLNTWRTKYE ALAKLYSQLRTEHLDLLQKFKSVQLKAASAQEAIDKREKLEREIKTKNLELADMIRER DRALHEKDRASGGNREELEKLKRELRMALDRADNAELSKGNELSSMLSKYNREMADLE DALRKKSRALEDMSTKYREGDSDLEQLLREKEDELEIMKMGMDQTLIELNELKLSQGE TDHALDGQIDAVLLSNIAKINDIIDSVLQSGVQRVDDALYELDSSMQAGNQNASPSYV LSQVEKASASATEFATSFNNFIADGPNSTHAEIIRTTNVFAGSIADVLSNTKGLTRLA TDDKKADQLINAARQSAQSTVKFFRGLQSFRLDGMDPMQKTDVVINNNNDVQMNLQKL NKLADSFAPSSGRLANNKGDLGDLVDNELTKAADAIAAAAARLAKLKNKPKDGYSTYE LRIHDSILDAALAVTNAIAQLIKAATATQQEIVQAGRGSSSRTAFYKKNNRWTEGLIS AAKAVASSTNTLIETADGVLSGRNSPEQLIVASNDVAASTAQLVAASRVKAGFMSKSQ ETLETASKAVGAACRALVRQVQSMIKDRDQDEERVDYGKLGAHEFKVREMEQQVEILQ LENNLASARQRLGEMRKISYQE VE01_02602 MIGQVDIPPYISDHDSIHDYNDGYDSDTSNEESESDSETEEEEN STLDDDEYATFKNRMKIKRGRSIGLSFKPNGYLVPNRQLAYLLRARKLVLHGPFNANE INAPLGESNFCPSLQDVFWRSSGLKFRGNGRATVTLKNPHLKKVPPLTSTRKNEGSDG NNISTSLKYLHRLGISFDSNGAIVENLTLKAMLDAGKIVLDKSTHGYTLPNSSKPNEG AQFESNFSKPSMFFFVSRPTNEIAWGLDQQQKTLRRNPKKGLWKSKGAAESQGDGIIP NVGFIPVNDNDLKVLGSTRFIISLSDLAFAMADEKKLLLSRPSHNHQTETPITCLLSM LKKNGNCKYTSTKDVNSAINLDTEGSRFLETKTASSETDSESEPNILDSVPFEEAGNL IQKFKTTISEACPGYQKGARQKYRPKRIPFRSQSTISLPPWNSIKFTYTPVGCIPAKL RRIENVLVKVNKRAIMIINIFNESSNILGGSKKMYLPLVFRCWLRASIVA VE01_02603 MSLHTTDMNFTPTNLAQSISAILSGQITGNNVIAHIQLSGIFDQ LEQNEKNWLLYGFALLINSSSEFTVLNDLDRVVFHPFGQGPQFGQGIFYSPDTSASEP TGETMAKVPRPPNAFILYRKDHHQLVKDANPGIHNNEISVILGQKWNGESTEVRDHYL ALSKQAKRDHLLQYPDYQYRPRRPEQLKRRMTKEKKRKLMARFVAEENTADDNQGGNL SNDASDLKFLIPKTGSPNVLFLGAYSPAKIELAKSVVNSAAMDIDIVSWNSITADVDL MLKDDTRVKDGRIPTTGVAPGAYLWTR VE01_02604 MGLRITTWNVNGIRNPFGYQPWREKKTFQAMFDILEADIVIMQE TKIQRKDLQDDMVLVPGWDAYFSLPKHKKGYSGVAIYTRSSVCSPIRAEEGITGILCP PGSSQSFFDLPNDERIGGYPTISQYGDCTLDAATLDSEGRCLILEFPAFVLIGTYCPA NRDETRDHFRLGFLNVLDARIRNLVDAGKRVILAGDLNVIREELDTANAQERMRKEGV TAEEFFSTPARRLFNHLVEHGNVYGERDECRARPVLTDICRKFHNRRSGMFTCWDQKK NARPGNFGSRIDYICASLDCKDWFSESNIQEGLMGSDHCPVYAVISDKVQLDGRETDI RDVMNAVGMFQDGFRQRDWSIKDLLPASAKLLPEFDRRRNIRDMFTKMPPATPLIQEE SLTGLATAGGEPRPESPTTGDGCGVVEVGLRDSFSTAPQPGVVKEQEGDTSSCNTGKK VNSMISKSKRSAELHLQRDQKRTKPASEKASTSKNRQRMAQSTLQGFFTPKTQTPGGQ PNVNVNTQPSSSTGSNYSLSPYLRQGLTLGPDQDTQEDISSHNAGEGYNTPKEAKYLS TLVDVDKEGDIIDPIVAKESWSKILGTRIAPRCEHNEPCRMLSTKKPGMNCGRSFYIC ARPLGPSGEKERGTQWRCGTFIWSSEHTTSGQ VE01_02605 MFSSRSIIRAAAPLRSQTVRQAIQKRLAHAEAKLPAGVQDNVFN RERQAVKDHAAATSDLWRKLSIYAVIPCLIISGVNAYNLWNEHWEHWAHREPLEERPE YPYQNVRSKNYFWGNGDKTLFWNDAVNYHKPAE VE01_02606 MARYLTPASIGLLCLIELYTDSAVPIPSTVPILSFIVSNLLPPS LPKPRDHFSNNETREDRANFIISIEDFERLLGAYPSASGLPGRTLWDIFLMKLWDINS LHALHVFFERRSHLLMKTREDTQMDTDIGIPPPSQAMILLSRASPFGAFVRRSQLEFT RLKFQDTLNLWTSFIAYRQVTLSTWRRRNPNAGTWSFDAMLEEADKEWDQDTLQTLVS VAYPNVFTHHGRSPDGLVSTNDVEKLLEFQIEQMQKFGNRVPETLQQQFEEILDGDVM IPSLSHYVKFLDSWRAGDYPTSFDNLHRFFDYTMQNRDRLFYQYALLNLAVLQADFGC YQEAAAAMQETVSTARENKDMGCLNFSLSWLYHFGKAQPTVINSADKTNMLGIEREGL TFLRVKAKESGMWSLWSSSLLSEAKMGLSAGESVSGAFENILRSSQLTINKNMMSNVG VQMLLQSSLWSRLGITYLAWSYCECFLHSHSRLAPFDDILKISCRSAYLLGQNGQYAE AHAKLEQLDQNSLRSLKASQYWLIFRGILQVQQDLHGGNLDSADQLLLQLLQCRGGDA DISFELNILHIEVMIQRGDYDNALCRVEEISLGFKREGEDILFRLKLLNMKALLFNKC GRPQKGFSVAVHAATLAWRAHILPPLWEAIGIIASVLTSLYEFSAASRILTTVIPRAL ECEDAALSAELWGFQVDAYMGQAGQATSQSLQRKDMLTLALEGIERCFGEWYKLGDKR RMSACIAKKALILSTLGEVEAVVNVKGLYNDLMVA VE01_02607 MSDADMGGFTKANLDWNPPDVSSSSKSTNNSRGYARFHGNISID LPANKPQIQRTGYAAWRTRDRPPTIFGKSLWDIDPYTYLAMRIKSDGRKYFVNLQTES IVPSDIHQHRVYARKPGEWETVLIKWNDFVRTNHGTVMEPQTELMRQKVRTIGIGLID RVPGKFDISVESIWATNNATMDDSIEDGGLEEGQLKSKHGANIRWNGSKPL VE01_02608 MSNKSKISAAEKEICFGFIQSHLSPKSINFSETELSPVASDESP CKRKGKTTTVETESSLCSDNDSVHTPEQASPYLVTDTDTCSFVRPGKGTEDPDPFIAR TPSDEYGGSPSNHGVRRGASRKHTKKLGSCRSTPSASDKIMKNWRVTAAITDDSSPAD GKRVRGSLHACVPDTVIIDKNNCQLYYSPDSCLFVANLNSQHDEVELEAAVTEVFRKY GTVYVKITRDKKNMPMGFVQFTNSSDAARARDKAKGTVIFGRGCRIEWSNANRNYHLT RHDGIDVTEDMARAVLEEFGELERIHFPTETEIVIFNLGRGPLVTFLNYQSGREALNE LRDSDEWNFQAKINERNASPFQSGKSAVSRSCFTGKANLDSFEVDQRSIFVANLPANV TESQVHDLFDNMGHIINITVNRRPSVREGCDVNVFAYVEFANPGSVQDAIEAMNMYSY GGSRLKVDQKKPQDMVSSARRKPLAQRITHDGSGATAVTPSYYGSPYPYMGYPPTPYT GSTYPAYAHYPYHMAPYCYPGSPGYATTPVSDNGNGHGHATTGPPPVFAIPTPHGQVD YYGQNQVLPYAVQYGPQAHIFQHTATGDGTDAGEATDSYQSVQ VE01_02609 MGQPSTQASNALIYITYGSFLCDSPIKSLLPVSPEDEKGISELT CRAFRIFGCAIAWRLRHQTKDEFLSSNRTQTAGPLALNFVASALGSGILFSYPQLAAL TGVQGVIVYAVSSAAPLMIFAILGPIIRNKCPEGFILTEWTRQRYGVATAMFLSFLTL VTMFLYMVAELSAMQQIVGALTGMDGLPAIIVQAVVTTIYTSLGGFKISFITDNIQAV MVFFLVAVATITIGVKTNVDRSLVDSSGLLNPTLLGWQLLYILPVAVLTNDFFLSNFW IRTFASRTDKDLFIGVSSASVIVLVILTLVGVTGLLAVWTGALAPGEDGSIAFFLLLE TLPSWVVGIVLVMTVTLSTAAFDSFQSAMVSSASNDLFRNKLNIWVIRAGIVALIFPV VVIALKAPSILQIYLISDLVSASTIPVLVIGLSDRFYWWRGFEVVIGGLGGILTVFIF GTIYFGNALDGAKLILLEGGLYANDWSAFGAFVAAPFGGLLWGFGALVVRLSFQWLIA KIKGQRFDSLDKPDYLTRPRIVDANEYTDSGDITAADEGVVTSHPKGKFF VE01_02610 MAHLIRRPALGLALRSPFLQTRSFSHGLPRCAFNSRQQPQQPRI PSERSVRIAAKEMSQMTSDFGLLPDTIVAPSSANRPSLLASPNALAKLQWARLKRKAA DLMIMTIYRWSHRKHGLKIHFRKTAPTAVALHQQMYTAFADGNIELLKKITCEGLYES FASRIHTRPRGERWKWEVIKYTSKPRVMSNRAATLPVDDAGVRQAVVRICSRQRLSRY KADGSLVAGTGEERDVMEYVVIQRMLWEGKENDWMVWGTTEETTLEAIEEAKRKALE VE01_02611 MIAGWVLHPPSPLSRSASPSSHVRSYSASPKAPPDLSSNRTARA ISTSALNNSSTNLSVGAASVGSSQRSSSYNDEDESMSTIPDPRSRTMSPPDGSQPPSP SHHPDLNDEVATLSNKLINAINHQTNLGDMLNQTRSELDASRERIRQLEAEAESHASQ ITNGSLVEFGVVKAERTKLLASLTEEAKKRGVAEKEKAAIELELENLTTALFEEANKM VTIARENSQRDHEVMEKKNEMLKARLADTKTLLKSHEEQLAELKRVMAQMQEKKEEQS NPSAPPTPGLKQFDDKDESVVRPVHSPTGDLEVTPSYPTSFTHLLQPVLRTDLAAYGD FVTLVRSSKNGPGSRVTSGSYGGFSLGLGLGGASSQPSPVLRHTPSNGSTSSIATSAT VASTPVTPITPASAVSASTTPAGPATPLKETRFYKRALAEDIEPTLRLDTAPGLSWLA RRSVLNAMCEGTLVVDPMPTPTTAVQKLYVFACSLCGESRKDPKQARTHRFRTSESDT AQRYPLCKYCLGRVRSSCDFLGFLRMLKDGHWRCEDEEAEKNAWEESVRLREQMFWAR MGGGVIPVGAAHNQLPEVAPRASEEVEMLKELEETGKIVPRDITPLPDDITASRIDLD GDEASLIAAKKRASKNLRNDTAEGLWKAAQEDALEPPPKESDVAATSPEAKQVSDEAP ATEESDKSNRASSQSLAVSETGSKEEKRLSITIPRPE VE01_02612 MQAMPDARQQSFEEIYGPPENFLEIEVKNPRTHGVGRAMYTDYE ILCRTNIPAFKLRQSTVRRRYSDFEYFRDILERESARVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVVGHPLLQTGSKVLAGFVQDPNWDRNAW VE01_02613 MSFTSTRQGASASQSVPAQLVESRENGDVLPFNQVDAKQINRKP LPVAKSWAHLVAGGVGGMTAAALTAPLDVLKTRLQSDFYQSQLQSNRARLGISPHAHL SPARSAILHFRETFQILFSVHKVEGWRALFKGLGPNLVGVVPARSINFYTYGNGKRII ADNFNHGEENTWVVLLAAATAGVVTSTATNPIWMVKTRLQLDKNVSERAGEAVVRRYK NSWDCVKQIIRNEGIRGMYKGMSASYLGVSESTLQWVLYEQMKGYLRRREEKIITSGR EKNIWDRTVEWTGKVGAAGGAKLVAAIITYPHEVVRTRLRQAPTIADGKPKYTGLIQC FKLVFKEEGMASMYGGLTPHLLRTVPSAAIMFGMYEVILRFLDTPA VE01_02614 MAKSKNARSSPSAVPASEGAPLIPVPTQVQVPVPVVATVKKGAI KRNDYTSDGVKDNDVFNLPGSDYRVMIFVTVIAAIVRLFRIYQPSSVVFDEVHFGGFA SKYMKGKFFMDVHPPLAKLLLTLAGFMAGFDGNFDFKEIGKDYIEPGVPYVAMRMLPA ICGILLVPVMFLTLKAAGCRTMTAGLGAGLIIFENGLLTQARLILLDSPLMIMTAITA LAFTSFTNQQEQGPAKAFQPSWWFWLAMTGLGLGATASVKWVGLFTIAWVGSLTVVQL WVVLGDYKNVTPRLLFKHILARVFCLILIPVTFYMAMFAIHFQILQNPGEGDGFMSSE FQATLNSKGMKDVPVDVALGSRVSIRHHNTQGGYLHSHNLMYPGGSKQQQITLYPHKD ENNVWLLENTTQPLDVNGENINGSYAWDTISPPAFLKDNDVIKLFHIPTNRRLHSHDV RPPVTEADWQNEVSAYGYEGFEGDANDFFRIEIVKTKSEGELAKERVRTIQTKFRLIH VMTGCALFSHKVKLPDWASEQQEVTCAKQGTLPNSIWFIEQNEHPQLGADAEKVNYKN PGFLGKFWELQKVMWQTNAGLVESHAWDSRPMSWPILERGINFWGKDHRQIYLIGNPI IWWSSTLGVAIFALFQGIAVLRWQRGYKDFNNSTFKRFSYEIGTSILGWAFHYFPFYL MQRQLFLHHYFPALYFAVMALCQTYDHITNRIPGIGLRENPIIGKLGAVVFLGLSMVA FGLYSPLAYGNPWTQSACKQVKLFSKWDWDCNTFHTTYDQYNVKQVQSPVANVAPTSA PAPPAAIPEAKVEEQAQKPIVEQEQPVMSVAPVYSEAPILSGSQSVVSREERVEYRDQ DGNLLDAEQVKALSGKVSFQTRYETRTRIVDASGVVIEQGEASVAPPHPDVDNVDPET VAVPEKEAEAAPASQSKAEEDLVKEAAIENAKKGEAKPASDVQEATV VE01_02615 MAPSATAPDVLAALDEIKAPAGVVLPPKEIKAILEKTAGYVSRN GAVFEDRIREKEKHNPKFSFLSANDAYNAYYQWRLSEIREGRGNVVAAGRAGEVPAEA EKPKGPPEPPEFNFSAKMPNISAQDLEVVKLTALFVAKNGRQFMTTLSQRETGNYQFD FLRPNHSLHNFFQRLVDQYTALLRAGGMDGDGGKLQQERIQELERNVKDKFNVLGRAK QRAEWFKFQDEQKVKKEEEAEKEKLSYAQIDWHDFVVVETVVFTEADDQAVLPPPTSL SELQFASLDQKAHMSINHNLRIEESFPSEEDSYYNAYQAPQVHQMAPPPAPAQQQYPP QAPAFRTKSAEEEEEEARIRERTEARERAQAAQAQAKGGAAAPMKIKENYIPRAAQRA ANKNAQMALCPNCKQQIPYSELDEHMRIELLDPRWKEQKSKSDARYATTNLWNNDAAN NLKRLASQRPDMFDGVTGQALSEEEEARRKKAAISYDGNPEGKDAGRMGAGMQNVNVD EQIRNIHLKFGERK VE01_02616 MSAPFARGFATAARRMASESEGSLLSKGAKKDPELYVGASLLPR KLVGGWTRRVLALLMAATCAAVGGAGYYLGANPTSGTSEAQISTSPNSKPWEQPAPSD SKGDFKYQYHPGGNPANAPRDAPSALHSVIVPNVNLPKELHEKYNKWGKDGY VE01_02617 MAATLLSMVNTATRCDTPESTLSHTLASSNAQSSSSTPPTSIAD STSVASPRSKRDGPLLSIFDAHIDDEEYPIDEDRDEDDEQEDGSGRPRRARKITVKAA DWAESVTSSAKKARSERGGSVTRRCTVSGETLVSSHEDGDFSTEETNGDETREVVEDG IELQLPARKLQKSRSAMSLGGESSLGKKSRFMKDEAARRKSARFTNEPTESLTKKLSV LGKRGRDAVDEASFKIKRELKRLADTNEFAKIETKPVVHEVWSCGKLVTGNEPPKKKK EKKAEEAVVVNQVWSRGKLLTEVQPKKKAEEPIIIHEVWSCGKLVTGNEPPKKKAKIE KVKEPEPEPVEEVPEVKKVKKWLNRGLYAGQEAIVDLSHSYTAKERKAMAKANAEVKR RSILPLPLWAGQRILLNGRDFKLPFDVCSPLPPGQPKPDEWRKTTKNRFIGDAAALWK KTKHFSDSDSRCICSPSTGCGEDCYNRMMLYECDNGNCPLGAELCGNRAFADLHERRA KGGKYRVGVEVIKTEDRGYGVRANRCFQEGQIIVEYTGEIITEPECQRRMREDYKNNE CYYLMLFDQNMIIDATRGSIARFVNHSCEPNCEMVKWIVGGKPHMALFAGKNPIMTGE ELTYDYKFDPFSSRNVQECRCGAESCRGVLGPRPKEVKKVEAVKGVKGVVKGMKGVMK KGKRKLKELMGGDAEEKVTKKRKILVPVGKSKVKPTKSAKAMAKAKKVAKKMGKVGAS AATTAAKKSPKAAAKSPKPAKSPKGGLKQAKLSFGNQQLTVVADESASPSPKKAKKET PVKVVKPRKSGMVVVKRRGTKVVMKKGVRGEGKKTIARKAAAAAAAAAAETAALMEAA AAAQ VE01_02618 MSSSSPPRDPPPSQGGPPSIISSRMTDIASDDGHDLDTTSHATP AHRTSTNRQSIQSSRPGTGSAAMSTRSPWSASPPSRRGPKSGGTPSILGGAAGARPPS STSRTHVPSLTSHAFFRPMSSQRLQAQRGGPRTPVAGQNQRGSGDFGDAPAVPSIASG YTGNPIQSRDESGPPPPSRGTEISVQEYVQTTTANTSPTHGHFQTTSLSSSVQPLQVN NASSNPNGLTVNTGLTTFRNANLPTPSKSPHSFRSSFLLPSRGGDMPPHSPNRSNHGH SKLSSGATSPARPSDVTPLPSPLTPATGAMGEKHGPGGNGGGGAGGGGKGGVKNYVHF PGNTRFFLGGRFQNARDRPVNIATGILVVVPAVLFFVFQASWLWHRVSPAVPVVFAYL SFICFSSFIHASVSDPGILPRDLHKFPPPPATEDPLTLAPPTTAWLIVKSHLPASTAM EVPVKYCKTCHIWRPPRGHHCRICDNCIETHDHHCVWLNNCVGRRNYRYFFTFVAAGT GMAIFCIVTSVVQLSTVGRDNNSDFGSAIPRERGVFALLIYAALALPYPAALLFYHIF LSGRGETTRELLNGRKFKRGERHRPFTLGSVVKNWIAVLGRPRGGGYIGFKRVGGVWL GEKGVVEGRQGGSGGGNGEMLEMRGMSQGA VE01_02619 MLRPATPLTILLFAAFVLLLISVISVPLVRPIVLGEFDGISYGV FGYCKADAVLPNAKGNFNLDPATRSTLSSILIVHPIAAFFTLIMFILAVVSHFHAPSH SPRYLLGVFILSILTLILALLSFLIDVLLFVPHMAWGSYIVLAATILIAASGIVSCAM RRTLVSRKARKRRIEENAEMNGENFYNRQAATGPLPAPITAPTIASSSVTGGNGDKLP AFATFESAQADADARAAAQADDDRVPLTSRTPTRSPETMSASLSGRPEDRYGPPPPMP GNRGYGGPPQRDQYGNIIPPQGGMRNPDPRNQFGPGGYRGRGGPQGSFRGRGGGPGYG RGGYGGPGGPGNGYGGPGGPGGGPGYGPGPGPGGMRGGRGPPPNYPGGYNNNRGGGYG GPQRSVSPPNNGYGFDQSQQSLNSSGYGGGGGGPGNGRPESLARAESPPPMDDGMGPV GQAIEMDATTGSRSPGLHPPGGYGGGLRDSDADVAGMVGLQQQRGVVSDGSKYSVDEY IPPRQNWPSGGPRASSPLTTGPTGLHPVPRAHLSQQSEGSYYEDVDPRFSPPSPHTVG LASGGGGGGGAGQMVPAPLMPGGGRMGPSPVGGAGRMGSPVGSERSEGQRWQGQGGQQ QQQQQQGRMGGGRNVLDSNADFAVPGGRM VE01_02620 MLRHPASRSARCLISASRPVLRSPNLPRPTTNPRSLLTPRSLRS AHSSQFQPFVPPSPASLGRARPARHYPRTSRWVRRLLYTSAALGTLYLVDVNLYASSL TRSLRTFGLGLLVAIDYKLNFRASPLCGGTIADLHRRSAERLFDLLRSNGGLYLKIGQ AIAMQSAIMPPEFQKMFARMFDDAPQNDWEDVERVIREDFGKSPEEVFGVSFRGEEGK GVMEKVARASASVAQVHWARLPDGREVAIKVQKREIAQQVGWDLWAFKVMMKTYTYWF DLPMYSLVPYISSRLLLECDFEVEATNAQQMTALIAAEPRLRGRVYIPTVYPELSTKR VMTAEWIEGVRLWDKPAITSPWRGPSTGSPGVTTPLSSSPTTIYPPNPNSPRPSASSP IPSLKPDRTTTLLGPRSNSGLGLSLKEVMTTMLDLFSAQTFLWGLVHCDPHPGNILLR RLPSGNAQLVLLDHGLYVALEPGFRVQYAKFWRALLAFDNDTLKSITAEWGVKQPDLF ASATLMKPYTGGDQSTARALTKSLEGATPGERHFAAQNRMRAGIRAVLSDETKWPREL IFLARNMRIVQGNNQFLGSPVNRVRIMGMWASEAVAEEGEGGGWWGHLRFRLGVAGSD VVWWVSRGRQLVWGGGGMEEELERGMRGVAGDALGVEVGEVEGGIFGG VE01_02621 MPLSPGQIIKGARASYRLLHPLKGKTVFKAEILQARDITQRWAV VKTATGSLERSALQREYQTYQNPFVAESPFIRSLHEGVGDMESLDAAPVDGVAPPCLV LEWMDTELRLISSSAFRSGELPKHVARQVLKALWVLYYIDSAHTDVNLNNILISNLNS PVPVVKLADLGMVTPEGFNSQRLQSLPCRAPEVWKNQGVSHASDIWSLGVTLVHWLLG KSIFGARDKRVEGLTEAYCIAKLERLVGPLGDLPEGLSVEAREEFRMAALLRDMDMPP PGKGKLIDVRPLREELEQLQDPVVPPGLIDFIESLIVVDMEKRPIAHDALKHPYLDIS SESRNGVSVVAN VE01_02622 MSKPYPDTFDSPLPSRAAAAPAPVSYQANVNRQKTKKWVDAKPG NYGGDDWGDDYDDGYGDAPPPPPVPKVTGLRQPGQGVRNDVGIKKTYGELPHLPKDAQ DRSSTNPPLLRQSSFSRDDERRAFSSGPSQQDALPSNPVAVAAATPSPPLRLASQRKQ LPPPGTESSARSSYAESSRSSAHTASDARSASGDAHNNYPTHDVTSPLRSAALSPQAR AASPLSRSDSPPVNVASPPAQFSPRNTTLNQATVPDSSAAAAPAPAPAASVAKALPFI RPADIYKRLEEERQRKASGDSSIDSAAATNPDTQSSPALPFQAAEPVIPAPVEPTNYN RGGYDTYEEDVPDYGRQADTYEEEAHDYGRRQAPMLESVQERKSEYGFDDMAAKSPEA AQKLQQEVQKQEAPVQQYQPQIQEPAQQADPEAASDADAKRRYSMSPKLPDLHRMSGF GFDMWSQSAPDANVANSPVAERQVPNAGEQPLQRQESLGFTSVVHQAFDRTDSIPETP TSQGTSNVRRTDSDSTGTAGISPIMSRVSSGANPDSRGRTQEPKDNLTPVIAEEKEPD SRRASAIVAEEPNQTPFHMGHRRDLSAPSNGNSPARSPHLETATLAPQSGEVAQVADE TRPILPTAVSRLSRPDLPGAWNSYSTTAESAAGEVTQNKDIDEDPTPVEPSQSPETAT SPQPLATSGAAPSPPLKDDVTLPPLTTSKAEDLHESAPKDDSETQNPLMKLPTLSTNA SPTDDETDRLRKEIVRSLSPRDSTSIADQDWVPPPNAAARQSTLGLPAPSAPRDSMYL PSEYDNYWAETEEDAQVESQTQEQTAPVSDAAASSYIASTGLHNVEESEDIPAIAPLS PKRASMLPVEPTQHTLERKFSWEAALPEQVTTVPEDSQSPPVIVSPQPIVAELEAEQV SVPGATVARSDSASPAPLSLRSPHASGAQPEDHKDSVGSEGIVAGAVVAAAVVAPAVS TIRPVSADSEDVGSSSQAPLPYSKAMSTEGTDTVSPMSAKVEPVRSQSQQINSMLDIG GPQTSPPPQDGPRQSLQQNDVPRPQIPVDPTMPKPFKEIMSLNSPQQRINAYNESRQQ FATMDSGLANWMHATTALPHLQNPLASAGAGAGAQAQRPKSGNILAPQPQPYYQQYLN ASTPTASPPPQARYSGGTPTTGTQGFGSASSKTTQQVQQVQAKSKELLHTAGIFGGRA SKAGKGLLAKGKSRFKGSGDKDANSPTTIDTTKAGKSSRRASWAFSLSSSPSTARPST FSGAEPSAPFGQTTQNRSSESSDSLLATRRQSTSSEQTPAMKMFAPAGQTAPAAVAPV ARVVEQAQNFLELNLPTSSTLRDARWGSETAATSTEDGSARTREGGVAELAAGEGGDI APPVPIGKYQPSWDPYNATPIVEEEGFGWESPPKGSAVPVPARGWSGVDETVVPETVP ETDVEREVPAEREVPGETEGGGWVMVAEESVRRIMGAVANGARPVHERGDSEVSAMTV GPASEGQVVLESLEEGSEVPVVEEPAVEEPVVEEPVVQPEPVAEPVDEPVDEPIEEEQ AVEDPVAQPVEEPIEEEQAFEEQAEEPVEEQAFEEPEPVLEEPAVEEPVLEEPEPEPA LEEPAVDEQQVADEQAADEQAADEQAADEQQAADEPAVDEPAVDEPAVDEPAVDEQAQ AVDEPVAEPVQEPVEEQTVEEQVIDVQQAFEEQAVEEPIVEERAVDEQAVDEPILEEQ AVDEQAVEEPTVEEPTVEEPVVEEPIVEEPTIAETIITAILPHIATNGFTLKHFNSEI PATNNAEVSREQTYLSPVDTSIADNRRQAGPWSPGATVETPTSYAETPTGLTPSADQP SYAAAFSALPPIRRSSTFDYNSVTRLVKTLSNEAVEQDDVRSSGAVSPVSVTEEPREM IPEQPAAAIETAPPAEPTSQDRGSDETVRKETVRKEVVRKETQRYSFQDDFDEPEPEI LSRPSTMIAPRNGDVLQVAPTPPRSVSPGGRPLVPPIITNQLTSEPQVGPGFVGQMLE APGAQQFPPVQQQQRQEEEFSPVSEPQVQQVQQQQQHQQQHQQQYVPVQQAPVQQQQQ YVPLQQGQYPPSAQGQFSPVQQQRQFSPVPQGQMAQGHQGQYLPMQVHQGQYPPMQQG QYPLGQQGQFAPVPQGQYPQQGQYTHPQQVQMHPGQQGQYPPQQGQYPPMQQGQFAPG QQGHPQGYMLVAPHGQVPQLQHGQVSQMPPQMLAQQQGAPRLPQERMPPMQQGQIPPA RQGPRQSMPPSAHQRRSSSDAQPRMRPVSNSSQGVPMSAGGFLQQSTPQQFQQGQQQR TSIEAMRNRPPSLSGVSQPPKITPQYTENRQSMSRGSAIQIPPSSSERYPELFQPQQD KALPNEADRDVPPEYPSVERGHLPRQQATEYEIAGVGPPMDDPRNGSVRHSRKPSILK EIGGRLSRGSSLERNLSALEDDGTREMRGQLDSRRDSIASSDDMSLNDPEKPVERRRS RIIAALSPSARGPTPDIPPQSRESMVTHRPSQANLLANTPAPGSPLAPPEKRKTFFGR SDTGGLEKKEDKPRMFSRQSTLVTMDSTQPGKEKKNRFSAISSMFTGKKGDADRGRGS SRHGTYVPSILPGIMILVKNENERQILEQQGLVKRPHPQVQDQLPAQQQQVLVNPAAL QRINTGEAPRQQQGQNIQGRPVHQQMTPIAQQPPPQQFQTIAPLSNRQDSILTIGSIG EPVEKQRTQPTGTPQQQMVPPVIAQGQVRGTPPQVNPNAQQPPQGNNKSMPPPPVFGS GRISEEPIDLHQRNINAAPHQQIQPMGIPGGQPTPPRMPNSQHQAPVASTTSRESTPR PTADAERRASVISGPDPEDRLRRRTTQPIETPPGQHPEDKSLIVNRPVVAQAQGQERA SMIQQKVTPPSQIAYRPEDIHQLPFQQQQPPPIQRQQTFNTVPGQQHQGVYETPPMQH QQMYMNSQAQQQHPSQQQHAEQARQQYAQQMHAQQMHAQQQLAQQQQAQQQQMYMNQQ QQQQQQMYTPPPMQQGFQQVPRVQSPAMSQHTSSPPPTAPAVAPVPAKAKKGLFSSLL GGRRSSAMADKSSNNQQAPPAQQTPPPQNYNIAPMGQQPLQQQQYYVTPPIPGAYALV RGEGTLAPTGYDPRGLNQPQPGPARSRLPPHMIEEYPGRPNAPAMNYASKWAAQDAAV QAALQAKKDEEWNTPPSVPFLSPEKTAARRLSSEDLLARSPARGQFGQQAPYQLRLPG DEEMKREKSRQAAEALRQAEDARIAAEAVMRAEAAGVVMPPTRENTLIAPAPPPKSVS PASAESRVKAQAQSQSPSPMPKGTDTGRVSAGTPPVVAGPQKPLERSDTITSAVSKMS SSGRGSPAVNAPGAAAAAAAAATVGATMGGGAVGERRMSRIMPDHEEKIYDDSREGMI VVEDATVRRVNGTMVGGVLHEEDEEGPKVKMSATSFPGDEWRPWIEEGEGL VE01_02623 MAVSTSIQDRTSEFHSVLTQVQKQRHSNKIGAQRQSLLSNAQKA DNAPASAEKPRRSEFARRAAEIGRGISGTMAKLEKLAQLAKRKTLFDDRPLEINELTY IIKQDLSSLNTQISSLQTLTRVQNPSAAPQQTEHAKNVVFLLQGKLTDVSANFKDVLE LRTQNIRASRSRTENFVSAVSSHALPTEGQSASPLYSTPARGSPAPPYNPALGGGSGS QDLLTLNPVGDQQLLMMEEAQPQHAYIQQRGEAIEAIERTISELGGIFGQLAGMVSEQ SEMIQRIDANTEDVVDNVQGAQRELLKYWGRVSGNRWLVAQMFGVLMVFFL VE01_02624 MDRLPAEIIYEIISHLKALEKAHYGRWRSNLSPYATINRTWQSV IEHETFSRIKIDADTLPELIPLLSGATGDRRIRSIRALEFNYLLDRMGLRSPTNLSWR REQEQLANGLFSGDMRALFGLLKGWEDRLGGSERVAAEKHQLCLFLARGLDRGVPTMP HPSRRISISPRPADALPDAPVVDPWQEYELELRYGPRPDVQYIGDEKLLPELSLVSSV TVCEGHGIHPESLMRVLSRMKCLDCLDGYVFDEMENPDLTCEYRKALAQSLQLLPRSL SVFNLDFLSDFSEPLTSDSLLVDPQRDHLCISIRILSGQLRKLKLSGLRISSAVFAGN GDGSYPDWSSLEEIKISYPEISSTGQWFANRAPPEVVTQDDSYYSNDPNDYKDCTYVP SAEPLNELFAAAGRAKQHMPRLKYIDLRLSIDFGKHIFLYYFNREKKRNMVASYSTGA FGFSKEVAEAWGFSLPEGETPKDGDMFINEVIVM VE01_02625 MSADVAAPVLPDGKEDGKDEEVRSPFDPNLPEDPRHSEWIKQSG HATRVAYNYAAYNMTEAERRAAEGEGPILAPGDVLQDEGWANNATVYEWCDEFGDVGP AHPVLEKQLFGSDVHVTTGIDFSGVTSINVVQEGTIRIKPVLSFDDAGLHPVMLANVR LAGYTVPTPVQCYTLPAIFQGHDIVACAQTGSGKTGAFLIPTLSKLMGKAKKLCAPRP NPATFVPDSRNFARAEPLMLIVCPNRELAVQIFNEARRFCYRSMLRPCVVYGGGPRRD QLNQIAKGCDVLIGTPGRLVDFITNEPERLSLCRLKYMIVDEADEMLSPDWEDEFKVI MNGGDQEDGNITYMMFSATFPKAARDLAKEHLANDFVRIRVGRAGSTSTNIEQNIIYV EPSAKRQALLDFLYSRPPARTIVFVNSKRSADELDDYLYNIGMPSTSIHSDRTQRERE DAIRSFRIGAVGILVATGVSARGLDIKNVMHVINFDLPNADFGGIEEYTHRIGRTARI GNRGLATSFYCDRNEDLALDLVKTLSETNQPIPDFLQAFVPEGGAAELQFEVDSDFGG DDAEEEAAAPVADKLIDVLADTVSKNLVMNTPTMPRFLPGMKPRDPTAQPEQKVVAPA APAVLEPVNPNVPRVSAIDIKGKGKEIEVPIPTVTVTAPSVTAADEDDDW VE01_02626 MADEEEIPIDVQGYLSITGMPAYNPAYPPRGIPLRPLPGAGAAV VAPPPPSGWDAPASWDVIRRLSFNPFLAPPPAPVPVPERPPPPPPAARTPSPAAPRTP SPPPPPPPPPRTPSPAHLRPSASTRRANPADVARQTAALQALNRFASNREAADASEAA ASAISADVIASAPDPVAAEEEATEAHRAFHRELTLAMLEGTAYVYPDGEPVTLDGGPM RMAGLVAYTTGEEEQPEQSWADWSRENRWRMPVVWGGGGGDPNFTYFDHAHEAGAEPF CFSWGCWTCYCGPGCCGGGGRSARNRRAWTPGNLD VE01_02627 MPSSLAADAATEPSERPSERRAREKRERDPNKPKKPRSSKPRPI DPETGLPVRRRKREKEKEGQGDINAESEPSASMADLVPELSRTASAPGASRESLPYPS LSKAHSKDAVYSRVDLNKPDVYTPEPTDIDAHKARGSQESLKSKGARGSQESLKAKGA RGSQESLKRDGPLTPPDTELSAQRKSSTSTPTRAAKIREEEAKGSRPSSRGSERSWFG RPKVIRDDRSKVSTKSKDSRGTARKSSRPRVDVVEVVEVVEDDYLSDEGQSRSGFDSN ATSVAPKRTQPQQVAAGSGGRPPGLDTDSGQSNRESASPRTPTATPKFDAHDPKNFAR DFRPTPSPFVNFEAPGGQQQSNFDSPLPPPPPPPPMVPINIPRVDYLMQNGGLPRPIP KVLLAVTPSHTTTAYPGRASVPPPPDIEKVFGPFYSLLDQYETVLDKNGSVAVATGYR SIARKLLDRLENVFARELSAEGCTCIMCSSDPDARLYHSRALGWGDVLEWVSGRRSLP PYPAFDFGATATGLGLSPLTDDGRPSSPQKIDPDIAEEYREHYLRQSKKTKAVVDKWL SATPGTAAPPPPDIDDDTLSFTILTHLPSSSRPIFSALLAGSATVPTTRSPTPAPKVR SEFIAKTTLAIQRLYRLPTAPRDPEAAIYLLHNPSLHHLLTTLSLINTAEWEILISGR FDGFLWSGADLDASAMSSPPSDPNFPSPPSRGPTPSSAAPPSRGPTPAGRYPFSPPPL RNAFDTSPPPSRNPTPSAFPPPSIPGTPFQGGRHPVSSDEDAEIAVLAEIERDIYAGM EALEDAFEALHRKAEVVRQALRQRGAGLAMAAQSRRGGVGIGVRAATPGGESAAGGWG GGGGGGGWQGEESESGSEWAEEEIAPDDSASNISSSRHRRPKRRNERRTPALVEEEEE E VE01_02628 MFLPRLLTLTSLVLTLATASDLGRPCGLKIARCSEDMTCVPNKP SCTNPNTCLGTCQFTNTYQSCGGHRINPPICKKGEVCIDDPRQPESCGQACDGPGICL PEVGIECVSASTTKGLFVSMAQPTANSSTLSWPYSSLGHLTTNTGSSNYSHESTATWV YLPGQIPNPWPYLLASWFISFIFTVAGLISSSSSPKKDKKVPWTSKFRIPGIIYNMFR CIVAVVRVARIKKTKLVPSHVTNTGCAMSLITNIFAWDAEFDSWSVVAAIGTTAFWSL NISQLILSSTAEYGFVISSEKCDALEFIGCSELPQVPVLEDISINITEFVGVAIITTA AIFGIFGMWSASLRRLKLRPYASRLIKKYAIVALILLLWMYTGTYVDLKSTSYVDSIG VKQIDSNTTQMSEVLWSDCFPAFEPRRTDGFFMPWATDIWNESKASAILLFAAV VE01_02629 MSTVTIVNGFSPLNRWLLYTSFMLSPAQFFSGLGNNCPSNIGFL AYNWYTQIQWLQAIQGHELHALSLLPVHFNLLFVFTYLGGVTSGNLVMGIFLGLGTGG VIILNTVAAWTSWATNQQEGYGVYQFFFFGWRTLSPGWHKFILVWQISDSLFALNCLY LSIYLPVKMAKYTKEEMDKVPWYLGKYPLIPVGAAAALLVGWPLILWTELIVARNKIE SDTDWISVWLFIAQAGAMLMPASSTYFGCMKRVLKKPKADRDVVLGSVK VE01_02630 MGSSKDTTSDAESGYASGSSSRATLPQITFTKPQLKHINSQLSR MEPLAILRWAKTTLPSLFQTTAFGLTGLVTIDLLSKLQAEDPSSPAVPLIFLDTFHHF PETLDLLQRIQARYPDVALHTFSPAGLANAAEFEERYGPKLWETDADLYDWVAKVELA ERAYSDLGVAAVLTGRRRSQGDKRSDLGILEVDDAGLVKLNPLFNWSFAQVKAYIDEN NVPYNVLLDQGYKSVGDWHSTQPVAQGEDERAGRWKGQEKTECGIHNKRSKYAVYLEE MERKAKAEAEAAAAVAPTPVAPVEIVI VE01_02631 MYFLTTPRIGFRRWSKDDTELASALWGDPEVTHFIDARPRLFAA QIEEKLNAELEMQQQHDMQYWPIFLMENGNHVGCCGLRPKDVAARIFEFGVHLRKPYW GTGLAAEAGHAAINYAFEHLKARQLFAGHHPENHGSRRLLQKLGFVYIGDQLYPPTGL EHPSYMLMQT VE01_02632 MASRPVRVANCSGYKNDPYWQMLRQATLGDVDFITGDYLAEMNL AEDAEAYRAGKHDGWEETAWEGIKESINVIAAKKIKVVLNGGCLNPAGLAQKVADLVS ERDLKVKVAYVSGDDLMSKLGPDLASLGDKLPRHLDSVNPNVQVPNSSLAFQALPSVP IVSANAYLGARAIVEGLRAGADIIICGRVSDASPVIAAAWYWHSWKDTDYNQLAGSLI AGHLIECSAYSTGGNFSGFTEYDLETFIEPGFPIAEIDADGTCVIGKHLGTGGMITED TIRCQFLYELQGNIYLHSDVKAYLNNVVVTLVGKDRVRISGITGRPPPPTTKAAIFYR GGYQSQLLLNATGYGTDKKWQLLEAQLRRNLQNAGIEKDLSLLEFQIVGVPEANPKSQ LRSTTYCRVFVEAAEPEPLVRLLRCFSDIALRHFSGFHCSLDSRTALPNPFLAYYPSL YSQDDLEEGVTLIGSKYDGNETKTISSGHPPSYEDLERRENYDAVNAAPLSSFGPTVP VRLGDIVLARSGDKGSNLNCGLFVKDSKLWEWFRAFLSRAHFKELLGDDWAHEYWLER VEFPGIHAVHFVIYGILGRGVSGSTRLDSLGKGFADYFRDKVVEVPVALLGAVKGNL VE01_02633 MSHDAKLSKELEVAHIESSQLEKTILEDRELIVVSPEEAKKIIW KIDRRLLPVVGLVYCISLLDRGNFALANSAGMKKDLELSVGNRYSLIALIFFVPYIIF EFPSTILIRIVGPRLFISGICMTWGILMIGSGFVNNWHELFGIRILLGVLEAGFFPGS VYLLSTWYSRYDVHKRFSFFYLIGSMSSALGGILSYAFSRMDGVAGIAGWRWIFIMEG IITCLIATQGFLLIVEFPEKAAQSWNFLSEREASWVVQQINNDRNDVTPDKFNLGRYC REALDVRLWLFGMLFFCVLTTPYAVAFFLPAILGSMGFNSAESQYLTAPPYFAAAFLM LGTAWFGDKYKVRGPGIIACSILTIIGLALIGFTKTTGVRYFGVFLTVSGANSNIPAI MAYQANNIVGQTRRAMGSALLVGMGGVGGIAGSLVFRSQDYPLYRPGIYACLACNGLM ILIVIGLSTFFSNQNKKQRRGEIIIEKTAGFQYTL VE01_02634 MKISLLLSVAALVHQSTCDGPGLGYDPNTTPDCIEWHNNGFEQT CEEVRDYYNITPEMFHKWNPSVGLDCKPWEYHSYCILSQERYDEYLATASGSAKTGAT ATTGPSTPAPSPTARTIMDCFEEGGYYPVIDKNVSPEGGDTALTIPGCRNTCYLKSYY YAGVEGGNQCRYSEYINSELGKNQGDCNITCTGDKTTFCGGKELASP VE01_02635 MRGSKLCRMPNNMIAMFKGLLFLSTLLNGIGLARADNPIVQTIY TTDPAPLVYNGRVYVYTGHDENGSTTFNMIDWHLYSSADMVNWQDHGSPASLTTFSWA TANAWAGQVIPRNGKFYFYVPVRHNSGAMAIGVGVSDSITGPFIDALGHPLVQNNEID PTVFIDDDGQAYLYWGNPDLWYVKLNQDMISFSGSGPTQIPLTVQGFGARSGNAQRPT TFEEAPWVYKRNGIYYLIYAANCCSEDIRYSTGTSATGPWTYRGVIMPTQGSSFTNHP GIIDFNNNSYFFYHNGALPGGGGYSRSVAVERFNYNSDGTIPTIQMTTQGVPQIGSLD PYVRQEAETIAWSSGVQTETCSEGGIDVSYINNGAYIKVKGVDFRNGAKTFITRVASA TSGGKIELRLGSVSGTLVGTCTVSDTGGWQTWTTVTCPVSGATGKQDLFFRFTGGSGY LFNFNWWKFE VE01_02636 MDRGFDCEYAIPPKSTAGSRDQSIDQSLTWASPRTNEQSVQDTV LMRLPVYDALEIDISTKYDLFYINSFPYPERATIRQEQVDFCILQFKDIILQLVHQNR APFIHHDSYLDRPPVAYQDLLGISAMYYQKTPQNQSITFSMLDSRIASLVRSSKSSMW STKDYLLGVQAMIIYQIIRLFDGNIRQRANAEAQFGILETWASQLHSTSNIYYNQSDS ESPYQRWIFIESARRTVTMAIMVQAIYSILKDGFCTSVPQMTTLPVSVNGAPWDATED TWWETTFGLGGELITYQDFLTQWNGGQALYAGTYESILLGACKHNVRRPPLMPL VE01_02637 MSLSYQINIIDPLHSHLSPNLLSNLSIKESPIPSPGPNSVLIRI RAVSLNFRDLLCLADSPLYPTRTPPGLIPCSDGAGEIVSVGPGSKWTDSIGDAVIVLM NRDWIDGDVDVVSMENNLGSGTSNGTLTQYMVVQDPWVIRAPKNLSFEEAAALPGAAG TAMNVLQSVSVGKRTTVVTQGTGGVSCAVIQYAAALGARVIATSSTDEKLQIAKKLGA SELINYKTTPDWAEEVLRLTHGKGVDLVCDVGGSGTLEQSVKALRQGGTACLVGFLTA PKPVDILMSLITEAKTLKGILVYSKAMLSRVVALTEEHDIHPYLGKVYNWEDAPQAFE QMRQQNTVGKIAIKV VE01_02638 MAVLYAIVGCAMLLGKTVSAQGAAYSQCGGQGWTGSKSCVSGYT CTYSNDWYSQCLPGTNTQTSSTPTPTGGTGGGSGKTKYAGVNMAGFDFGMATTGTQDA SQILTPPNAQMSHFVNDDKMNIFRLPVGWQFLVNNPGDQLNSGNFAKYDNLVQACLAT GAACIIDIHNYARWNGAIVGQGGPTNDQLANTWSQLASKYASKSQIIFGVMNEPHDIP SITTWAATVQACVTAIRKAGATSQMILLPGNGWTGAASFVSDGSLAALKTVKNLDGST TNLIFDVHKYLDSDGSGTHTDCVTGNVDVFNNLASSLRSAGRQAMVTETGGGNTQSCI NYVCQEIAALNANSDVYLGWVAWAAGAWQPSWNYELNLVPTQNGNTWTDTSLVNACFK RT VE01_02639 MDLPAMVNCTRHTAYQCLDQYNLRNDEFLTANATDNGTISLKCE ESFYDFKVADNGGFDGSLVVPGPVKLKSVSIQGQLNREVDPTNLITIDFPDIVNITGQ LNIQNADKLSSLKMPKLEIVLGSLHIDLSGGPGINLTFPSLYSVGPGLFIKGNVTGLE FPVLSRANFITVESTGKLDCTAFVANVVNATDPFVSSDSRKEAVICKSDTGSASMSLP SDSDSGGGPSFHR VE01_02640 MNQKINTSRFYFEFKGHAIPDVSAFRSTTLSLRPSKPIVYLAGD SSLDNKYWVPSSSPRSIPLLVDVPEIYRSTLDRPHPKPDVAFWLNHLLGDRATALNLA VEASTLRERDNCLLDHDQFIRDNIRANDILIVSVGSNDIAMKPTFSTIRHMLQLAWLT PRSSLQKGTAWCLGHFVRMFKSEVESYVSRLVEKNKPRVVIVCMIYYPLEANASKQES WADLPLKLMGYNRFPGQLQTAIRIMYELATKQIQIPGVLVVPCALFESLDGKCEADYV ARVEPSAEGGRKMALQLSEVIDSFITAQTDI VE01_02641 MSDTTIDPKEFTFDQEVLMAPAYRRIQISPSRARGVVPPSLFNS ARNVHEGAESHITSFVGPARPARPARPASLSTIGFSYAYEPRVLYVVASLDEFSTERT KTEGGYPYLTYGDGELFDVVAEKGELWLARNQGDLAEVIGWIWNQHFARLVEQDDLGE HHEPVEQHDTVSLSSRLIPARPPRPTGPILQNTVDLISGLASARPNGLILSPVRDSDP TDVSNKVTLGDFILKRAIELGASPLDALTDIMSYSKNQYSLHFSPTEINISSIQITTL ADDVVRAVQDIIES VE01_02642 MSSHFKRPNQANVVEAQEAAPELPPVSWTKSPNMRKLYFYCIIL CVCSATTGYDGSLMNTSQILSSWQDTMGHPSKDTLGRLSAMYSIGSIVSLPVVPWLSD NFGRKTPITVGCIIMVIAAAIQASAMSRPQYEGARFFMGFGNSLAQLSCPMLITEIAH PQHRARITTIYNCLWNLGALICGWLAFGTQHINSTWSWRIPTLIQGIFSIIQLTFIWW IPESPRWLLSKDRNDEALHMLAHYHADGNDSDATVMFEYAEMKETLRMEFLHQKSSSY LDFIKTVGNRKRLLIIISLGLFSQWSGNALVSYYAAIIYKGAGITGQTDQLGLDAGNK VLSLFVSISCALLVDRVGRRPLFIAATAGMLIFLICATITGQQYALKDDVKIGYVNIV FVWLHGVSYSLAWSGLLVAYTVEILPYKLRAKGVFIMNLSVQVALTINNYVNPIPISE GGGWFGQNWKLFACYAGWVLLELIWVYYFYVETRGPTLEEIARIFDGENAEVADIDID GKALNHNGSIKEAPVQVVQVEKA VE01_02643 MAALPTRAPSTRSPRPVFSQTSRSPSYLASHAQYRAPVPTNHPG IDSIVDAPTPSPKPYAPYTGVPSHGATAPHIVDSGISHGLTHANCQPDPGEDVGRIIA TLFYKTTPRSTAVESRSPSPGSGTDDAGPKGAPTTSSEASPLEPPPADEIEPLDHLYG SYVSPLCITAFLALLSSLPLPQGHTHITSSHRCLDVPSPSHPRVVELTFSPAPDPSYI SLAELRKHELIYRFERAWHVDVVLQADTPLRRHPRLVVFDMDSTLITQEVIDLIAASI GAEEAVSAITARAMNGELDFEASLRERSRLLKGVSAGIFDELKPVLDITPGVVPLLRA LKRLGVKTAVLSGGFIPLTGYLAEKLGIDHARANTLTIEDGALTGELTGAIVDAEAKA KHLLALAEVEGVDVSQVVAVGDGANDLKMMAVAGLGVAWRAKPRVQVEAGARLNGDSL LDLLFLFGFTREEIGVLCGEKA VE01_02644 MDYWPASTTEIFPGLFIGNYQSSNTREVLESNKITAVVSAAEGR SGHWHRTKFTDSIKPGHHLWIECLDNKVENMLRYMRTICDFIDAHLEPKDSKPPGRVL VHCKMGRSRSSTMVIAYLMCKLGKSRDELLGEMKVKWPRTRPSSNFMAQLEIWEKVGY DLWDDEERRSPSWSMRRIWRRGRPIWKSMG VE01_02645 MSLSELLRGTLCKKFPCGTGDSPLKMKMCSEYDYYSLSKIDKDP DDLFEKTRSFFEEVQEMMGSENLSQARKTAYEEHSIAIMAAIIFGSNMIEKTGSSENI TQKLCKDIFTGVPVASEIPLTHPDCLAMLTHILRQDLPPTHKSINRSRIEVTQHAAAW TYLVTSLLSGPLTETHLLTAHLILCADLPLNDHTPYAGLCRLVGVYAGLNPFPPPASI PSLIRTLVYDYNAAIDLAKTAGFLDPFALAAEFGHRFVNIHPFIDGNGRVCRLLMNAI LFCYAGIVVPLGETEEGRDAYLGVAIRASEGESVREEGGKKAWAELSSLLILEACGRF ETLRERLRTVA VE01_02646 MAPGYRNSNPSRRQTRGLVDHDIFEGLPVRNWRRDAVTVAPPPA HDPFATAKDKWDVELPWGMPKDAHLMPQHSQDLLRAARSGRIYQKRMLVEEEDIEADA LLVEKAEKKEEAKEEGFVVRTWKLVPKHLEGPEIEYLAKRRKGLRGAPVKGVATLTKM TLRRTDEAGNTYVEDVVVPEGHVVEGEVIATTVILDPATTGAPVAGVAPPQPVKRRPP PPKRRAKGPGRGRRKKLPLPPRSAPATVGAAQVDGQAAEAPKQEPAVDANGVKIESSV TPAPGNEDTEMGDGSMAQSDDEGEGEGDDRSEGSDDEDQDGEGEGSQTHDQDDDNRMV LDNLPPEPKVQAPKPAISGDFPVEAPHGEFGGQAGGQGPTTSGSPLKHAFTPQSYSPT LSAEGEVENAPADAFGGGQQLQGHFEQQQQQVFHGQDHQQQQHQYPEPQQQHQEHLHE GPAADTPSAFDVSATDFAGMLSGTPPQFGEHQEEGGDDEMLLDSVQGHDEHQLPDLSD FDPHASTEAPGEQGVGGDEGPGEEKFEDLLGSLEDHLNDGSGEGEGPMALIGVEEAEA VVVEAVVDEVAPASVVEEVPAPVVVAEEQKPAEDEKMDEAPAAPALEVVEPASAPAET ETASAEAKEAEPAMVADLKEPSASPAKEAESAVPEAPAAVEAEAPSADVAEEPAAPVE VEAPTVVEEAVVETPQVAEALAIVEEVAKAVPEPVAQEEAKEEVKQPTPEKTQDPVPE VVKEVTPEVAAKEEEAVEVAQGETEKKEEQAATEEPSAAA VE01_02647 MSAVQVLKGDAPAQARSLFRQLLRQGEQFAAYNFREYATRRTRD AFREHKDEKDPRRQQELIQEGLKELQMLKRQATVSQFFQIDRLVVEGGKSGKQKGKKG DLVRQNETGWN VE01_02648 MANPPHGGELKDLLARDAPRHAELSAEAETLPALVLSERQLCDL ELILSGGFSPLEGFMNEADYNGVVAENRLVDGNLFSMPITLDASEKLIADLGLKAGGR VTLRDFRDDRNLAILTIDDIYQPDKAKEAKEVFGGDPEHPAVKYLYETAKEFYIGGKI DAIDRLEHYDYVALRYTPAELRLHFDKLGWSRVVAFQTRNPMHRAHRELTVRAARSRQ ANVLIHPVVGLTKPGDIDHFTRVRVYQALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSAGVEFYGPYDAQHAVEKYREELGIEVVPFQMMT YLPDSDEYKPVDEVAKGIRTLDISGTELRSRLRSGREIPEWFSYPEVVKVLRDTHKPR SAQGFTVFLTGYQNSGKDAIARALQVTLNQQGGRSVSLLLGETVRAELSSELGFSRAD RTRNIGRIGFVAAELTKAGAAVIAAPIAPYEDARQHARELVGKYGDFYLIHVATSLEY AEKTDRRGVYARARSGEIKGFTGVDDPYEAPTAADLVVDAEKTNVRSIVHQIVLLLES RGLLDRI VE01_02649 MSEEAKPIPATAPPAAAPAAEGAPAEGGAEQSKKGAKKAEAKAK KEAEKARKAAEREAAAKASGAGAAAEDLAKDNYGNISPTYKSEAKRVRLEEITEQDVG QLVKIRGTLQNSRAQGAKIFFFQLGKGVETIQGVMAVSQEGKPISKQMVKWMGTVKLE SIIMVEALVQKPLEPVKSCSISGFELHVQKLYIVASAPETLAVSVATASRAVGGVDEE EELSKAAEGLSVQDKDAVPVAHLSTLLDNPVIRKRAPVDRAIQDIRNEVQYLFIEFLR SKGFKKFEAPGLIATASEGGANVFELPYFGKSAFLAQSPQQSKQCEIAGGRERVFCIG PVYRAENSNTPRHMTEFTGLDLEMEIEEHYHEVMHMLEGVLLYIFAGLEKNCAREIEL VRSIYPSEKFLLPADGKEVRLTFAEAQKLLREEGPEEFRNVKDDEDMSTPQEKALGAL VRKKFNTDFYVLDKFPLVARPFYAFPDPENPEISNTYDFMMRGQEILSGGQRLHLPEE VEKGMRNKGLDPNSPGLKAYVDVFRGAGVPPHGGGGIGLDRVVSWYLALPSVHLACAY PRTPKRLGP VE01_02650 MTAVALQQRNPPRQQYNPRQSNRSQPQTPQSQSYTQSNNQHIPS SSTASASGSNGAVSTASSGSATYNEQPRRTGGANGASTDMMPHPTTNGESSRANGNGA RRLSDVEIQTHHRRNQSSSALPRPNGSREGSETSQDASRRRPKPLLKRSKSDYGPRGG DEVEDNNDTDTSDWGARHGFEGHYASEEYVSQLANNWYMYFTDKRHESTGNPRPLNYE LQDWRMKDRLKTVSAALAVCLNIGVEPPDQLKTTPGAKLEAWSDPTTMPVQKALETIG KALQTQYETLAIRTRYKQYLDPSIEETKKFCVSLRRNAKDERVLFHYNGHGVPKPTAS GEIWVFNKNYTQYIPVSLYDLQQWLQAPTFFVWDCSDAGNILANFHRFVEKHEQEEAD ALAKDPSTVVSNFRPYIHLAACGVKENLPTNPALPADIFTCCLTTPIEMALWFFVLQN PLPSNITPERAKKLPGRLQERRTPLGELNWIFTAITDTIAWTTLPRPLFRKFFRQDLM VAALFRNFLLAQRVMHHYGCHPQSYPELPDTHQHPLWESWDLAVDMALAQLPMLEEKE AGIRPDYEFVNSTFFTEQLTAFDVYLTQGAVTHKPPDQLPVVLQVLLSQQHRVRALIL LGKFLDMGPWAVNLALSIGIFPYVLKLLQSAATELKPVMVFIWTRVLAVDLSCQQDLL KDSGYNYFSSILKPTEGLPVVDSLEHKAMCAFILAMLCNGYRQGQNVCNQTEIMSLCL THLQNQDNPLLRQWACLCISQLWNDLPEAKWRGIRENAHQKLCTLVKDNCCEVRAAML YAITTFLGIPDLTDEVARIEEQITWTVTDMANDGNAMVRKELLVYMSKYVKRYESKFL VAAYEQLQEEKEYLQFPPTDDGNDHKMGLHYVRAEHRNADGTVKASAHGVSHNTIFAV VWKHVLIMAADPHPEVQRNATIVVDYIHLKLLESPLSSRSQALVDEILVLSRRAFTPY AQPATEQRPSTPKQQYETATPPSTQQGLLKRTASYLFTLPFGGSSAESSPTDSMRGPP KSPGLNRNSLPVRGRVPADWNAPPEQNDTTSTPGTYRAAKEPLSGGYEPRKLTEAPVI PLKSNFLEWSIEYFREPQMKSSEAEEPGSTDYNERLWRRSRNESILRETQPQKEVAES GKWSNPSGFFNNGSQPTKMTMHQFEDHLAVSDDRDTVCIWDWKKQDRLARFSNGNPEG SKISDLKFINEDDQAFLMTGSSDGVIRIYRNYDSDKKVELASAWRALTHMVPSNVNSG MVFDWQQVSGKLIVAGDVKVIRVWAAGPEVCVSDINARSGSCVTSLTSDQMTGNIFVA GFGDGAVRVFDTRERPQTAMVKKWKDDSDRDWVRSVHMQRGGQRELLSASRNGKVKLW DIRMDKPLRIIQATTDVLRTASTHEHLPVFAVGTARHTVKVFNFDGQQLSRIEPYSGF LTANRSSPISATAFHPHRMMLAAASKRDNNINLFTCEDRKGGELDLNGNGHM VE01_02651 MAGYDDNKAKVLNGHLQFPPNMDYNYYANANPQPYQFMGLPPTP SRSNINGDDFGNGSPPEAFEQFQTFDAYNHFDAHGAAQPPTPQSQHQSSGGNTNDSHD GGSETLNSEQRQASNSDDEDMTPAQSRRKAQNRAAQRAFRERKERHVKELEEQVAELK KESSSFATQNEILRLNLQKVSTENEILKATSSNHQGETLVSSTGPLRYSPTDFYTELL QPHENKTISHRIVTDPVSGGRLLAAAASWDFIISHPLAKQGVVDIPAVSEKLKSKAKC DGQGPVFAEGDIVAAIEDSVVGDGDELL VE01_02652 MVYVRQEKLPGLKKYKYNGVDHSLLSRYVLKPFYTNFVIKCFPL WMAPNLITLTGFSFVVVNVLTLLWYTPSLDQDCPSWVYYSWAVGLFLYQTFDAVDGTQ ARRTRQSGPLGELFDHGVDAVNTSLEVLVFAASQNLGMGWKTVMVLFGSLLTFYVQTW DEYHTKTLTLGLVSGPVEGLVMLCLVYAFTGYVGGGSFWQQSTFAALHVPKYAFIPDI IYNMHWDEWYMVQGGLVLTYNTISAAQNVVRIRRERGQRSRVALLGLIPFFVTWTLIP TYLYLQPVILNEHLVPFVFFAGLVNAYSVGQMITAHLVQLDFPYYNVLILPLAYGVFD SLGPVLQEHVGVGWPSALGDGVYQVAYLFCMLGCAIGVYGSFVVDVIVTICDYLDIWC LTIKHPYHEGDELKKQS VE01_02653 MSYSKKDEDGDQALMKVDRTSVFQEARLFNSSPIQPRRCRILLT KIALLLYTGEKFPTNEATTLFFGISKLFQNKDASLRQMVLLVIKELANSAEDIIMVTS TVMKDTGGGTDAIYRPNAIRALCRIIDSTTVQSIERVMKTAIVDKNPSVSAAALVSSY HLLPIARDVVRRWQSETQEAAATTKSSGGFSLGFSSSASAMPVNNSTMTQYHAIGLLY QMRVHDRMALVKMVQQFGVAGAVKNQAAIVMLVRLAAQLAEEDPALRKPMMVLLDGWL RHKSEMVNFEAAKAICDMRDVTDAECIQAIHVLQLFLTSPRAVTKFAAIRILHNFATF KPQAVNPCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEF KITIVEAIRTLCLKFPNKQAGMLAFLSGILRDEGGYEFKRAVVESMFDLIKFVPESKE DALAHLCEFIEDCEFTKLAVRILHLLGLEGPKTSQPTKYIRYIYNRVVLENAVVRAAA VTALAKFGVGQKDPEVKRSVTVLLTRCLDDVDDEVRDRAALNLRLMTEPDEMADRFIK NENMFSLPYFEHQLVMYVTAEDKSVFDNPFDVSTIPVVTKEQADAEDRTKKLTTATPT LKAPKAGPTKTAPSGAEALASATEAAQKYSQQLLAIPEMKSYGPLLKSSPVVELTESE TEYVVGVIKHIFKEHIVLQYEIKNTLPDTVLEEVSVLATPSDEDELEEDFIIPAPKLV TDEPGTVYVSFRKVAGESAFVASSFTNILKFTSKEIDPTNGEPEETGYDDEYQVEDLE LTGTDYVTPAFAGNFNHIWEQVGAAGEEEEETLQFSGVKSIADATEQLTRTLSLQPLD GTDVPVNTTTHTLKLFGKTVTGGRVVANIRMAYSTKSGVTSKLTVRAEEEGVAALVIG SVA VE01_02655 MATMVNPPASKSKSNPLDLRVGNKYRIGRKIGSGSFGDIYLGTN IISGEEIAIKLESVKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLG PSLEDLFNFCNRKFSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQ VNVIDFGLAKKYRDPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYV MLYFCRGSLPWQGLKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRF DDKPDYSYLRKIFRDLFVREGFQYDYVFDWTVYKYQKNAQAIAQAAGNTPGAEEDEKQ HRTRTNAATAGQPTPQQASTASKPGAISTTRRKVMERGASGADTPETNRAVGGSDRM VE01_02656 MAFLFKSKKNQEKAAAATKADEKGSVAGSQASLHGNGRGSKDEK DGLTLSQTPTPTGSVNNSINSFHGNAPSPDPKGPVRRGTGGLEKSDLPLRNATAPAVQ PVNSAPNTALYPWTYRKLTFTSSHPSPFPRYGAAVNSVSSKDGDIYIMGGLINSSTVK GDLWLVEGGGGNMACYPLATTAEGPGPRVGHASLLVGNAFIVYGGDTKMDDSDVLDET LYLLNTSTRQWSRAVPAGPRPAGRYGHSLNIVGSKIYVFGGQVEGYFMNDLVAFDLNL LQVPTNRWEMLIQNDEMATDGSIPPPRTNHSIVTWNECLYLFGGTNGFQWFNDVWCYD PVPNAWTQLDCIGYIPAPREGHAATIVDDVMYVFGGRTEEGADLGDLAAFRISSRRWY TFQNMGPSPSARSGHSMTAYGKQIVVLGGEPSTASRDAADLSVVFLLDTSKIRYPNDQ MIQQTPAGEQVAGRRPSDADRRLMGSRGPADGPPDMKRLNGAPARTSVMGQQNMYGGR PNGPPGVDGMDMGRDSPGPGSAPLQGLGIGSKLPRASLMQAPAGPPPQGMAPSRPNGA PQQGNPFRGKPPPQSRGFGPGIDTSMRSQSQERNGPGSRGLSLSPRDSPTSNGQRGLP ITAPIVVAKQEVVRQEIAEAPPTNMQQASVELPRSGSKSRRPQGSLGSINEGTLKSVT NRTGSPPPPSRQGSNATKNKSARNSQTVSLLNELDNLRNRNAWMASELELARKSGYTP GGTPSSILDNRISTSFSDAERPLIEALIAMRSELSSVQGSIDQQAIIAAKKIAEVEKQ RDAAVSEAVYAKAKLAAHGGSQTSTPQLDNDSRDTNSISGDRSTDVARKLAQALSAQS NLQKNIDSLTAEVEAEASGRKLAEETANIAQARIAELESYKQKNSSEVESLKAELHHV HMEAREQSILCAEAVAKANLLQVDRETLEGQHNELLGTSKDHSETFGSLRAAIAAAHD MKEHLERKVEEERSQREALEDKLRNLRSEHEERTSELETASQRLRDAEEIADKHATEA QSLRLAVMSGLDKVSTRDMSMSPTSGGEKVATLQAQAEAANVLVRKYQTAADNASEKL RSAEERIAGLEAYQEQVSREGMSIRKQLQSTMKEVQTLQAANSEMRHQIANQQLETNA VHVQHNTLKDLLQERGISPITAARARGLNSPQMRSDSPEQSRLRELEQQLLTSQQSHE ETKQLHASQSQEVESTYREKLAQLENDYQSAVHYVKGTEKMLKRMKDELSKLKGENGR LKAEVEGARSKAADSAEPTEWEQEKEALRQQISNLQAEIQTSRSEMENQLSDVRKELE STKADRLRLTEAHDEAKRQITTSSEQARLDLDQLQQENANLERRALDAEQKVSLLLDQ VESSVDNYRRQSHLSDAVAGSAKAGGGHTRNLSDIDSVSGESLYSDTTERANDRNSMA LDSLASELETLRTHWETTSKNYRQSTNFDLERPDGDDDGQSGGQEQHGLSNSLADWRK RLDSAEAETRVRKGSADELSPTTAGGVKGNVF VE01_02657 MADEPSLPSLPSGLAPSFYSTSGRPGKRARLSSAPISSDPPLFS SDDDPSAENYSDPKRRQKMRYKGPWYKQEPDNSGSTNPGERKGKRTLERQFDSGVWLG SDSTDDDDGADYDFLKGARVPEFLARGVPVAMRPSPLRSRVIIPSAEYPSQEEQARQL IQQCLEEGNEDVDLSGKGLTSLSNGAIRSLASFSSIPVLTQGSYQTLLPKLRIFLARN ELKRLPGEIFNLENLSVLSVRSNELTELPTSINRLRRLTELNASNNALRTLPFELLDL LSCPSRIKILHLHPNPFYEPPAADGITETGLPVDEDSDQRTWGSKTCDNPEHDHARNS EWRRRFKCRSQVKFFDLHGSPVKAPEVPTARPASNTPSLLELCVKTWADTPNMPNLNE YLSGDYPEKLQHVLDDARRLRETEVPGRKCTICERRFVVPRTEWIEWWEIEQSPAGKV TAEETVRPRDVIERQLPFMRRGCSWKCVPPKRVEGGEMVVED VE01_02658 MSKSYTPPKAPSSLRGRLLNALFTTLLAVICLVCYALLIILYVQ AHLYHIPSLVNDGDTGSIKPTVAVGLIAAMLAAATSALITRCVEHSFWLKLVPGNVGS PLTVGEITRLAQWSVSPLSKLTYAFNGRSWAIKTSGLLLLSISIITPVLLAGISQTDH VKITSTSASHAVDYWAPWINRGNFRSRGGSAGDLVFGMAVQASNGNFAAPVAPVCDDD SCSVSTGSSALFATCNARTIDNTENRGLTVCPEQITTSTVASDLSTDFCSDIVPSMCV NLTCGAPSIYANFKTGLDLDCESGSAGSTGPNACIDSPGSWAVIFGAWVGGADFGIGN SKLINTVSCLIEYGNISISQTGKNPPRVERNSFKRSIYPLSNYNSPISNVRTFIWNEN ARTTPYYFTLRVVGTGWNDMYQQPFAAGLLGDDASNNAEHVARQIENNFDWATMGAFA RMPNASDVATSTRTSTRAYVYNQLVLLILLVPFLGSLLGTWGRWVVGSNDEVLGYDPA AIANRGPVEGIASKSPSQSQEEYKKARDKLLLIGVEESLVNTSTRDNAGRIRFLARQ VE01_02659 MAAPMDERINVPIDDPNADTEWNDILRKHGVIPEKPPSPTPMIE EAILEGRRLAHENRLEGKDLDELDELEDDEDDAFLEKYRMQRVMEMNALAQKSKHGTV YPLSKPDYSREVTEASKSEVVLVNLTSGLGTNVESRVLTELWRDAAREFGEIKFCEMR GDQCIEGYPDRNCPTILIYKDGDMVKQIVTLVLLNGVRTNMSDIDSILLEVGAVKSND IRISKRRREAEDQDEAPKRSGLRGSTRPVNQEDDEDWE VE01_02660 MVLAVDLLNPSASAEARKHKLKNLVPAPRSFFMDVKCPGCFTIT TVFSHAQTVVICAGCSTVLCQPTGGKARLTEGCSFRRK VE01_02661 MPSSTHQAKRPYAGTHSQHQASITSYFPSPSGAAPAPTTVRNAS SPPVLPATVQSNLLSVGMRVRKSVPEGYKTGSYSSFALFSDQAPPTKPQQQLQPQRRV KQVSGSAARELAPFCGIMKVGGLAVQAPQADEEYMDDEDEVLDEDDVPGMSSQGSTLS AYSTTTHKRRLSASSVGSDDEGGAPYSWADMGISPKSQAPQQGQAWAVAGNRAMALPR RMKKAGAGGAMGGQENGVDFEEAGFLDYAALGEVDMGGL VE01_02662 MPRLLSSLLLALLGSVTSTIACTIPTTPPGNTILDKFSIVVQNP SIPTVHNKVMRFRANGDDEHLVLPPIGVATNDVLYLQDGRLIYNAIHAVIDLEYNDQD DTTKMFMTAREYHPSAVFKGEYACDPDTDELQIQLKLVSRLTDPPVLGGQIGIRDAVG TLEFRYSPPGNTKINNEFLPVEMVIFRNSISPTSTAKPSTSTAAPLSSTVILTTTAEP PTTTAALPTSTSVPTTPTSPVKVGDYDFLYCWAEPAAGRAFAAKSTAADDMTLAKCAA FCTAYPYFGTEWSKECWCGNDPATGSDFAPLGECDYPCAGDASQLCGGSRRLSLYYNS ALTGPQQPKTVGNYEFQGCVTDSHGQRTLTQDMLGSDYMTLETCATFCGGYTYFGTEW STECWCGNTLTTGATIVYAGQCSMTCSGNSDQLCGNGDRLSVYKVKA VE01_02663 MLPPKPSGMDSSQRGRSTADTINRKRSSSSLNAPLLPKKRTCSS SPTKREQTPSTHNRIRRRVIVRDYGTPIYKASSYVAIIAALERFAEVNRYESLHDRGS MLQRDMSIGNLMMNEDVDNPSWPSFSSISQLNRSKRSLREHEARPARKLL VE01_02664 MKTLAKTLCWVKESGPPITLMWVLTRCDERFLLDLNVHNPVDDA NTHLTFRACSNPEAAVAARGGQLTSRQQLSYNTTSNDDKFHTVSAVTTPCGNSVLQTR VNVQLGWWDSEGPSEDAATARDVAAAAEQLSHYLSSDATCGGRIILSSYRGAIVGVHV GSQVEKESAASLIDKFAKDTSSRPPNARDRSAAQLCGGEASSSAIDIFGCLDGFDRSS TWPDVSLSTIPGSNIKITPAPSDGESETNSGLVARATCKYTQAGAGDGCYALAARCAI TQAQLESYNGGASFCTKPIILNQYVCCSTGTLPDFSPKPGADGSCFSYTILQHDICNT IATAHHTTTANIEAVNKNTWGWMGCGDLQLGQHICLSTGTPPMPAPVAGTICGPQVPG TKAPAKGTTLAKFCTITNSTTKAPGTAAVGTYGCISDCGVDIIEGASSDVAYRRIAYF EAFSADRACLHMNPSDIDTSIYNYVHYAFGSITADFQVDVSTYPEKFANFVALKGTKR IMSFGGWSFSTDADTSPIFHAGVTDAQRATFVKSLLEFLNKYNLDGLDFDWEYPGATD IKGAEPGTPQDGVNYLAFLKSVKAALPAGRTVSIAAPASYWYLRNFPIASISKVVDYI VYMTYDLHGQWDYANKFVNEDCENGNCLRSHTNSTETYYAISMITKAGVPLNKVVIGM ARYGRSFEMAKAGCTGPQCLSTGPESGATPGPCTNTSGYISNYEINQIISSANSPDLY GSRNITLLKDHTGESFTRGGYNELLNGDILVYDDTQWVSYVADRTYAARLFYWGQYHT GGSVDWAIDLVFDYSQGSKSDGTDDGDDGVVPPCDFTKNYNNLDDVVAAVGSIAKQCA EIFTVNALSKELAAALANYTSVDNDYDSLFGYYVEAIKEEVPNAIAHFMNSVDGPGNK YFDCTWQVQGATCLFDLKNSTGFYADLSANYGISADWVSFGDKDFPPPTVNGHQSGIY SYWKGLPQGSGNVNPTNPKDIVTAALPNMTMLQSTIDSTWMNQILGQWQGSGTDPAQV LAMPIAMIQQAIDSLAQVKVISKAQSNADRQKLILEIITAVLIVVPFVGGLGADIAGL ADLAGAIGLIGMLSNGAADIFSIVKDPKSAPMVIFADLLGVGGGGTIGKGEQFGKLGK LRRAMTVDDVSKLGNVFTLLNNLTSKIDKIIKKCS VE01_02665 MNLTPDVLDTVKKLNAAGYKNQLEPGKLLPDNFNIADSPNFQKM MGPIMNNIDATRLAMKDETGSIQLANIQVAYKSSHSQYLSPLFSLEKMAAVTVIVTVT VAVTDFAGQMLEAFNGFKAKLGLTFTNEFSEHTALDGHKYQVIDPEKMVKSNPSITQN IKAPGVMTTISSTQQQLPVQSFPLFPRLPVELQRRIWELCLPARIVELDLQGTDIVPT SCSLQQTSQINSRASLATRICRESRSVAFLAGATELYDDDFQRSDTPEWEAMNDIRDL WASPPTDVLHLNYYGAYDGYYHWSGNPVEFLIWLARRYHMRMSIVASLLVGFGDTEGA EYLFGFDKNFDLLAEGGGPYLTTLCVVSLHVGLESALWKDGGMLFGRLGEERVKLIKV GDKAALKAYYNLWAAGPVEDREPEQFFDLAVSRHESEWLPRVAQWRRRLLTTWMNHCW AKAEEANELGSIQDHQGVWRAPRNNEGRIIPKGDSGPFSHYMRPGPGLGGMRTRVPNE EHPWVAGGLRDMPCFEPVVMFRLCEDKCYAPKPQRTRGLGRGGLGIRGQSSRGSV VE01_02666 MTTTSELTFPVSPEFDPKERILDHFRVMRKFKGIFDDTEKAGAN EALYPAPPPGDLGLEKNAGWRAQCLLMSAEIRYPMYLQLLEKWVTAHGTATKDEWPLP PWDVAIIFYAHLLSPFNFQRDIESNFPKLWQAEIEFPLARMASSNTDEASKRAWMKEY PKIPYDIIAFRDGGSQTYVTSKNNMDIQGYICRSWRCNKKKTYAIPMADWARYRVAQT SLTCPGCRTSFSRYGRNLQDRVVRYSREEFGYPVFNLWESPQRQFCKSGFVDRILDLD ETYILAPSTVSRYLNFLQLMKEKQSILVPTLDIDLFWHTHQLSPAAYHAYCKRHIGQR INHDDTIRTGMRSTAQDMTAHLWTMKYNESYFAPGNDAKMADIQQQRDACKQKKLDNV KALAAFDKNNKHIKDALDNAYSSIVQEESELRKVRGTARAIQSELTAAEEARDAVKPT IRLFKRRYYRGLLRFQVQRHEGTCRRLKEDYRLRQQEIERLDNIIWDSTLPEQERCQK EWEVVKERRVLAEMEVLLVLVVVLAVELAAGVDVVEAVGEAVGEAVAEVVVVVVGAVG VEEVVKPRLFVAILTIYHAIYA VE01_02667 MTEEAASLTTSPTLSGTIPASQNLESQVLILTEPLGVILRIAPW NSPLILGLRSVLPAIAAGKIAILNGTELSPRVHCFIAALFREASFPSGVCNFLLHRAE DAVQVFDTIIERPEIRKCNFSGSTAIGRSIASNASRALKPCLLELGGKNFAIVLEDAD HEKATNLVAEGAFLNNGQICMSTDTCLIATQVFSKFREALISHLQKPGPTSQMTNLIT TTASSRIGHSMTDAAAKGATPSSVTPDMDIYSHESFGPLISLIPIETTDEAVAVAIVN ECRYGLSAAVHSKDDYRALSLAKELQVGAVHINGATVHDESTLLHGGYRDSGWGRFGA GWGLAELVQTKTMCDGARPKCSACNELSFDCVYIQSASSSNVIVGKEYLTSLEERLKA AEENILSLQTSQSRQQQHLRFEDVDELVPNDAHGGNTNKELLPLTDNTRPADGSEATH VITLEDETNELGTVIFSVEENCGFFGPSSNIALNRHVSRAVLRLSRTHQTFSNTTDNE SQRLHAEMGIMSASRPSSPSPRSLRLANSTGDETAVNIYRLPTEERTCELISRYFSDT GLLFPYIHEETFWESYNEMKSSNFTRTRRSWLGLLNIIMALATSTTIDTGSSAEERLR ECDIYYQRAMGLCEKQMMRGTSFEIVQFLLVMGQYLQGTQRSVETWSIHGLAVKAAMQ LGLHCPKVSGHLSPLMQEYRKRTWYGCVVLDRTLSMTFGRPSAIPGDYVVLDLPCNVG MSNPPGVIAPRTEASLGFFNATITLYMIMWNVIQTAYGSNLGSESNVGVVERITRIFP IEQQLVDWKRRLPPTLALQQAANVAPSPSVMVDSQDFFLEKFRVILTLRHHNLRVLLH RPILVSFLDLAGNNSPQDDPETSRYLEQFGGNSVQICVQSSIEIISLISTIVASTGLR RTWLGAWWFTLYYTFNAALVLFAALLVVQSQHSGSTGGIPLLVSPAEMHRAMLEASVV LRRLDTENRTIKKCAEYVEKLIEIFKTLAATSNSFMPLPLNLDPLSSEKFHFGNNGNE TFACLPDPSVSNTSPLGMELGEFILEEDLEFINQLFSLGATTGNTPRSVSRAAPEMAP GLDLRLAAASPTINGE VE01_02668 MAVRTEHQIGAWVENPGPDARVVIRDDLPIPSPSAEEVLIKLDC TGVCHSDLYSITGKTPMKVNIAGHEGIGHIIKGRSQRGLRIYPTSKWLYTVNVTDLTV VAGSNVSQDLIGKRVGIKWLYKYCDDCEICSKDVTYCPNQKNPGRNVLGTFQQYICSM AKPLTFIPDGISSELAAPLLCAGITIYSAILKLRLKPGEWLLLPGAGGGLGHLGVQIA HALGLKVIAVDSGESKRKLCLELGATRFLDFKVDDVDKTVKELTNGYGVHGAVCLAGN KAGYAQAIAQLRNTGVLVCVGLAMEELPISPFMMIVRGISVFGSSVGTEQEMKSLLEM ASEGKIKAIVDVFDFQELGEVLEKLRINGISGRAVVKLPI VE01_02669 MVVWKRLIRFVATDGRVLRGEPILPSADFDLGKTTAETKLQAKV ITGEDIYDTTGLTKVSDEVVTVDELLGPLAQEDIPILRCVGLNYAKHIKEAGRSPPPF PFIFFKPVPSVIGHNEDVIIPKICQDDQADYEGELCLVLNRDCKDVSEADALSYVGAY TCGNDISSRKLQRDPAFAGRIPQWGFSKGFDTFAPLGPVLVNADLIKDPAKLHLKTII NGEVRQDESVGDLLFGVPYLISYLSSGTTLQKGSVIMTGTPGGVGAGLNPPKYLVPGN VMEVEISEIGTLKNGVKFA VE01_02670 MSQTLEEQLLVNGTNHQLAKRIQIPLNMPKHPTRSLQFLDELNS QPTKVTVPLEAKVKLKIIVVGAGLGGLACTIALARRGHEVVVYEQTAVLGEVGAGIQI PSNSARLLHSWGVGTFLEPHVVEPNGMTFRRWEDGSTIGYTKLVPDFRKNFKAPYYVV HRAHFHDALYQRALELGVEVRLASRVENYDLSKPSLTLRSGEVIFADLIVAADGVKSA ARKLVLEGEDKPPQPTGFAAYRATVDVEKMRSDPAISWLLEKPSLNIWIGEDRHVMTY TIAAGKSFNMVLSHVDLTDPSTWKPETAICDMRKEFSGWDPRLVKIINLVESTLKWPL MMGTELKTWIAPSKKVLIMGDAAHPMVPYMSQGAAMAVEDGGALATVLSLISDPEEIP RALEVFEAERMKRSVQMQQASLLNGTLWHFPDGPEQRLRDESMKPEVEGRSFSWSANQ WSDPVTQWWAYGYDAEEAVEAAWNDRNASKDP VE01_02671 MENNDTSNSAGVTDNLLSDLEKQNTKPLWAQMSKLNPPLPNPTA KPHLWNFEKIRPHLLRAGEQITEKQAERRVLMLVNPERVAPYTTDTLYAGLQLVMPNE TAPAHRHRAFAMRFIIEGTGGFTAVHGRRINMTRGDVILTPTWNWHDHGKDGSGPMIW LDGLDLPSFVHFPVHFVEHYKESRYPAENVDTSESPIVFPWPRMKAALDSEQGYYVIK RYLRGDGSEVSKSLGGCAERLNPGKSSPAVQETASSVYHIIEGSGYSRINGEKITWKK SDTFCIPAWYTYEHFADEKETVYLYRFDDKPMLNALGFYRTKDTDSEGLATAHV VE01_02672 MAASHDYSAACCSIPPIVAKGYQPHGKYETIGGLKTYVTGSETA TTGILLIYDIFGFASQTLQGADILATSDKHHEYKVFIPDFLLGEYAQKEWFADDEGKK VHLPNWLKSHGPPTAIKQMPDTLNSLNKSYNKIDKWGCIGFCWGGKVATLTSKEGTTW KAAAQAHPAMLNPDDATSVVVPFLSIASKDESAEDHAKFAENLKVEKRVETFDDQIHG FMTARADLEAERSREEYERGYKMLLEFFAAYL VE01_02673 MGVVTEYLDAYPIADEAPIELQRDEELLFGKAQVGPIRLLQHEL LGAVHFPVEFVPARFSPPRGIYECDHIRLEWQQMGNFRQPFYHRNADVDEVSYQIFGD RTLMTEHGTVEIRPGDYSRIPVGVGHDNYGRNEIHLLFYIPASVTECGPFAKKASHTI PPFEGWESKVVAEVMTECLGGPECDIASFQVDETLLLNHAKGLPEDKLISILRPTEAS KGDIEWKYKSKHVWIGHTELSKSTGTPKYRRHRRAEEIQCQISGTRTVITQRGIITLE PGDFISIPNGVAFTDHVTDNSTHITVLTFNEAEAKGDIVKRAKPATTEAIAELRHV VE01_02674 MPSSPIVVPEVVSSSQKNSEPSIERSITLHFVGDWGQANFHRIC SWLTQAFCDRAGPRSRVGIWSIRSGGIEALYQLHDGEVDLCIATPAGLMATARTGTGI FAAHGPMPGLRALAVLPQRDRLMLAVHPKFGVKTWEDIRRVKPPLRLATSIDDGTNFI GYVAMRLLKAHGIDEETLKSWGGSLVLNHRPEHALFSLKAGESDAVLQEAIMTPWWRE AMEKQKMVPIQAEMEALKTLSGQPGFEPASIRGGFWDGVNEETLAVEFSDFVVFVRDD MPDDVAHLLTWCLVETRADIERQYAHIPSERSPLSYPLIPSNMAKTPVPLHPGAERYY EEAGYL VE01_02675 MAEVSLSFACGLYDRVNALYTGEIKAAGIDLDFVVVNHPRVLFD NQSKSGGPAYDSSELSASEYITRYAAGDRTWVAIPVFPSRLFRHGFIVVNTNKIKTPR DLNGAKFGVQLYTMTAAVWIRGLLEEELGVDTNSIEWIEGSLSSATSYGKASVLPGVS IVTNTSGKSLDELLVEGSIDAVIGAETPPSLGKVAHIQRLFPDVKKEEKQYYLKHGIF PIMHLVVIQRDIWEKNKHVAKNLFDALNESKNIALDRMRFLSALRYMLPWLPTELEEI KEVFGDDPWPYGLEENRKTLETLVRYLFNQGLIKQKPSLTELFVPV VE01_02676 MLSTTELPKAMLAARAHEGSTEVHLDTIPVPEIGPVDVLIKVAA AGLNLGIFANVETQRYKHTPTVLGHEVAGTIVAIGEDVSSASLGDRVRLHPNLSCRQC KFCVSDREQMCPDAAMMGFLGFGKGKQPLFEKYHNGGLAEYVKAPYWLIDTIPENVSF DVAAKVQDLACAVRALKCADLQPRSTVIVTAATGSMGVATLKLAEFFPIDRLILVARS AERLKDVQRLSKVPTEVIALDELGDWPITNSLVRRLREIAPAGADAIIDYMPTSIGTD IWQVMGGLGYGGYLVHMGGNGTSLPLPVVALMVHCWKIVGTRGNTRSDTKFVLDKLSD GTLNVDELVSHRFALSDLKQVVLSVTHRSPPMWMGVVHP VE01_02677 MLLNNLFLAVDNGKVVVYVAVLIITIILYTVFSNPLARLPGPWY TKWTSIVLKYNIIKGNRALYVHDLHNKYGTLVRVSPTEVHVSDVAATKQIHNVKSSFK KSSWYKSFTPPTVLNVFNTSDIELHRNLRRLLSSPMSESSLKLVEVYIRRNIDLAVKG ISEEISERGAADVFKWWMFMATDVIGELSFGESFKMLESGKKNQYIIDIETNGLAGGI RGTFPFMVKVSKVIPIPIFKAAVESAKRLRHYAEQSIERSKRVAAEDESYPMLLKKLF RADDNGLSDRDIVNNAMAFIIAGSDTTANTLTYLTWALCKHPEVRDALVEELRSLPEG FSEQDLRSLPRLNNVVKETLRLYCAAPSALPRIVPAEGVVFSGHRLPGGTTVSTQAYT LHRNADIFPDPETFDPSRWTAPTKDMKDAYMPFGGGSRVCLGQHLAEIELRLATAVFF REFPSAKVSTIEGMNDGDMDPQVFFLLSPKGKRCLISG VE01_02678 MAALTPNSARLLQTIGLTTTAFLAGTSASFSLYAVPRILESPTP LLLKQFKHMYTAGHDSLPAGTVIAATSLLYLAYDSRAAGTTAWRGYVTAAVLAVGIVP YTLAVMMGTNNVLLGKAEEEEEKVEAQAASVKQLVDQWAMMNLGRSVLLTSAAVTATW TALGQGL VE01_02679 MDIATKLHVAILASRGELATFRLEIGDPFNEKYHTKDDSSQSGQ SILATTMLGIKHRRGLDGWEVWLPAVVLLTETPPVSPDKLDSNDGANSTAQSTETSPQ ATCLAVGEKADEIIPAMDDGITAAIDDEIIPAMDDGITAAIDDEIIPAVDDEIIPAMD EEITLAMDEEITEGVQIQNESDSNISGNSTANLTRRSTRNYAPQMSPYEVPSESKGLP YLNIVSLKSMHPRWNLLEKLRPENGYTIGQNVRMDVKDGPTCGKIIQMRKPLRGEGIQ VLIAKLWGRWRIIQDAEGLETFQKKLDTRWPAEECSFQYVLGTEYMVISCRDIKAEAQ ISLSPDLIYHRPYGPVDLDIHLRSAIKKHFSKDGVFYGIKLV VE01_02680 MYAMASSSTRFRCLNAPPLRNAAKAVYINSSSVRGLATPAGEAN PSDTTTTADASAAKPTKGRPTYFKDTPVPTLHEYLDIDQPLTPAEMLALRPRTAKVGK KEITRLPSWLKTSIPSPNTSAGFKAIKADLRGLGLHTVCEEARCPNIGECWGGSDKAS ATATIMLMGDTCTRGCRFCSVKTARKAKGAWDLAPLDPHEPENTAEALRRWGLGYVVL TSVDRDDLLDGGAAHFAETIAKIKSKSREGGGREIMVEALTGDFGGKLEMVDKVALSG LDVYAHNVETVDELTPQVRDRRATFAQSLKVLGRAKETVPSLITKTSIMLGLGETEPQ LMDALRRLREVNVDVVTFGQYMRPTKRHMQVEEYISPQVFDAWKKRALEMGFLYCAAG PLVRSSYKAGEAFIENVLKKRRGVEGEGKGEKEVVVEV VE01_02681 MQLINFTLAALLPLLALAQDTTVATTTSTATITRTIHVSQVSTV TLTSSSSIAPNTTSSYILPATAIAQNATTPIGTGTGGVVVPTSTDSGAKPSSSVGAAP VVSASNAGLLGFIAVAVAALL VE01_02682 MRTSLLTSIDATSHIHLIIGTNALAASRCAKSLEVGATPIVIFH PDECEHEVHPAMQQRIDDKEVKWLAKPYHDDDISTLGRPELDGYVDAVFVTLSPQDPL SLHISTHCKRHRVPINVLDAPSLCTFSLLSTHTDGPLQIGITTNGKGWLSQICEYWPL SRLADVTDADIEAVMTAYTSAPSASPSLTSTGTITTSTSLPTLPSPPPAAKKGLVILA GSGPGHPDLLTRSTHRAIHSATLILADKLVPAPILALIPRRTPLHIARKFPGNAERAQ EELMALALAGLRRGETVLRLKQGDPYLYGRGGEEVEFFRKEGWGDKIVVLPGITSAFS APLFAGVPATQRGVADQVLVCTGTGRKGVPTKPPTYVETQTVVFLMALHRISGLVEDL TTFAPEAAAISPTPASTDTATAGAGAVAGAVAAAAAADAGGLGEVLEGWGRGGEEAGK KVGEGGKMLGGELEVGLELGI VE01_02683 MSTTSSASSLSASVQSLKSSLQLLDSSISILDQGISDFPRLSTV LSSTRHFELTPSPSLALAQHSLATELAPAITTLLTRAETHLDRLERREQALRAKAELQ AGRLGQRGTGTSGAGASGRTTPGGRAGTGAGAGGGEGGKEAKLRMLKQKKERLGYAVE RLQLQSQQRQRQLRMSVSYAGQDFK VE01_02684 MTSNPLTYEASAGETHMQVSSALPDEVIQCLRNARFLHLATCTT DLHPHVSLMNYTYLASTPFSPSPIIIMTTNPSSRKTHNLLSNPHVSLLVHDWVSHRPL PTASTTTSPEPEQPAAHRSSLATLLQNLNSSALSSISASINGDARVVESGTEEEKWLR EAHLRNNTFGEGEEGGREQDGGRGCFIEGEEVKVVVVRITDGRVADWKGAVRDWSLVP RERLANGV VE01_02685 MIASQLSRSSRSATAVQSVTRAFTTTAIRPKTPSLADVTNNGVE SFNAKQKEFRADLAAKQKPKFEPSQSGAGRFDAVNSSTPSSSSSQSPTFLWEAPTAPH ASTAGPVSNMLGLGKLSIAAEPVGPEGEKPTGKLSSLIYGTKEGREMDQQIEQSFSQV LARGKYVHSIVFHEVKPDKVDEYVELVGNWYPKMASMPENKVHLVGSWRTEVGDSDTF VHIWEYQKYDGYHSSLHSIANHPDFPAFDKKLKTLITSKRVSLMQEFSFWPTSPPRQL GGLFELRSYTLHPGNLLDWETHWRKGLKARREVMEGVGAWFVQIGDLNTVHHLWQFAD LEERKLRREKSWGVEGWGNTVHKTVPLIQTMQSRILIPMPWSPVA VE01_02686 MAFFGLKKFPAPIAAPLWPFYTAGVVVLYGISQAASAMSQSDEF KNDPRNRFVSKVKAAEKH VE01_02687 MTFVLPGDHIDSELLPSHPTLPIKLGPGLRHIPPNTITPVVAGQ LCTDTRKNSIWVEFNGGRYIPTVGDLVIATVHHSSVDVYYASITDYTSYASLPQLSFE GATKKNRPVLVQGSLIYARVSLANKHMDPELECVSSSTGKSDGLGLLTGGMLFTVSLV MARRLMMPKPTEQGKLVVLEELGDAGVAFEIAVGRNGKVWVNSKNPKTTLAVGKAIQD TDDKGLSMDEQKKLVKKLVKDL VE01_02688 MAAQENTQGAQPERHAIGISFGNSNSSIAYTTIEDKAEVIANED GDRQIPSIISYIDGEEYVGNQAKAQLIRNKDNTVAYFRDFVGQDFKSIDPTHSHASAH PKAHENTVAFTIKDKAEGEASTVTVTEITSRLIKRLVDSATDFTGKKVDSAVIAVPTN FTEAQKQALTAAAKDAGLEVLQLINEPIAALLAYDGRPDAKVSDKIVVVADFGGTRSD VTVVASRGGMYTILATSHDYEFAGCHLDQVLMDHFAKEFIKWNTTDPRAEARSLAKLK QESEAAKKALSIGTNSSFSVESLAEGIDFSSTINRLRYETIGRKVFEGFNRLITSTIE KADLDVLHIDQVIMCGGTSHTPRIAANFRNIFPETTEILAPSTTPTAINPSELAARGA ALQASLIQEYDATDIEQSTHAAVTTVKHLAHALGVLAISDDSARGVFVPVVPAETAAP ARRTITIAAPKAGGDVLIKVVEGGSHIKVTQPLPKAKSNGAKVADAHEDGSEDDESSE EEEEETREKVWKVGGVLAEIAVKGVKAGGSVEVTINVAGDLATTITAREVGGKGGVRG NLEAAQ VE01_02690 MGKSKRDSASLDYDEPSKRPRHSSNAPPKGETQGRIDPTYGQRS AFPGLDEDGGFGTEDDDLDYGDDAGAISYLRAVRSEAAGIPTVLVAPRPILEGDEEED RTMYDDGVGDSRGFYSDGAYTAAPDPQPDTPEIPPAKHLRAVYFEKILERFEHLREQL GNTPPDNVVEKLDQNHDSYMSASAPDYRKWRWRVINTEPMNAQLARMDRATSLKLLRL LTNDKLAMGGSTIPTERLSRWIWGVLARLPDSGELNSEEIGLVRDLGKRAVWLGVVMN QPQSDTAAGMPGDEDNEGGEEYEDDEEDGEVGNEFPIPKPEENGALGEAVEDRTEMGA HEPHVNAPAASRYNIFRFDPPATNSEAVNATLAKAVLEDSFWGDTPMHIRTQFNIDID GPIVAYKGHLPNPEIYNFFRFGHEDQLTEEQLGARERLRNFYRRNRGYARSNLPFYYL RNRLAEQYNGPLPPAKQPGGNWGYDFEEFIRQNPDYPQFPDFLNDEPRNVPGVKFGDE AFDRAWQAAGLDTPQTRVYDDGQDEEEEIAMEKLGLVAGRDRRPSVIEEPKPEEKGEE ETLEAARGRILAQLGRAGDANAAELSRARDALKEQELKERAREEEEDAARLLNAKATV DMIITVAGEIYGQRDLLEFRETWD VE01_02691 MKPLLIPRALRSPSLARPILQASWARPVLRSAASASPAIATHRL HARQFRHQSTPRDDNTTVPTPPDFAFAFDIDGVLLRSSKSLPGASSTLRFLNKHSIPF ILLTNGGGKHETERVAELSSRFNVPLSLENFVQSHTPFQEMVNGSNDYEALKDKTIFV TGTDQQRVRDIAHRYGFKNVVTPGDILTAHPNIWPFAALHASHYSSIAKPLPPGPLKI DAIFVFSDPQDWALDTQIILDLLLSSKGELNTYSAKNGDKSLPNNGWLQDGQPKLIFS NPDLFWATSHPLSRLGQGGFRAAFQGVWDEVTDGAELTKLVMGKPTQETYLYSERVLQ KHRVEILSALHEEVGDLKQVFMIGDNPESDIRGANNFKSPTGTSWDSILVKTGVWQEG KPPKYEPKMIVDNVQAAVKWALKKQGYSYDEAEFADEK VE01_02692 MALDAQSNWDVEMPQLRLGATGTEEKLPSMSPSQPALVATSTPG KEIELPLEVLIQIVSYIPRRQQYQKTLWACCLVSRAWYSAAVMLLYERPHIGGGNFQE FVATICPSKNAHIRKSQLAGMVKNLDMGSLVHDGSKSLTARLLGRLKGSLEEFVAPQA SFSINSFAALAKCKNLKFLNLSLMSASISIRVLFQTLKSLDKLETLFFPRTSNQDEGR ESPYDWPPKLQALHIAGGVDDYFLRVHATNIPSSVERISIQHCSQIYTEALQEFLLTV GPQLRHLTLRHPLIKLPPGVLNGVLQWCPNLVALRISVDYVSDALLESNGVKCHPLRV LELDCSNSADAEVGISPDSIWLAIDNGYLPDLRSIIVNARLAWQATERLRTSVSDLVE LVQERERKNPLKLTPGVWSVVS VE01_02693 MSGPGRSSNATSRDITYDGDGEAAPTADQASFQVVGPRRCTLKV SKKKAKKWSPFDLGSSEGEFDIDDTRAAIPITDNPVPELPTSVQETSHTPIAETQQQT NQLQEFSPTPSVISRERRESFFGNSNTKCSTATDIAFNAAFPQVRNTPTKSPPERSII HKEDPEDTKLRLEDIMARIDDVFDVEEWDPDLPTGGSNNSPEQLTAEPQKVTYTTVGS VAKTDAVPTFSPPIRIQREGSGRRLTVPTPRGTDNYYNRNQQPGNNSSPSYGPPTNMK NLRFSQQQYAPPPPLNIAGLENLYLSDLEKEVLFNTMNDSAAGSPQNEQQQATSAPDV SLNNAPSGNFSVQKMLQEEASGPSTHLTGSSTFAGLNKMQTLQRLAQFENPAQVFAKG RLAEFKAEKMKQQMSDLAASSAKAASQAQSFEHGNKTGMHQFGETGSDSYKTAIVSYG SQYPGPENGNPLQPGYNSFGREYPMNGYSSKPQLLAPPGYPQPLTAGPPRQGQNSVAF NRLAWTSGSSQLPDTPQQFQSPPVEKQHSPWNNQPPPVEKQYSPWNNQSPQVEKQYSP WNTQSTPAENQYSPWNTQAPQAEKQYSPWNNQPQPAEKKYSPWNNANGSFNQQSRPFS STGQNHIRDTLSLEEMSKYYPNGFGPNFHYNGDSEELDEDTKLSLLGLANESLEVKQR RKAEKLDEWFYSGPSRLNMTTTDHIQELDSRDKGILPSAPRANMANREVLSVKEIRNK TDSECGAPLLDNLFGTLVAYSDDVAQPTNRRIMSKFMQSPAWALDTSEEGNKSIFGDD WVAPPKRLGRDPRYTRSKLSEMWD VE01_02694 MAHAEAPAMSSIRPTEAAPAPTAKPAISPGLRNRRQLGLFFGGA AFFGIASLITRRSLVRRYKAIVPSFYQPSNQAAPPLNLQVEAMDALTIATANVFSLAM MCTGGMLWAFDIASIDELRAKMRGRLGTERVAGGGDSKAERELEEWLAGIFERKKNSK DPKDPKDEK VE01_02695 MPLPGGTLDICSLSLASAKSSVVAMTFLRSATLALAALLPLSSA VPTARADNGGWDAAHTKAATALAKLSLQDKVKMVTGEGWEKGPCVGTTAEISSIGYPQ LCLQDGPLGIRFAKGITAFPAGVHAASTWDIDLINARGNALGTEAKAMGIHVQLGPVG GPLGKIPHGGRNWEGFSPDPYLTGVAMAETITGMQNAGVQACAKHYIGNEQELNRETM SSTIADRVNHELYLWPFADSVKANVASVMCSYNRLNGTHACESDLALNGLLKGELDFR GYVVSDWNAQHTTVGSAKAGMDMSMPGDNFGDNKYLWGTALTNAVSGGQVDQSRVDDM VKRILASWYYLGQDAGYPKVGWSSWNGGVGGPDVQGDHKIVARDIARDGIVLLKNENN ALPLKKPASLAIIGNDAINNPNGPNACVDRGCDVGTLAMGWGSGAAEFPYLISPLDAI QKQATADGTTIVTSTTDGTSEGAAAAGKANTAIVFINADSGEGYITVEGQAGDRANLD PWHNGNGLVEAVANVNKNTIVVIHSVGPLILERILALPNVVAVVWAGLPGQESGNGLV DILYGSKSPNGKLPYTIAKQASDYGTSVKSGDDDFSEGLYIDYRHFDKAGIEPRYEFG FGLSYTTFEYSDLTTTYSDKTGGSTTTAPGGAEGLYDTVATVTAKITNSGTVEGAEVA QLYIGLPSSAPSTPVRQLRGFSKINLAAGESGTVTFSLRRKDLSYWDTDAQKWVTPTG DFTVSVGASSRNLALKGTM VE01_02696 MSRSLIPSSSLLSALSPTMISQIPRTQCLATLRTRTAVSNLGGI HGARRAFSKTAMRPARSVEEAKSRYKSGPFSWKAGVIFLGSGASLIFYFRYEKARMER ARIAEAAKGVGRPKVGGPFTLVDHDGKPYTEENLKGKYSLVYFGFTHCPDICPEELDK MASMIDLVEAKQPGTMVPVFITCDPARDTPAVVKEYLAEFHPALVGLTGTWDQVKDVC KKYRVYFSTPQGVKPGQDYLVDHSIYFYLMDPEGDFVEAIGRQHSPTDAARIILDHIG DYRGKIDRA VE01_02697 MGEIPRDLQQARVGSALCIHQAAAILPSKLSTPPPPPTALSKPT FPRSNDLYAPNETSTSIDKSRQLSSFSQARYSPSISSVSARTLRRTPNLESLSQLQRR THIEAVSVGITPSPSPSLTSEPSPPHVRFAESQPYQVAPQEAAGLPESQEPYALRSKN FQGPDEKLRPHRAVRVNKHTAEAILYTLEEALRHPTDFTPVWEEENASMSDLPVGAAP AYAGNGRTNNGSMRPSVPQPTGSPGGLRGPKQIMIERQAREEKKRAAAEAELQRQRQE EEQRVLEERRISDERKATAAGGGGGARGSGGGGGQRISDNSQRSNRTSGGRVVSGDQG NRAGDASLQGRGTGGTALGGGDPAAARRAARAAAQGGAAPQAGPDPATQPEQRAPLAE PAVDPLDAVAQGKRNTGSTFPHAFERWETLSAHWEGLTSYWIRRLEENTSEIQRDPIS SQLSRQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFFDTRAEQEKMAERQGMLDT LLQSERQGRAAAIQAAVQKESEKNNSEKLINELKRELQISKEEARRAWEELGRREQEE RERTASLRDGQPTLVGGVQVVPMMQGAPSRHTSNAQRDRPATREGPYPGGPTAASMGG QQDPEDLYNQGGRARQTDPFVESRSAAPATSGGAASSRQQTSSSASGTTSRQHPPSST STPPDAHAPATQQTSGGFYQQQQGATLHPGDVGSDLGTLSEEEYEIDPQGQFRRDARG NKIPYQRPLSEAESDDERMVEARAREHAHLQQYGVIPTTSGDYPPRTSAAAALEPVDY SGQAYGSGSGWDRHQHPTRLSDVLEEDERSRTSASQVSRSRD VE01_02698 MLGKGAAAVPPDVGPLQPSALPGLDDETATMLSSTTINLLLPLL LASSSLAGVVTIPIWKEPASAGDHTRRDIHSRATVLQQLDNSRQRGSYYANITVGTPP QPISVIIDTGSSDLWILAKTADVCLNPSLIKQLPGDVGCIGGTYDQLKSSTYDKLISG GFQIQYVDQTESSGDYISETVSFNGQNKSNNAIKELQIGLASTSTLPVGVMGIGYNSS VAAATVYPNIIDQMVYQGLINTRAYSLWLDDLSAATGQILFGGIDTAKYDGSLSILPV LGDDKGGRPTSFAVGLYGMSVGHKGSMSSITTSTFAIPVILDSGTTHTLLPAAVVNPI IRGLGAYDFTSQIGAILVDCGLRTANPDFIFGYKFGNSTTAPTIYVNLSELILDFPGL DQAQIKDSFKEWDSVCYLAIADSSTVTDHPIYLLGDTFLRSAYVVYDIDNDEIGIAQS NFNSATNKIVEILAGEKITSIKGQPDNLAKEVATGTREAGFNGLIKSKDADTSAAVSG KLPGGGGATLVVMAVSVCFAGLGGLLVGV VE01_02699 MAVDYLSEEQFEQQRFQAQKYPEYYDGSFAGSYAQPAQLYSYVQ VPQPPPSPPMEEIAKCSLPSISSLLGIADNNPSQQQEQSRPMSQHTSDSRKNSAHYTS SSAATTPRQSLPLTPPTHPDSAPESRHSPSSSISSTSQYSCASTPAAYSFQPGPAGNV GFNPEREGFSGAEPRRASVPMSQPQYGIQSSYPMAPAQQMNGYYPPPMSGNAPLPNGV YYQQRPLLNYTTPPSTNPWQHHHYIAPSSSCFPLPQDRYICPTCNKAFSRPSSLKIHS HSHTGEKPFRCPVRGCGKKFSVRSNMKRHERGCHGGGIC VE01_02700 MASAPEPQQSMTNIQPTSLTSFTQRLLEANSVSPAHAAIIAKAL VLADLRGIDTHGTQRLPSYLARIRSGVLSPTAEPVLTQTTPVAAHIDGKNAFGFVSAH QGMEVAIEAAKVYGIGMVSISHSNHFGMSAWLVQQALDAGLMSLVFTNSSPALPAWGG REKLMGVSPIAAGAPAGKTKPFILDMAPSVAARGKIYKALRRGEKIPGDWALDGEGRP TEDPAEALKGVMLPMGGPKGSGLAVMMDVFSGVLSGSAFAGGVTGPYDPSKPADVGHF LVAIKPDLFMDLEAFKERMDTLYQKVVGSEKMAGVDRIFFPGEIEQETMEKRLAGGIP FVQAEIDALNAEAELVGVEKLEI VE01_02701 MESLAGTSWDVVIEGTGLQQSLLALALSRSDKKVLHVDGNNYYG GSEAAFSLQEAEAWAVSLAATPVAPFSKVSLRKAETSTSSAKKLSPSRAYTLTLSPQI IYARSKLVSQLVSSQVYRQLEFQAVGNWWLYENTSGVFPAAHEAGTLKRMPNGREDIF SDRSIDVRAKRGLMKFIKFVVDFENQTGVWETHADTPFVEFLSSQFQLPPSMQTYLVA LTLSLEPPGVTSVRYALPRIARHLTSIGVFGPGFGAVVPKWGGGAEIAQVGCRAGAVG GAVYVLGTGVSDADTGSTAVSLKLTNDEAVQSEYYISSQDKAIKEINSDTPTVSKLVA IVSSDLSSLFVSTVEGAPIAAVAVVAFPADAVVVDGQSSTHPIFIMVHSSDSGECPQG QYVLYASTTNIRNPKGRLEAALSKLLKSADAPRADAEGSEQDAVLFEMYYEQSRSSTS NLASSTSCALPSSSLDLSFDDSILDDVEDAWRRIMGSPETESPYMVFETREQLDDDDD NGMDGL VE01_02702 MSTFIGKGVEGASNTVAELARRVNIPTTESSLPGQIEANTVDPW TEAGKYALGWTYFCAVMVFLVSATRVYHWWTDKMRQALYKTEVQKHMLAYSPESEYAM SNMETSNTVDQLFPREIEKPIRPDYQSSASSINFINDTLALFRWIFYRPIPEINYYKK KRLSFPSLAVVGIIFIALAFVALYCFLPQPLYWQSMAFGSPPVAIRAGMLAVAMTPWI VASSMKANLITMLTGISHERLNVLHRWGGYLCLFLALVHTVPFYMQAASDSKGYEQFQ LLFPNTSGYIFGTGIACLAPLGWLCIGSLPFLRSMAYELFLVLHIPVSVAYVGLLFWH CRNFLTSWSYLYATVAIWALSYFIRAFNLNWMNPRRLSFLVGDEAAVQLMAENAIKVT IPTQMKWRPGQFVYLRMPGISVFDNHPFTISSLCSEDFPSDYGELYRDCTVVFRPFGG FTRKVLETATEKGPFQTYRAYLDGPYGGMQRELAAFETVVLIGGGSGITAIVSQLLNL IKRMRDGKAVTLKIEVIWALKRLEAMDWFREELRICREFAPPDTVTCQFFVTAAVRQS RIQGFSERAPRPLSNMFHDKLDGFVAGIASKRNSALIRDEAQGDPERERELREEDQDA ITALPRQKHLQPTVFPPPPKQSYNGAPADPGSARRASLRALEGRRESSDDDHSATRNY LSPNSSRLSSNREFHFPPPSPGRNAPHFQYAPPSPNRASFSPQLSDGPQSHQSTPSQG SGAFPPPPPPAHVRTGKSLDLSITIPSTGAPAPSAAADFDFGFPSTPTEFQKNLMRFA FVNPLKKKEGWSTEYGRPDLAYMLKEMATGGADGRGILGRRTCVFVCGPPAMRIDVAN AVAGLQADIWGDDSKDEIFLHTENYAI VE01_02703 MVAETPKLPMLHANNRQEIGIMVGFIAVFIIVTALFSFLWSSKN KRHDTFEVERQRGLKEKGWGMQGWDREGRVKREENGMGGVFEHAEEVDRPGQQQEAKP E VE01_02704 MDPAAETDYGMAILAEGTATYSEPIVDIVAVQGLGSHPYWTWMR NGTNWLQDLLPKVVPGARISAWGCNTHYVGRVPQYNLYQCGSSLLRSLDLHRSKALVT AALDTDKYSLLCESVVGIIYLGTPHTGTTAATAANKILTIVKSIDLAMVNLSIIQEKG GYPRRTLSKYFSGREKELGLIDAAFKDEDEEDDSPITVAIYGMHGMGKTQVALAYIRR SKRKRDDVIWVDGDSFESARASFIGTLGLSSGDPDAISKTCRWLEERAESGGKGWLLV IDNFTSHAVSFFEHLPTDAPAGRILITTNEEDLANLKTTDSGRIQRCIPLEKMEESDS LNLFLKSCALPPTIETKEETKDLAREILHLLGNLPIAIAQAASYQKRDGRHLEGLLEV LSNKDGKMKVLGHRYSAIDTSIRPLAKLFNVAFSELEESCHDRADLLRLLSFLCPDNI PIRLFSSFKYEEIATPSMGTRKKSIWSCFRRQTRATPAIQKPLLCRNVRNLLGDTTRR QEELYQLKKASLLEQAVEGDHTTGLSYTMHDLICFLIQSLGVAPDTELTWFTLASDIL YAAMTEQLEPKSEQFLLNRAMCVPHITALARLDVLGRYRVPQFAYICHLAGDYFYDTY EAAEAVNMYNIAVFDRERAYEEGLELDEYNLLVSLKDLGDAERELDNYEAAQKHFDRA LTGWTAIMGPDAPVTLQLRTNMAMLAGTQAQYATAEEQYNKVIQSMGRAMETETELSY AKEGLAVIYRMQGLYVKSAECLQEVCDMQKRDQSLHEYHPQLIQSQQNLAIAYFDLGR YHEAERLLINILERCKEKYDDTHEITRGVAMNLAMVWVYQGRFEDAEGMALRAIDPSM MGFDPIKECTRSKRPYLIDALEILARTHEDLHKNAESEAEYNVVLQSRKSVLRPDHHF IFRAKEGLARVYGDSGPEKASRAFAIFEEVLDNHRKELGNEHPDTLLTLHNYGTFLKR QGEKNVGKAQRVLEAAFEGRTRVLGPEHPLTLVSKASLAGMEVVE VE01_02705 MGNSNSSQYDDRETQEFHSLPKSRTRGVRTDQRTPYTQQQNMGY RAQDQNGGRQQRGYNQQQYSGNRARDDRDDDPCC VE01_02706 MGFPMDPRMQRIIDNASANVQDDVYPMIPFSRANTLPPAQPTFS RHFSNNSSLQDVFSFRPPQEQIPRPPQYDPFDRQGLQSDAVQQHGTGAPRISLTPRSL LAAHGSTVAGGDTFHTYGNPNGAYRVANRASTLANLRTQPPSMRTTQPPRSLNDTSTF HYADNRFSQLQPRRQQPSPPFILSGQSFTPPGYRSPSPNLPGSSPTRRIVSRREARAS QRARSPEPQSVYEESPPHETLDPRGAFELSHAPPMINGIQLVSTRELPDRVRSVFPFP LFNAVQSKVFPAAYKSDDNLVVSAPTGGGKTAILELAIVRLIESHSSGQFKIVYQAPT KSLCSERARDWGVKFGNLNIATAELTGDTDAVEVRKVGSATIIVTTPEKWDSITRKWK DYIKLLQLVKLFLIDEVHILKENRGATLEAVVSRMKSIGANVRFVALSATVPNSHDIA VWLGKDHTNTHLPAHRETFGEEYRPVKLQKHVHGFDSTINDFAFDSYLDGKLPALIAK YTHKKPIIVFCFTRKSCENTAAKLAEWWASSRVVDRAWPSPTTRVPVSSKDLQDLIAR GVAYHHAGLDPGDRMVIEKSYLNGQISVICCTSTLAVGINLPCHLVVLKGTVGYQDGG LAEYSDLEVMQMLGRAGRPQFDDSAVAIIMTRQSSIDRYKRMISGQDVLESTLHLNLI EHLNSEIGLGTVRDLYSAKQWLAGTFMSVRMKQNPTYYKFSCDTGSRDPDERLEQVCE RDIKMLQDTKLVTSDQRFICTEYGQAMSKYMVKFETMQLLLSIPEHAGMGQMLHIISQ ASEFKDLRMKPTERAAFREFNRSPFIKYPVKENVSATAHKISLIIQVQLGGVDPPNDK EFNRRQYQTDKAIVFERIHRLVRCIVDCKAVDCDALATQNALELSRSISAEFWEHLPL QLRQIPSIGPAVVKKMVTAGINSVAKLIATDSATIERILTRNPPFGHKLLDSLKSFPR LTLSVEVMGRIVKLGQLPKVKIRARLDLKNHKVPFWRHRQISLTFVAGVSNGNLAHFW RGNIKNLEKGFEVHFSTELSNSSDAISCFLACDGIIGTVQSVILAPNIPDSLFPVVQP AQKVVPKVILSTDDGGGDSDADFEWDDDIPDQDLLAAVKSAEESAGHAVDDNTVSDQF IDIDDIANQQTTAPEEHDNVERPEPVQMANGKWTCNHICGGGNALKNGKTCKHKCCHE GLDKPRKLQKKIKQDASKETKKTPEETADAEVSKSVNKSMTQQLALKEFDKNDYQFDD MEIIDLSENRPPPRYEKFAPRDYRKLHALHSNVSNSEPVQMLRNKKPLAQYGNGTRPY DAFLEKSGPKENTDGDGLSGDDLPSPPWLLEQGDASADDTYPGYMADLADSGTPFGDF GDDIPDSLVYEAEQLPGGEQIPEAEPMLEATSSFVDAVLDYDKYTASSNRVSTTEGMV LKRGGSTDLLQLPEKRVRVDDVLSDEQSHFASSGTNAETEVHDETGEEMGVEIPVKKE VLRPDWLGEFDMDFVAMFEGSVDFAV VE01_02707 MAPGEKSQSATFYGVPMKHVSLITLTFQNSALILIMHYSRIMPV VGGHRYFTSTAVLLNEVLKLSVSLTIALYDISRTMPPSTPATALFEQLYNSVFSGDGW KLAIPASLYTLQNSLQYIAVSNLDAVHFQILYQLKILTTALFSVTMLRRTLSGRKWTA LVLLTIGVVIVQLPSSDKVSYATIFEDASKFAFPRSFHEVGQAAHHVADEVSKRSLSA LTKRSATYEGIDKDLGHEKAAMNYSLGCIAVLTASVISGLTGVYFEKVLKDSSASITV WTRNVQLSFYSLFPALLIGVVYKDGGEIAKNGFFAGYNSVVWTAIAFQALGGVLVAMC INYADNIAKNFATSISIILSFLFSVWFFDFKVTSNFLIGTAVVIFATYLYSLNDKGRS RPPPINIATYEKTTIDNGYTPAEDKKNLLTPLDLMNTNKAGLSTSRPSSPIGQPRTGA WKGKRED VE01_02708 MDSTRCHQHQAAALEHFVCTPVTEDMISYLAEMAQAVIQCETFP TTEQVHLQQTPTPPTTPPPTLSAADLKIPSLEQFITSLVIHSNVQVPTLMTTLLYLHR LKKKLPPVAKGLRCTTHRIFLACLILSAKFLNDSSPKNKHWAGYTSVPSTSYQDFSQF GFSRNEVNLMERQLLYLLDWDLNFGLAELEGHFEPFLAPIRNAIEEDRQIKIRHREYL AQREAERNTLRIARPALDDFSVRTEASEPTVAQPRAMYNSAKAYKAEFLKRRTPSASD IPDLSRSGTADTTGSYSMGCYSGHSSRESSRSRSNTPISRIPSINSFQEDLKVDMFPS QSDDYYLPVAPTMSPEQVYIAAPTHGKVQPDITTMYDIEQATQQSSRRARMGMSMGIG RSQNIISRFLGSRN VE01_02709 MGFERRPNPFFVTGTSSIQAIMNHSFGDRIKLKKVSKTLTNAEG SRKTEYLLALWMNRFVAFQLHSLEKRTEETPTGVDIERFLVSMVSEVTAIGKKRVFAV LVCA VE01_02710 MGAILTNRQAEELHKSIIAYLSANNLPNTAAALREELSLGDDVY DAATAKKYESLLEKKWTSVVRLQKKIMDLESRNIELQSEVDNATPTSLSKRNQDPTAW LPKTPPRHTLESHRDTVNCVAFHPIFSSIASGSDDYTIKIWDWELGELETTIKGHTRA ILDLDYGGPRGRVLLASCSSDLTIKLWDPADAYKNIRTLPGHDHSVSAVRFIPSGSSS GNLLVSASRDKTLKIWDVTTGYCVKTLNGHSGWIRAVTPSSDGRFLLSTGDDMSARLW DISASNPESKQAMFGHEHYNECCALAPPASYQNFATLAGLKKPPPASSTAEFMATGSR DKTIRLWDARGTCLMTLVGHDNWVRDLIFHPGGKYLFSVSDDKSLRCWDLSQQGKCVK ILRDVHEHFVTCIRWAPGIVKGEQATEEQNGGAVGTPKKAVAPEVQIRCVIATGGVDC KLKIFAN VE01_02711 MAKHRPASPAFKRFIRSASNSDRRVATDEMPAQDERTSLLNGNI NTSRENTWRSVFAKSSTPGDQSENPLVRYPATVFTITKITLLSNYVNVLLVFVPLGIF AGASGWDPVTVFALNFFAIMPLAAVLSFATEEISMKLGQTLGGLMNATFGNAVELIVC IVALRNGEIRIVQSSMLGSILSNVLLVLGCCFVAGGIHNTRTGTVQGIEQTFNSTAAG TMSSLLILASASLIIPATLYTVIAGSSANTEDTMLLLSRGTAIILLILYVLYLAFQLR THANLFNAEHEAGTEMDDEEHNPEEPQMSPWAATIVLIITTLAVAVCADYLVDSIDAL VAKVHVSKTFVGLILLPIVGNAAEHVTAIVVALKDKMDLAMGVAVGSSMQIALLVTPF LVVLGWIIGQPMTLHFETFETVVFFLSVLVVSYVIADGKSNYLEGAMLLGLYFIIALA FLVYPDDATNVPEGIKLAVRSVFKWD VE01_02712 MSSYLDKAQNAFKSNVQGAASRVSNKRTIAATSTSVPSPSPASQ STPSPSSKDQKRKRETLPVVYSQPSETGYGSDSYTQVTYIIEFLKKKGEPKSFKEILE YMSFMGLPDQQKRTLAAILRKHGRLEWIPDPKKKVQAWDSGKFQHRPIINVRTKDQLL AYLQRKADAQGVSVKELKDGWPDCEDAITELEKQHRLLVTRTKKDGHARMVWADDPTL RHPVEPEFETMWHRIELADPDDLVRKLIEAGQKPASEDPSKRVKAPPKAKDKKKRPPR KGGRTTNVHMEHLLRDFDHLRG VE01_02713 MAAATVDIGFLSGYLNLPQPSLTEALDSPTTELVNAVLLAVSTK AREHQELEADKHQVDTELEAAILGSEARTKDLQQTADKALKEANELREKLAAEETARV SLDTELQQLKSSSFTSSSEADSLRARITSLEGANRDTLSIVASKSAANDSLAQDLQKQ HQKGLELSQQVSTLQQSVQNANSALSSAKFREQNVQQELEQAKRNNEWLDNELKTKSA ESLKLRKEKGARIAELQRQNEEVTANLEAAKRTETALRTRLEEVQKKAEESLAKVQQL QEIAANTEEGFRQELESSRRLAELQTQRTETQTNRLNDLEAQLDKAKDDLAAEVARHQ QDAEADSQERDRLEHRIAELEAEVDRLEAAASATYPASVPGTPRQGLNGLFRSGSPGV FATPGSTRKSTITATQAIEELYKVKGQLATERRRTERLTAEMEDMMQGLEAKQPEIEE MQHEHERLQQEIVEMSKFVDVTGKERDRAKKDARKALSEAKTSQAELEILRQQLRDLS VQVKMLLCDLDAQQRGMDSLTGPERMQLERLARGEVSEDALQGLTDTDKFISQRLTVF RSVSDLQDKNLELLTLTRELGAKMESEEALAEKHKASQDHEMVQQLERKVEQCNDEIR SLVARSESYIKERDMFRRMLQHRGQLPPNSDLESVFGQSVNGEVVTSVETPNAKDSAN YATLLRELQSHFDQYREEQSIDRRTMKEQAGKLASEKSALQSEIAKINSQLTLANERY EMLHANYAMLQNENGELQKRSQVLSESAAKQDLRTQQVAEDLIEAKGLVESMRNDIAN LKAEKKLWTEIQGRLNEDNNNLMNERSQLNTLLAKQQNLQNERELTESESRRRLQTQL ETLESELSATKRKLSDEVEDNKKAQLRKEYDTQQSQKRIDELATSLSTIREELVAAKT TRDHLQTRVDELTIELKSAEERVEVLQPRPTPRPGANGTTAGDEQAEQDAISREQELG IEVSELKRDLELAKSELENAKTQTEQYKGISQSSEEDLQKFQESQEEYQQEMDKIIEE KDAKIRELQQRVDDLSTELSTTDTELNNLRNEKAETARRTAEDKAVLEAEITRLKDED ERHTLALQFHQQDLRAQAEIATKAQQDYENELVKHADAARLLQELRTSYNVLKSESAG LKSEAESAKVTLSQSQASWEERRNQFEKELQELRRRKDDISSQNTILLQQFESVSAQV TALQQSRAAAAENGDAETAEATATSDKTVEGLRELITYLRRDKEIVDVQYELSIQESK RLKQQFDYAQSQLDEARLKLDQERRAQADGSRSNLAHKDLMEKINELNLFRESSITLR NEARQAQAQLADKTKQVEELMEKIQPLENKVRELENAKEMQDGEVKLLQEDRDRWQKR NQEILSKYDRIDPAEMEQLKASLASLQAERDALTTDQQPLQEKVQTLEGEKAQWQVSR QKLIEQAKERSRVLTKEKNERVAERTAERDAAIQEKEALEQQLSTVQQQLNTSIQERQ ALEEQLTRMKAESEANKEDATAAPTTDATDSANQPQVATEQNAAVDQQLDAVRKELEV ARKEKEDVEAQLANIRQELETARTERDQAAARAAQAEAAKPAPQADTSMDSTEEGQVD ETAPSKPTDDERKALEERTLAAETRLQQLEESVEATLKQRSEKMKTALNKKLAESRDT TKAALEAEYALKLEQERKIWLAEQGATEPKVPATPKTESAPEAAPPATPSTPSAKPPT PMGELTNLTDEQVRTLLTTNATLKNIFTGNIRKKIEEQTKKLKEEFEKEKEEVVKKAD EAKTKAVIMEGKKSELKINLTNNKVKMAAAKLEVVEKAATDTPDKPVGEVWEIAKNAK PPPNVVPAPAPTPAAGSAIPTPGAKPEGAPAASGIRAPSVSVPGTSQGSRPSTPSTQQ PQAAPAATTPQGPAQANTAQAQGSNIPAPSGIPSAPGGPRTGLPVPRGGGAPRGRGAY QAPRGQSGIGRGRGGGQNQRGGMNAGAPTFAPNAGTKRPHDDGGGVGQQKRMRGGGGG GGGQ VE01_02714 MSTKRPAAPGSSAPKPPVSFSSSITISDIAVLIGTQPILIRSYS IIQPRARLISTHGPVSIGSMCIISERASIGLLSVPKAAADPKFPGVTIGDNVTIDIGA VVEASHVGDGTHIEANTRVGAGAKIGKFCRIGAFCEVAAGEVLEDYTVLFGEGLRRID KTESDEAKLKSTRRHVEVLRKLVTSKPEKFM VE01_02715 MLSRNATSPALTSIASRVLPGAASAGARLGGPGASAAQQQTRGL ATVQDAPAPKRIYGGLRDQDRIFQNLYSHHGPDLASAKKYGDWHKTKEILDKGHDWII SEVKASGLRGRGGAGFPSGLKWSFMNFKGWENDNKPRYLVVNADEGEPGTCKDREIMR KDPHKLLEGCLVAGRAMNCSAAYIYIRGEFIHEAAVLQNAIQEAYKDGLIGKNACNSG YDFDIYLHRGMGAYVCGEETSLIESLEGKPGKPRLKPPFPASVGLFGCPSTVANVETI AVAPTICRRGGDWFAGFGRERNAGTKLFCISGHVNNPCTVEEEMSIPLRELIDKHCGG VRGGWDNLKAVIPGGSSTPILPKSICDDQIMDFDGLKDSQSGLGTAAVIVMDKSTDVV RAISRLSHFYRHESCGQCTPCREGSKWTEQIMQRFEKGQGREREIDMLQELTKQVEGH TICALGEAFAWPIQGLIRHFRPELEARMQKFAEENGGAALAGGWQHDSKAQGKLVAPG Q VE01_02716 MSSTVAPAHLRALYRSFLRELPSRRLKGSPVQNRIRTAFSSAPS GSPQNVAVDHAQQYLQYLKAQRQYATLLERYNPGMNMDEEERVKLTARRVGFELPEEY EAGKE VE01_02717 MPAVGIKKRLWAICGAERSYEQCGNLGKEVVADSPKTPPDVGPE VISWDEIAAKIEALATQRLPNSQSKKTKPPKPAGNKQKPSVSSPAEKSSPKQAATSQP QQAGPPPKSRDYLKLPSNSLSEHWDTLPPSQEQLVHAEKFFRQRPPRPFVWSAPKFHS MAFGSSPEVCFLGRSNVGKSSLLNSLFGGNIANVSSKPGRTKMMNAFNVGDNTDPLKN LVVLDMPGYGKGGHADWGKEVLKYLGKRKELKRAFMLVDAEVGLKKTDEQLLAIFRKE EIPHQIVLSKVDKLLFPKNRKPSEGALESRMQVLKRVMETTRRTTQPNPEDMSGALGE IIGCCSERPFGQVLGINEVRHAMLQAAGLEKKMDRKLIAETEIVSHDDIFGKDP VE01_02719 MSGFGGFGGFGQQNNAQQQQNTGFGGFGQSTNTNTGMQPPFSLH PGRDGARLPATVHTGEAGAHSNLLVPTGFGASSTGTGFGSAQNNTGTSAFGGNTGGFG GSGGFGATQNTGFGATANKPFGATGTTGNSLFGASTTTPAANTGFGGFGASTTSNTNT GFGGGGLFGAPKPATTGFGATPAASPFGANTGTGGAFGGGTGGGFGAAGSALGGASQE CQGTASVPFQPTVEKEPNVSGNQQVRFENICFQQPYVKFSQEELRLADYAQGRRHANS GGQAGAFGTSTGFGGFGGTQQPATGFGAGSTNTGGGLFGQTNTATNPFGQTTTPSTGF GASTTTGGGLFGQQPKPVTGGLFGGTQSGFGSTPSTGFGATNTATNTGFGAQPATTSA PSLFGSTPNKPLFGAPAASTTPFGGAATTGFGATPASNPFGQTQTPAPANPFGAAPAQ PAASNPFGGFGGAQQQAAAPTSLFGGAPKPATTGTSLFGGQPAATGSSLFGQPAQQAG TGFGAAPAAATTGGLFGGAKPAAPATGGLFGQPAAAPAAGGGLFGGFGQQQPQQAQNT GSSLFGGAQQAKPLFGAAQPAQSGGGLFGNTQPSTGSSLFGNLGQQQQQQPQQAQQGG SLFGGSSLFGGPQQGQQQQQQQQQQGQLTTSINDPAAFGAGSMFSNLATPDLTNPGPL ATPLSSLSKQKKAGIIPLYKLSPSSGSRLSTPQRRGGFGFTYSTYGTPNSASSVTSTP GGFGNSLLGASLGQNKLSKSMSTSSLRNSYNTSFNQDSILTPGAFSASPSARFNSTGS MKRLVIDRSIRADFFSPPSKEPKEQQNGILKKRVSFESSNGNGNNGRPSSSTSSPLKQ VHTNVGPTPSAEEMGFLRSSRSTNGAGPRTNGTSNEPEMEQVKGKELAIVPEEGSPST GEKPFPLAIQPDQVPGEYWMMPSRPEIEAMNRTQRQRISNFKVGRRGVATVNFDAPVD LSNVDLDKIFGTIVDLQIRAATVYPPGYPNKPPMGQGMNVPSIIQMENSWPRLDKRKM SPEHIKAQMDRHLKRLTRVPDTEFLSYDKSIGVWTFKVPHFTTYGLDYDDDDSEVGDE TQDDFGQSTLSAAPDTPTPQTRTPRAVQHDQSFESTFDESVITESDPEDTFDFKKRKV FPGAFDASEVVEDDDEMEDDHDIQDNQSFLDERSVGSLSSDDVGEPMDHDESYQDNEL VRIVSNEMAGSYPQPDATAEPESDYAQESFEEDVGEAPGGLMRARLRESRAAGSPLKR KLELNGDNWMDMLEQTISPQKQDREHLKQIRDMETDALLFGSPQKTLVSPVKSRVVSD GRGFATSIDLMHSLFGEPKSPAKPAKTAKVAQIPARGTGFEWPYKKKSKSSPNEDDMD EIDQQFHNSVKPSWGPTGTLVYAASAVDNQATNAIEMHGLLSQTTVLTGEDKDIRFAK FSDESTPNSLSIQKKLAQVDQAGGIPQARLSLPFKFSDFVRDRDSRHPAAIHENLVWE LASILFDEIEIPDDLKGFPAAFKFLRKDNLSAFWEKVVEQASTRQITMAKSSEEKAIA ALSGHRIPDACTHLLTGKDYHLATLVALLDGNESVRKNIREQLNEWNKARVLSEINQP LRAIYEILAGNVCVCDGIKGAPIEDRIDSFIMSKRFGLDWRQAFGLRLWYAISGTEEL EHAVLKFAEDLQQDKESSKPFAWYVEQNISPLWDDQHLEDREDLLWGLLKLYSDNKTD LQAVLRPENSQLSPLNMRFSWQLSQALTASGKCSYGEQADEKADELTLSFAAQLTNNG HWLDAVFVLLHLSSPAARTKSVQDHLAHHAGRIGAEDGPAFTTLIDQFKIPSSWIWDA KALYMRAVLKDPKREVECLIRAGAFDEAHRTFYKVVAPTAVIEQDDATLRKLLSGFQQ REGGIRDWNLGGATYADYLTLLDGEKQGEHDGQAVERLLGSLPAMLSDVKSVGFLEKV AVQEIGACVARVVVQLGKNGKGHDQSRVLRLPLTEDKYLKHTADLSVEYYRGVLAGGR VE01_02720 MASSQHPSFPIRPYPSSISGPSQQPPQSSTRGKPLSFSQSHAPA AREAARIERDRLERERQQIAQKSTHAQSQAPSALSQLADEQRDEVNEAFALFDLDKDG LIDYHEFKVALKALGFDLPKPELLDLLTSHGIAPPSYQVPPGTSGQHVVPASRLHLTL NSFQQIASELILARDPRDEILRAFDLFDTEGKGMISLDDLRRVARELGEGLEEDELSA MIEEFDLEGKGGVGRDEFIGICMN VE01_02721 MSKVMRSVKNVTKGYSSVQVKVRNATSNDPWGPTGTEMQEISQM TYNSSTEFYEIMYMIDKRLNDKGKNWRHVLKALKVLDYCLHEGSEMVVTWARKNVFII KTLREFQHIDDDGRDVGQNVRVSAKELTSLILDEERLRAERSDRKSWKSRVTGIEEYG SSETSPPPRHERPHRAQRADEEDAEYKLAIEASKHQEEEDRKKRQSRQGEPDDDDLAK AIKLSKEEEDLRLKELEDANAQSLFDDDPVQMQGNQPQFTGYNQGYQQQGAVDWSGNP IDMSQGQQPNYLNAYATGYPQAAPQQTGYQTGYQNGFPSFEQYVQQQTAQTSPFPSSQ NYNPYAQQPQQQQQQAEPIVPANTNNPWATSAQTAVQAQAPAPTGSNNPFATSRPAPA QTSSQPTLSTLQEQKTLSSFNNQSQFSQPSSFTPPPQQQQQHLQPQLQQPQKSQSPYE ARLASLLESGDGMDTFGNTGNLRIPAQHTAPGTFVNSAGAGLPGLNAQQTGVNPFIQG QFTGMPQAQYGGQQGYGGGGQQGMQHAQTGAGNPFGRQQGAGGGNLIDF VE01_02722 MGRTSKFFFPVPGRRASVLPPAKTESIPTSSSSSNSRPQQRQQQ PLSKAQRLLGTDNLNIDSPSRDDDGAWRSYTPSSSRSRAATSPSRMSIEIAESTTEDD AYSASERGGGVPPIRLNGKASSTLLGRGAVMRGDYGGGGEDMASDLPDIRSERSNSTL RSYYDRGSTPLAVSQQTSASSARDLALRKGYQPVVSNMPHSPLSPHGIDSIAEDDGDI DDTNYNQDRDSYFPSSPQPPKKKTSRLDLTRLFHKKSKDAQSLRPPSSTSRAPSVGSG ISSSTDPTYARKLSKNPPIVRQKSSVASPPVDRLRDEKTVQFQNDHHATDDLWDHYEQ RVYADRAPAPVPEPRAAAAAAAKVPVIAAPRPRHEAPNGVSYPDLRPRNGHDRETAPP PSAHSAWRARDHLGASGGGGGQNWDATSRVSDSSKTTGTSRRSVFSNSNLQQNSILSL SESSSSDDDERGDDKDTSESDVMGNGARRGGKHNARTNGGATHKSSSSTTSSKKQSSS SKTRQKPKPLRNLDAFPRPASSTSHPSQNTPSPHHSDFLTIPSPISLHLSGPWRSSAS SPPTTAIPPPPGGPPGGALPGVPQGMRRHASSASKASTMRSVNSSRTASTLRSTAPRS RPPAEGLPSPVEEGGLGWGHGRQGSGGANGYGNGYSNGYSNGYASNGNGYANGHNSHF PIGSATSTPTSSRSPVPSYAVPSIPSSSSSVAAANLMAVTPQEQALLSALRKKRARMR DEILAEGVLDMGTANVVTIGSGGGGGRGDVSGNRSQNGGGDSGAGLMPRASVRSGMSN TSAVSGESVVFCLDNNNNGGPVTNGGDKSVRSARSVHSERSERERFGGGGDERRVRER EREREREREREMQSFVGAVKGRAGVRLSAVGSVGGEGFGW VE01_02723 MAKADFHGLSWTSTIWSLEPTWTLEPSPAAIAELIKSLGITDAT ISFLAQGGFNKVYTVASPANEDLILRIALPVDPRFKTRSEVATMEWMRYNTTIPVPRV LRYGESRANIVGFEWILMTKLPGKHLGDVWNTISYAAKEALVRRIAGIWAELFRRPMR GVGNIYSVSPKKEEPAKVGRIVSMQFFWGNRIYQDVSRGPFESSREWMLARLGLCENE CHATLERFEGVERNGADGGDEGGGGVNSDDNNGRDDKGGRDDEGDEGDENDEDDDDDK EEAERTLDIVMRLKPLVDRIFPEGQSGEEKTVFSHHDLSNHNVLVDDDGALTGLLDWE CVSAVPLWKACDFPSFLGGRPREVKPDQTAYDLNDEESADDYWRYLKEYEITNLRRVF LDEMAILEPEWMQIFKASQVHRDLETAVLDCDSELSARNIRYWSDNVMAEKSNMLTLE DRRYGCYGPDDSKDDIIL VE01_02724 MAAKKKGVVFRVTGLPASQPDDELKEALKAAIDDNLAEGEESKL TFNATILPSCYDNEKKVALVEFHGGVPEFLSELTANPLDDWQVEMGDTDIDFDRHFFG FTQLYMPKPDSTVTADIIAITGLDGHAYGSWRGKGNLGRMWLRDFLCKDMPCCRTMIY GYNSKLLTHRVDTIMDYGQGLLEELKKIRNTEELRKRPLFFIAHSFGGIILAHCLIKA VQADEDDHPTIASLYRATYGMLLFGIPHKGLVVDDIQKIVAGQDNHPRGALLEQIKSK SDLLAFQLMSFRNLIRDRKVVSFFEMGQTRQLEFDSESSCWRRTGDFVTVVDADSALL QLPDSTEDKIPLDADHSIMVKFNNKSDLGYSSARDKLRQFEQDATSVVAARFLRSQNK LKPSIMVPFQRDSAFVGREDILVKISEKFKEAASQDHSRVALVGLGGVGKSQIAIEYA YRVRESAPQTWVFWVHASNAGRFKQAYTNIAAKIELPGRDDPNADILRLVYNWLSDER NGRWLIILDNADDDQVFHSTSEVSGSAAQATDVAAPLASFLPQSSNGWVLVTSRDLAA AMNLVGIRDNVFHVEPMPEEEALMLLKTRVSVSKAFEDDAKTLIQILEYIPLAITHAA AYIAVRAQTITVSTYLELFRESEENQAHLLSSQESRDMRRDGSISSAVVTTWQISFEQ IQKMRPESAELLSLMAMFDRQGIPQSILYDGKSRLQFEDAVAPLTSFSLIKVQSVKQL KQQVGEHLFEMHDLVQLATRKWLEEQMQLGRWQKASLRVMAASFPDGSYETWAACRAV LPHARKVFGHILEDIEATLDRALVADSMAWYLYNAKECAAAEPLVRTAIIERGRVLGE EHIETISSLSLLGSVLEEQGKYKEAEATQRQALEGYIKAIGEEHTATLTSVRLLGLAL ERQGKYEEAEAMHQRSVKGLEKVVGEEHIETLMSVTALGLVYRLQGKFEKAEAMQRRV VEGYKKVVGEEHPYTLISIAQLGLLFELQGKYEEAEAIQRQALEAKMRILGEDDLSTL ISIGQLGLVLDQLNKYEEAEVMQRRALEGYMKVVGEDHPNTLVCMTNLAALLLSQRRL KEAEDMAKRSIDAMERLFGRENPDTIATMQILATTLKLQGRIEEAISLIETCFQLRER VLGRHHPHTENALKNLNKWRMENI VE01_02725 MVLKGNALYVRALIGGSLGFVTFGWDAGVLGGVLLTNEFQSAMG FPDTNTISMITSIFLLASWLGCMIISIFGMKLGRRQWIIYGCLVQVVGTIISATSYSS GQMIAGRTLIGVGNGFLTSMIPIYVAEMAVAVNKRGQGVNMMIAAASLGTALAYWVDF GMVFASSQAVWRFPVAFQVVWAFATVAVIYPMPDTPRWLYAKDRTTDADVVLERLYDK AITEGVVQEAKQDIHASLELERTESDSLRIKDFFWDTSAMQTARRIRTGMILIGVAYL MGIDMIFYYTSTIFQVYIGLSPLTASGLAAAATTVLATTNYIGSYYMEKLGRRTWLIG GAIGQSIFMLVFTVLLSQPSSSQVGAAAAAMLFGWIAVFGPTWGPVTYVYSTEIMPLR YRHIGFSLSVSCQWIAAFLTVYAGPIAIADTSVGWRTWIWFLVFNVIAIPYVYFCCPE TRGHSLEQIDLLFISDSLKDTDLAQTLAHNRPVTGDLETTTKAEDANSVPGTEKGSI VE01_02726 MANILLDLYRNATFVNVSLGFVAYLIARALYQVVYYRFFHPLSK FPGPFWGSVTRLWIAYHNIKEDEPYVEHELHKKYGPIIRITPTLLLVSSAPALPTIYH RQADKTKHYISGSFGETESVFNMQSWKTHAKFRKLIAGPYSFSSIKKMEPLIDMRIED WTHKLNDFAKTGEKFDFSAWAVYMAYDIISEIGFGAPFGFIERGEDVGGLIKGFHDGL PAFGLMCRLHPFTSWIKSTFMNKYLVASPEQESGIGSLMRFRDKLLNQRVKDMEKGTT GGRIDLLQTMLDARDDEGKPLNVEYVKAEVLLVLLAGADTTGTAFQAMIHYITANEDV YEKLMDELDNATRAGHLSTYPQYAEVLEHCPYYTACVKETMRLCPSAPNIFPRMSPKG GYEIEGQFIPGEVEVTCNPWLVHRDNKIYGEDAMEFKPERWLDAENAKVFDKYSMVFG YGPRSCLGKDIALMELYKAPLQFFRKFRLELQPQGGKGAQFVIKGGVGYWQDMWMQIS KRAAPV VE01_02727 MDMDYGSQGPTATAISIVFAVLTALVISARLFSRIYIVRSTGLD DILMVVAAALSWAFIAMTVLAVNHGLGSHFDVVLAMGPENFLTYLKIVYFSSIFYNAT LCFIKLSVLALYMRLGDETLRRMSIVMMGVIACQGLAFVLTCIFQCSPVRGAWEPSMV PGPKCVNINAFYLSNAALNIVTDLITYALPVRMILHLQTPVKQKIAVGVMFSLGFFAC ISSIVRMTFVPSMLVSTDPTWAIGPPMYWSVIETNIGILAASIPSFKPIARRYLPRII GEYSSGGRSYPGASSSRNFGKISNTGGEWSGSMPLKPVHARDGVTTTVITSRGDDLGG MSKTSSGSEQSLVSNNGRITQSTQISQKVENSRL VE01_02728 MVLHNPNNWHWVNKNAAGWTKQWLEENLADVQASAGGVTAKIDK LTSMDGDVDVNQRKGKVITIFDVKLVLEYSGKTKDDESVSGNITVPEVAHDTEEDEYV FDIDIFSESSSKQPVKDLVRSTLVPQLRTIFAKLGPALVSEHGKDIQHAPSNNPSSGW RTPTHLQPSEAAAAAPTTASNKTSNVNTTTVTASEEFRTTAAELYATFTDPARIAAFT RAQPRLFEGAKVGGKFEIFGGNVSGKYEVLEEPRRIVQSWRLDQWPAGHYSTLELVFD QNDVDGVTVMRVEWKGVPVGQEEVTRRNWNEYYVRSIKTTFGFGTIL VE01_02729 MEPPRWPPATRQATAAQRAKLLPPNHITTDLSPKATGSLQDSQL DGCVTPSPQDEMAWDGPANQESRDSSTFQIDLAECVETKTVTTTTTTKRSYPPLFVRP PLPLESLDLKQYPLARKSTPEAISSFSYEVNGEVVHFREDRKPSSSASPSSSRPRPPP TLHSRLAGLRAYETPDTTRSSRRFQNADENSQSYLSRRLPTNPRSPDSLLRLSGAPRR QSSLEHPPLDAHCSSFPHRMISSSRRASFNPAMLSTPDTGHRETSPLQTQLTSSVTES TLNSSFEEATSPSLTASQGSFPSATATPPIAESDLEPFAPLNDDDAGPSVLRSSVSAG GATHGASLPSPSLSPTLAAANLHNAQLVVRDDPESQASGNTLLSATADSKSTFESPGH ANEQYALVGAPQRNAMDVTSMLENFDSMPSEMKTFMMYQFLRRCNRKTLHVIAGVVNP ALKCDFLEELPTELSLQILSFLDHKDRCRAAQVSKRWRDINDTNEAGWKELIERDRFV IPEGEVERAIREGWGWQDPYGPDGCEKDLSQRPGSSDMDSIPTATRSHAAHSGSLRQG GKRKRAHINYGPERSKRRAVSVEFKEKPRHTSLASKYHSSARKSEGPNAAATAAALAV PAPEIGLPSLRKLHLYKSLYRRHFMLQRSWMSGGKVAPRHVAFPAHPRHVITCLQFDD DKIITGSDDTFIHIYDTKTGALRKRLTGHDGGVWALQYEGNVLVSGSTDRSVRVWDIE KGLCTQIFHGHTSTVRCLQILMPLDTGRVYNDRPVMVPEKPLIITGSRDAQLRVWRLP EQGSKKYLAAGPPANDSDCPYYVRTLQGHTSSVRAIAAHEDTLVSGSYDSSVRVWKIS TGESVHQLRGHIQKVYSVVLDHKRKRCISGSMDNTVKIWSLDTGMCLFTLDGHSSLVG LLDLKDERLVSAAADSTLRIWDPENGQCKNTLTAHTGAITCFQHDGNKVVSGSDRTLK MWNVKTGECIQDLLTDLSGVWQVKFDERRCVAAVQREGFTFIEILDFGASRDGVPAED RNSRILLQPSEYDRITEDDEVVA VE01_02730 MKKRLRSARQAKSNAAAAAMVLLTNPTKTVVRINQAQSLKIVQT TVSAALYTILWINDLFPDDHFETRSYSLDDPDFSYEIKPVPQTAWEKRTEKRRPADGK ANVSWDFLLKGKSEKADKIWSWLEGVCDAIKRGYLAIFQISFHNGDISRDTIAVGYVM RFNYSENGGEVHLNISAGDDKVEFTTEAMQDLKNLFHRLIKLSQEAARFPADPMISLQ LVYNETCPAEYQPKGFVVAPDPSIVMGQPDGFGIGHVDTTYHGVGMSLVIPRQSAAHG SNRPSRSATRSNSQLILNNSNLVSTPATASPYDGSTVLNTQERAEIQAVREMVPLGRE VGDTQRVEDTQSQRSPSDISSPSLAHGPAEQRPAEQGIAPPEGRKYYFNREVIRDLHP SHRWRNGQVRISLGSAQDTDCLCTKKFDGMTVQCEICQKRQHLHCHGYLETDVMDTHV CYKCLLHAQPDLTTEMQGLCLLRQVAWVASMEQYPLKEADLAARIGYLVKDVIAVSQR LVQEKYLRKVSKPRNKSHKNYHMRSQIPPYTFIMEEKGRLFKEYFNPTLGIEKYLHEH LQASASNAKPKSEQPSAPDFRMSSMAPESVTLVPTSRPPATPQPTRAKLIGTGPLYGI FDADDDATTPHPGHAAPAPSMNGSTQGSPSQMGGPGPRPHLGLKRKGDVLERGARNLK RTDFVGSPFLLNRIV VE01_02731 MTTPPALYPSHCHGLSPTLGRWCPLRAVDVFALREVAEYEGQGI YFHLNHPIKWVRLTGIIVAMDEFYSRVCITIDDGSGATIEATCLAPPRPEATATVAAI VVSTERPADDAMVSPDGPKLRGVDIGKVVKVKGGIREFRGVRQLAMKAISILGDTRAE VGAWREGVRFREEVLRVPWVVTAEEERRCREEAEGERWRVEEEERRKRRRERRAKREK DGDEGGKERKSRVEKENAEARAEAKAEEDAEVIKARSEKEKKAREEREKARRARAIQR LVSSRPDGAGKYAALGF VE01_02732 MPPRISLRTASRCLAIRPAPQPSIARHTPITLRTYPVRAFADSK SPKPSSNPTSSDALPNASEEAAQVGEATGKATPELDQGIPVQEILKRDPELAEKAPEV MKEKPTDAKTSAEAPGEEGATTFDNLLALGQMDAIANGGHASDMTPEMVGHKFPLPDM PLKPFSNRKERYDPIVSQITNLLMQHGKLSRAQRHMSYILNQLRTAPPPVPSQARPLV PGSPPPIELPLNPINYLAVAIDSIAPLMRIRQQKGAAGGGMSLPIPVPLTLRQRRRTA IMWILDTVLKKKSRGSGPTMFAQRFADEIISVVEGKSGVWDKRAMVHKAATSARVNLT YSKQKGRRL VE01_02733 MSYSSPSPATSTWQDKLQERCRTAQINPPVFSIVSDRRGGRTAW SSTVTVSGQSIAARYWYDGQYLNNAKEDAAEVALKALGNSSPTSPTQGRHGW VE01_02734 MKTPATLSDLPLDIIHQVLDYLSPNSILSLIRAVPLTVAAISST HSHAVGESGETLLHLLAQDGDARVLGELLANPATDPNVHADMFMIPAALSLNDLCDPF YSVIPSQHHYHGRTPLSYAAAGGHLATVEVLLIHPDTDADHADYIHRTPLSLAVFEGH IHIVSLLLARADVAAKRHNRFYDIPLMIAASQGHTAVVEMLLRQRPDMRADGEIGHNL TALSAAAGRGHIAIVELFLSRGPIDAHMVNLMGYTPLAYAAESGHLRVVEMLLKRTEV NANGRPGISSLSLAVQHRFTEVAKVLLQWGEVKVKNDDYYGKATLRIAAENNHIEMMK MLLDRDDVDVNARSMLGISALSAAVEEGNVDAVTLLLGRSDINANVKDDRGRTPLIHA AENGDTAIMGLLLERDDVDVSIQGITGMSALTTAVKEGHIDAVTLLLGRSDVSANVKD DRGRTPLMHAAENDNTEIMGLLLGRDDVDVNVQSITGMTALAVAAQEGQVGAVLLLLE WNGVNVNSRDGRGYTPLMGAVERDHIEVIRMLIMCDDVDVNARCDEGMSALSIAAWKW RVDTVPLLLGWSGVDVNSRDDNGYTPLMGAVEANCVEIVRMFMMCDDVDLSARSDEGV SALDLAHDDAVTQALNRNAMEVN VE01_02735 MVPPKVNAKALRQALNEKTAKSFGIAIGAFIALFIFNHWARYLF HRYSNGSRSSATAAVIRLSRRTRSFLSKRFFKQISLGRILLYTSFWGINIILSCTNVD LTILVNVAKHQGWYLQPPTSPCESIESTKVALCNLAFVIFLSLKNTPLAILSATSYET LMPLHKAAGYTCIVASLVHAIVYLEAWAQADSLNDMLEPTQIAGIMGGIALLLIGGLA LPYIRRQRYELFYAIHVTLSAFILIVVALHRPNFSQHAIIITLFAASLSFMDRAIRIF KFSCNFMGNHAALTALPNGATRVTLRQSSKATPGSHAFLWLPAIRLAETHPFTLVSSD PAEFVVFSRDGFTSALHRYAKEFPNKTLRCSLNTGYGKLPAFKTYDSILLIAGGSGAS FTFAVALDLSRNPGPCPSGSITFVWVIRDKASISWFHAELRELLLNPRIKVMIHITNN VESSTLVSLSGYSDKDNTSTTEESSQTSLPIMAHGDLEKAIELEQTAKSEKPADPEKA MGYAVGEASSSTIATFPGRPNIPALMESLVDNEDSMKRVIVGACGPEGLLETVKDTAS RCIRPDGPSFTLHTEGFGW VE01_02736 MPFDTKLTRALGIRVPVVQGGMQWVGYAEMAAAVSNAGGLGLLT ALTQPTPEDLRKEIRRCRTMTKYPFGVNITLLPAMVPPDYGAYAQVVIDEGIKIVETA GNSPGPVIKQLKAVGTIILHKCTTIRHAESAVKLGVDFLSIDGFECAGHVGESDLTNF ILLSRARQTLTVPFIASGGFADGYGLAAALSLGAEGINMGTRFMSTVEAPIHNNVKEQ ICKASEHDTQLVLRRWKNTSRLYKNKAAVEAFKIESTSTTGKFEEVAPWVSGKRGRQV FINGDVDFGVWTAGQVIGLIHDIPTCEVLLRRIEKEAEETINRASSLIVASPKL VE01_02737 MTTISELGDLFDDHPSLDASLADFEPGSSEPAHSPRFPPLQITR NNNYNHLFPSQHSGFREPSDSEDDPIRPDSSGGYSPPAWRRNGGARSSGFWNRRDNIL NRGGVSREVTPETWESAEEGDATLAAAVRVRLPTGSLSPEKRRSPSPDPFMSGGDFGG SFGAVKKEEGSKVVPLATIPENPNNYIRFAVRAEVQHRTEPFENALAVATRKFDSVTK SWTSLITTILVGFISLLAVKSIFTPAAPLPVPDLVKVASLAKAFEPLIFYSEHGIQQI ADLQATSVAVWDLGESVRSANMTSAPIIVRELDGLSDSLKTLAIELTRFFANVDGDVD GILIVMDWAKRELAALPAAPPSPLSALATNTHTLLSRLHVLESSPGNPTALGHAALAL FGPTPPQRTAAALGRAFREFVGVLEEAIQAELEHAAALFALFEAVDRRFLNVARAVAR ESDEQERGESEMLASLWTRVLGGNAAGLRKFEMNRRLLGSVRERTVRNKRVLVDHNGK LLALRANLEMLRMRLVSPLVRAGEGSTIGVEEQIRGLEGTYEYLRGVREKQKGRLMEV LYGSGKGRSRIVGDDEGREIDGGWERR VE01_02738 MKFTPVLLLLFASVSLAAPAGLDGADIEAKPDNTHATREKHAGV IGDDAHITRENHAGVSPDDSHMTIGDSHATFIPDENDAEASPNDAHATRDDSHASR VE01_02739 MLAFFLQLLALSSSHPNPKVCKAQPGTKAWPSEAKWESFDKSLS GQLIKTVPPGAVCHPSQPSYDAIACPAVQEGWKLAKWHTENPVSVILDSAVNDTCLPD PKYPCSAEGYPIYVVNATTAEHVKKGVNFARQNNVRLVIKGTGHDYMGRSAAPNSLSI WTHNIKGLEFFEINKFTPKHCKVPIDKHVITVGAGTQMLEIDEQASLRNLTVVGGGSG SVGIGGYLTGGGHSAISLTFGLAADQVVEMEVVTASGKIVTANACQNEDLFWAMRGGG GSTFGVIISATIKAYPSFKFATVSVMFGAPVSSSDAYYDALTTIFEESPDLGDLGISA YYFGAANVSAADYGIPVPGMINGFIGGFMLPLIDASNTSDSLLTAVNATLAKAIKGAE DQFLTSVTETTFDDFWSWYKDNNGPLNAGFDAILGSRLLDRKSLTGNRATLKEALIVA PGNGTLLGHLVSGKAVHNAKIPGGSNAVNPAWRSAYVHTVVSSSWDPLDAAGREKQLN LNTNVYTEALRKIAPDTGAYINEADLYEPNPQKAFWGANYPRLWFIKKMRDPLDLSRF AARSVSLLKYFNIGYIISVTRAEDVPKFNAESDTSDIKDVFFQKQVDINDDPTEDILI HLTDTCDWIKTSLASAPTDIEDSPKQTGVLVHCTQGISRSGSVVIAYCKIISLHC VE01_02740 MSTYAFSIITCDRGEQWDSPTKMKPYHWVFWIQSSTTPNVGHTF QLRGMPGTFYHSAEEAVDLSKLDGANGRLEVGSIPLQKYELYKQLLQEVTIDNVESSG WNCQNWSLAALDNREQDLVADDYSNNVIRHWLSEDQ VE01_02741 MGRALVISCAAFSAIGGFLFGYDSGIASSTIAQPQFIKYFEHPS DAVAGGIVSAFQGGAIIGCLTVTYLGDVLGRKRMIFLGSLIAIFGCALQGGAVTIAML IAGRFFAGIAVGQLSSTVPIYCAEIAPPNIRGLLAGLLQWMLSWGFFAAQWIGYGSTF STSSFQWRFPLSFQVVPALILAIGILFLPESPRWLMEKDKPDEALTTLRQLHYNGHND DFIDLEFTEMQTSIRAAGVNNKLSWTEIVKNPSWRRRLVLGCSIQAFGQLSGINVINY YGPRIYESLGISTSGALMITGINGTTGIVENTIILLLIDKIGRIWPITIGAFGMAGCM LTNAILNKKFPANATNPNSNALRAQVGMNFVFQLAFQSLGCISWIYPAEIFPTEIRAL GTSLAALTNWSLSLIFAQCSPIALSSIGFNYFYFFFAFNLISGICYLAFYPETKGRTL EQMDALFEDEKAIPSLEYGDKAAEITTKNSQPTAHVEKI VE01_02742 MSPPKVIRWGILATGSIATTFTKDLLVDPITRGVTAIRHTVVAA ASSSSLSRAESFLSYTSAPTSARAYDSYEKLVNDPEVDIIYIATPHSHHYQNAMLCLE AGKNVLCEKPFTVNARQARKLAEKARERGCFLMEALWTRYFPLSAYVRETITSGQIGS VVRVITDCSVATNPESSFEDANHRMVNANLAGGALLDLGIYSLTWPFVALYHTQPVES RRPPRVLAAMTKYPPTFTADEMTTMVLVFPRHESAGGDTHAIATTSIRLETSPPADPN PPPAVRIQGRLGEIQLFPCAHNPNKSKLVLLDGSIEEKSWSQPGPGKGSGWYNGFGDG VNAEGEGQGMFWEADEAGMALLEGRKEGKYLDLEETIIIMEVMDDVTRQCGLIYPENV ETTEYPL VE01_02743 MSKLLTVFGATGNQGGSVIRAVLNDPVLSKEFKIRGITRDVSKP AAQALESRGVEVMAADMNSRSSLAEALRGSHSVFLVTTPDFMSGDSQELTHGKDVADV AHEAGIKHLIFSSLLHVTDVTNGRLKHVLHFDMKADVERYIRSKGLPGTFILPGYFMS NFTALQMLKKGDGGVYTLVFPVTSEAKFPLIDTEADIGKYVVAAIKNRTDVLGKQILA AADYYTPTRIISEFEEVTGKKGRFMPVDSETYKSFLPESMAEEMLENHLFIEDPGYFA GQDLKGSLDLLDKVGLKPNSWRDFLTKNKSLFE VE01_02744 MAAITIGIAGITGKFARRLVAHLQGHDVVIRGYCRDASKFSASP ISLPQIQITQGDAFDSAAVQSFVKGCDVIVCCYLGDDKLMVDGQKMLIDSCEEANVPR YIASDWALDYTKLELGQLFPKDPVKHVKAYLDTKKNVKGVHILIGGFMEPIFSPFFNI WDPVTTTFRYWGEGDEVWEGTTYDNAAEYTAAVALDANATGIQRFLGDRATIQQIAES FENVYGVKPRLERLGSLHELYKKMHELRAKYPTDIFKYMSMFFMYYWINGQTFVGPEL DNSKYPDIKPVSWEDFMKTRTVDQLPGAFNALAGDFKVGDKRQAS VE01_02745 MHLELSPPGFRILDLWYPDKFPDPPRHTITDSDRSWFFYLAEIA LRRLANRILAFIALDHNMDNPQDIARAHENAAEFEQEANDWVQSLPSPHGLDRSSDDV LNFILEGHLGNCYEFIYWPFIKHTINSPFRNLSTDEYVRKGLQVAMDRLNLNRPGFKH RHHGTYYLIRSCTRSAFVLLAAHFKGNLEDLMPIGWFETIWSVVDLLEFWQDELPDAR RWRDSMTHLMQQA VE01_02746 MLNGKINSILRVSGTSIVDGSGHTVILKGAALGGHMNMENFISG FSGHERQHRAALLKVLGKEKYDVFFDSFLDYFFTRADAHYFASLGLNCVRIPFNYAHF EDDFNPGVYLEKGFQLLDRVVEHCTAEGLYVVLDLHAVPGGQNQDWHSDSGISEALFW RFREFQDRAINLWVEIAKRYKGNPYVAGYNPLNEPADPEHKRLIKFYERIEAAIRSVD PDHILFLDGNTYSMDFRQFTAILPNCVYSMHDYSSMGFPSQEQYAGTVEHKTKLRKSF ERKVEFMRKQKVPIWNGEFGPVYEFKSQKGHEEVNEKRYALLEEQLAIYAESGVSWSI WLYKDIGYQGMLHVSPESAWFKLLGPFMEKKARLGVDFWGRDDKEVAHIYAPVKQHFE DVVPKEHWNKKYPSPLWDMGRHIDRVLRECLLSEYLCFEMAEYFRGKTEDELRELAAS FKFENCVQRGELNVILEKDAIKH VE01_02747 MSNSQTSDPQPVPRLSCIQCRGKKLKCDRVRPRCARCTRLQEEC LYPKSRQKLVGKRIQVKELEARLGQLEDSVKNAKADLQPPSPSDDNSIPWDWDHAASS ISGAESLLVDTSGAQPASVQPEVFASDELIRLGLFEHFPPLQVMEDLIDIYFDKLHYG APMLHRSRYTTSVLLPSPMRPPMCLQYIVMALAAASTETFRHLATPFYQRARAYAESD EMMDQGERFTTVAHAQCWTLMANFEAQLTLFSRASTNKGCEAPLLTLPPPKDWSELEE RRRTWWVIFCSDRFVCGTTGWPTLINERDIHTLLPASDEAFDGGVEEQTSSLMAAIRQ ENRGYSSFAGRVLVAHMFHRAIEHTSQSYPDDNPQDIKNGPYWRRQRGIDNDLATMLM FLPGNLRLPRSIRCQNAVFVNVYIHTTIICIHRAAVSKINQLTLPEYLMNQSQGRLLL AAEEILNIFRMVNDLDATLKNPLMAFSMYMAALVFLEDFVMDHSDQSEDNLNFLQNIM IAFGRTNAITRSLAIQLAMDMRQSGFDASAMDKV VE01_02748 MDSLKYSKFDVSVVTYKVVNGQDIKSYVLTPKNIPSGNHPIVVK FHGGFFVSGNSLYPDWYPQWSLDYCLLHSAIIVTGDYRLLPEATGAEIYEDVSDFWDW IRNGLQPHLDSIRAGVQADLDKILLQGESAGGAISIVSALTQPPGFIKAVIATYPFLT LSPKRTKPIFGAPILPTTVLQDHLDSIQPGKIITEATPPKRINIAIAIAQQELFPRYY GADERHDAFTLLEKASDIPYTFIFHGTEDSAVPVDGSIEWVEAAKNKLGQEKVKLHLE QGAEHGFDGETPLETPWLQEGLKAITENWLDAGTQ VE01_02749 MSKLYTYLLGIQGSLLLANGAYMLLFPSEIAAPPSPMAGTPISV IHAMSTSTISLGLTYLVAAYQSNRTYVVMGVPGRFLAAALFWYHGGAWKNVACYEAVW GAINFGALMW VE01_02750 MKSSLLLLALVRLCSGASYFFDGAANNAGEGSESQPYNNLAVIS SLKLQPGDKILLKRGSSIVGPLVLTSSGSTSAPINIGAYGNAEQPKPVVVGGGLSTVL IQGASHVVVEDLEVTNPGDNKSALRGVYIYGYDSGNVKDVTVQNLYIHDVRGYMPSTT TGGAPVGKYANASGAIVVEAGGSTTPTYFTGINIQDNEIRSVDRQGIYTWSNWCQRPE LAAFWFTLCTAPWYASSGLLVQRNRLYDVGGDGIVVKGHEGAIVKDNLVVGFNKRSKS PNAGVWTANSINSLFQYNTVSGGTTTSDGMSYDVDHSTSGTVFEYNVSHDNEGGFFLL CPYDKPTTNFTIRYNLSVNDKTRIFQICDGALTGGKIYKNTIQIGSGISPVIVTEDTS ASLDVLFADNIIRKEGSGRATWKLQDEYFSVVKNSFYGPIDTYFNATGSVTEAPGLAA PGLRDPKAYYLLNGYPTLDVAVDIVGDASVDFFNNPTTGHKNLGFYSGTGTNIPIWIS KFDDSDISAWKSSSGSATIVPDPAGDLGNSVQLAPSTVFSRPIGASLPFRFNARIWID AVSTSKPVSVRVGSTSKAIDVVLGNPETYRTGEWQILEVLLTSSGQSATLGGTALTAV PVADNAGLVIFTAGGSKVHIDDIFTVSL VE01_02751 MNGPLLHVICALGAKFYALETLNYEKEIDPKNVLASGSQWADIA QQQIFGNMNNISVENLMATILLYDHELRVGNYSGAFMISGAATRMLQALQINLEYSTD ILCTEPSNMPFCVRESRRRLMWSCYVADSWVGSGVDQLTLIADCDVKVQLPCHERNFV QQIPSITETLTRGQVLKFIPPELRPLDPTNNMGIAAYFARIAGIRKKILRYIKQIDLT QQLQLPDAEFSSLDESCEDWLNSLPPSLRLTTASIYTRKDSSQLGALFVLHCAYHITL CDLYRISMPVLLPGLLRTRLSVESTPNQQTFRALYQRKCFEHSKDAARILMKAVGHGA RFLADTWLCTCAFESTRTMLYYSVQGVDRNQSNSRELVLELIPLFQANMRAMRLMIPL FTTAERCYAAATSLMRKAGIGSHLVEGAPGTDEQLDSSDIERPVDYASPPMETPENVL NPLSIYALTRQNIDEKESVDSRNSLYSTRVADNVQTSTVATNSFRRKPQGAFAAVLQQ QFPSHVPQEHYNTNSGEFMPNMALMWEQHDLGANMDSFWPGFMPDFDGLWLPAETAQE NMSAMGIPTWVPGIPGETFEGGFAVRDGPIRPTPSGSGAFM VE01_02752 MAKFRLSNLYVISALATIGGLIQGFDVSSMSAIIGTEKYKTYFN HPNSVLQGGITASMAGGSMLGSMFSSITSDRIGRRDTMFIACLVWLVGSSVMAAVQNV AMLIVARIINGFAVGMLTSQGPVFIAEISPSNLRGRLISLQQWMITWGILIMYFVSYG TSFVHGTASFRLPWALQMIPALVLLVFLPMMPRSPRWLASKDRWEEATEVLARLHAGG NQLDPLVIAEVNQIREAIRMEAEFSSVSWGEVFKRHNIVRTTCAIFSHVWSQYTGTNA MMFYIVYIFQMAGLTGNNALISASIQYIINVVMTLPALLYMDRWPRRKVMMSGSFILA VLLFTEAAIMAKYGEPVPGGLNGVPTVTWVVRNKKASRAIIACSYLFIATYAPTWGPV GWVYPPEIIPLYIRGKTVSLATFFNWAMNFSLTFFTPPAFQHIQWKTFLIFGTLCCCA IVHVFLLFPETCGKTLEEMDDIFNESIWAFKQKGSGNKLERDVQAAAEMLKGKDYARS QDAVEATGSVDQKV VE01_02753 MAESRDASGQKKNILFLIADDLGKYLNCYGAKNIKTPNINLLAS QGTQFDMAFTSTASCSASRSVMYTGLHTHQNGQYGLACERHHFATFDYIETVPAVFNS LGYQTGIIGKVHVGPASSYPWEIREESGTRNVGWVADRAESFFEKAKETDRPFHLTVG YIDPHRDLTRSGFGNEDFGDARVKVTTVQPEDVEIPSFLNDLPEVRTELVEYYQSIQR LDAGVGLILDALERQGLSDSTLVCFVSDNGAPFINSKTTLYDAGIRLPVIVRAPQLKG GVVSPNMISYIDFFPTLLDWAGAKGHVLSENSRSPARLGTSFLQILDAKELLPEEKWQ HHVFGSHTFHEVQNYWPTRYLRSRRYKYHRNLAWRLDFPFASDMYGSLSWDGIRNTPP PVMLGPRSLKNYIWRPQEELYDMEKDPQEVNNLALNEEYENVIKEYRTKLEAWQLKTN DAWLYRDGVSVAMNQHHIDAGLKIPDRFEIDVDNPGNRDVACWSPENVGQRSA VE01_02754 MAPLVAVALALSFIVRSSVVASPHLRRQTTTIISTGNPILADGF IYSADPAPIVIDETVYILSGRDGAGATENGFIMNEWKSFEAQNPDLSGGTAYAGQVVQ GADGKFYMYAPVTQANSGASDPFAIGVAVSSDILGPFTDARSSGPIISQGVPSPGNTI QNIDPTVLVDTDGRVFIYFGTFGQLLGYELESDMITIKGAVTKVNSLTGYFEAPWLMK LVSTYYMLYAAKNAGGSSPCTPTSHHACIAYGTASGPLGPRTFRGIVLDIVSSTTSHP GVYQLGNEWFITYHTRDAVGGTHFRRSIAFDKLTWDDTTSPPSILTVVQTHRPASANE PTRNIALCATPSSTNATPIQYWIKAINDERVEANPLPPDYWCSYAAEQSPETTVEVNG ATMAFFADQPTGSNIGVPPPASWKLEYLMSAGSWTAVSVTSSGAYPTNVTDSPEEVSF QTVSTTSLRAILMISGWGGQFGGVGIKEWAALAPTTSSYKDLKAFAKVFYF VE01_02755 MKSQALRVLPVVVSLFAPSILCQDTLGLDDGYIRLSTTKFDVQI VSDAQVLASLKPAGGTFDFLPFDYLPLRAKDGQYHWGDITFRYRTSGSTAWITGDSSK SRKKVTTLSTGALAASGLAPTLPTGPLNITREWIDVSGDLGLQFTIINSGSSAIEIGG LGFPAEFNSIFTNRQASDMLRLCSLSDPYIGMHAGQIRVAPTSGDGPALVITPIGDTP MEAYRNLAETYYEDTAYGSQVFEGFYEWQVLSKAWAENEWSGKEPWNEPSSRTLQPHE SLRYGLRFSVAQGGVRDLDSTVLRTGTPVAHGVPGYIIPRGTAANLFLEASSAVNSTT VKPVGALTLVSAGDGHYTVTPSTSAWGRVRLTVAYADGKVQTIHYYVTKPGTEAVASL GQFLTTDQWFTDTSDPFGRAPSVMTYDYEAGSIVKQDSRAWVAGLSDEGGAGSFLAAC MKQAAQPNQGEITKLESFVDGVLWKTIQTPDFAVRKSIFFYEPAAVSGYTYDSSIDWT SWTSWNKASSYATDRAYDYVHVAAAYWGLYRAGRAYPNLIKSHTWDWYLNQAYNTIIR AMKADVGYNKVGLMGETVFGEILADLTREGLSSQAKTLTAAMKSRADQWNTEAVPFGS EMAWDSTGQEGVYYWSKYFGHSSTVTKTVNSVLGFMPTVPHWGWNGNARRYWDNIYGG KLRRIERQIHHYGSGLNSLVLLGAFRSDPSDSYLLRVGYGGTSGPVSNINEDGFAAAS FHSWPDTLKWDGLSGDYGPNFVGLVLGTGTYVVQDTVVGLVAYGGVLTSLGDSVSVQT ADPVRRRIFIGPLGVLISVDAGIIDNFSYVPSTGEISVTLSQLSSVPVAAKAVLWAES TTGARNYSVTASGITKTRLGWQIPLSSDNVTVKLA VE01_02756 MASIMFSQRTLYRHKFWAILFTLISVVACERDSSYISQKQFAPV GIALGNSPSWNTTKGGSFQLTPSTPIATLDYGTEAAGYPFFAVSSVTGRVQIEVKYSE EFNGLLANFSDGPLPFNIGLSNSYRVETFQVTKSGYLEAFLLQGGQRWQSIRLLTEGA ITFSSVGFVPSVPILDIDHIPGQFKCDDDRLNNIWRLGAKASTMSCIGQGSQKAMWQV NPTGASIRGMRSGLSAKGAFLKDYTLEFDARIERGGIGWVVAHPLASPAKGIQLNLVG NLPPSTSFVNTNTSLTPANSVVLGYGYSIVNVTTLTSYLLDTFQTPFSIEENTWYKVL TVLTGGQYLYVSIEGTQVFNVSLNSYYTGGAAIPTRGSFGFGGWQDQWGTIRNVTVTD TTNGTTIYHNPMTDSSSVIAEYGVHSNYAPICLDGPKRDRLAWLGDFYHTVRVVGAST ARHDLIKGTLSYFLSWQTPTGLLPYAIPISYSPSIAYSAFANGAGGQLFGYEIWGVIL ADYQIPGLLSFTNYIALSNDLDYARTTWSQWQLQIGWLLSQIDGSTNLLSLGNAFLGP GIAGSAVNCALVQALDTSAQVATAINDTQSASRYFTAATNLAAAVNKNLWNPTLGVYA LAIDSPSDYSVSACGFCITSGTANASQATAFLSAMETLRLGPGYKDSTKSNSLDATVN ISPNTNGFLLGALLSQSPVQRNITGISSVAKDLLYSLWGAMLADKRTSTGASWEYLSV AGTPGLGLFTSLSHPWGGAPTYLLTEWVAGIRPAAGRHRIFLHRDFIMKLYSQVLVLL GLVCCAIAATASQSNSVNGLDFVYPYPVKSYRFTSQRKKLTMSYMDVSPKGTSKGNIV LLHGKNFCGATWIATIKVLVEDGYRVVVPDQVGFCKSTKPSEYQFTLEALATNTNGLL HEIGITNATIMGHSMGGMLAGRYALMFPTETYRLVMVDPLGLENWFALGVPYQIPDAS YLTELATTYTSIRNYQQATYYSGTWEDSYDVWVYMLLSIYEGPLGDHFAWNMAATTDM VFTQPIIYELPNLKMESLLVVGGKDNTAIGKAWAPDAVKPLLGQYEILGKQVSAQIPG CTLVEFPDLGHSPQIQDPDSFHAALLNWLP VE01_02757 MAIDNSDIHHGDFEKIACIVFVSITPAFLIARFASRILDKQVGN DDWASLAAFIFTMACNIQTLVAIAHGWGRHKLNLNAEQLRICLIFHYGFQLTYKLAVG FNKASILLLYLRIMPQRFYRVSIYSLLAVVGLFAFATVIAGIFQCIPVDKAWNKSKPG HCYNLVDAWYSNAVFSITTDFLILFLPMHMVYKLQRARREKFLLYAVFGFGFFITFTS IMRFFALKSAKNPDTTYDITSGFWSVIEINVGVIVICLPPLRTLLSRQFSFFNRSRSR TDGGPYHAHSDEPSQLVTIGGSGPRGQTRKKGEPDDSEEELVTGDNSVRVMDGSILKK TEFVISERGVREGDVDDQRVLKPWENPGR VE01_02758 MGLFGFLQYLRLKFLISSLRLLVQLVAPLPVPKPDLVLRIPSRD KGRTIKANVYNPSGEPGSARGPHPVLINFFGSGFALQYHGADEPFCRFIATKTSHVVL DVQYRVAPENPFPAAVNDAEDAVKYVLSHPDEYLISHVSLSGFSSGGTLALVNSTLFP HGTFQSLVGFYPSPSMAGDPATRKAPVPGRERPSFWTKVFREAYIRDKDARDPRISPV FADTTNFPQEMLIFTADHDVSATDMEALAERIKTDGHASGRNLVLRRMEDCDHGFDKN KKRENQMEAGRRAYAQVAVFLNRLEGESG VE01_02759 MEIDRQGDLCETCRNIDLDALLKEDGMYQAQPVLYQPLAPISVK ASSSCPLCEFFLSMLKDEERAGADAVYLFMVTRSQPATEETWKNHDERRKQRCFFVQT SPDLTGPGPEWQEWETPERPRCDLERPRVNYSKLPDMPVFIEHERVDYEPLRGWCKKI RALETARTAKLQGLKGVDDGALPVNVIDCHTREIVPVQAPCEYFTLSYVWGATTVAPE SSSGSSLPSRLPTTVEDAITVVKQLGYRYLWVDRYCLDQSNKAQFQTQLNQMGDIYRN GVAGIIAAAGGDSDYGLPGVSTRARTRQPRVRIGNYVLWSNMMDPRTVVRRSKWMTRA WTYQEGIFTANWFAFTDEQVFFQKSDNSTMANRERLWKVSCEMFPNGGMGVEANCPLL RMSDNNMWSSEGYIHLKLEAYTSRSITYPSDAVNGMLGLLKRCGNGPYPMNHYFGVPI LGPLLNHRISMARDTTRSWTLTKAFVVGLCWTSENTGLRRLEFPSWSWAGWKTSYARP SHGTLCDGITLADDFKLSVQTKQGLVDWEVMCYAKSWGLDEDTSLLPRELYIEAPTIT VTICQDPRSMASDWASAATGHASILKSMGWCAMFSDADCDVLVKVNLVDAETVSKLTT LGSMTLKGVILRREFIFALLVLETNEAAIRVGSLTLVDYFVRWKTDVQHHNVQASEYW NNSGRISHMHCPECKQRAFQSIIPSETTEVTRVQ VE01_02760 MAGGIKKPVNIFRLKDLGEPKEIFNWRLWLAVFSFGLMGAARGV DEGLIGGAFNSKNFQNSINYQSYSVHEQTNIKANVSAMVQIGSVGGALFAFLVCDRIG RLWATRQLCIIWIVGIAIFMGNGGNLGAVYAGRFIAGLGVGQTVVVAPVYLAEIAPAS IRGLCTCVFTGFVYLGIVIAYFANYGASVNIGEHTHARWELPTSLHIMFAGIVFLLSF TQYESPRYLVKIGKDEEAIINMSRVRNLPRDNAYVLQEINNIRFQLQEEQEATLGQGW LGVVKEMFLVPSNLYRIYLGLMAQILSQWSGAGSITLYAPSLFALIGVTGTNEGLLVT AVFGIVKLVGAIICALFLVDVIGRKRSLLIGIALQAISMIYIASFLTAVPQLGTISTF KLAPSQTPVSKGAIFMIFLSGFGWALGWNSMQYVLTAELFPLRIRAMSTSLVMCFHFA NQYGSSRALPNMLLPKHLGGIDPAGTFWFFAVITIAGFVWVVVTVPETAGRDLESMDR LFSLPWYKIGMYGNRNAEEIDLAIDEKMMAVNQQEGQARQVETIRDV VE01_02761 MKVLTITVLAAFLAASTSAQSSAIIYSVATSIPWSPKAPSSVDQ SVVYNDTYYLSDRTNAGVHVVSLINKTQTKLITGFSTGLVKGKLSSSISGPDGMIVLP SRNELWVGDGDGTIKIIDLFNSTIIASINTTSTKRADEFAYDPTNNIVVVTNPNEKIP YVSVISATKRTVLGRIMFPNVTELEQPAFNPANGLFYVSVPSSGAHPGGSICTLDIAG LSIAQTYPIPDCVPAGIIFGPTNHLFIGCSGSQITDYGYAASYIMDVSTGKIISNVSS LAGVDQVAYDSTANLFYASAYQNLAAGGNPMPQIAVVNASSGVLVQTLVTDNVTAHSV AVDEKNGLMIVPIQKQGIVVYDLKAGVKGSVTGAAAKPSASLKTSGGVVISAVRALMT TVIIGIAGSLLL VE01_02762 MEGNKPITFDLNGDAKYHLIGIPSRYSGWCARPAIVMEHFQLPY TSSTIKVCDAKKKSNTGLVPVLIPLSPKLDIQICDSLAICEFLAESHPELPLWPKDPM LRALARSAAAEMHSGFSELRNTCPGNFVAKYTGNVPVTEKARKEVERAFSLWHEARTK TAQRLKELGEEDEGYLFGKFGIADAFYWPLLWRFRTYNLPLTTASPEALLWVKKMWSD PTLKLLSKDYFKQAEDPETAMVQFDDIFKGNPEIQYSRFEEDWEFTAA VE01_02764 MPTPQGDTFSHLSLHTLSDSNNISLLPLHNNQPVSLHGHDDVEL DSLDQTNPRANNRPSRSNRRYEGIHERGEEGTQHLLHEDLSSDNADYDSDEPMGARSR RANKEPASALSASTSAANGDADMNGNVELRRKNASLDSPSVTASLMARESFSLDQHVP CTPAPSNNGFFELPMQDRRNFVLLVLLYFLQGVPMGLATGSVPFLLKAHLSYGQIGIF SLASYPYSLKLLWSPIVDACWTPRLGRRKSWILPIQMLSGFGMIWLGANVKAMMVTAG QEGGGGVWDFTGWWFFLVFMCATQDIAVDGWALTLLSPQNLSYASTAQTVGLTAGQFM SYTVFLAFNSPDFANKWFRASPSPEGVMTLGGYLTFWGWAYLIVTMGLAVLKKEERTK NEDGIWDVYKVMWKVLKLKNIQTIIIIHLIAKIGFQANDAVTNLKLLDKGFSQEDLAL TVLIDFPFEIALGYYAGKWSTKYTPMRLWSWAFVARLGAAVIAQLTVMIFPANGVDTS YLLVVIASHIFSTFTNTVMFVAISAFHARIADPVIGGTYMTLLATVSNLGGTFPRFFI LKLVDQFTQATCVPPVPGSTALSAALKSPLVTEAFSCALEVDKHRCIDGGGVCNITRD GYYITNVVCVIIGVLTFWGYIRERALQLQALPLRAWRTGGPTGQRD VE01_02765 MAGEPSPDPPAPLAPAQKPWIVRFLRRARGQIDRPPRVNITPIS RKYSDPDYSVSKGAEVGVAVSESGSDYSSTAVGLQKQHRGEAFAEGGSTKFYEPIPEY EGRHRWDPTAEWTPAEEKKLVRTLDIRICSWVCLMFFSLQLDRGNITQALSDNMLNDL GLTTNQYNYGQTIFYLCFLCAELPSQLISKKLGPDNWIPIQMICWSIVAICQCRITGQ STFYATRALLGLIEGGFIPDSILYLSYFYTSKELPIRLSFFWGSYTLTGIISSFLAFG ILHLRGVLGLEGWRWLFALEGIVTAIIGVSSFFYLPPSPTETASYFRGQNGWFSEREE IIMVNRVLRDDPGKGDMHNRQGISLGLFWKALCDYDLWPVYLIGFTWGTPFQPVAAYL TLTLRSLGFGTFETNLLVIPGSVLTLLQLLFWTWYSEKINSRFFVILISQIWALPLLI ALEVIPGNSSPWVKYTLSMLMVGFPYTYAIIVASVSRNAGSVRTRTVGTALYNMFVQA SSIVGSNIYRDDDKPNYRRGNKILLGFVAWNFALIIGTKYYYVWRNYTREKKWSVMTQ EEKDIYLETTKDEGNKRLDFRFAH VE01_02766 MATPISLTACVLHGPKDIRIETVPAATPLAPNEVTVRVTSTGLC GSDLHYYNHYRNGDILVREPLILGHESCGYVTAVGPDATSLQVGDFVALEVGLPCGTC NLCAEGRYNICSDLRFRSSAKSWPHFQGTLQSEINHPADKCYKLPPTLDPRLGALIEP LSVAMHTHRRAALPTNSTILIIGAGAVGLLCAAVAKANGHRVIISDIQPLRIDFATKN AFADAGFVVPLTPRGDVAANLATAATMAGELREKAKELGGVVDAVMECTGAEASLQTA ILAARPGGKVMLVGMGTPVQTLPISAAALREVDLLGVFRYAGVYKEAAELVSEGKSGL PDLTKLVTHQYTGFGGVKDAFAMAGQAVDKDGKLVIKVVIEFSKERGTGGSEGM VE01_02258 MLVNENGALVHRTKDSTGKARELSNALPRCLQNGISLPPKYQKL LVYFIDDVLASLSCHPSIQEDLRMGLVPVMLHSPQLMSACLALSAAGFLSRGILEVEG VEIPRILGHLQTSGLALLRSALDSGERTETLPATCLIWCLTDVFTYRQGISSWQIHLQ GIGALLDGSEAHRDFATPSGSFRSAMRHLYQLYLSLQTLPHIPTVESLENSAQLGVRA SQNSEWTSMTSPEIDGFLGYSSELLDVLQQIDQLSRSVSGNEAQSISEADILLGKVQG MISRDTTSPRDISICTPLSPEYNREFALCHQTFQQATLIHLYRKLYHHPSGSPSIQAA VRSIEEMVGNMIQGQPCHTWVAMAMPLFTLGCEAFTEKQQVFTMDKIKKLEDCIGSLH VKCIRQALEDIWKVREDLGDREGTLCASQLLAELRYNIILF VE01_02259 MYAALLALGWIAIATAHSGHDQKVIEGPHQSLWYTRLPGDGGTQ ADSVFSGITTFGRLPYQPCLQNPDAKYDIAFIGAPFDTGTSYRPGARFGPSGIRQGSR RLNLYGGYNVPLKTNPFNSWATVLDCGDIPVTSYDNTYALKQIEEGHFEILSRAPATD ADKRGPAIQGRTLPRVITLGGDHTISLPLLRSINRAYGPVSVIHFDSHLDTWKPKVFG GSPSDVAAINHGTYFYHAAMEGLLSNDTNIHAGIRTTLSGPSDYDNDGYCGFEIVEAR EIDTIGTEGIIKKIVDRVGTERPVYLSIDIDTLDPAFAPATGTPETGGWSTRELRTIL RGLENINLIAADIVEVAPAYDTNAEHTTMAAADVLYEIMSMMVKKGPLSRMIDAGDNS EL VE01_02260 MSPKTSTSMQKSRAQEGSFPSVLEEHLDETSPLLPASPSSRSTA RPEYVEWLAEMWFLTKASIPVILAYMLQNSLQTASILVAGRISSEALAVAAFSYMFAM ATAWLIALGGTSALDTLASSSFTASKDKGNLGILLQRGIIVLTAFYAVVVVIWGLSEH LFRALGQPEHICMQSSKFLHLLAPGGLGYVWFECMKKFLQAQGIYRPGTYVLFVTSPL NIILNYLFIFTFNFGVYGAPVATGISYWLSFLLLVAYAGLVGGKECWSGFKPRQALRN PWPFANLAFLGVIHVGTEWWAFEIVALAAGRLGTLPLAAQSVVMTTDQIITTIPFGLG VAESARLGNLLGAKNSKGARRSAHCAAILSVFFGSVILTILFATRNVIGGLFSDDKRV IALVAEIIPYVALFQVADGLNGSCGGALRGMGRQWVGALVNCISYYGGALPGGVYLAF HGWGLAGLWLGQCVALSLVGILEWVIVGLSNWDNEVRKAADRLDDNEQEQLVLPS VE01_02261 MISKSMENEKQLALAIDSGGSVADGSISHAANEIVLSKEGFKLF PQPVLGDNLDPLNWSFVQKHTILAIVMSIYFMFTYITTTTVPSFPALEEKYSASLEQI NWTVAIPALGLSVGPLLWASIAGIIGRRPILIFGTVMALAATIGAALAPNYSSYMAAR FFQGLGVSPASNVGLAVINDIFFEHERGQKVGLWVLAIDLGLYFGPLIGGVINLAGHI WIQWLSAILFGAILIAELLFLPETLYPRHLMLSKVYRGDVSQQGVEEISVRDSTGEPE NILKTKRLPFINVTPLPGVQHPKPYDTMTQFIKTFKFIVVPISIMTYCFGWYWWVLSV VTLVPIAYEQYPPQIQGLLYLGLIVGTLVSEVLYSGSLSDWIVIKLAKRNNHQKTPEM RIWLTYPAVILTAVGLVVWGVSIDRGYHWMVGQVALAIFGAGVQMGNTAICSYVVDAY PLQSMAMMTFYAVMLNLSAFLDPFFIVSWVENIGFTWTFIGHAIITVVVCIPVMAVLH RFGRTLCEKSGSPTWTRTSGFPSAGVKDDFVNVVEENASIFSEKTAAVAMKESEHQSD LDKRMHYTAYELDKNNNVIAAQHLVKQR VE01_02262 MEIPILTAAEASQLDSLQSFKRLSSKAYLYTPSGTHDSDSAPLP NPDTNAPHLIFLVSWMNASSRHISKYTSHYQIFYPSTPILLVTSSASDFFPVSIQHRL ATLAPAISAIRAYTDERQVSRNDLLVHAMSNGGGGQLALISKQYLAETGQPLPANTIV YDSLPGTARFKQGLATFSLGLPATWYLRMPMQLMFSILLLFCYILPQIMGQKISALVI FNSVRPEFIRKEAKRCYIYSDGDEVVLDRDVEEHAKEAERNGLRVEMVKFEGTSHVGH MRSDPARYWGIVKRSWEDRA VE01_02263 MAKYTPTTPTIQVLSKQNYEDQHLVALPNALPLPPLTPHSLRIC TIILSLTTNNFTYARCGHLLGWWDVHPLPPSIPAEFANPQDYGRVSAWGYGVVVESTV PKGLGIEVGTHVYGYLPIGTLPVDMHVEISADANGQFVEISPQREKLMPVYNRYLFYS SETTAQQKAERKQSEGYDSLLQVLFEAGYMMNRFVFAWDSSELVHPSGADSGWTTEDA RIGEDTILLLFAASGKTALAFAHQLKYGRPAGKSSRKVVGVGSDAPRAFTEGTGLYNS VLTYDADDDHDIGVEVGADADSKVVVVDFGARGGAADRWAEKLRKSSKSVTLLGVGGE VAAESQEVTTQKMVKRMAAGRMQVNTSGMRSQAVGILGHKTYFEGLLTEWRTYRERGV PKGLQLVWGKGMDDVGKGWERLYKGEVGPDEGLVFDFCQVDAFWRFRGPLACVGHSLV KYLIIYTLSVLIDKRCVWLGGGLA VE01_02264 MNPNIKVGPQTDPGTFAPKQEDGTTDSNHELDPALKALLDSLQG PHTRSLEAQKGIKTDPENKAKHEADLEDAKREEADIERQIENQFPDLYADGHKLDQEG RPRIRGLQLSRNRFKSSYVIGRIYNKTTNRLNWYWHGLSGHNYRWDIPEPWSIDPGCF GEFKIEIGQLGSMQGKVDYWIDGTERSVLGNSLQGYLSTHFSAHYEKEVSGSAEVYMA DGFSTQTKAWGDGGQVDRIEFYLRKEWA VE01_02265 MVGHMFDNEERSLIMTSGKGQVVYPVIFDTFYVEKQGYLKLYCL PGALRYQNDTYNVVSSPEDIKDDETDNDEIDNDEIMDDEATYPNLPSFPAVSKPLNLL KDLILSWKSSNDDSAEIYNVDNDDDENLPLGYETHCATFPSIAAQRLSAPRSHLTLLV LVACYTSIFVLLLISGIISSGDGKNKLRAKVDAEFIAW VE01_02266 MWCAVKARENTTHISKEALANGPVTGAQVSPSYNAAASANMGIW LFFEVWLINTFRAYRPQYFLPSIIFSIFINITGTYGTLFVTMKQVEALVSRLLQAFFA GFGISASVSLVIVPFSSRTIISLLVTEELHELKTTLEVQGQYMLSLPKREWYGTKSST TYARSANKSWFSTRAKPWPEADALKKVTADVTELQVKVQSELRYAKREVAWGKLGSKD MVTICRLIKNILVPILGIESFIGITDRIEKRGGWEAPRIPRTADSLTGSKSNSLEDKE KGQWKEILEQLNDRVRQLQKTVIEGFDHSLYTLELAKRPLSPVDTDIEANSLGYSAGE RGFAKYLENAIQDFLRQREGPLKKWCAEMGVDDYSQLNGMKPSDNSLHELHQSQLYLI LDLEYSFLVTAREVLNLVKYADSKVDDGTMSKKRLILPTCKQMKKWFWAMLSREDSNL DYQVYSTRSGSPTVHLGDAIQGEKDTEHLRPTSVWEKVTDKFRVIPNFFGSAESAFGF RVATGTMAIAIICFLRNSQQFFIEQRLVWGSIMVAISMTQTAGSGVYGQFLRFSGTLV AMVASYIIWYIVDQHPAGIIVFTGITMFLYHYPLIKSPNNPVIPIIGMVTVTLIVGYE LQVQKVGILRSISNGQAYHPLYELAPFRLATVVAGVGVAFFFTYFPSVITARSQLRKD LGSCLYILCNYYSSVHQTVALQSRDAEGDLKDKGSPGRRLEKARIRLYVKDLVLLQGI EKHIKFTAWEPTFGGKFPQASYNRLINHTQNIIRFTTMIAHASETFRVLPIADNTQNT PGPWLKDFKRLISSLQLTSQEVTSLLAIVASAISTGRPMPPYLKAPQVMNLRQLLNRM DGDILSTRHVLEPGYAGFAVIQVSSTMLRDDLEGLLEETKNLVGEAKFNIDGTEIENL NADPVMIGGRGD VE01_02267 MSRNAASHEPLHPPRKTSLSNPLSQASRRQYKDLSKLLVGDGDI QTEAAVNDDGRVDIRIDHCSEYLRDHVLPIIQCPKAQTKRFQAGQPTLPPSITRGRDG LPPPRMNIAIHVVGSRGDVQPFVSLGQVLREKYGHRVRLATHPNFKSFVEENGLEFFS IGEDPAELMAFMVKNPGLLPNIGTLKSGDIGRRRRGMYEVMKGCWRSCIETGNGMEDV DYSRIFTGPSEHLDRPFIADAIIANPPSFAHVHCAERLGIPLHLMFTMPWSPTQSFPH PLSTIHHSNTEPSMANLISYALVDIMTWQGLGGVVNRFRTKTLHLEPVSLMWAPGMIS RLRIPFTYCWSPALIPKPEDWGSHIFLSGFYFLSLGSSYDPDTKLRAFLESGHPPVYV GFGSIVVDEPDVMTKIIFEATKKAGVRALVSKGWGGLGTDELDIPNNICMIGDVPHDW LFKHVSAVVHHGGAGTTAAGILAGCPTVVIPFFGDQPFWGAMIERAGAGPAPVPYKKL TADALAAGILKAIEPGTVENAKKLSEALLKDQGAITGAKSFHNMLDLDNQRCLVCPKR VAVARVRRTNIRLSALATIVLGDEGLLKASDLKMYRPCEYNTGAEPWDPVTGGAAALL GTISSLMIGMADVPFEIINKLKSRVHNSHEDRASRFQSTTSNPGADLGVANQRTEQAS SKNTRLTETSTIHATDPYRSGSNSSHHEERDNEQHLVDPEPVTHNITICSIVKPRHKH LMNPRDRAVYKAVEMGDQGISNVAITVMRPPMDLILAVSRGCHNAPKQYGDDTVRQLD DVNDFKSGVVTAGKQFGLGWYDGIAGVVTQPYRGGVEHGAKGFLAGILKGAGGLILKP SIFGLGGYTLQGIYREIQEHMGEQMHRYAVAARITQGHEDWETSTPEERRHIIDNYNM IKNDPLLKKREHLF VE01_02268 MTLVKWALPQLSELLPLDEESLKEIILYTNTLSDAEATIHLTSL LGDTPKAAEFITSFNAHRSAVTAEKSKLSSDVKGTPPANAPPAYAPPAYPVVSQNTSM LLGRPHMNRVIEAANVRARDEQEMQFALQNLQLQYGIYNSEIEPEHESDYYCGCAIHT YQTRKYHRYGVQEMWSNAVMYPGEKAYNEGYYTSGLANNLSTSMFSSNPYKYNVISPY GMYVSQWSSQRPKPDSHAMSIHQTIQMNNSLNRQAQADIDAKEPRINIWDELDGVNTT MSNMSIEAAGSNAPDRKVLPYKASDEKRQSVVTPPKNDTAEASTKSSKFSFRKAIGIK SSEERAVAKTEKRIRKGRELRNSILAEERGRWPNEQWQQIVTSYQDKVGMTRKIRHLR ARQPIQYLHLLRAGYFEPIPVAWAGATSNPLKFSIEPASGWRGITPQWRGYEDTAEER LYWVLNHREGVAGMRMKPDFIYAMDMARARMASAVEPPPQYYAANDTCHLQHTSPGYS KQVMPTPFRAYDRPEVPTDDTMILLDVSGSMDFDPVRPFYNKYLITGYQPSTQPKNKD VAKAIIRRFTDAMANHDHAFGGYSLTTFSNQAHYIGNINHQNLEAMWRNVRIGGGTRV MTGWQKVKDLHFQKHSASAIHHPVYGWQAGPETPMLRLLLLLDGEATDMDEFELDLLG LSWAHVTIFLIGVDGCPHHHRHANELQRISDVNHHVSFVDAQGNTPERFITHELLKRH LGYEISMTEFEQMEELPAYSVSA VE01_02269 MSESTESDDSDKSSPSRSELPLRTTAGDLARLFEHLTPPEDVDD KGIIIKCITQLKSTVDDHENRVRVLEHQPYAGSPNELATDVPLSSFERLQQEVKGLDE VVNERDIRISEAEEDIVNIKAEV VE01_02270 MDEKPEVGHVENANVASISDAHKEYILDRHKTLELDPVPDFNDA DPYNWPFRKKVINLLLVAFHAMMATFTAAAIQSAFADIATDLNVSIHRATYLTSLVIA ILGVAPLVWRPLSERYGRRPIFLISLIGSLVCNIGCACSPTYATMGLCRALAGFFISP AAALGSATVAETIFKRERARYMGIWTVMVTLGVPTAPVIFGFVAYRVGYRWIYWILAC TNAVQFILYLFFGPESRYIRGQQQQQRSSFRQQYFSFKRIDLTPLTWREFVSPLELVV RPCVLLSATAYSTVFLFASVFIAIEIPQLFVEKFHLNAEQIGLQNISIILGTLIGEQL GGTLSDRWMWRRQCKMKERMVQPEFRLWLSYSGYLLVICGVIVFLIQIKQADARWNIT PIIGAGIAAAGNQIVTTVLITYAVDCYRDEAASIGVFITFVRQELGFIGPFWFPTMIE TTKSFKAGLEVSLSCKPKFFEVSLGCKAMFFKVGLGCKAKFFKVNLSCKSWNNFA VE01_02271 MPKTEFYDAYGAAHPNVFGMRDEALHSIRRRHMSHSFSISYVRE MEQYLDLNIDILRKKIARFSSRNEVFDLKKVLHYYTIDVLGELAFSQSFGVQIADDES LVPPVVPHSLLAAATGAWPAQTQTLKRWLPKIPIRGLQNLFRGRAACARLASECVQRR IAALQDVKDEGMETLQRNDILTNLILAKHPDTGERLTQADLETEAFGFIIAGTHTTSA TVSLLFYHLLHAPDIMARCATEIDENLPPLTAGQSSYSVADVETSLPYLRQCIRENFR ITPVFTMPLARRVTAPEGVAISGRHIPHGTSIAVCNHAFHHDPAVWGPDHNTFDPTRW DNPETAARARYLMHFGLGGRQCIGKTVALSNIYKLSSTLLKEFDFELVDLNERLEVQM GAFRGRIPDLVSVGISDLAQPLMVKARQKRKVE VE01_02272 MDSALAARHAWNLGSIAYMRKLLDDEGGETAQLRLRVMELEARL RSVSVAAPIIPPNGKSREQINNLRASSTTRQPNLGAINAPVPLTTPSTDIGEETDEVP VDTLATTTFDDVPEKTDRNIGNFGPTSNHALFRTLSGIFAHVVHIFSPSNQQHCTPSS ARDYHRFTRRPPLLLPEKRLEIRQDTPDVVDICVLPDGQDVIPLISQFSTTVGIVLPF VNTSTILSEYKRRRENNQQLPRPMEALVNIICAYTSSTLQNSNAKVYYRRALALLDEQ TLRGSCLELIQALLLIASFQQNHQLSIASWTFHAVAVKAAFQLGLHSPIYYKDHSFQE SELRKRLWFALVNQDRHLSISLGRPCMIPQQHIQVEVPRDASLILQTMPMTMPEQIDS LLYFNRVISLDTVKISIIDNLYNHNIDSESLPLHELLIKRLQLSWQLEQWRQNTASFC DILSEIDLCQQSRVPNVTNRLQVLLSIQYYSVTLLINGPVLTKFLMIKSEIKTARELL IIIDGATACGESFFDCNAAWWNCNYIMFTVNLHLFGILLVHKCHGGILTAAGGIEMVE VRSSLDRGLKILQTFERISLMSRKARHCILGFLRVFDSLESDLPPTIPGSNAQAEVPA TPEILDPSWIIANDTNSMPQNLFSQYVAQSADDFLFQCSDAALLDGDLNFL VE01_02273 MKAYRWGGPNSGLHLEDIAVPQPSTAEVLIQVETCGLCHSDCHI ISGTGGAWIQQRPITLGHEVAGKVIALGESVSEVHIGDRVAVALLGPAGGIGLNYDGG YAEYAVVPVKYLEPIPDNVSFEQASVATDAVATSYHAVVRTGGVTASTTVAIVGLGGL GSIGLRIASLQGAIVYGFDIDSSKFEAAMRDGAKSCFSSLGDAKDVTFDVVVDFVGIT STMTAALEAVKPSGRIVLTGLGDEKLTLPTFSIVHKSVEIRGSLGATKEDLRTVLALI SEGKITPDLEEILFSKVNEGLLRLEAGQTKGRLFTRPTLASV VE01_02274 MAFETYLREATGNGPDRTLPGITHTFASGTQSLDPASPLFEKPF AIDTTMWIASCTKLLTATSVLQCVEKGLLNLDADLADVLTEFNEIQILAGFDDNEKPV YKKPESKITLRTLLSHSSGFGYAGLDPRLKKEVDYRGGKIDITGELLEHILVPLLYQP GTSWSYGVGLDWAGLAIERVTGQSLSAYMETNIINPLGMTSTSFKLQSRQDILATRSD MSLRLPDGSLIPSPTRYFPENSKDDFGGAGLFSCAQDYIKVLIDLLQDDSKLLSAASK EELFKQQLSTESKAALNFVLYGDYEGNTNGEVGMLFSGGLPAGTDVGYSVGGLLVDCA NGAGPNRRSKGALSWSGLPNLHWMVDRERGVALFYGSQLLPPGDIKTAETFAKFEESI YKGEL VE01_02275 MPEDTFDPTLLKEKYRAERDKRIRDDGIKQYQGIDTSSLKHYVE DPYAEPGFSRTPIDEDVEFLVVGGGFGGQLIAARLLESGTTNIRIIDKAGDFGGTWYW NRYPGAACDLESYIYMPLLEELNYMPTEKYTRAPELFEHARNIGKHFGLYDKALFQTE VLGLKWDATARHWIARTNRGDTIRAQFVATASGPLHKPKLPGVPGIETYKGHSFHTSR WDYDYTGGNTTGGLSKLADKRVGIIGTGATAVQSIPHLARGAGHLYVFQRTPSSVDKR LDQPTDPTWASSLTKGWQQKRMDNFNIIVSGGHQDEDLVQDGWTDILRNLSVLGGADQ PTANQSSSSLQMADFRKMEQVRARVDQVIKNPALAEKLKAYYNQFCKRPCFHDEYLPV FNQENVSLVDTNGKGIERVTENGIVANGEEIKLDAIVYATGFEFSTDYSKRIGIEITG VNGTTLSEKWEDGEKTSTLQGFWTRGFPNLFVVSIVQSGMTPNFSHMLGEQAKHIAYV VKECRERKVMSVEAEQEAEDKWVQTIMEGGKLQADFVKDCTPGYYNQEGQITDRALRN SSYGWGSSAFIKLLEDWRKNGGLVGLELTKA VE01_02276 MALENKAENEQAMTIENITPQHLESQLTATPDNVELLAEELAVE ATHHVDAVGWKFLIEPYTFGSLAAMSFGLFCAAWGFAPPASVLIWITADIGSKSQTNA ALFSVMQTIGTTLGYMFVGRLSEIYGRRWVMIVFTLFGLVGSIVAGTSHDLNTFIGAN ILMGLATGAQCCYAFLAGELMPNKHKMLGMAIVVLFCLPGTAMGAFFARSLVEYASWR WIYYIYIIAQTISLALYIVCYFPKEAAQAFNKREQTKKLDFIGMFLLVAGLVLFILGI MTGGSPYPWKSEVAYMYSTGGSSWQAIGAMSCTIGFGLWGGMVFLGALWGPIGHPKWT LIISNIWMTAFIGALAHCNPDNKTFAIVCSFLAALPIGFIEQQTGAIAQLVVGDKEIG TSFGTMGCVRVGTGAIGTGIVLAILTGEHFKYIYERPETDIFKAKIPVELEAHIVPAA LNAGLPASSIADLFAAIFASSETAMAAVPGINAEIIKAVGKAQLDGYAAAYRYIYYAT IPFGVLATASAVALRPVAHLLTSHVPKMVENPQAYHGNRDLEKTMD VE01_02277 MSPAFEIESVIQEATINEKISLLAGKDFWHTHPLERFNIPSIRT SDGPNGVRGTKFFGGVPAACLPCGTGLASTWDLELLRKAGMLIGEECLAKGAACWLGP TINIQRSPLGGRGFESFSEDPYFSGKLAGSYINGVQSKDVVATVKHYVANDQEHERVA VDAKVTERALREIYLLPFQIAIADSAPGAVMTAYNKVNGTHVSEDKRLLQDILRNEWR WKGLVMSDWFGTYSTTEAFNAGLDLEMPGPSRWRTGIANLAVSSRKVTDETINDRVRN VLEFVQRASKAKISLTETVRDFPEDRKLNRQLAGDSVVVLKNNASVLPLSKDIKQIAL IGSNLKNVAFCGGGSASLEPYYTISPYQGIIDKLGKDTAVHYEIGAYSHALLPILSDG LTTPDGRPGALISFFADPPSVCNRQVVDQLSIREAFFQLMDYRHPQLETLYYATVEGF FLAPATGIFQFGITVYGSGNLFIDNKLVIENTETQRPGTSFFGKGTAEEIGEVDLVEG KTYHVRLEFASSPTSKVLKPGVVAFPGGAGRIGTALKINEEEHIRRAARLASENEHTI ICVGLSKDWESEGFDRQDMDLPGNVSRLLSAVVEANPRTVVVTQSGTPINMLPWADKT TTQVHAWYGGNETGNGLADVLFGDVNANGRLPLSFPRRNADNPAFLNFRSEGGRVVYG EDIYVGYRYYEKVEREVLFPFGHGLSYTTFEFSDLHVEKTRVVLKVTNTGGCAGREVI QLYIGADEHASRISRPKKELKGFAKVFLEMNEMQEVIIQLDRFATAYYDEILAKWVNE KGKYKVLIGKSSANIVLEGDLEVEETVVWSGL VE01_02278 MGIFKKSETSAEAAVGLGLLAVLPKNPKPWYKTPHIAKLNLILL VALFSSATVGFDGAMMNGLQTLEQWRNYFNHPQSALLGTINAVYPIGKILVLFPCTWL SDKYGRKCPMFVGFIFLLVGAALQGAAQNLPMFIISRLILGGATALIAQPSPILITEL AYPTQRGQITALYNTFYYLGAIMAAWFTYGTFKIPSTWAWRIPSLLQGALPSIQFAFF YWLPESPRQVSVGLNTWALLIWTRWLIAKHRHEEARKILVKYHGGGDESSPLVDYEMN EIEENIRLEASINSQTTYFDLIRTAPNRRRTLIAVITGIGSQWNGVGVVSYYLTLVLN TIGITSVASQALINGLLQVFNWIAAVLAGALMVDRIGRRTLFLISTTGMLVSYVCWTI LTSVFARTLNQQAGNAVLAFIFIYYFFYDIAWTPLFQAYPVEIFPYALRGRGLTVALA SGYISLIIGQFCNPIAMKSIGWKYYIFFCALLAVLLSLIWFLFPETKGHTLEEIAEIF DGKKDEPAHDDDKKEIPIEEEEESVADKSEGNEPKAR VE01_02279 MVIGPLLDSEHVLAVLPRVLSVEAERRNATIKDQRVAIARELKS NALMKMICHIIESELLKKIETLTGLLQSQALQLTGRDESTFPPILPDTEFSALPAQNH IENHYHHYHYYQETSKNSKPNESLIEPQSTCTDHGSLSVGVDSVLSWKIFPQTYSYLT LPRDSDDNCVQSIPLPNVEYFELARLESKYITYVHTKNPIVDPRDIHHQICHVVENGL SWNTSTCLVALVCALGATSERYVAQQKSSSSLSPNEMQIDHGLMKDLEIADRFWNIAV KRIGLAMSENSLEAVQCLCLAGIWYMYNFQPLQAWKYFRLASNAWPYDVGGSLTLEQS LYFTCYKSECELAFELPLPTSILHDIGYPHAFPSPPNVDDQAVDKDDSAIQRRSWYYY LAEIASRHLLNRIIQTHSSSKLQTPKDIRCMLQKVQIFQSQLEDWYISLPPSLSFPRP LGDITPLEEELPQLLRGRYLAILELCFRPFIQLCVSDPLEIEPDLLAKVADAASQGLK YCVIRLWGLRTPNRHHGLWFILRLIVTTSLILIAADKAQRNPALNGAQRLQMPEGWKQ QILATRELFSRFWSDRKGGIYQCSQILDWALADGDEEMS VE01_02280 MSLEKQDVFTLRWGIIGTGLIASWFVSDLVLDPSTHRNEPNLIH KVQAIGSSSYQKGTAFAQKHIPSTSTSNGCSIYDSYAQVYTDPAVDIVYIATPTGLHF TNALDAILAGKHVLCEKPMTITASESEQLIAAARAKGVFLMEALWTRFFPIAKELQRA LHEKKLIGDITRVFVDFGLDMPLEKQRAGSRLVDLRLGAGCLLDIGIYALTWARMALC GAKGDEGEEPAVRSAMVLKEGVDEEATVLLIQQKTGRQAVCSASYRYKSGKVFGRVEG SEGCIEIVGGAASKPESLVIRRKDGMETVQDFSFVGWGFFYEADAVAMDIRDGRTENE TMPLEETVRVMRIMDEVRRQNGMKYLHEE VE01_02281 MTQIPLLNTSKSPQILKVGLIGLGEVAQAVHLPTLSLLSHLFTV VAICDISPASLAHCSAKFHIPHCYTSAEELCFRPDLDIIFVLSSDEFHASHTITALQN HKHVFVEKPVSLSVASAERMRAAEIASCGRVFVAYMRRYASALQTLLSELKTAGDIKF ARVRDIIGPNSFFVEQSGTFPEKFEDFPAGAIDERKALLDALLREAYEGHKEVTPLMT RFCRFLGGLGSHDLSVMREVLGMPKACTGVSMHPPFFTATFEYETFAVCYESGLDDVP RFDAHLQIYGQKKTLKLQYDTPYVKGLPVKVLVEEMTAEKGYQQREIVPTYEDAYTAE LKQLHETITQGGEIKTTITDAMKDLEIFQMILKKAFPLDLC VE01_02282 MTTLVPVLSFPPNQQGQNQLTPEIIPQMLSLLGPVAHTTIANEP ECFAYAWFKSDVENAVPDRYKSEKALSQTHRSTPEYKAFRSAVVPEGITELPTDLRPL TPAGIGYLSLVDDETVQFGGATKDEVIIVVRRFCTADSARREEVKRDLMGAVEKLLAA STPGEGEQLRGVVKSFWCLEYAPDLQDGTVVTFERYMNRMGMERVAVLLRDDL VE01_02283 MAELSEEFVSSLARIGTDIPDFRWYLSAIVFLGAANYPEHIPYL YQQLLKHHIHEEEHFEATKALRESFTKASAIMGAARTGNAIRLLGTATLPHLKDTTFH RSGLTDDSVTVPRGKALHTKIYGQNVLFDGSRTVDASLDYAYVVRELFYGKIFSFEGI LGFRETEQVIVAALIGVDCMNQVSHHMFGMRVNGVGKTEVEANRQICLAIAAELEIGF KEGVIEVPDVPE VE01_02284 MLTEASPLPPLSSLPLRPGDPPRSAWGRWGKDDQLGTLNYLTDE LVQKAILGEVKTGQRVGLNLPLDLINPPLLGRSAFEKKIINKAPRVINDDVISFNTQS SSQWDSFRHFAFQKEGQFYNGAMQGEIHGSGSDYSNPVNGLDAWAKKGIAGRGILVDY ADYADRNGIKYDKTKAHQISVDVVKTILAETKTEVHIGDILFLRTGFVQGYLTLDQSE REAMKMERQWPGMMQSQTTTEWLWESQFAAVAADNPAFECGPHADPAWHLHPILLAGW GTPIGELFDLEGLSRMCKKTGRYSFFVSSAPLNYSGAVASPPNAIAFF VE01_02285 MELEKEPRVDQVEGHCSGSRRPSQDQMTSTRELLPRPSDDPRDP LNWPLWLKISILIQVSSLAALGTFNTAVINPAYGPLAAEFNITTVTASYQTTVAIGIN GIGPFIWIPFANVYGRRPIYLLTTLIGFATALACGFTNTFSQLIIARVFNGLFPVAMA LGPATVNDLFFFHQRGRAMGVFTVALTSGAHFASLVGGPVGQFLGWRWCFKLTAIMNA VMLFIIFFALPETLYVRRHNELTLSATQREVRLAPTTYLSSLRLWSTYPELKLKLKHF IIPSFKMTRYPSVIFPALYYSAQYSYAAILPAVTVATIFEERYHWGTLQTGLSYGGTF TIGSLVGEFAGGLVLDKMVASEARRLGRNPEPEVRLKAIWPGAFLVPTGLLIYGFSIQ YPTPWFPALFGMFIAIFGLQIIATVCYTYPVDCYRQEGSEISQLINFIRQLTGMTVAF YVVRLCKSIGYQYGFIIFTILSSVLAFLPMLWLMRYGEATRKRIGSPKNVNVFDSVGM LGEEETVEADGIEKK VE01_02286 MAPSLEVDRQPDIHHLGIDTPPYSDTPESFKPTNASYVIREEPI RTRRPLRVVCMGAGYSGLMMAIVISKQLQDSNLEFVIYERNKDLGGTWLENRYPGCQC DIPAHNYAYSFEQNPEWPNYYATSVQIHDYLKKTSTKYNTDRYMNFDHEIQSAVWNEL DGKWNITIQNGSRTIEDKCDVFINAGGVLNAWKYPNIDGIELFKGKLLHSASWDEAYD FQDKKVAVIGIGSSGIQIVPKLAPVASHLTSFVRSKTWISPGPGINEPTENDPDTDDQ HNYAPHVLQKFKDDPEYLLQHRRDVMDRRIDNFKRAHSASEAQKKAQQLFTKTMTERL GSSEKGKKLAEMLIPEFPVGCRRQTPGPNFLETLIQPNVETRWDDIAKITKKGILTKS GEELEFDAIVCATGFDTSFQPRFPIIGKDGASLGAQWNDTPEAYFGITVPNFPNYFCF IGPNFPISNGSLVLGVQAVSSYIYNCINKLQTENVRSMEVMTEACNDYNEHLQTYLQR TVWVENCRSWYKRGTTDGKVVAIYGGTTFHYTEAIRQPRWEDYRFKLIPSENGNINRF AYLGNGYTKRETRKGTIGDTQTLGFEDYWKLMTLPNIYE VE01_02287 MADFSTEPQQLLHTQVMKDQLFAFAALQRQHESVILGQLRRGIN IKIELDGLPVELATHLLDLHWNRQHLAYLLTYRPAIFDSLTNNGPYANKLLLNAIYYS SCLYSDRIIFRSDPDDPATMGERFYNRFKELLVQELDRPSLATIVACLICGATLVSDG KQSAGWVLCGIAYRMIVDLGCHLSTSPQKDPKLLDSRLTATEIEIRTRIYWGSFVTDK FQSLYFGRQSALPPTDARVPRIFLDEYEELENWVPYTDPSTTPQDSTTSTYRQQPQYA VSTFQLHITLAEIAHAIASTFYTINSIRAERDQLLKRKQEIQLDLDDWMASVPQHLQF DEENDSPPPPNQITPYTTYYTLTILLERPFFSTGHLSSLADESSQSTNEAKCNNAAVR IWRLVDVYKRAHTLRRAPYLISYATYSAIVVLLNQTQNDASEYVNCIKFFWSALQDLQ RGCNSGLGKPLRILQTLMNRLGQSIPTGNPAETYPTTQHHGAATQPQISTNTSAEKVV TQGCAIASQSIHRDTLDIDGMPQENLNNEIWQDNSWVDTMTYGQGLMDDSLFGLFTTD QQFMPGFDQNF VE01_02288 MPPTKGAGIVLRLFNPTHGAKVGPEIYVPGSDITHITTIASTDD KVQTGAPSASFAYHVKHISKFLIEGAYERGPAHAEAGLIQCFVYKRISKLSRDGHELS EGGVAPPGSVMVCVAITPGDTEDYSKWYDEEHQGMITKVPGWIKSERYELAKAYGSQV GAAPFLAVHFYDEKNGLGGPEWRASVETIWSKKIRENVVVPHYRRVWKVVEQKAV VE01_02289 MQLVYFAATGLVRLSIVAFLPRLNNNKKFLYLVWGLGFIVASTS IVCFMVELFECKHVPDLWDGAAPNRQCMPKSKEAYMFWTHAAIGVAVDVALLVVPIVL VYKKMMFSQRSIRVMIVLSVGIFVAVTGIIRLIIIVNVDFSVNTTYQLPTVAVWTDLE GHVGLWVSCFPALQPLLRIMAVKLGLRSKLDSSKPQYGGTGGASSGPNRDRRGYVQGG SRSHIRIKDTWDTDSVQPVVVSDVEAVPMHLLFPRSQPDRLVDGSNGITKTTQ VE01_02290 MHSFSKFVSALAFGLLLSQVAATCTRPLQRKEWRTLRASEKQAY IKAVRCMQTKPGLLGDLYPGVRSRFDDFLGLHINQTDFIHFVGFFQPWHRFFVATYEA SLRSECGYTGAQPYWDWSLDSWSEAAFLASPVFDPATGFGGNGPYINSTDDASVRLHI PGKTGGGCVLNGPFLDMSVNMGPGLNNDYNPHCLTRDFSPWLATQKLQFINSFTPFLQ TTFSKFDVAVQGSIDVAGLTYHGGGHLSVGGDLGTMGDVYASPGDPLFFLHHANMDRL WNLWQRVDFSRRSKDIAGPTVQFTAPFDFFEPATSTNVTLDYAMDFKHLASSSSSVKI SEVMDIQAGRLCYTYV VE01_02291 MKCTFALGLTAAFLSPLIDAAALHNQNDRPPRAVGLPIKREPAV DPIEADRTRMRKRDTLEATLDNLQSLYFANITLGTPAQHLRVHIDTGSSDLWVNSAGS KICTGTSTVPGECEESGTYSANSSSTYKYLQSNFNITYMDGSGAAGDYVSDMMMIANA EIKDLQFGVGYQSTSAEAVLGLGYVSNEVQVANLGLKAYNNVPAALAAQGTIPSNAYS LWLNDLDSHSGNILFGGVDTERFTGTLETLPVQKTSAGYTDFLITLTSLSFGGKTLIA KQAQAVLLDSGSSLTYLPNAMTNAVYEAIGAQYDSTQGAAFVPCSMRSINETLDFTFT SPIISVPLDELILDIPETNNQTAVFPGGEPACLFGIAPSGVTVPVLGDTFLRSAYVVY DLGNNEISLAQTKFNATKSNIVELSSGKDAAGIATAVSNPVAATAGVGKSSDESAAGR SMRVSLTAAGLCGVAAVFTGLM VE01_02292 MAPKSVEETGESSTAATKKVLKANGAGVTNYELPWVEKYRPVFL DDVVGNVETIERLKIIAKEGNMPHMIISGMPGIGKTTSVLCLARQLLGESYKEAVLEL NASDERGIDVVRNRIKGFAQKKVTLPQGRQKLVILDEADSMTSGAQQALRRTMEIYSS TTRFAFACNQSNKIIEPLQSRCAILRYARLTDAQVVKRLLQIIEAEKVEYSDDGLAAL VFSAEGDMRQAINNCQSTWAGFGFVSGDNVFKVVDSPHPIKVQAMLKSCYEGKVDAAL ESLKELWDLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIKEIGFTHMKILEGVQSLLQ LSACVARLSKLNMDPKLFAPKKA VE01_02293 MKTHGTLRDDEATGAAWEAARGAVVGSSKWGIGAAILAATGYKI SPIYRGLTFQFKVFIQMSAMIMGGMLEADRRLREHEARIRVQKKLGIGLDKASWEKYE QDVRAEKARIIARQNAEK VE01_02294 MGSEAQRVRAAVLARDTNETKIQLAINIDGGAFPEGIDERLVAS LEGHASQATKSQTISINTGIGFLDHMLHALAKHAGWSLAIRCQGDLHIDDHHSAEDVC IALGSAFKEALGAPIGVARFGSAYCPLDEALSRAVVDLSNRPYAIIELGLKREKIGDL SCEMIPHCMESFAQAARVTIHVDCLRGFNDHHRAESAFKALAVALRMATTKVAGREGE VPSTKGTLST VE01_02295 MAPSKTSTVKNKHASKRSANGSGISNSKYSTRPQNDGVSKKKKE APKTAKGTGKPRFKKRRVYTEKELNIPALNMITPVGVEKPKTGKKGKVFIDDRESMLT ILAMVHADKDGQIESKMMKARQMEEIREARKAEQEKRIEIRKAKLDETKDGMRKKRKR EKAGGDAMEGVETKERLGTKPLKVKKKSVSFA VE01_02296 MEWLGHAKVGFVTSPEPLQFKTKDGEETDLLRIVEKSTPPCRLN PWLFNGHLQTAMTVLKPEGPAVHYKRKIFDAEDPAYEGNFAVDFIVPPFEGAEEGLPP RTIYYDKQELQELEAGSLDSRPMIVALHGLSGGSFEIYLRHVLAPLMDSKGWEACCIN SRGCAGHMISSSILYNARATWDTRQVVKWLRLKYPNRPLFGIGFSLGANILTNYIGEE GESCQLKAAVVISNPWNLELGSMALQRTWMGKEVYSKVMAGNMKKLVERHAEQIEKHT NINMDRVRNVTYLHEFDREVQGPTWGYPTEGAYYRDASSVDSLLGVRIPLLAINAQDD PIAIDEAIPYEEFKQNPYTVLCTTSLGGHLSWFETLSNGDRWHAKPAVNFLNSMALDV DMNSMIPRKVNVGVETANGHSQFTPMRRKLHVQGA VE01_02297 MSYQGPPGYGNGYPPVYGQHGNYNDRPPQGAYLPHPEQQTAPSP GAYTQGRISMQQLQAMQAQNEAAYYQQSQYGQAPYVHNQQPVYYQPPPPPPQQPQSYA QYIDPRQPQYAPVQSYPSQYDRSYDELSLPTPMPQVQAPRQQPRQQPRPQDNARMAHN PMPPVVKKPKPPPLQPIDPYSLLPALAEEYFAAAHDVGLATAQRMDANAVISYQKMIA TGLGCLEVALNSGRLEPRLEAKIRLRYASVLLEDTDNVMEAETALSKGITLCEQNRYF DLKYNMQVLLAKLMFTKSPKAALKALDGYIKDVEAYQHHSWIYVFRFLKATLALQSGR SSDANSALQTLRSISSIANDRGDHAIVTIASLVDALASLHMPSSGSIESIERALATVN MYQLDPECAIPPLQALGHIVDTTASLTNSSSDKTMPKLKAMQDMINNAFADSEEWNRS TDAMKLPINRGRGDAHISSQDTSGVLKLGHDGRDMLLVTLMGKSDVFILCHLLTGIIR LHVSAVDGSALKYLRQGLQRSLDMKTIKYSGSLPGALEKIRWRGMITCYFYIYMVFCS ISTTDWTTAKANLDAFEVAISDMGASVGPVIVQLYIYLSAMYFQGTGDLERALELYRS EEFTLPKLDDIARSPEEHVRRELSVLAAMNSLWIMQCDPQVDLEQNARLLAMLEPWGA NNANKEVEAVYNLLRASVETRPATTQTQSKAYIRAAMEVARNMKNVHLICVVLNLMCE KYFGGIVGDQAEKSAVAASTQAKKSGNLLWMSTAEGLLARSFEIQGKTREAQTSMAEA VRLANLAVQSPL VE01_02298 MASWPKRPGVIKAYHRAIRPLHAISDSGEHARHSGVCQRLKSRA SNSIYDKLRHDKSSPYALLEITLAKFLPDSVNRTLPKASTGAWQLPAGYHLVFFPPPT ANLLADGTDDMHFPGKPWVRRMWAGGSIEFRTDARRRYLTSKHRQDDALRCNEQITDA VVKGSVGNEKVWVDITRAIGPTRAYRKLNLSAAEAVDKAAIIESRNLVFMPAKTPEEA IDDVSKPTRIIKPTIKPDFSVSIVPDANLLFRFSALTFNAHRIHLDREYARTVEGFRN LVVHGPLSILLMLKVLSSQMRGLETGIPQMVKCITYKNLTPLFADEKMTICVRRQPQA SAASTEVDATETPIEADSKQATADSGITKWDVWIENADGGYAVKGTAETVTAGYVSPT DPKRLVRLVKGYK VE01_02299 MTKEKVAFLGPVSSYSHQATLGCFPEADYELVPVVTIRDIFEAV QSGTASRGVVPFENSTNGSVVFTLDLFADRELSYPDISVCGEIYQDVHHCLLGHKSSS LPQDTDGSSTPTPAVPKPLKPRTKPLSSLDKIQRIYSHPQAFGQCELFLGAYLKGIER IDVTSTSKAAELVKADTTGTSAAIASEAASVAHKLDVLARNIEDTVDNTTRFFVLRKG LENDKVDDAGLFTQAGAHEKSLVSFTVDHKSPGALADALDSFKKYQLNLTSINSRPSK IRPFQYIFFVEFEGSKLHDPQGVVKGAFELLDKAAQSWRWLGSWTDQLSAKMGARS VE01_02300 MSDPAANEAEKNIEIWKVKKLIRRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TSEGKERKVNIDFEPFRPINTSLYLCDNKFHTEALAELLEDDQKFGFIIMDGNGALFG TLSGNTRDVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITND KVNVAGIILAGSADFKNDLNQSDLFDGRLQVKVIKVVDVSYGGENGFNQAIELSAETL SNVKFIQEKKLIGKYFEEISQDTGRVCYGVEDTLKALELGAVEILIVFENLEINRWEL KDSNGNKVILHTTKKQEASDRDQFMDKETGQEMEVVEQISFLEWIAEKYRDFGTTLEF VSDRSSEGNQFVKGFGGIGGLLRYKVNFEQLAEVDEDDDDYYDVSEDSKPETPSSPAL PPRAPATKQTAGQVSIYPGHGPVAQVVRPSPLHSMMLA VE01_02301 MSRNPPNPTNWDDYQYGTSYRSSGSSTGRRSVRFGAESERDVSP SDTGDRTEVDSGLRRRRSSINMHLNAIGEFGGVNSINNFARSWQRAAAFHEAAPQRPS FILASDSEEQRFSRSEVEPRSDARASLLQQHFLHRGSDEAIQDGENAESSVVSPLLQG HDEARKSLRGSDFGSIGGSPRGRDSLFPLPGSYGTSYGTIRSMVNEPSMVHAGRLWRQ EQEAGDKLADGERPPLLVKEVEQDGKIVLVIDGQSTLPQTVFNSTNVLIGIGILSLPL GMKYAGWLCGTIFLAAAALVTAYTAKLLAKCMDVDASLITFADLAFISFGHRARIATG ILFSVELLAACVALIVLFAETLDLLIPGVGVVEWKIICGFLMIPLNFVPLRLLSFTSI LGIFSCFCIVLIVFIDGFIKPETPGSLRQPADTYMFPKNWLTLPISLGLLISPFGGHA IFPSIYRDMRHPHRYGTALKITFSFTYLLDALTAIAGYLMFGDGVLDSVTNNIIRTSG YPQSLTVLLCIFIAIIPLTKLPLNARPIVATLEALTGLDARTVPGDDSLVGCSKFGRG IQKVAIRVVVIISFVFLAVVFPAFDSIMAFMGSCLCFAICVILPLLFHLKIFGDEIPR AERIMNWVLIVISTILAVIGTIFAFLPKSWIGAE VE01_02302 MAISKKKSGKPKSLSHGRPPTVKPPPSLSSKATRTLIRAHHTLE KQKAIAQKAGDAIKVADLERQIAKQGGIEKYQTASLIGQGNERGGDSSKILMDWLKPI IPSLKARTGEQKLRLLEVGALSTTNACSRSGLFDTERIDLNSQAQGITQQDFMERPLP KLSNEKFEIISLSLVLNYVPDAVSRGEMLKRTLQFLRSPLGESEEELQTVFPALFLVL PAPCVSNSRYMDEPKLEAILTSLGYAKVHKKLSNKLVYYLWRGVDLTPKAKREQFKKV EVRAGGTRNNFAIILK VE01_02303 MAPPSKTGGASKAGKGNKKANEAAKAALKGVHSHKARKVRLSTT FHRPKTLILSRSPKYPRKSIPHQPRLDEHQVIIHPLNTESAMKKIEENNTLVFIVNIK ANKRQIKEALKKLYDIDTVKINTLVRPDGSKKAFARLTPDVDALDIAATKLAIV VE01_02304 MDANGEFDVNSLTQRDKQELQQFIQNETQKSKLQQSVHNLTDIC WTKCVTGSIKSGKLDKSEETCARNCVDRFLDANFLVIKQLEGMRG VE01_02305 MSDQEDLYEMHRDDTRYSTPVPELDDHRHQMASVQRPIRSRRGT IDTLYGAQAMNESGTDLAWVDDALARTNTRRDYETAIDDGEGISPTYQRSRRPTVESR APSPPNSVKAFAAARRRERDHSVEAAQKKLGVDDRSLHRTASRVSKAPSQRSRRYTNE TDGISVADSIHSSAAEDVCFPLSTANFPRDKRKLYIDFDFLENFIAEEERDHTPPKNQ PPPRTAFKDLRSSASISKSAIVDSVSVSEEKKELDDILDEKVGKPATEHYDGNRFSFF SSAWESTIHAAEFGDLIMPGEDVRNLFYLPENEDDGVWWLNLSNPTEEEIRAICKAFQ IHPLTMEDIEKQETREKIELFPAYYFACFRSFNTVANDDGLGTTELEPFNVYVIVFRE GTLSFSFAPNRHVGVVRKRITALKDYVSLSSDWICYALIDDIVDSFAPLINQIEAETD NIEDQVFVARSDDMHDFLRTIGNVRKTVMALMKLLGGKADVLRGFTKRCNENYNVTPR MDIGLYLGDIQDHVVTMMSNLGHFEKMLSRSHSNYLAQLSIDNITQGTNSNKVLSKIT VLASILVPLNLVCGLFGMNVKVPFMDGDNLIPFFTILAGLFIFVLLSLALARRMRFI VE01_02306 MADQILEKVRDLAEGQIDFEGQRLSELLATIVLAVVGAIAFVIG FVRQDITLALYVGLAGTALAFVLVVPPWPFFNRNPVPWLPVGGARAAGSQQAAGGIVV DGKHVTT VE01_02307 MADVDMTDAPSTSGAGPVKRAAGAKAKGPAGDGGADGKKRFEVK KWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHC ISRWLKTRQVCPLDNRDWEFQKYGR VE01_02308 MSSLTPQMARRHRHNQSADVSSSGEAPRNPQSPHFYNNQVHADN SRSSTNYGQPTTPPRTPQKAPNGKSDKTPGANMGPGSAKPKSRGNNRAKNTAVTPAAA RAKPIQPTGHPSSVSKPISTPNAAAYAGPTFHASPAPSALPMPSFFSKSVPESPSIKI SDSRADETNSSSSDSSPPPIIKARIAAQGQKESPLDFFFNADREEKARARSANSAVGP FQPPAGPPPNDYRTPAANNQGRNNNPKFQGGSASSMFAMELDGTPGKPYGPAFSTPYS ERINAARSTPSLVNSPSASTSDQQKTTDRSEALKSFLFSGQKPPAYANGRVPEPPFPG QQSPTPNRTAVHQTSPSGPRNNSYSSPQQHRQNGFPYINDGSAYGSATRNTPRTSGLR QEVTPTKTPPERMDNSIFQNSPSYNFKENSARRQVAGSNLVFNNQAPPPPNAAASPSV STPSSHINNSDIRTMEDSLRRILKLEPSASGSGVPVASASSPHY VE01_02309 MDQMMGGGDGGLGGQAGFPLEAWFWEMPVCTRLWTTATVLTSVL VQCDIVNPLKLFYSYRAVFYKHQLWRLLTNFLYFGPLSLDLVFHVFFMQRYSRLLEES SGRSPAHFSWLLLYSCVCLIALSPFVAIPFLGHPLSSTLVYIWSRRNPDTRLSFLGLL VFTAPYLPWVLMGFSFVLHGTVPKDEIMGVVVGHVWYFFTDVYPPLHGGYKPLDPPGW WRRLIEGRRDEAEETAGVIEVPPGAPVLAPPLGGAEGR VE01_02310 MPQKVARREPHPSSSGGIRKSYSGKTTTKTTASKRLSYSAVEPG DPVPHRKKHRYRPGTVALQEIRKYQRTTDLLMLKLPFSRLVREIAMTLVPRNQELRWQ SQAIQALQEAAEAFLVHLFEDTNLCAIHAKRVTIQPKDLQLARRVRGMWGGLG VE01_02311 MVSSSGGSNGTQTSSNRFSRAKLSSLTPLITKQKDDTKPAERKR FTSHSPAPRQGSPMGRDSQLDGSPALVSGGTQISSSTRQRLHRYRPGSTFGSLGRKSI LSVDESSVASSEAMEKSSIDISSEEVGFAFHKDGQQLLYHGQVQTTIGLFRKKKEYLA LTDTHLIRFKSLSRALEAFPTISRANRRYSGTRHSSTASIGSLHEIQSLNSRSSSEGD NGILLNQIVAAYRVEGGRPYFITEVVYLDEATSSVGSIQLMLQDPREADLWHTSIRAA ALKSKLMADEPFPSRTISYIRRLLEASQDYDENYFKIYRVVRRPANKAPGKSSEDFSK LSSTACYLVIGINLLHLISLPDFHESPSRPMNVKANKNSYGLVSLVTMEINNSDDTLE LGFRIPLEKAVTISLAASQGPDIGRDIFRSILYLKPQWLDYTFLYSGPKEVLDDSNVD FGPTEDNGAFDRTLIAYCLAYGCNPSNIRYTIGYEVEDSPEFLLLPPSDSRRYSTHEL LAILRALRYNESFRSMSFAGIDLECLHGTVDEYGKDHIALKSRSGIPIKKYFDIVPDG RSLLYQEVQALALKTYKTRRMNFGDTLPRRRPRDTYEEDEGAPKDPGCEIAAGILPLC RAQLTNVDWIVFSGIELGETDLEEIAPALHERLCHFRSFEVARCGLTDRGLQVFLNNL EKQNATLECINIADNPGRLNLADFPITMSRFSQIRKLDLSRVTSTSGDEPLIAAEVML AWKLQELVLTGVPINDQTLDAISTYLHSDMSDSLHLLQMDQCNLTGTQVAVLMRTMCH VPGEGRDMQLHISANRLEKGNSDIASAIKDCLTPSHVTMRMVEYQSEAHFRQLLEALR ENTTIKCLDISKASLPYDAGEDTCIALQRVFEENSTLEELDISGEYAHLEIARFGIGL NHALTGLKKNNALKVLKLEYQNLGLEGANTLSSVIEGNDTITHIYCEHNNINLQGFTV LVNALAKNFTVLSLPLMQDDQNEAVKRMRQIISDTHSAAKGESGAKHAVRKTLTTLGV HMKEKDNPIPTAQDIDQAIQILNSRWAKQKDRLLELLQRNLNIAQGLETRSLYEDDAE MSKLMRPTTAGSDSIIMDAVMRNTTPRFERVGHTDGYFDKRSMDDNPRADGSPVAKSH KRERSLSELTAKLGVFDFEVSDD VE01_02312 MAKTRLMNELQGLQKEKWLTVEPCGGSNIFKWTVGLMVVNSDSY YDGGYFKAQMSFPSNYPMSPPSFKFLRPIYHPNIYPDGRICISILHPPGEDEMSGESA TERWTSVQSVESVLRSILLLMDDPEVSSPANVDAGVLYRNDRAAYIAKAHGDVEKSKT DIPEGFVLPTTLVDAPPQKFNDDDGFWNESDGEDDFGGSDSSGEDAEMNDDFDEDEDA DGDQEFEDSDEDPAVVKKE VE01_02313 MLGWWLGKSGEETRREDGQEPPETPAPVFAARAFKSALFGTPAP ASDDTYFEQIAKSTNMPAPKQNLRTDISSPSKGILMTPGTARSRKSVSFGATVKDKEA KPNSTSGIPDECPGKFPSPFVNGAAAKQDGRSLRRTALTKTLENVRDTKRRRTEGSKT HNSVLDTPQSLLELDIKTGLTAPQILESSQRDEDQPRPYSRDMDDFDGDVTTDLNEPH SQSGRYWKSEYEKYNEDARAQMTKLVKYKQMAKSYAKKKDEEAIDLGSKLKEAQKRAV QMEDRIAELVAQIADGCLNGIEDDSPTMMKNLARQTALAVQYRSQVDEFRDVLRDRDQ QQEGENGEIKKPSGDLKSELQRLRLDLSTSQREASKLRDENTKLSRDLEKVNEKLNQS EKRRQASESMSHDRAQLLQGLQTKYDNLKESAKAQRGDAEHLLKKRHDQVSELKKEMA ALKEKLAALRVERSPLVSEKGTGDKRAADLTGGDLRPTKTQFKSTSQDANVMTGQDVM PQVRNTRRTEQEASKPYEIYEDPQDREKLIIDSTLQRRRSATARAQTSLDGSKHQRSK SDALGEIGSNKSASHTPETLPPASDFSLRERFAKLAVDSSKKDHPLPGPFSGHGLRRT PSQKQAVPELPPTMDITAHETFVPATVRPRSIAHLEGVRPGNLGPSRMSSMASTRSRT TLDPERAAAAKARLDLRNAEKRRAKDRKENMVM VE01_02314 MSSASSPLSAPVSLRAVVLLLCLLSQVPFAQSTICYDVTGLQSE VFFPCEPDAEVSSCCYAGDICFSNGLCSPSAATKAKHPKDFYVTPFFWNACSDPTFQD PKCFSGCFNVPGNGVKSCPEAGPNAYCCFGYSGCDCTDPNQVVTARAGSIVTTIDPSA TYTKSTASSIHTSSSTSNTHTLSSKSSTHAPSSTSTSEDSTATTTEPKSVTPTNTSQD QETSTPTNASQDQGSSTPTNALQDQGSKSSALPIGVGVGVGGAVLIAVAAAIAIILLR RRRQKAPYLGLQLKYEYETKPDEAHYEMMTTYNAAELSNANPVHELPARR VE01_02315 MMTLEEILRIIRDFFQGVVLQFNISDVPPFIFDWMRAHPGQTVF YVVNGVLVFTPAFLTGPVLASMGWGASGPTAGSVAAWIHSKIGIVGARTAFAYLQSAG MGGYGTAAVNGMAQVFGVVSGGAASVYSYFNSTGSPE VE01_02316 MATTFEIFQYAATTMGTMGFTALTQVFRFSVTEVPAVVLEWIAA HPGQTALLVAPGVLIFTPGALTGTLLASMGFGAAGPVAGSVAAALQSMLGNVGAGSVF AYLQSAAMGGYGAVVVNGAMQACGVISGWFVSKL VE01_02317 MADSSVRCVGNGEVKTQMIEDEIAELKRKLEDAEERLSAVTDAT SRGVPSQPSVFNNVYNPPTSSHFLLLLADSALPLGSFAFSSGLESYISHTRPPSFPTF LSLSLSSHASATLPFVLAGHRHPERLLELDDALDAAIMCTVGRRASVAQGRALLSIWD RAFSGAVPLSTDAEEGTLKVLKNFSALLKSANASTDDLPPASAHLAPLFGVIARITGV GEEEMAYVYMLSHVKALLSAAVRASVFGPYQAQKLLASREVQRGIEGVIRGNWGRRVE DAGQTVPVVDLWIGRHELLYSRIFNS VE01_02318 MSSDFRTILISIPYHGKIEIKLCGPLRKRASRFTTKVISAFKGK RIVHGSPTGRFRITGSIPPYLVIGNSRPATRISVPLFTTPELQITTPPPPQFLNLQPP LHNHHHHHTSKTKPSNAPLSLPLTTLPHPHPRPRQPRQTLRTLPLPPPPPPPITLLTH RPSLPPSSPITLVLTRHGTPIPSPPLELCLITPHGPAITHLILTTKSPSTAAAIRPLL PRLSASSTILFTQNGIGAVEEVSAFFPAGGQPTYLSAIVTHGVFSTGQFSATHAGVAD LKIGPVSRTTELNGEARWLVDIILSSAPLAGTEVGADELLHVTLEKLVANAVINPLTA ILRTPNGEILNPSLAPLRKAMVEETSAVILSHLRTLHPDSPLSEAMIERFSTARLGTI VERVCEATKGNRSSMLQDVEAGRGTEVRYINGWIVRRGGELGVGVGVNEGVMGMVERG EVRGVEEVDKMFGWLGGYNGN VE01_02319 MDCLRDNFYDGLVLDGRFQTVSPLNHGSFGMVFLANDLLTGENV AIKCLTKKSAAQDVQSSFAIDEKSEELACHNILGDHKNTVNLIHSFETDNHVYLVLEF CSNGDLYEAIRTGCGPLETEHVRDFMIQLIDAVEYIHSKGMYHRDIKPENIFLMKDGS MKLGDFGLATKDTWSYETTVGSDRYMAPEQYDSAGAGYSPAQADIWAIGIILLNILFS RNPFTTPTEADPLFLDFSRDNQALFDVFADMSQDTFEVILNCMSLDPKKRSLQGARDA IDRVVSFTVSDELEDDYHTISRKPIASANREPLRTPTIKTPQIELSSAFPWAKALHAS PPQPIRQLSIIPDTESYTEDLFPKSEESAKDWFSIGAQTSSMASAMNSSVGGSVFSLA NSRPMMKRFPYLAPISGSLPINVTKQKAMSSVFGKKEMVSKSWSDMYDEEFEEEEQDH QFSRQAQNARTFSHDEIKLEEDETAAQFSDDEEELVLSTKSSSDINIKPTVNEVESDQ ENISDIDGDNVNDGFFFQNSSPAKNSAELYSPPCKRKSNPMDKWAALGARRRAYTGHS ADKSPEIWRGKRSVGMASSATTASSGFGALGVLDYKPAATGNAHKAKDASWLRKDTHH HTPIFSRTTAVYHNRTPAREQENVDYTAKEVYRKDNLGDLEWVGGWGDSVAGLQL VE01_02320 MGEKDQTPQSDDSSHVDDREFDGITESLGAMKVDKLNNTEYLGS SHWVSILAEIDEIRMFFASNHEDYERNALKYKERSWDAKGRSGLLRGTGIKVSQEELV AQLPPRAVVDILVDRYFSVYSWIMPIIHERTFRKEYKAYWDDPTQRRIVWLGLMFAML HLAELSYGDPTEMPPVLADALRTPWEFGKRTVECLLAADYTNPVHYTIETLMLYIQVE WMTAQDTGMEGYLVLGVTIRLAMRMGIHRNASDHRRLSPFLGEMRSRLWAVILRTDLL YSFKLSFPTTIRRCDYTCAMPRNISDDDFDDTTTELPPSEALEEATEASYTIVKGRLI LVLSDIIELIGSRTKIQREDLQKHDEALLEARELIPRYLRVQPFTPTASDTATAKLQR SRIDVDSVYHLGRCLLYRKFVPQARRYPAAIEYRDNCIDAAMILLSHQAALVLDHGAT CPRNVQKRHFHRLTTHDFFLAAIIVALDLYAEVQHGASGQISSDASRWGSNRKFEMVG ALETAIGFWRLGKDESADAAKAYGVFSFVLDKVKATQWPVAEGGDQKVQLNNDVVHHL EPVVLPQERVTETVPDVTGFEWGMWNGNWNAVDDYSTLLQWPPKNEAFLDSSMDHTAR GSDWRRLALQRRFRHKRGRPDSGIRIPTAADTNADVLRRIRSVSTSTTRFLEITGSCP TVSDPEGWDISRMMG VE01_02321 MKISAATIVLVIGLAVAAPAAEPVAEPAAVLEARTCPAGPIVES CWNTCKSQGKTGAAYTKCWKCCGATCTTC VE01_02322 MSNSLPAKWTWLYRYKGALIVCVVLSTYGLSIGRPMLQTGAVQL PYAEVLRFAFPLSTTLLHQLIASIFILLSGCILSFLQPVQRTWLSAFYNPIPPTDTGL DDYSDVDNTKEVLRPAWNPNNARGIVALAVIIAAEYALTSYAFCFAPLEIYVIFRSLV LPISILISKLVFSNQDILPVAGKAYPSLLLSLGTAVAAYRHDLPWPSNAVWCAFLSSI LGAIWPVFTQYAFASTPVPENDGRNVNGYFELPRGSKPQDRPQSILTFWKYTCYVSLP CVALLFAAVLISTESQQVFRNVYVNGVLFQWLLLQIQVGFFRFIFFCSTLLLIRATCA TTANFVIVLAYASQMTVLTFSSLLFSQKFGITVCIASGIWFLKNHMQSLDTLFSWIEK PSLPRHKEYFGFFTLRRILITAIVLVSCHIGFQIGGFYGTEAQLPLFFPPTPDHDAYL GPRAKSDILANLDLLVDECRGPYEKPEKLRDVRKCIDYLATQQDTYFQVPEAGQAVTA TVPKLGPDDSNCGGPVILYHVWWSGPPSWRTELFIKSYFYTQRLACSRLWIWINVDHH PGALTNWIRHPSFAKFLSFIETGEIELKEWRLPSRVPISPTIDPLDKARYYAQPGKPN SQGEVFVADSIVRDAAGQEYIQFYKPGDQTQLTFYTVASSDAARLIILHLHGGVYLDI DMLLLRDMRPLLLPGRPFSERWGAHADPTLYNNALVALPANSSMSSYLLTGGTRMGLM YHFMILGRMMVSEGRNEVNSERGLLKLESAFFDPPWPAMDGMATGRCLVPCLKSWSDV FKARISGDEWSAYDGEMAAVSEHGNNRTMENFFRGAWAYHMHNQWNAVYEQGSWIDVI ARGHDRFFSGAGTNAYGEKWTGKLLSQYGTES VE01_02323 MACTKETVKAHGLNIWSTGAPPGSTTEVDIVGVQGLGSDPFFTW VKKGRAPESKSSRKLVDKLWTRKDKKHPKLDGNNEPVEFMWPRDLLVPSFTNARVATY SYKSGKGVKTTLRQLAEQFLNVLFQHRQKPDERQRPLVLIGHSLGGLVIQQALVIAAL NRDFQELRLSVSGVIFLGAPFQGSNAAVLGKRLAQVTGGDLSLLELLQKDNTNLQSLS KEFSDSHHEWDFVCFYEDTDADYTLFKTRVVTASSATLSGRRMIFLHTDHSGLNKFSG SNDENYALVLPELQRMVNNGPSMVANRFKPKVGEPAGNKHWLVPRGINKLFTGRSEII DRIKAALQDDGAQHAGKQKVFVITGLGGMGKTEICLQVANILREDFWGVFWVDVDSES TAKNDFISAVASFGSSAKSVDDARRLLANTKHNWLLILDNADDPEFDYQAYLPSGSRG VVLVTSRIYDCSQYSTVGSEVLTSLDIGLSKQLLLRAANIAEDLWPSVDQQAEDIAKL IGSHTLALIQAGTYIAKGYSRLDQYPEVYGKHRKRLLTYRPSQAQSRYGDVYATFEAS AQILENSESEDARDALRLLGILSMLHSSALPLQIFENAWNRSRNVLHVSDTEIDLGTC SRWHALQLPEFMEVEANEWDPHRLNKASYLLVSLSFVVRSNLAGESPSLSMHPLAHAW AKDRQELIQQGQTWIATACIVALSILCYGSPRDSLVHLQSLLNIEVKTAFSLGPKPML VSIFIIFCQNLATNRDDSRLKTLLQDIFGILAIEFDKPSIEYLEIYRIYGINLQDIGD LANSLQLYIQIAKILDERLPHSHYKRLISQHNVANAYHASGEVEKAIEIFEQVVEAQE DLHITNGRRLMSQHELARAYVANGQVDKAIELLEQVVEIKGTMPIAHPERLMSQHVLA RAYHENGQADKAIELFEQVVEIRESVLPITHYDRLAAQDELAFIYNANRKAYKATHLL EQVVKIREELLPTTHHDRLGSEHELGHTYLQIGRVKEAIKLLEQVVKNREEILPVDNQ ARLMSQHELARGYVIDGQVEKAIKILEQVMKHRCKLPTDHPSRLMSQHVLARAYYKNG QVDKAIKLFEQVVDSEGALPITHPDRLFAQHELACAYNTDGQVDKAVELLEQVVKIQE EALPVANPERLSSQHELAHIYLVKGKGGRVKEAIDLLERVVNVREEVLPVTNTQRLAS QHELAYAYYLNGQVEKAIELMEHVVKIKEEVQPATDNSRLISQSLLARFKKGV VE01_02324 MITPHNQNGLDTPPEALENLSEPVSYAYQDDFSQHSLQTVANSP SPFSQSISSDSPAHMPTTKSTTSPGSTMSTATTATTQPNSAIRKRKLNTLAARRYRQK RVDQVTELETALKNTQRERDDLSVRVARLEGELGALRQMLNSGK VE01_02325 MAVATGKIDVPGIQGVILDIEGTICPISFVKDVLFPYALESLPG VLETSWDSPSLAPFRDAFPAEHRGSPSALLSHVQDLMAKDVKIAYLKSLQGHLWLTGY QSGALRCPLFPDVAPAIRQWHAQGKKILIYSSGSVAAQKLLLQYTTETENGGDLREFI EGWYDTVNAGMKNEESSYQKILEEERKKGVEINADAWVFFSDNFKEVEAADKAGMKTV LTVRPGNAEVPGDELEKYRVAERFDNVNFV VE01_02326 MNEKHARLSPALVETCAGLSAGAISTLVVHPLDIIKTRLQIHRT HTSHTPTTSLTLARSLLLHPHPLTSLYRGLTPNLLGNSASWALFFYFKSLVETPLSLH RARHGSALTPGDYFLASLGAGLLTTLATNPIWVLKTRMLSTDRGAVGAYPSMWAGARA IARTEGWRGFYRGMGASCLGVSHGAVQFGVYEPMKRAWLSYVARRGREGEEKEKIGYE ATLAISGAAKMVAGCATYPYQVVRARLQTYNAEARFGKGIMGVVGRLWREEGVRGFYR GLGLNMVRVLPATWVTFLVYENVRYYLPRVDL VE01_02327 MAYSFQLSEESKERVGKLIDVSRVVIHYGFLPLVVYIGYTQSQP RPSLIRLFSPLA VE01_02328 MSGARHWEQDKEATVYVGNIDERVTDSLVWELMLQAGRIVNVHL PKDRVTQSHQGYGFVEFISEEDAEYAARVMNQVRLYGKPIRVNKASADKQKPLDVGAN LFLGNLSPHLDEHSLYDTFSRFGPLLAPPKIARDPTTALSKGYAFLSYASFDAADDAV ANMHGQTLLDRAISVQYAYKKDGKGERHGDPAERMLAAQAKAHGVLPEAPPAQAMMGM PPSAPPALAPQQQQQQHFDPQQQQQMMHHAPPPHHDPYARGPPQGFPPPPQRVNAPLP PPPSGLPQRPPPSQAGYGGPEGFGGPPPGAPPPGFGGAGGMPPGFGAPPGAPPPGFGG PPGAPPPGFGAPPGMPQGFRR VE01_02329 MDLHGLLQSRPHHHHAHAHHQGQGQAYDQRLPAAQPYTSPPISY ASSSYIGIAHHHNHQHHQGQGQQQQGQGGIYDFPFSHPQQQQQQEQTTYLRRQGYQNQ MPTSAPQQQSSYPHQQQQQPQQQLPRSYQSGNAQSMYGKTTAIAPAAEGWGKQHQHQQ QGQYQQTQMQTQEVAQSTDVDALMRAIQAKPAGGDNSNSDAVAAGERTRDTSAERENA ARGRGVNGVNGGVNGSGNGGGEDPKKRYECHIGECRKAFFQKTHLEIHIRAHTGAKPY TCTYPTCTHAFSQLGNLKTHLRRHTGERPFACPTCGKTFAQRGNVRAHAAVHDAGGAA KKFVCRLDGCGKCFTQLGNLKSHMNKFHVETLRGLTVRFGESEAAGGGGGGGKGGEGK EGEEDELLEYFRSLYRNANKGIKGRGKGRKVASTTPSSSTPPSLSSSTSSLSSLASLP LSPPASTGSYISGIPAHYGMGGMGSGLAGMGGMGMPVGMGGMEELFDGSSSGIGSPCA SSLGSLYEVGPYEEEEGGELAFGDRMY VE01_02330 MSQNRPAAFSSLRMGEVIREKVQDGVTGETRDMQYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVQEFVPETVYRASRYFNKMKTTMPILEVKLYTYQLFRALAYIHSQGICHRD IKPQNLLLDPSTGVLKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTRDQIRTMNPNYMEHKFPQIK PHPFNKVFRKADANAIDLISRLLEYTPTERLSSVDAMVHPFFDELRDPTTRLPDSRHP NGPIKEMPVLFDFSRHELSIAPHLNHRLVPAHVRSVLAAKGLDIDHLTPMPKEEMMAR LD VE01_02331 MSSIGLEKESPLAFLKNNAITNALLDSYQTFSARREALGLSNPG TVDNIAKEVQREVFLTNYTFTGLRADLTKAFSVAPLFQVSHAFAMGSQGLPPYTFAAL YGTGKVFLQGNVDNEGQLMARANYRWSPQLTTKTNIQIAPGQAQAMAQIDTEYVGSDF TASLKTVNPSIIEGGLTGIFIASYLQSVTPSLALGLEGVWQRAALNQGPETAISYCAK YKGSDWIASAQLQAQGVLQTSYWRRLSEKVEAGVDLNLALQGGNPMMGGPSKEGVTTV GAKYAFHMSTFRAQVDSTGKLSCLWEKRVAPPVQITFAADMDHFKQQAKIGLSVSIEA SNEELQEQQELSQGQPMAQIPF VE01_02332 MDTLLTAEIAANSPRYRRRSSTFIDGVHDLPDESEKNELAPAQL YSTMSGRLFHSGRIVIVLVGPPARGKTHISVSLARYLRWLGVKTRIFHLGDYRRATLE PGKELPEDYFFIRASPESVLLRQRILKRCRGDIYNWLNHENGQVAIYDAVNPLASGRR SLAKEFAKHDVQTLFLESYVTDESILEENARSVKISSPDFANWDPNDAAKEYLRRILT RIPDFQTMAENELNFVKLINAGQRIETNNVNFGYLSMRVVFYLMNLHNKSKRTFFVRA GTSREEDSFKADAHLSPEGKEYSTIMGETLLKHREKDHKAYLEAGGTEADLKPLIVWS STRRRTAETADFFGNRGYTVTQRPQLSQLNPGVCEKMPEEALRAQYPEEVAKHEIDPY HHRYPRAESYHDVAVRMEPIILELEREKSDLLIIAHESVLRVLYGYLMACDAMAIPKL RFPRDEIIEIVPASYQNEARRIHIPNLPPHIIPSSPEDLKLPVVISGIVTPSSGIGSP AEPVKASLHNNTLRRIHEGAVKIVAPEEAKDSLHDTILKSFDEKTLERLQNK VE01_02333 MADYDRRPRGRGGYDNRKRRYRDDDDFDRRPQRRRYEEPLPVRV RKQLLSIAESPLKPVEEEVHLLAKLVSDNYDDVEMRTSYIDLTLQVVVEQPFKIPFVA ATVVVLNGMNRGEEAVALILTKAAEETEKKVALGEWREVKLLLKFLGSLQGLLNDEGV WPVLSELFERAVDLQTASSEDTLGMELVKVILFTIPYIMASSATESQEQAAALLDKTE ILAGTPSPLEALVDPYPGNGIEEAAEPTSVISLLQKQLQNEAANGWVLACIPRPWKMP VTGDEEDPLAASTKHTLPPITIPEAVIPGEKPLFPEIYFSVYANQDIETVPPITNIAS CILRDSIIDTINILDFNRNATARYLIDIDCYFTADTFVKRATPFDRLKDIEGDRSTWK PEDVAVDAVFSQLFQLPTPEHKLVYYHSVLTEACKIAPAAIAPSLGRAIRYLYRNFDK MDLELGYRFMDWFAHHLSNFGFTWKWTEWIDDVELSPLHPKKAFIAGALDKEVRLSFA QRIKGTLPEPYQPLITEGKEKDTPDFKYNDEDTPFAAEGREIHTLLKRKAPEPEIQTV IDQIHTQATTIAIHEPLLSSTDAYVTSICYIGSKSLSHVLSCIERCKERLLSIGNTSE TARRQIITSVMAYWVDQPGIGVNIVDKLLNYTILTPLSVVEWALLDDTKAGDKLAEPF VFEMVAGTVQKVTNRLRQIVASRNAPGLEHEQRLLLDETLLRERVAMKDMFKVMEDAL FSWASGSKDQAIEAGLGETTDEAFIRRWGEKWLRVFRRKMAVEEAFLVEVGNKAANES NGADAGEMEVEVDV VE01_02334 MALKEVPSTFSRLVLRGARPSVQILSHNGRRAASNAATPAQEQS EDFQDLESQSSFLTGKPSPREVKSYDPIKRAESRRTQLPRSRYQYRPPRYFRGPLHPH QPPPTSDPASRLFVPGPFSTPRLVETYQSTVAADIMTLAYSHTPPGTVKEPRADRLRS WDDSSPYMKNRPKRGPRGSDNLRLLEKEINWRTIPKIKEVTVHCMVKEAAGSSGHLHV AGMMLQAITGVRPEVHRVKQTVQNFGIRADMPISLTSTIKGDAAWEFVDKCVNLVLPK IKDWPGVNGSSGDNSGNIAWGIDKNSANLFPEVEVNYDMYPPAYIPGFHVICKTTATS DRHARLLLKALGVPFHGKYVN VE01_02335 MNPQLNKILKWSVENSAAPVASADGSAAPPRSTSTLDPEVLASL FGGPSEADLMKDSMSAILSTDPEMTMEARMIAFDNFEQLIENLDNANLMEPLKLWQPL ISLLSSDEADLRMMAAWCIGTAVQNNEKSQKMLFGEGGIPPLVDLAINEKETREVRRK AVYALSSGLRNYQEAMNEFVDDMKDKGQKNYGIVDAADMDAVDGIINYLREQASKSA VE01_02336 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFAPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF VE01_02337 MAQNPYAGFNASADPYTQSSDSLAAATPNPPRERSPGATPSTDD YDPYGDRYGTPPLGTPPPQQAANREQQRRAPQPPGQGRAGGYGGFYAQSIAPAGSAGP SPKQPLPVPRAPPQQQQQVYGGRDNRSRENLPAPGQQAQRRPSDESRGFRNGASDGGS MRPPDGPAAREFRKPSMGERSYSGGQNNSVARDRERAVTRGGGGSGTRQIEG VE01_02338 MSSRRKNYRANPWFRADVLQYIQSEWSFMAQDKCVPVQVALQLM DSSSLGRANQYSEFQATHKQLQRALKAIVNEHHQGFNSSIGTFHKIQSSIQSSQTRLR QLRESLSQAKSGLSTTRPELKGLASTSQGYDEMLQCLAQIEHLRLVTDKLEARISEKR FLTAVDVLQDALRLLRKPEMDNIGALSDLRAYLANQETSLTDILIEELHSHLYLKSPY CQDRWKAHVKEISQGASSEGRDASVPELPPLYHFLDELDTENPMVEDPTRNPEADSFY YIQLLVESLNKMDRLDVAVNAIEQRLPVELFRVVDKTNNEVDRRHPNVSRGTGNNQKN RVDLGIENDDVRHVVISDLLWTLYAKFESIAEGHRVFHDVVVGIAKRENIREPESLTG SFRELWMLYQNEIRSLLHDYLATDTNIYRMSQSISQDADVFKRGQRNKSKKIFSLSDI DPKSSIMNIEFDDLESILKTSVPGLVSVSKRPTGTTSDDKAPKTDGAATGHKLLIDPS VFNMGALLPPSLAFLQRLKDIVPPAPEIKTKSLTSFLDDFLVNVFLPQLDETLVKFSS QTFEEGDAFQEDPKWAEVARRPILKGCSAFFDLITAFCRMLDTIPHDQAFSQLIITQM VSYYDRCCDWYQALVSKTQDSESYGPSLKASAAIAMGPGEIHDTVKKLWTDGEENRSL LDKESGLLILQTNENPLADSDIILDRKIITSLCLLYTSMRWLASKINQLRNITHHDAD SSRRTTMRASQSRRWTLLQAAGGPGDNGSVYLPMTQETVVAFDSIVSSYQDLAGTVLL NLHVESRCQIVQTLALALSPSNAPYLLEQVVSDPDPRILSLNAELVAYDETTSHYLHD KEISFLRNGLALLIDNYLVTNAGMVQPMNANGCGRMQLNILVLQQNLKNIEPDSSLTR SADYYDLFMEGSAAVLEKAKEPKGKDGNPEFTYDELKTLIELCFSEQMADPERGVSTV AKRNMGDLLLQLSEYMWQS VE01_02339 MASLGFGKAFRSAWHSLTSYDRHSDFDSPYRTGQHVPLAQSRHD PLTSVATDGSESRADISSPYLDDQASSASALNGNAFPPSPAYARNPGNTSPSPYSPGM RSSSAQKRQNDNFAENTSPGEIQMQAFQEGLPPPPPVAHSWRRMDKWAEENYEELFEE LGEGCTSNDLNDLEHQLDCSLPMDVRESLQVHDGQEVGGRPTGMIFGCMLLDCEEIVH EWRNWKTVNEQYLNAPSTSGPTTPATALGGSSSAAPPKKPQQPQNPMWRQDLLAKQES QPPGAIQRVYAHPRWIPLARDWGGNNLAVDLAPGPTGKWGQVILFGRDYDCKYVVSRS WASFLATVADDMNSGKWFIDEDTNELKLREFKTSHVEPGYLDILRWRSDQKYGRRGPR RRPHNGSAKGAGSPTGSPYDSPVAEIGEPRGRSMQRFSGASPVSSPNRPNYPKSSPLS KVAEEIPSAQVASPLQGVVNTDVSSSSKPLVEIGTPRASDEEKRIKAMESLLDPPPTK DDKENVKADSTSAADSKLNGNATKQATVEDDSVMKTIEI VE01_02340 MSEERLWKFQIPEWVNSSMVRSAGVYAAGGLFSLAFYILLDAAV YSKSPLNGSLHTHITFIDWLPFIFSTLGMLIINSIEKSRLSADSFSYSGSGVAWKARV VLFLGFAFLAGGMAGGVAVFVMKYIVPGVPWPTLGMGLANVLANAGVMLSSVLLWISQ NMEDEYAYNLAL VE01_02341 MLRALTPHAWAPRANAAARLISTATPRLVKAMPQRPAPIPEEEF TEAFLCGSGPGGQKINKTSSAVQLKHLPTGIVLKVQATRSRSQNRKIARAMLAERVEL LEKGPESRVAIVGNVKAKRKSSASKKSKRKYKALDDAKAEALEAAKAAEASGAASEDS KGDSHGAS VE01_02342 MPSETSVVSSGFRWLQRKRYQYEVTFSLYMLTPTEKFIFNSCIF IIFSMVLIAGCLYLPQHITFLMNRAWFYYQGDGDSKDALSSSVSETVAMTVGDRLRAI IETARASAATAAQAQKEL VE01_02343 MQSSPPPEDDGKTIELLLTDLNHGKSPAQIPAKQRAVKINGTVE RVASKAFEDGLSEASLDELVDIVTLPNELDQASIANIIRNLYPAAQISDDTLVKVIGS VGHGQSRVAFPVQSLLLKWLVMVYDSIRNPKILSHAYSFLFNLLDTIAIRAPLCHLLS LITRRKHVRPFRIQSLLELARRAGSEPPLVGLIRVYKDYYPDVIVGQLTAGRASVFTH PNPEWKARLAEIQEAHAQKTVDEQQTRRDTFRVVRRGINGVKRSRVSVIPEVHTSNAQ ETSVTLEEIENANGFVAELEKIELPNQLVAVMGDPLLQKLLQLKSTDAVRQRVDNWLM AFFEDQLEGDGSSEGALVDMLASILEYTRFTKDLPPACAKYLHSLLEDWNGVTGRAVV LDLLSYTHIAPFEELYLSTFQPLEWAILDDTPNSQTDLLTFYTSILRNWTSSLLSSTP PAFTSTTISALTSHVNVLALTIVQTGHLQSTITHHSVLCFYEAMAGLLSQPSLQAHVR ITTPPSALVYTLHFAPSLSTFSRLCAILALYKRTFETAMSKPIPARPDPAQGPSPESY PKEYVNHFNGFLMDICNCLWRSRAFNTTDTNALGCLLPPPLLPKFTSYVSSLDTGLTL PSLFTLSYSPVLCNLSISYLRELEDKADEAGGGIKVRHAGPVTQKSLVTLGKDGGLQL SWADYRLGVLTYLERKGAKGVGELMYNTMKHLMTAREAAAKA VE01_02344 MSPSMNESPEAQQERGEENGARHPRQSNGVGLNSPPTQNGGHTS LLARDATNSLAIIGDLEDTDVILILAPYVPQISGNPDDTSDPFEWLGRALESRHSRIR HRPYDNSSGITGIHRQFITQSKVIILVLADGEAAGQTPQINVEHAHVTVATAGDDKRV IIVVADSSLESWRRLDDFPTVIQAADYSPASLERTVSVIFREF VE01_02345 MLKKTTKWFNVPEAASTPDFQLPEPTNSREQDEEFQILSKLQYG NETEGGGVVSTPIWMDYWKVLMLGAGFVTQPTLDVLSDAGIQVSVACRTLESAKKLAE GVKNAQAVSVDVNDAAALDAAVAKHDLVISLIPYTFHAKVIESAIRNKKHVVTTSYVS PAMLELDQAAKDAGITVMNEIGLDPGIDHLYAVKTIEEVHAQGGKILSFLSYCGGLPS PENSDNPLGYKFSWSSRGVLLALRNAAKYYKDGKIVEIEGKELMAAAKPYFIYPGYAF VAYPNRDSTPYKERYNIPECQTIIRGTLRYQGFPAFIKVLVDMGFLSDEPASYLTTPI AWKDATAQILAAKSGSEADLIAAIKTKASFSSEEEEARLISGLKWIGLFSDEATIPRG NPLDTLCATLEKKMQFEAGERDFVMLQHKFEIEHKDGRKETRTSTLCEYGDPKGYSAM AKTVGIPCGVAVKQVLDGTISEKGVLAPMYGKLNNPLMKELEKYGITMVEKTI VE01_02346 MGKSADSDPITSSTSRSSPSYTSQALALHNGMGGPHPSATQTVQ PINVAGEITDMMPYRDNPPTRSARGSSSRRRPLPESSYGSSSSSGSGSSGAATPLQYS PTVSSGHAQAQGLHMGMAWARPHHIQP VE01_02347 MVFDPLSPIAPARVKALILPLGQVKRAHFETFIQRLYPENVVRL GDISPDRRPDRNMFSPLAFPAGMIVYDLTTSLPPASHLALAPFELYRAPLVILAIADG SELNHVSNKDKDGNETNGYDYQTHLQHIRDLDQELETIRDQYPKALVHQALLFDYHHQ KESPALPDVFIPVPSVKRSTITTMKTVMCDLSSVLLGEMTTMAKSLQGMAMIESPSVV LDGRQGPGYSWSNGDSGTISRRASQYGTPDTDSRSATPKVDRSQVRMSMPVRFGSQQG EHLRSASVSRPTTPGAGPSTPSDGISSAMSPPLSRSNSVADKIQDQSRDRISTQGFGS GSLSERSRNKGKGRVSIAIGGLYLQAGRWQDAMKELVEGAYIAKTNNDHLWHAKALEG LVVAMIMLAWIGLDFQIPQLCYIVPEKSTTPTTPGTPGEQNTPETINRLAALQNLSAL LPELLDRILNLYERASNFTGESLPQLPFSESAIRFSKLLSAVHLAGGRLDDGSLQLVV VGTPFFAKPNTRTPRLNIHPARSVIVTTLFRAFPSSAAAKELSLIDRTVILAGIASVL GFLGYDRKKAMVMRELVSILIPGLVSARIAGAAEMGIHPAAGLAALSHINGNTTGAGA LDLGEGDVETGMDALLGLVGKTYGVVGFPSSPSGDAENGDKACDDSDEAVVARIMENT AARQFGGKSMKMNVLRACIGFCEALPDFQGVLRFSADLLRTAGTGIAPGPRTENASPM MSRDEQVRLSINISRTVDAAAKIGLQNLEAEYWDEFLVRGVELEALPASRTPNPHRSS DLGGTTKAAETGGKNPFIYNPFLKRPNAEAVERLLVCGESATFKVTLQNPYEFEIVID RIRLEANGGDFESAAQETVVGPYRTQILNVSGTPRAAGSFKITGCIVKLRGCRERRFP IFLEPWSPLSDIKVKALGLAVQRKREERPVSDASLSKRPAIDPRLPKPSSLSLTAIAP QPIVVITSTSFSQAAAMVLEGERQTFSVTLKNLSTTTPVDLLLFSFQDSTQGPLQTAL SKRDASPAELYEYELILSRKAALRYVKREGESDEIYIPPGGTKTVDFEVLGKPGLTSA AVLIDYAHLGQPANKVKGAFHTRQVSMPITVTVNAGVELSRIDLVPLGHDIPSNLWSL CGEAAKEDTEDIDARDYCLLVLDLRNAWPAPLRARLEVGSGRSVEEEILPGSTSRVVF PIKRIHLNDPHKAIPVLDPARQRQFVVSSGRISVDSEKASREAFWYREEILRSLSGTW RTTSGMERKGVIELRGMRLVPRTIDALKIEDVGISLRVNGAPLSRTPRTTVEVDDFLH LVVTISNRSATPVYPLLRLQPSLCNQVYGHALDLSKKVVWDGTLQRTLPVLAAHGTTE VRVTMTALCKGEFEVGACVEETRLWGVEKERGDFGNREKVGRQRAGTEAMMDALLGAR ERRVWYAREPLGLRVVDAESDEE VE01_02348 MSTNTAPIHHESSLSFTQGLLLGQLSIVILIGAFIKFFIFGDPP SPDLTAALRATERRSRTLAHKRSLLSLRPPSAHSHSHTQNLSRKRSSALRNPPPLTIG TILSKTYYNVDAHQPESLDWFNVLIAQTIAQFRADAQHDDAILTSLTRALNGASRPDF LDEIKVTELSLGEDFPIFSNCRVIPVDDDGIATSAPHGSGRESKRLQARMDVDLSDFI TLALETKLLLNYPKPLTAILPVALAVSVVRFSGTLSMSFIPSSSSPAHNTATTMAFSF LDDYRLDFSIRSLVGSRSRLQDVPKIASLVEKRLHDWFDERCVEPRFQEIALPSLWPR KKNTRGGEDTPETAPGASMSRKEAKMAAKEVERGIMAEARREVEREAEEKAERERARQ WERDAVEEDELEGMGGVRRRRRMTRGEEYAMPGSMPMG VE01_02349 MATLQLLRQPNRQHVALFQAVRSSSTPTSLLLKPQSVASRFSRQ TRANLWTCSSISSRTPSVTSLKLSNGSFTPRLGLYRNYSSEPEKKPQTSESNDPSPKG LKPLKSNVVKALAQLTPHENIYTIPNFLTLTRLIAAPIVGYLVLNDAHAWALGLFAYA GITDLVDGWIARKWNLQTVVGTIIDPLADKILMTVLTVTLAMQGMLPVWLAFIILGRD VGLGISAIYYRWISLPPPKTFTRYWDFSLPSAEVRPTTISKYNTALQLGLIGATTAMP LIPFELGATMTGFQYLVAATTIWSGASYVYSKDAVKILSQKKDEKKDETKEDTKK VE01_02350 MEDKATLPSAVQPSYHGIIVSANDGMVLVEACLRGNIGLVSRRP IKEERAQLPRRGNIFIYEERDTGIVRWLDGITWTRDRKSDHCFLYRQLTPTTQKGAVE LKPSPTSKEATRSSQDHISEATNTKSNDELFPMDYDTARHDTLPQPKADLEKSAIGLL MSDGDFVDDQLLKKTMRFKIGPQTYHLVSYYSAGDEVGKGKLQIPSKDPKLSTLRIRR EISEKIYGIPAGDDSRDFKADELSADMSYPRSPHNRMGDAGIPALNTNSMGDADTPAL DEYDSMGDAGLPALDDDKPEFDDDKLAFDDSMLAFDDDKPEFNDDKLAFDDGMLGFDA YNPMGDASIPAFDSYNPMGNAIMPAFDAYNPMGYASMPALGYDMRPGNCEDQDFEMPS TFSQTPDPNSDRAPEQASFQQGPYPPTNPYM VE01_02351 MSHQSSDEDDDLNKAIALSLQDSEQLSSPAPKWPVVIDLISDDD TDTDDLDQPTIRDKLPPGSGQKRPAVSDNEIKREGSLVIRPQVQSPETKHTNSQAATK PVNQTGKEITEPSDIKKPTAMMSGLGGLDRKKMEEERLARAEKRKASSSQPENSDVAQ PPLKKATLGSGLKSSGMPGRPLTDKLAGGNAAGKSDSKVALHPMHMKAAAEFLIPSQL MKSEPAVLADIERFTPGPDDTRRLEDFKHAKIPEAGPSNDQQARMGSGVQYPHGVVKQ TWAFGFPRSGDIKIEEVLQKNDLDLAVLSSFQWDQEWILSKVNMARTKLILIAQAVSR DDQEEVRKSAPSNVRFCFPANKDETVSTMHSKLQLLAHPSHLRVVVPSANLVPYDWGE TGVMENTVFLIDLPRLAANEVVSIENITPFCRELRRFLKAQGLDSKITDSLLKFDFSQ TAGLAFVHSIGGNHTENDWKTIGYPGLGSAIQELGLANSDPLNVTFVSASIGALTDDF MLAILLACKGNDGLKELTWRTSTTPASRKRTPEEETLLMEMEEGFRIMFPSHETVRTS KYGTNSGGTICLDPKHYHREQFPKELFRDCKSKRTGLLLHSKLLFTAPTHMNADGDRG KAWAYVGSANLSESAWGRLTKNKSTKKVKLYCRNWECGVVIPVNRSAASQNTDTPATT PQQTAQDHDIDISSIFKGVVPVPMDYPGLEYGQGRPWFRNEQ VE01_02352 MRRTPPNRPLVIVLGATGTGKSQLAVDLALRYNGEIINGDAMQM YTGLPIVTNKITPAEQQGVPHHLIGNVSLDEEPWHVGVFKRKAEGIIEEIRSRGRLPI LVGGTHYYTQSLLFDDHLIEDTDEKIEPPQDGNPEIDECSRNHPILAASTEEILAKLR EVDPVMADRWHPKDRRRIQRSLEIYLTQGQKASDVYAKQRERKSAPRQDGVSPNLEEQ DRIISLESTILFWVHAGQKALKSRLDARVDKMVENGLIEEVQTLQKLLELETAASRPP DLTRGIWVSIGFKEFSPYLSTITDPATTEKDKSKALALSIEQTKAATRQYAKRQVRWI RLKLLIALKKADSLRNLYLLDGSDVSNFNHDVSGKAIKVCGDFLSGLDLSPPTEMSPA AAEFLTPSRDFDFGDRPDLWIRQTCDVCNVTAVTEANWNFHMQSRGHRGKLRKLNRPP RVPRQLQTEATTNGAQLEAIATDLGSETTPKDTPI VE01_02353 MSGLRFLDLIKPFTPFLPEVQQPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGISPIISSGMVFQLLAGTHLID VNLDLKSDRELYQTAQKLFAIILSMGQATVYVFTGLYGQPSDLGAGVVFLLILQLVVA GLIVILLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGPEFEGAVIAL FHLLLTWPNKQRALQEAFYRQNLPNIMNLIATLLVFSAVIYLQGFRVEIPVKSSRQRG ARGSYPVRLFYTSNMPIMLQSALSSNVFLISQMLYSRFSENLLVRLFGIWEAKEGSAQ LFATSGVAYYMSPPLNFTEALLDPIHTAVYIAYMLIACAVFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGGLSVMSDLMGALGSGTGILLA VTIIYGYFEIAAKEGDMAGMKGMIMG VE01_02354 MESDFGAKHELGNCSWPIWQLDDFSNCFQRDYLKVLLPLVAFSL SFLLIISQAIQRKIAARRNRVAGYEPLSNGNTAFGVSEHRENGDLDSDADRESEEEAL TIGAGRLALAKKNTAGSVGVLDRPRAQYSMVIIEELALLGLIAVSATAFATQAYGPNG GQAAVAQGLTWVYIFILATFRLVLSDTKYRIPRLWDHTAVLYTTMWTLQIIIFRSVII HPRTRLTQVLVILEFSLTTLLFGIALTTRKGNKAVVMEWEGDIEPSREPLASLFSLAT FSWVDSIVYTGYIKTLEITDVWNLTPKDKTAAVLERYRQLPKTMKLMWHLLRFFRGKL IAQCVYAFFSAWFTFMPTLLLKSILEYIEDPGETPRNVIWLYVILLAFTDIIRSLLDQ QALWIGRKVCIQLRAIIIGELYAKALRRKAAASSDTVLGEKQGKEDADKPSRLSRLFG FAKKKEPDNKSATQAPEALVGGAAANKSDSDAQVNVGTIINLMSVDSFKVAEISSYLH FLLSSGPTQLVVSVILLYQVLGWSSIPGLIVMLFLLPINIGFAFAFGKAQKKIMAATD KRIHTTNEILQNIRVIKFFAWEHRFSTMISEKRKAELKQLRGRFIIWSFAVAVWNTVP VAITFFSFLVYTMIEKKPLYPSVAFTAISLFNILRVPLDQLGDMIAHVNESSVSITRV EEFLNEDETEKYDQLAHDNVDENGNEIIGFDNGCFSWASKDEVVAEGGTPAFRLMDLD IRFAVGKLNIIAGPTGSGKTSLIMALLGEMSRISGEVHLPGGYSREDVRPDPDTGLTE SVAYCAQQAWLVNANIKQNILFAQELDEKRYRDVIIACALERDLDILDHGDETLVGEK GITLSGGQKQRISLARALYSNSRHLLLDDCLSAVDSHTAKWIFDNCITGPLMRNRTCI LVSHNLALCVPSAEHVVVLDNGRITVQGNPLRVISSGKLGEDLPLQLSSAGLVSQIPS RVPSSVGEQSDKTLIDTPAEANEDGTTDAAKPPKKVNKPQDAMEESKAVGSVKFETTV IYLKAMGPWWFWVLAVIVFGVQQLAAVSSNVWIREWANQYDLPEEAGKQFISTTGFLA NPAASNAMSNTQGLARFIPYFSDEWSLKALIAPDVDVKYYLIVYAAIGLGGMFVALFR DLWLFYGSLTASWSIHQDLIHSVTRAQFKFFDVTPLGQIMNRFSKDLESIDQQIAPVA IGVASCALAIVVTITLISAITPGFLIAAAFISVIYFLIGRFYLRSSRDLKRLESVQRS PLFQQFGETLTGITTIRAYGDEKRFIRDNAVRVDTYNRPFIYLWAANRWLSFRIDVVG DLVALFAGMFVIFSIGSIDAGSAGLSLGYAITFTENVLWLVRLYAIYEQNMNSVERIK EYLDVEQEAEAENEKTQPAANWPSQGSVEFINYTTRYRADLDPVLRNVTVKINPLEKV GIVGRTGAGKSSLALALFRGLEAEEGKILIDGVDIGLIGLRDLRESITIVPQDPTLFT GTIRTNLDPFNLFTDEDIFTALNRVQLIGNDTAAHTPSTSRPVTPGTSRANTSTNLPT TSTVLASGASGASTPATNKNIFLNLSSPVAESGNNLSQGQRQLLCLARALLKNPRVLM MDEATASIDYATDAKIQETIRELTSTIITIAHRLQTIVDYDKVLVLDKGRVVEFDHPH ILLKKRGEEAVFRGMCETSGELEALVKTAKTAWEKGRLVDVDSDAEQ VE01_02355 MSTVLRTLRNLRRAGIKDALHQMQHIGDTKAGTLIGIDNFGNKF FENMEEELPLRTRWVDYKQYEWDPSQIEPGWHAWISYAVDKPPTQDKILQTMQRPWEL REHRMNPTQSRGAYKPYNTVVPKISAWEPKVVAR VE01_02356 MLARSLLRCAAPRRIVSRQLPRAAKGRRYSTASSSVSGGGAQST TGQGAPMLAAFTEELDKIAPRFDIRGDQVEVLRTPSEFYETLKDKIRKAEKHIFLSTL YIGKTEHQLISVLAEALRAKPELKLSVLTDALRGTRETPSTCSASLLAPLIEEFGPER VEIRMYHTPNLTGLRKKYVPKRINEGWGLQHMKLYGMDDEIIMSGANLSNDYFTNRQD RYHVFSSPEITSYFHKLHSGVSSLSFLVVPSPSTPAGYTLVWPTSNDAPSPLVSSSGY ITASTARLAPLIKPVARPLSHLPDSDTTIYPLAQLTQLLKPETSTELPAVTSILRALA APAFAKSSWTFTAGYFNPDPSLTKLLISSASRGTVITASQHANGFYGASGPAGLLPGA YTLYARRFMEAAARAGRGGAIKLLEWKNGRVGEPGGWTYHAKGLWVSMPGEEGRPSMS LVGSSNYTQRSYNLDLEANVLVVTRNEGLMRRLGEEERGLREYAEEVGKGDFEHVERR VGVRVRIAMWMVKIAGGAL VE01_02357 MPLSTKTSGFFALNRTKVILFAGLVAIWTIAGLFPRYQETLQEQ LTRTRQKLPSIKVEFHPESDPLTAYDPTKLALLIEGRAKPHLVPQILHMISVVPPEWR FLFIGTNKSVAAVGRSFAIKHQQAAGKLDLMVVPKPWEIKNKEHVWRMLTDSHFYTDL IPGVEWIMKYESDSIMCSNSKDSLNDWLRYDWAAAPRSNTDTFAGNGGLSIRRVAAIK RVLEFQTRDGDSEPEDEWFGKRISAMPDFKVASGLDAKHFSVEDVYNEAPMGYHLRDG AGKLPPGVWKNSDQRARILKYCPEIAIILPMKLERERCEGDNREGAIDQAASLAFEKA EAEKSRIKIEEKKKLAQAEAERVLEEERKKKEAGIPTEEEEAKKKEEERKKQEMELTS KINKEQAEEEAKKKAEEDKKTPSA VE01_02358 MAFASTSRAIWLSTFVIIICLYFTLNSVRAASPLTPRLTLTAST LHADIRNQETFNGTTTIRAGGNTQAPVVETPLTPEEASCKKLGMIKEASCPVPDTPYF KAHDSDKTPDDQRPLITYAYYESPFARANLKFFVDHALHDAADFIFILNGETDADKTI IFADSDVPEDLRDLIPRRDKKNIFVKKRPNTCFDLGAHNEVLNSVLGGEGWIGKDGPI AEPKGMVSAGDNMLLRNKYKRYILMNASIRGPFVPRWSTQCWTDSYLNRLTDRIKLVG MSYNCHNGEGHIQSMIWATDHTGLQVMLTKAGIGECFGAMSEAMLAEVRTTQVLRDAG FEVDNFMSVYHSENRAAKYARMRAKKAKGIKQFKRGEIEAGAEAEAPELVTRETEEEK KAKEAKEAETKAKKEKEEKEAKEKKDKEAAAAAAAAAAATPTTTHIPTASEVAAAKAA EEERVRQEAAAKAAAEEKARIEKEKNDKLLLIEDNDMPGYFWRECKHEDWLGPGSYFG TFVHPYENLFMKSHRKIEDTVLDNLTKWHDGWGYESYDVCF VE01_02359 MDISQTSPAGPRWSLGATRSGIAERFEAVKRLPTLLWGHTSRRI RALVAMSVFVLITLSLYRPSPPDLPKVSLTYPPNPAFSAPSPTGSSPFNASRVGLLIE NRPNPILAPLMLHFMTVVPPEWRFRFMGSAESVASINQSHAIREQVRIGKLDLTLIPS NMTTSSQEEISVFLTTLWVYETLLRPAEHLLVFQTDSMLCANARQSINEWLEFDWVGA PWHPDGKWGGNGGLSLRRVAPIIEILKHQVRGHEGGPEDVWLSERLSDRPGAKMANGS LSLTFSGEMHSGGPEHVRPSGLKIPEEDREKRPAGGGIDSDATAAESQDVDWWREGFY EPMGYHTGDNGHTLHTQIWGTVEMRRHIWDYCPEVKMIFEMDAAEYVPGTCHANWRRG LDTGLLGERGEGGEGEGGWMEGMSRAGVGEEGLKRRWDPEDVDEEGYPYLPLNLVPW VE01_02360 MADRTSSSEAERFDDEKNGGRSPSGSFIKVKPMEDLEGGSDIER AELLPTATQQQHVPEKSSARAAVIWMVVNTLATIGIVFTNKAIFSDPSLKLAQLTFAS FHFFITWLTLFTLSRPRFAMFVPRRVAIKEIIPLAVAMSLNVILPNLSLAFSSVTFYQ IARILLTPTVALMNFVLYRATLPRNAIYALIPACLGVGITSYYDSLPTADEKVKTTSS IGVFFAFSGIFASSLYTVWIASYCKKLQMNPMQLLFNQAPVSSFMLLYAIPFIDTFPV WTQVPLNRWMLILLSGGFASLINMSQFFIIAQTGPVSSTVVGHLKTCSIVALGWMTSG RAVGDKSVLGVLIAIGGIVSYSVVMIKHKAAAAMGSSNKA VE01_02361 MPSPPTYSRTASSAAGENPFLEDLPVWKRAPVLIGSTKFEPLPD VKNIMITGGAGFIACWLVRHLTLTYPDAYNIVSFDKLDYCASLNNTRALNDKRNFSFY QGDITNPSEVMDCLERHNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVLLESAKK VGIKRFIHISTDEVYGEVEDEDDDLLETSILAPTNPYAASKAAAEMLVHSYQKSFKLP VIIVRSNNVYGPHQFPEKVIPKFTCLLNRGEPVVLHGDGSPTRRYLFAGDAADAFDTI LHKGHIGQIYNVGSYDEISNLSLCSKLLAYLEIPHKTQEELHTWIKHTHDRPFNDRRY AVDGTKLRNLGWDQKTSFEKGVGITVQWYKAFGEEWWGDITKVLTPFPEVENKKVIAA RDVVVDNIEGDMVVDKNDDMTLKQVNGAKA VE01_02362 MGYYILYSTLFLFLAIATAAILTRSSWLPLLTSLPTPRYIPLPS FLSDAESGLSSSTFDLSANINSLDSRSGLDDAAKTEIMGIMRGTWWRRGMGFDEARRV YMERMLEREGIGRDGRPRDPKFVSFS VE01_02363 MSSAYYSPLVSDDLIWEVARSQNAYIVKRKGVQFSRDPLNLVNL HSRKHGGFVNTKAVGIAPAEGDKGGVTLITKKAGNAQRPSAATSTTINGSKSNRKTYK SVANTVAKGYRGDLRATAVARASAIRKSQRAVKERPVSAPRGVKAKKAAAAAEEN VE01_02364 MVKAVVAGASGGIGQPLSLLLKLSPLIDELALYDVVNTPGVAAD LSHISSKAKITGYLPKDDGAKLAFQNADIIVIPAGIPRKPGMTRDDLFNINAGIVKGL IEVIADVAPKAFILIISNPVNSTVPIAAEVLKAKGVFNPQRLFGVTTLDVVRAETFVA EITGAKNPQETTIPVIGGHSGETIVPLFSQAKPSVSIPADKLAALVNRVQFGGDEVVK AKDGAGSATLSMAYAGFRFAEKVLRAAAGEKNIVEPTFVNLAVPGGDAIKAAVGGLEF FSVPVELGPNGAEKAQNPLASLDDNEKTLLKAAVEGLKGNIEKGVTFAHNPPQK VE01_02365 MSFESPQPPYNAGDPSATFLSSSCLDFLLIELVPLAYRLAHDID SVKRDGVAGLPEDEVKALAAAKGGSVSTKAAGTAGTAGTGALRGSRMDEDEERDAVFY RLETLGYRVGQGMVERFSTDRPRFTDTLDVIKFLCKDLWMLVFRKQIDNLKTNHRGVY VLTDNAFRPFSRMSTEAGGQAVVRAQPFLWFPCGIIRGALASMGINATVQAETSELPG ATFQIKSITVNP VE01_02366 MASMGGGNLDPVPLIRILKGPRMLNKYLTAICKSERIPCAGAVK AIMQQRIQDNIEKYVAEGNVVKFNNIKSLLSNPEDALFPNGGYAPYSSSPAAPSPRPA PGIQAPRYMANGMGTQPVLDFKPSPFYTLQRQIGDVKTCPAMAAHRNNVEISVQAQNW PILGQIETDKSLRVMAFCSSDPGTMRQDISFPHQSEIKVNGGDVKANLRGLKNKPGST RPTFYFMVSVVKTVAVEELRKKIENGKKLSKESVINEMVSKAADPDIVATSTVLSLKC PLSTLRMDLPCRSTACRHNQCFDATSYLQLQEQGPTWLCPICNNSATFETLAVDDYVR DIITNTPRSVDQVTIEPDGKWSTNTRTPSPSRGNQDFDIEGDDDIIEIKDSKFLSIRN HSTPGTSASTPPTSLYSREPSTAMSAPRPSNKRPASSVIDLTLSDEDDEPISRAPKRQ STAAFGATQVPHYATPGRS VE01_02367 MAKGARASSIKANNVALKTKVFGPIETARTERLSAKLLALAAAP RPSAQKDVTMDVEDAAAPAPLKSALKVTKQADDMEVDKDTTQAPAISKPSSGRIEKKR SARSRSSGIVFPKYKNGKKVGTKSRKK VE01_02368 MAPSKSTVMLTIANLFIPIAILTFASGFFPYKPFLPGLAEYEAL GFGLGAPPKAPFDKVIFMVVDALRSDFVFSDESGFTFTQSLISNGAAMPFTAHATSPT ITMPRVKAITTGSIPSFLDVILNFAESDTTSSLAAQDTWLAQMKAKGSGKLVMYGDDT WLKLFPDTFDRADGTSSFFVADFTEVDNNVTRHVPEELRNDDWNTMVLHYLGMDHIGH KAGPRSPNMLPKQKEMDGLVQQMYTAIESQKHLANTLLIICGDHGMNDAGNHGGSAPG ETSPALVFMSPKLKKISAGLESPIAPSDEFQFYDTIEQSDIAPTLAALLGFPVPRNNL GNFIRNFLPFWSSGNDQLHILLANAKQILNVIAAAHPASLFGEKSSQDCQKPSSDIEK LGCDWKLITAQLEARSHKKSGHSDSLHEDLINWSRHAQTIMSSAASNYDMTKLYTGQA IAMISTVLAVFTAAPVLGKSPLFALITLLYSIMMFASSYVEEEHNFWYWAASGWITVL IFKTAKSSLTTSFSCVVVLAGLRFTRRWNQTGQKFAGEPDIARTFLAEHTTFLWALVF AMYMWNCYSLSSTGFPHLPRSIAKGVAQFLAVMAAAFKVAFTHEDAPELLDWTASKVA ELTTGFSLVNRARGVFIGIGLALAQTIGAEYLSAVRRHRFTSTRTIHNLLTLLLMTQS RATNIPLLMIFSAQFHLLFNLDLNLTEVTITSLVFQYMSFFAFGGTNSISSVDLSSAY NGVSGYNIVAVGILTFVSNWTGPIFWTSATTMMLLRLRAGGEKNVLLKYIALQTVFAA TGLVFIMAACTVLRTHLFIWTVFSPKYLYSMAWSIGQHIGMNIGLGSLLFWLGAY VE01_02369 MAPKQPPVILPPGCTAESLLPIQHLLHLTAHRNKNQHRIAKWWA SFSILRRQLGKLITALEDPDAAFRAKKIEIETRVVFLREEVVPRCYLAFSTVVADNQY ASLGLMLMGCLARMNKLISFPKDEDEEMEEVVKVEKTASSHVLEVEDFGEAISREELE GSVKSLKTAKKGKKIADGLLEAGSTPSSGRKGLSVSSSKKKVITETVASESDDAVSPA PTTEKKRKKVRETTVTTASTKTTTPKPPKKKRKKGGDEFDDLFSGLI VE01_02370 MLFISAITLALIPLTLAVPAPLAARAPPNIPTTAAAKTALAGLT VAAQGPQTGYSRDLFPHWITISGTCNTRETVLKRDGTGVVTDSACASTSGKWLSPYDG ATWTAASDVDIDHLVPLSNAWKSGAASWTTPQRQGFANDLVNPQLLAVTDNVNQAKGD KGPEDWKPSLTSYHCTYAKMWVKVKSVYDLTITTAEKAALTTMLATC VE01_02371 MSSRIPIIAQPFVSEKAKQTLDIVKKFVEERCIPVDAVFHAQIP VGETRWDSYPKIIDDLKAEARALGLWNMFLAKGHYKESPGFTNLEYGLMAEWLGRSRT ASEACNCAAPDTGNMEVLAKYGNDAQKKEWLGPLMDGKIRSAFLMTEPAIASSDATNI EMKMERDGDHYVLNGSKWWSSGAGDPRCQVYIVMAKSDPNNKDKYRQQSVILVPASAK GITIHRMLSVYGYDDAPHGHAHITFENVRVPVSQIVLGPGRGFEIIQGRLGPGRIHHA MRSIGAAENALDWMLMRINDPKKTPFGKQLKEHGVIIEWVARSRIEIDAARLVVLNAA IQIDAGGAKSALREIAEAKVLVPNMTLAVIDRAIQSFGAAGVCQDTPLANSWAGIRTL KLADGPDEVHLAQLGKNENKRNVEVTALITRQRETSAKLFEKYGVKHVQPGPTKSRM VE01_02372 MVLAKSKKAVGLGNSLMNDRFGKGKGADRKKVTSAGIHRINHAT GETYVTNERKEASWVKMRSVTEQAALDEFLSTAELAGTDFTAEKMSNVKIIHTDQKNP YLLSAAEERAAVGKQKEHSDKLTVPRRPHWDASTTAEQLDRAEREALLEWRRGLAELQ EHNDLLLTPFERNLEVWRQLWRVIERSDLIVQIVDARNPLLFRSIDLERYVKDVDPKK ENLLLVNKADMMTLAQRQAWADHFESAGIAYKFFSAHLAKEINEARLEEEDQSESESE DEEEAGLRNKAKGLTLKDAEGSEEEDDRGDDVRTHILTTEELEELFLRHLPATTGDST DESRKTQIGLVGYPNVGKSSTINALIGAKKVSVSATPGKTKHFQTIHLSEKVILCDCP GLVFPNFATTKAELVCNGILPIDQLREFTGPAGLVTKRIPQHFLEALYGIKIETRPLE EGGTGIPTAEEMLMAYAKARGFTRTGQGQPDESRAARYVLKDYVSGKILFCMPPPGNI DPYDFNRELYDDGNLPEKRRNALHAMSSDDHDDMQSLADSTLSGYETGPKTSKMDGAF FGQGQGNNAHLRMPFAHKYTEQGTASGKLLSGRKQRTMAALEKDVDPKDAKLLTT VE01_02373 MGRRGWIDKKSAQHFTLVHRPQNDPLIHDETVSSMILNPTEAAN SNKIKKLDDLTSEFGSDGGRIRANEGEAANHGIYYDDTEYDYMQHMRDIGSGGAETHF VEAPSLQKDKSKGKEKLSLEDALRNSSLDDKPEIFLDEEILPSKNLRKVTYQAQQEVP DALAGFQPDMDPRLREVLEALDDDAYVDEDDEIFGQLAKDGEELDDRTFENEAWDEDD EGWESDATAKPSKEYKDAPVAPDHVGDDEREDHGDGDWMAEFSKFKKDGKKQVAFAMP GQSDIQSSMMTTTTNGGRRKKRKGALTNPSQYSMTSSSVFRTEGLTDLDSRFEKLEER YNEDNGDDDFGSMASSVSSVTGPVRGDFDGIMDEFLGAYTVTKKKHVKKMGYQSGMEQ LDEVRKGLGKAIIRPSFV VE01_02374 MATTSNPIVFYDIAQRPPVTETCCAVNPWKSRLALNFKGVPYTT SWVRMPDISKVRSGLNVPACRKFADGSDFNTLPIIHDPATDTFKGDSFDIAVYLQQTY PDSGAGDLFPAQKLDYVVDHDLTLLIPLSEIRGTDFIDYARFNQNIDAAFTAHVGLMA YGLPLDPATADITKAEFVNRAAGAGVRSWEDFKMVGEMRETMKKSFEKTLGGLAKLFV RDTTGPFLLGQKASYADIIVGGWLRMARVTLPASEWEELRGWHGGVFGQLHDALDKYA EVK VE01_02375 MSSTVLGDKDVNAAQTVQATESKDIKSMEYHRQVLQSRLEEDKG KPTYVSPSDNIMSPCTAKLSAYRNKHVMKAKPKSLFAKASSKNLGSTNMFADKPTEPK ADVDMQ VE01_02376 MVNITDKIKDIEEEMRKTQKNKATEYHLGLLKGKLARLRAQLLE PGPGAGGPGGAGFDVQKSGDARIALVGFPSVGKSTFLSKITKTKSEVAAYSFTTLTAI PGVLEYGGAEIQILDLPGIIEGASEGKGRGRQVISAAKTSDLILMILDATKKHEQREL LEAELYAVGIRLNRSPPNIYLKLKKAGGMKISFASPPKKVDEKMLYNILRDYKMLNCE VLVRDENITVDDFIDVILADHRTYINCLYVYNKIDAVSLDFLDSLAREPQTVVMSCEL DMGITDVVDRCWKDLRLLRIYTKRKGIDPDFSEALIVRQKSTIEDVCDQIHRSLKDTF KYALVWGASARHIPQRVGLSHLVADEDVVSIISSFKA VE01_02377 MAEVEQSPPAELAQPDNDSTEEPSTTALTTTSSEVAAPPERKVK KIIRKKRRPARPQVDPSTMTSEPPPQTGTIFNIWYNKWSGGDREDKYLSKTHAAGRCN IALDSGYTKATSVASSFFCLFFARGVCPKGQECQYLHRLPGIHDMYSPNVDVFGRDKH SDYRDDMGGVGTFLRQNRTIYIGRIHVTDDIEEVVARHFAEWGPIERTRVLNTRGVAF VTYTTEANAQFAKEAMAHQSLDHEEVLNVRWATADPNPMAQAREARSIEEQAAEAVRR ALPAEFVAQIEGRDGEAKKRRRVEGGFGLEGYEAPDEVFFARGANAVNPVGREGLGLE EEQRAMIESGMGMEGYGEVAAIEEPVREERNGIFSQATLAALQSARGAVTTQSKTAAP KGPLVAYGSDSDSD VE01_02378 MSPTNPFEDWDPALLAALSVAVGREPIKLAPTCDHAPVTCVWKS QQDHSCRIQPLRDAWQKADQTYPSHDNPLVLALDDAGCDPYRARMVISQEGYGMIPTS SDSLIRAYRRLGYSIRHPVLVGTQVCDQCRPGTNTFALQGSSYEGSCHFVPVSIASNI DVAEEGKVLCIGAGGELRWCGKDEFMAVSHVWEHGWQGDSEKGLCIRTLDLLLLVASL FGLEWVWIDIAMISREPISRSLAINSMNFVYTSSKVTVVFDRLLMSMDEGSDRERVMA VFLTDWMTRVWTMQEALLSKDLVFLFGDSHLHGHELKWSMIHNAPLPDLHWQQWTAIR SLCTILEGNVTPMLDRIYTLSKERLTTKKEDMSRAVFPLFELEWPGRATTLEEGQAKI LQHLDTRAARLAPLHGPIMPQPWSWAPLVLAGASGFLQPQGDERPLYPDGLRGRWAAW RILKVLGKADLGRDGYSTQLARLTGTCYDTVTFQVEGASLIFGAGVFSRPENTCPWRG QELFLLRAHNTGTPRTKELDYYDLVVKDSSPHSSGMELYHRVGSAMGGLNDGTGGQGL DVGDLYLDGYMN VE01_02379 MKSFIILPALASLATAHYDLVFPEWRGDSFADGASQWIYPCANV TQEKSNRTLWPLDGGAVNIHMHHHWTYYAINIGIGTLEPAFTATLTPELHNTTGNGTL CIPKLSVPAGLAKEGDNATLQVVTFDSFGSALYNCADITFSSKAVDPTDCKTDGVKDV IVGAEAAKTSTDTANTTDAAPTPSQSTGGAAASFGGAGAGVVAAVAVGLALMI VE01_02380 MRPLPPPPHTLLTLALLAQATSATFTLSHPLPFGSKSTSQSQPP CGASPLLLESTTGFYVGGDAVAVVSSGDGADILIRATIGDLAGDANWTDLFPVVQQKG GGKFCEPLVPAPVEWAGREGVVQVIESGEEGLSYQCAPVIFRATRGGSMTTLCSNGTD VTGTFGTDPRLPSDGSPIHISTAINLSAPTDTTSGFAPGETAPETGGGGGKARAEGMV MMGVLGAGVVAAALLS VE01_02381 MRIGCLQFAAQLGEVKPNIALADSILTLSAPHNLDLLVLPELAF TGYNFKSLHDIAPFLEPTTSGPSADWARATARRLNCTVVVGYPESVDVSAKWPTSPEY YNAAVAVSASGATLANYRKSFLYYTDETWALEGPDGFFHGEFEGLGDVAMGICMDLNP YKFEASWNAWEFAYHIVHVEARVAIVSMAWLTREEAGPYGEHPKEPDMETLAYWVARL EPLVRREQEGEIIVVLANRTGVEGDAVYAGTSTVLGIEGGEVRLYGVLGRGVEDLLVV DTEKGPLGKLVSVAAAAAAGQTESDVSRSGESVGSPLSSREGDGGGMSSSAETSVSPG KSVSPH VE01_02382 MAYTDGGPHRSPIGHDDAIPIEAVPIMDVRASSGVSGWNPQITS THIPMRSTTADLDLTQQDNSLNLATPPATSSDGDISTHPAAPDECSIF VE01_02383 MRRINLRRAAVVLGLFSPISAILVAPDSDCAISCGNVLDATTPP DIACGDNKFGSGVGQTFKACVECELKSTYVDPTGLSDLTAAIYNLRYAADYCIFNDSK IATTPCITTSACEPFLDSLTYHNNIAPSGATDYGYCNQWDDSDILRCQNCVAALEEEE YLVNFMTILSASCQQKPGKGALIGVEGDPFSGSRVSITKPYSSADIIASSFNPPSGPL DLGAKIGIAVGGFLLILIVLGTCIVWRGRRRRRAILANYAGSPAMAQAPRWKGTDDSP QSMSSGAFMTKASNYQWPAQSTDDSPASAVSKGTGGAGGAGFSPYVSQHTSPSGPAPG EFPHVPIPAPYRRESIGSLRPQGELIEMSPLGESERERWEREAGAVGFVPAPAPTRG VE01_02384 MCLWWRNREPIESSFDPRFGSAAITAPTSGAFTDPSTAPPLTVA IHSAGTVDQPPPSPPMSSISHLPKFPNAKPPSLNLARSNRSSDAPSIPQPLTSKSAQS HHSHHSHHSLSTSTQFSSYNSTPSSPASQLQPSPQWPKTSPGIGRPTSPVPVHQAYIP ADQLSIGSKLSPGFSASSAPTPTTNGPRTGNSATTTVTNGWGSAQPSPTNIKTSSMPP TPASSPPLPQLPEVHGEFPQFPQPVQSIILPPVDQQQQQQPRQSMQRLFGIQGTQASH PQSKTRMNRITLPSLKLGAAPKRRPAKTWDERSPESDVEQWPGSM VE01_02385 MEDTNVKEKANVQEDAKGQEDANVQEKVNVQENANVKDKGNVQG KDNVQKDANVEDANVEEKDNMQEDSNVQEDFNVQEDSNVQEDSNIQENTGVKDKANVQ EMDNVQDDFNVQEDSNVKDKANVQEKDNVQEDFNVQKEANVQEKDNVQEEDDDMKPLP RDKPIRVLISIYTKLLQSGDRIQEFRGHINEALPIVFKDPAFDTDVPVDLNLLSVEIR VRHAFAVLDAHHDAYDFLTAHEAENNKLPVYSVRLGKHGVVVQFERARYLDQTINFTV GQLHKLNGYEVQPPFLRDYTLGPVEYRNRREFKALLPEKEATKVAEEKDIRENEVEEK EVGEKDVGEKDVGEKDVGEKVAEEKLPDEAA VE01_02386 MALPFFRTRFILLLLTVTLASALRVTPNSPCTTVCVNGGSTLDQ SLSGTHEGDIVCNDEDYTSKKGQQFEECLNCLQGSSFGTDAENDQMWFIYNLRFASDW CLFGTNNAETLSGPCMVDTTCNPLSKSLSAGNESIEAGDIFDYCAADSQSFVHRKPVC YTCLKHMVGNIYVTNFLTALEAGCNQHPSQSSLIGLDGSVFSGFPVNITSTPFNPVKE TPTSSNGLSTGAKAGIAVACVLVALIIAAATFIYCFKWRRVRNSPKLNTHYDPRYGSS SISPPTDGAYSYKSEPIPAPYSNYKAEPVSEDWNGHSITLDGINRSASPPASAYTKFS SISSGRSSLVGSPEPLTQAAAPVGMRAITPLPTQAPAPPQAPAGPPNPLRMNSVIAPT QRPSAPTQPTQAPYNPRSSSSTYMHFNRPGRASSILSTSTTFSPPSATSPPSYPTALH NHRPPIPLPTYNPRDYAPRDYAPRDYAPRLAPPPTQPLPQPPLQRLDKQTVPIIAMPI PAPRRDTMDMRSFIGLGISTSSPNTVPVPASVPISAVQSDGPVPLSRNASLRELAAKL LPRAGNGEEGEKAEEGVRQDRGRRRSGSRPRRERSESRTRLRDGGFEGERRQFEFRGQ DAVGRETVSPLSAAESVEQWPGKM VE01_02387 MRLLIPSTAIVAAGFVGQSAAIRTVPTSPCASLCGDVTSTTGSE ILCAEADLNTAKGQEFSKCISCQMTSTAMDSKTGDTDLKWVLYNIRYAMSSCMWGFPG NSTVQNSPCLTSRACEPFQSSLQYEGLSPNITTYDYCPSIPPTASVDKCKDCIRQGDQ TYLSNYFITILAGCANQNGAPLPLQKDLFGGDYVDLTDFTSHGDSKPTSNAPTMSLGT RIGIAIAGICVLLAIIGTTIVCCGKRRRRARIAERQRRAEEYTGFGSTNRVLPAPRVT TKWESNMSGVQEESPMSANAYLNDKNGFSPYSSQYNSPVSARDAVAPQQWEWPQQHGY EMEKVSSVVTPVDKEQEDQRLHNEWAMEAATRGFTVSSSMGMPPPPPRARQ VE01_02388 MAAINYNGRTAWAIALTVLFLIWTVKFLQPPAVSHLQPASPVPA VAKEAPKVNPSIPKPPLPSEASNIQGGGAHVAVPDSKKEAAVEESAKAKEAAAASTKA ATPQPTSAKGPSASPTDRPLILYAYSETPNARTNLIFFLAHGLHQNADFVFVMNGKSS ATALIPNKSNIRIVQRENDCYDLGAYAEVLTTDDFYKAYQRYILMNASIRGPFLPAWA SGCWSDMYLGKITDKVKLVGMTGNCQPIFHVQSMIWATDRTGIELLLHPPADPKLPAD KQEVGINSCFHTWETAVHAEIYATQIILSAGYEASVMMSAFHAKKTFVQDCDKGGNGD ALWNGKYYGTNVHPYETVFMKSNRDIDPILMTRLTEWTDGGGYSSYDHC VE01_02389 MSEPTKKRKRNADGSQKSSKKVAVETPGASEVTVTVVQNTDDWA PIVASTPGLAFDKSLSLKPYTKRRSNAPQRPGQSGSIATNELLIESSEHPAIDYVGRE EEAGGTDSLLKHYIGVYDPATGGLQVIESRKMTVRGIVRAQNAAPEAFAEKGDYKNMR ELRNDLGQTFGTKKSKKAIASLTENAISKPRTAAELANTEPVKHDAVTAAMLRNMAEV TSGMATTEELQTVVDESKPRPRANLAAESPKDVYTIDDLVGSEIMKVIPVKEWQDAVK AKEQIITSSKFVSDRILLASSSVPKLKVLRYLLTILEFYGATIPRRGVRALPKKDELR KAMPGIPEAVAGNIQRKFSDHGMMSKFQMDLLITNVCALALIVDNFEVDVYDLREDLK LEGKQMQTYFSEIGARIMAANEGEKKRLGLDKAAAMQHKFARLRLPLEFPKAKFARK VE01_02390 MAATLVFRTKKDDGSPDQTINIQLHPGKEFTVNRTPDDQSPSHT LGTFVNERNCLNIDSGLISKNHFRIYGIQYEDAGGIESMFYCEDSKSINGTYVNRYLI GRNGKTSRPFLLSDGDKINLKPDFSFKFKQPINRRREEMDPIQLEQTASFAKRYSISD RLLGSGICGKVYLATEVATRRQLACKVVSLRAATVFLEDGQAREGTMRLESQRLKREV EILMRVNHPNIVSIRKASRSADNLYLFMELAPGGDLCSFVSANGGHLSDLDTRVIVRQ LILAVQYLHSVGIVHRDIKPENILMMNTAVGYPVVLTDFGCAANLRVMKRMGSLVGTF DYVAPEVLRIGRLDGTSYTKAVDMWSLGIVTFCLLTGESLASYLEMKHISQEDITARL DRLFPNLESITDHAKDFLTKLLMLDPAHRMTADDAIAHSWFTTPSEMSRELEHLYERS IEGWIPRRDIYSAVKCVPRNGLPTDMIKTPSSQSQADSDESHQESQSERRRSRKPSDY TASVYFSLDKHTRKHSHRQHRGREATQRSKQQIINALNESGELFVKDGDVSSYTSPNR KRARTLLKRVRDVSPTDLFGKAPAARADSQRPQSKKPRKLSQSSSDAVTRLDHVDASQ SHTQLEQPSLLTEEALSIISSASGYGSRGMRPRRWSTETTNNGYLPDSFYDSLPDEME GYLKGDPCMMECLNV VE01_02391 MQRGTSNRGSRGTPAGTRGSSFRGRGRGAARSSGSSNSTPEPTT ENSFEAERNANAAKRSQRGQPRGGSASLRGAPEARGAFKNKTVRFSSPPAQDKNAPQP QKSFTISKKPGSAPPKSKLSQTTSASDPDDHTAKIEKTLQQNGLSTYPDWPTERPGDP SEKDAIKGFWNTTKAYRAKVRTVLMNKGLIDDPDKPKKLSEAIDFKGTCDGMCPRFEQ ITRIMEHDVKNLEKELAFDGSLWPAPQKMIKAYGRSSAGQDAPLPSDIRMPSALRKTL DYLIQDVLGDENRLATVHNFLWDRTRSIRRDFTFQQASLTAADYIDEVYCLETIARFH VIALHQMSNPFNTSDDFSEHQEIEQLGRTLLSLIHTYEDCKSQNIQCDNEAEFRAYFV IYHARNPAMMEAVQDWGKDFWDSDEIQTATALVECLHNIWEINGPLKPQSASEIALNM YSRFFKIIRQPEVSYTTACFAEIHFNSVRKTALMTILSAYRKQREQTTDWTLPTLNTY LHFDTLDDAEAFIEGHGLYIKEGPEEPYLDFNSGSSLTEPETKIKQPHSKTLVETKRG QKTLPACIYHNAFADAEIPVVDDSNSLFVSGFDDGSNVFAKAPAQNQNIWGSPAVQDF GKGPTQQQNIWGAPAQVAAKAPIFGAPTPAAPLKKLGGFIDSDDESTTRVPTTTPSIF APTSNTPAAAPSFSWGAPAAPAAVSFFPPSQTTNAPAATFLAPPSNIGAAPSIFSKQP GTEAPSPFSFAPTAAQSQPAALQPVTQPPTTSFFPGIQQTKPTAAGGTPSASSIFAPA ASPGAKPPAATTQAPGSFSFLSQGGAAPTQTTSLFAPKTGEAQPPAPVPTAPSSFFPS ATQVPETSSPFMQPASNIETNIPAIKESLGAAKPLFTPSISTGQAPATATEPTTTAAP PLQPPSNFFPTAGSGATQAVNIPPAPPVTQHQPALPPFGAIGNVPPPQAGAPEKPPGE QVRYNWLAPPSTKRAKPAVSSTEKKAADMQQSLPMATAAKKVDSSPTSKTVVSNLTPY LADLALFGDGGIIDQFTAAEVDKACRAAYDEYYEELESAAAEVVEEEKKARLEADDFR QYSLGVKYFYRWRANAQKLWQRRRGRQAREQRKLMAERDQALAQEANENIVGDFNRIL ASSRNNKRKTQEDLLASTGVLSGIRNSAAKIRKVVHGDMAPPPHQRSTTPTGSPRTES RFGRSTSSLGHSTSSLQSLIDPDHRARSTTVRDPSLRSSHKRTQSESVGQKAPLNMSV GGSRIHLMPSGWNPKDDKGPKPNNVQTDYFRLKARGIHTMPNGSPLASSAALLMRPSL INSVRQSMSTSAASSVSSGLATPDRQLKRSISKLSLSAPAKRFRTDPQPKPSPSNKQE ADDIKANARRIMNEDASKRRKEEDRRRSLEKEKTRDEEMEELFRRSRKLKDDMAQGEE WFKKYNESWSRSASRNLESAATSRENTRPTPTSAPQRTGPPNNRGPVREPEVIELD VE01_02392 MAMTVAKKGGDVDYSIKPEAVVPAVDTSTWPLLLKNYDKLLVRT GHFTPIPNGCTPLKRDLKSYVSSGVINLDKPSNPSSHEVVAWVKRMLRVEKTGHSGTL DPKVTGCLIVCIDRATRLVKSQQGAGKEYVCVIRLHDKLPGGEAQFARALETLTGALF QRPPLISAVKRQLRIRTIHESKLYEFDNERHLGVFWVSCEAGTYIRTLCVHLGLLLGV GAHMQELRRVRSGAMDEKVNMVTLHDVLDAQWMSDNTRDESYLRRVIAPLETLLTTYK RIVVKDSAVNAVCYGAKLMIPGLLRYEAGIEVHDEVVLMTTKGEAIALGIAQMSTVEL STCDHGVVAKVKRCIMERDLYPRRWGMGPVALEKKKMKADGKLDKYGRPNEATPAKWN TEYKDFNAPLEGAPVAASEQAAAPAEEEPTVDADVSMMTVDDDNDKKRKRHEGETAEE KAERKKNKKEKKEKKEKKEKKSKKEKKEESDDSE VE01_02393 MSSGFKDTAGREVAEDGVQYEDPKTDHGDKGRQRARGHHGQVAQ SHRACRSTAARLGPRVLGKYITASVVGVALLLMGVGPWAAIPLAIEKTGVTKEAVDGW EINKAFGS VE01_02394 MKAVVVFNALLALAAASPLVPRQDIDFELVDAAPDPTPASIAIG PTAQSATYDLTAATESAGVPLIVDTPVEKRKLVGRTACSLQPAGAGPVPSPDTDSAFL AYASFASSASGAPTPPGYTNTFTNLQASVNAYGYMGYTTLNTYDTSQCAAQCSQMDSC YGFNIFFERDPSVEAGTGCMDPPSTTVIKCSFWGGYVAAENALNTGQWRSDFHVVIAG SNGYMTTESPAVEGFTVQSLGNNAINAPLDCNGNDTYMGSRMYTTSTFDPNLCAQTCA STNEFDIAHPPADGVPMICKFFVTYLLSKNGAPQGQYCAMYTQPWDLSYATNNGQWNG DDHYTVTYGFSYSNDASPGLPVCPSDIDYLQSVGGDFCTSYNEYSAPTATSTVFDTTY TTTVVVATAQETSTVVVHTTTTATITAAAYKRDVVAKRAIATPASISNWEAASISSAC SQVATGTITTAATNTVTIPSTTTSSTTQLNLVSTTTAVTAIVTVPAVVNCPSGFTSGA NVYSGASFTVSCSATMSGTTFATTNEPNLQNCLSWCNSWGAYCHAVIYDTRYYQNCML YSAAGTLSSSSTGTVVVRA VE01_02395 MMFSRYLVGLSIVGLAAAIAAYNRECGPTLEDTAKTTDAEFYFD VTEDVDLFVRCAETVYECDTKLYNARWFMIDSTKEPVPVGCRKQLGHLTTSHNYTGPL EVPGLTELYGLGIRGTYEGTYEDNGLLLPTNVTSIDLPDLVNIANTMTINNAASITSL NLPKLRHINKLLLNFTGGPAINLTFPSLVDVSSIAIYGEIDTLDFPALNETRNTIMVN STGNLDCDTFSKSLVNTTRYDQSGVSCASRRGNVTLTHVEPPKPTVTSGAFKIPGGSL ALTALLAYILAL VE01_02396 MSKDKSDREYDLVVVGATGYTGTITATHIAEHLPTNLKWAIAGR SGVKLEALAAELKKLSPDRLQPAIEIVDVEDKSKLSSLVKKARVCISVVSYHHVGAEV IEACIKNRTDYIDTAGSVLHIRNWIDKYHKAAELAGVVLIHSCGAFSAPQDLLTWASV RELAKKFSMKTKELVLSVVSIASDPSGGTIESMMERGAFGAEVLEKAQQPWYLSPTMG KQSSNSTNFFGMRHDSFLGGLSASAINAVQNRAVIHRTWGLLGGGKDYGPNFQYNEYK KASSTLAGVFQILNTRAIGLLLTIPPLKAIAMMFLPVPGEGPDLEKEKNYRVEMEAVA IADVDDDKAAPKVYSHFAYPGGPYHTTGAFLAQGAASLLYVRKLEGGAVGGLLTPAFL GADLIERIQGVGAELSTKIV VE01_02397 MAENADAQPENTDAQPSLTQPLTQTSTSGPKKRALFRQPLALQW FENGVLKKLSNEERQAGRFELFLDLLYVAILASFADGLAEHPSGVQVVKYILILSPSW HIWSDLRELMNSFYNDDLGQRILILWIMAVLVVYGNNAVLVDEDLTAMRATVGAYMTA RLSANTAHLVYSFSSYHHRAQQRLWFVCSFFALLLYIPLYMESLSLRSKIAVAAVGII VEEILWVFCYSPIAKRITRSKYTTAADIGHEIDRFAAFYIIVLGEFLYAIIVGHPAAS GFNVRLLRAIWTLIIAFCFNWLYVHNDGSLQFEHAIRHSIITAFTWVMMHLPLVASML VGGHVAAASTKTEELEGAELWLLCGGLGIGILCLYVIAMLHRSDDPPGTLMLPKHLRL IMRPVIGIIIICLPLSPHLGATAFLSIIMALVVFCVVYENITSLQCGAKFWESWENTG YPDDYRLTDTKC VE01_02398 MMVFFIKCFQFYNTFLFWLWAINLADAAPDAGRSYTPVAYAIGT GPVIDGLVKYAGEPLKLDAANPVVTLDYGANVAGFPFVEVSSVSASGAQIELKYSEPF GGLKEPWSNGPFLYVNGLMNSFRTETFNVSTAGSTTSFFIQGGQRWQSITLLSKEPIT INGVGFRASVDIRPLNELPGAFSTSNNVHDGVWGLGARSLDLACVEAKSQPSTWEVSS EGALVRGQYPAISLRGTGFKNYEMSFSTKIVTGGVGWRVAAGPNGGYGPYFVLSTGGP KLLSTSPLQLKPNSLIAGYGFSIINQQLLPSAPAQNFNVPMDLADNEWYRITTSITST GYNISINGTHAAFVPGEPFKPYIHPGIGTSALTDGTFGFGPFLNQAAYYRDVEVIGQD GSVLYTNSLTGDDVYEEYGIAMNPRAVCLDGSARDREIWIGDFVHTARMIAVSTGRYD YIQSMIDFEFDWQFLDGPGAGLVPMQAPMGVGKQYRDILYPLQFGQTDYHFFFLVTIG DYYTLTNDLDLLSKHWNGTKFLVKTLVSRYLDTKTNLFAAPDAFWFTAQNTQHATAPT ALFVIALNKLVEIAKALKDTETADYYTSLSRTISKAVNDQLWSKTLGAYSMSLDHLGD TALLATAFTIRAGIANTTQATTGIQKLSDLFYQIGYKDSTAIGNGPGTQLSPNVQGFL LESLFLAHTQLNVAASVVVPVIKDLLEVYWPKMLNQNQFRTGSSWEYVFPDGSPGIGL FTSLNHPWGGAPTYVYTDFILGVRRERNAATGVVGWVFDPVWEVVEGLGLEWAKGKMP LPEGGWIEASWTGTKGSTQMEVKAPGGVVVEVRQRDGSKRRELV VE01_02399 MGKFTYAALAALLVATGDAQSKNPGLGINSHAQGATEEVTPGGG PNGSEDWLNTGLTGNGWEPPYLNLNDVIHISRPDFYSGVGSRCQQYDSYFQKAGDGHG IDPVILAIIAMQESSCNADAGGPTPGLMQVSCANYPNGVCTDSIQDNVDAGANYLRGQ LDDAGGNAVSAFGKYNGWFTAGLGLNGNRGLTTDYPCSAEGKANGWPQNLDYLQQVLN GWLLGLDVYGDDNWIGTYNCDQSCSNGC VE01_02400 MRFSILPIALLATTVVADGASILAAMAKISSDTSKLNATISNFH GGLIGLGESIPILIQSTTLLHDINQGTKTATASAELTFDETIQVAGATADLVKGVQSS LQTIVDTKPEFDQLLVISPVILINLEQQKAATDKFSKAVVAKLPEQFRAIAESITAPI DVAFDDAIAKYKKFF VE01_02401 MSSEAQEIGRDPDACRWKTSSSSLRTRPLSPLLSPPHRDILTTS LSHCDIVVAVGDFHYFRLIFPQITKGRGDQEVLQIVPEDVAQDLDLIEEFDRISYGLR GSGV VE01_02402 MAYKAVPDPTSNSFLSSSQYQTLKTLQLTSIMMYPRALLVSALA AAVAGQQVGTLTAETHPSMTWQTCTAPGSCTTNNGKVVIDANWRWVHSTSGSTNCYDG QTWDATLCPDDKTCAANCALDGADYSGTYGATTSGNALSLKFVTKSAQTNIGSRLYMM KDDSNYEMFKVLGKEFTFDVDVSNLPCGLNGALYFVSMDADGGMTKFPTNKAGAKYGT GYCDSQCPRDLKFISGQGNVEGWTKSSNDANSGIGNHGSCCAEMDLWEANSISNAYTP HPCDTPGQTMCTGNACGGTYSNDRYAGTCDPDGCDFNPYRQGNLNFYGPGKTVDTKSK FTVVTQFITNDGTATGTLTDIKRFYVQNGVVIPNSVSSIAGTSGNSITTDFCTAQKSV FGDTNDFAKHGGLANMGAALKAGMVLVMSVWDDHASNMLWLDSTFPTDSTKLGAKRGT CATTSGVPSDVEANVPNSSVTYSNIKVGPIGSTYGSGSGTGTGTTTSAAPPTQSTTPP TGGGTAPKWGQCGGIGWTGPKTCVAGSTCTYGNDWYSQCL VE01_02403 MEDPQTSATPAPPSCFNCKKLQTEFPKLLMRCAKCRTALYCSLE CQKADWKSHKPTCHLTGSAPPPNPPQGPKARHNPGFQAMNDMFGLSNDDFLHDRPEGE VFNLLIDSFRMRVEDESVYGGNTIGVYNGENTLPLFKKFLSLAESRQKLLPTWWSSVE RGECERLAESGSQWSDINCAVEKSEIQDHYNDNLMPMKLRILAEKIYGKGFM VE01_02404 MYTIAPRVGSRCQYVCTSCLNATIRRGENASPRLLRQSSNVAAA THNAENESPKAEPTGARSLDSWLQKAAKKHLGSAQADQSDKIAAPLKPITKRRSKSSP GDKARETAVTLEPSNSGKGSKTITKKRPKGVQVNPQDQTAKPLQNSNEGVPGTDGKPP PSSSKKRRTNKNRQKKLQALYDISVTPSSDTGSSRTKGAKSVGQLVRYTVVKAPRGRS STTVRNSPTQSSHPVARRVLNTVIIRPSGTPLIKRVQGRKRGQLLTYLYSITSSSANR VAKTVLMKVLKEKKLLNKRGLELAQGGEDIFKDAAREQLEKADRTLSMTLLKTYENLE SALKNSTPEAIDAVFKPLKEGEGYSSGSVHAQALKLVPVEKPQPPVPGLVCGLERVLF NQGVYRLRDPHTRVYNFDPYLESITPVKEFDYNALKEYITSSRDKTLIDTAVAEGSKY TGSTSSMTSALAHFHYLLSKWRPVNHDQLSRGFPRPLSSFTAIQRAPASIFLRRRDGV YAIDANKGFDTSNVLQWLGKSMEKFLTSDKEEYIKYRKENSHALTDDDKNSPESYHYT TFGDFLMRSQLDAHDPRLPGTGMYDLKTRAVISIRRDATDYEKGLGYEITSRYGEYDS YEREFYDMSRSAFLKYSLQVRMGRMDGIFVAFHNTERIFGFQYLPLPDMDQSLHGSND TTLGDSEFKVSLELLNKVLDRATEKFPGESLELQFETRPKSASDGDCFMYIFARPVAE EDIQGIQETNIEQIQEYERRVIGLDADGQEAVLRAAIRDAAEAVNADDQNEIFEDAFN HLDQSASVETIRNAFGKVEKGTPVEAAMNKMLDLMVARAEREDPETMARDVNEVVAES SGENADTAAADEARNCNTSSEGDVEGSVGRLAVFKLNIRNFVNGHQVVRPDKLKATDD WEVKYCLEEMTGNIETLYASSVKRRKESLGKSHVSSYMSQRYGGDIQDNVSMGKEYRK EMDRLDEEHGVKVYDKQEFLDFESLEKREEKVRKDVEEEIEELKSLKEEKEQAAVKV VE01_02405 MSCKLLRRPYRAFSLQRGLRVPPLNRRVICTRGFASLSPHPNAN PYDVIVIGGGHAGSEACAAAARSGARTALITPKLDNIGVCSCNPSFGGIGKGTMIREI DALDGVAGRIIDKAAVQFRVLNRKKGPAVWGPRAQIDRDLYKKHMLDELQGYPNLSIV PGSVADIIVSKETLDGQPSSKITGVRLESGEIIPTNQVIITTGTFLGGEIHIGLECFP SGRIGEAATFGLSKSLKDAGFKLGRLKTGTPPRLSRRTIDFSTLEQQPGDDPPMPFSY LNDEVTVKEQMFCYSTYTNEKAHDIIRDNLDKSIHIRESVKGPRYCPSLESKIIKFSD KKSHIIWLEPEGFDSDVIYPNGISMTVPAEAQEQMLKTIRGLENATMLQPGYGVEYDY VDPRNLKSTLETKSIAGLFLAGQINGTTGYEEAAAQGIVAGINAGLVSQGREPMILSR SDGYIGIMIDDLITKGVSEPYRMFTSRSEYRMSARADNADLRLTPKGRAAGVVSDARW SSFQDISSQMNELEQILRADIRSGPAWIDDGFEVTKDTTKRSAFDILRRAGMSIDRLS NKIPEVLKYSKAIQDRVGIEAVYAPYIAQQTASMKVFQIDEQLHLPVDLDYGKVHGIS MHERFLLEATRPESVGQARRIEGMTPTGCLRLLAHVQNTRKREARAILYEENTANKRR VAGL VE01_02406 METTSAFAHTPNFQVTIGNVDSQQRITRYVGDHAHTSTKVTLSY SASANSRSLNADAFRIHPLNCYTGDLKRKLSTSSSSRNPIGFARALRSTTTPTVPTPS SKTSSSSPIDERGSMITPPESISNELQPERYDEWMQQAKNVQLEDLPGLESFESMSQV SQERIQGLRADPSGKWAGLSEETIRRMVIKTRLKKRAKRKYDLFLRLQKRAPSDSTTN PRFSLRGVQMIQAQARKYGIGTEPVEARETVAQLAFWDHEKAEFAKFERDLLLTRGNT SEVLQKQKTKRPSQHKRECEQFSETFMPQNEDEREISPGSTQSNATEVINLLGTRSTA NSPERGDMEQEKTPDSKFQIPTTLALSRGFGGATLSQMMGIGDDERLMKRLVSLGPGD AIFDRQPEFAPGETTSETLNNMPLD VE01_02407 MDAFKAEDLGYHGVAGDVAPNGTDGSAKQPEHTSPDRARQELKV ELPSSCDPPGPNNPPKQLVWIIFGATGHIGRSLTSCALRHNDLVVAVGQTHLNPPASM QNWHPNCLGTLCDVRSRATVEAVMNKAIAHFGRIDLIANCSGTAIIGACEDQDEHEIR NQIETNFMGTLNILQLSLPIFREQAHGRYLIFSSTTGALGVPGLGPYCASKYAVEGLI EALLYEVDAFNIKATLVEPGLVRRDDPDQPEREKIVGTGLPLYGHFLIKPASEPYSSP SSPAQHYKRMVQWLGDRQPTSVVKSAELVWQLGHCSFPPLRLLLGSFAVESIRDRLRC VIEEGEDWRELNWPSEESTGAGDDKDEKEDPERDEEADDDVKVEAA VE01_02408 MKAYWYDNLPGDQREPHDSGREVSVDQLSRVGVLYYNYSELEQV DALASERSYKNRDVITVSPSAMGEVYETKVKSFFAEHMHEDEEIRYIRDGKGYFDVRN EGDEWVRILLEKDDLIILPAGIYHRFTTDASNFIVAMRLFKEEPKWTPLNRGPDVDIN PYRQEYVDKTLKV VE01_02409 MAKIDAQVAALVDKTEYNSDDEDALIESLEDSPALDAFREQRIQ QLHAEFTRAKTQKNQGFGDYTEIKDEKALMDLTTSSKHAVVHFSKEDFQRCRVMDSHL ESLAKKHFDTRFLKMNVDNAPFLVTKLRVQVLPCVICFVGGISVDRIVGFEGLSYTAD NFTTADLEARLLGSGVVQRAKTQGDGGVRFGVKKAVKEDSDNDDWD VE01_02410 MDGKKRDDDYSIEIPTKASRSSPALNRPSPSMGMPLAPSSIVNN PGLSVLAYCLASISMTVINKFCVSGDKWNMSFFFLGFQSIVCIIAIQACKSMGLIKDL AKFDNEKAKRWFPISLLLVGMIYTSTKALQFLSVPVYTIFKNLTIIVIAYGEVLWFGG SVSPSSLFAFGLMVLSSVIAAWADVQHALAASAGTSTLSGQNIEAAAQLSTLNAGYAW MGLNVFFTASYVLGMRKTIKKMNFKDWDTMFYNNLLTIPVLIVLSILIEDWSSVNFNA NFPVESRNSQILAMIYSGLATIFISYASAWCIRVTSSTTYSMVGALNKLPIAVSGLVF FDAPITFGSVSAILLGFVSGMVYAWAKVRQAAASKMSLPTTNIPMSASSQSARDANAS KF VE01_02411 MPAKTECQRPSILFVDAYDSFTNNIISLLTTTLNCSVRVVRIDT PLFPTDASLAEELRQYDAVVLGPGPGHPENEADVGLMRRIWVASAYANEEGGRDIDTR VDIPILGICLGFQSLGLQGGGAIRRLTGGGMHGVVRRVTHCGGGIYQGVGEVDTTLYQ SLCVDLGQNEVSDEIWESEKWTAKWKYTGVEGQGTIEPLAWVEQQVEGRDKRILVASK HSQRPWWGLQYHPESICTNEESKKVILNWMDEVNNHNAQTGRKIVRGGKAKAGMPVRP SLLAQTEAKKLEMNGSRAEYDFEHEDLNYGYASKVVDLGSNLDVPDVIARMEAAGRDL IVLESTNNNIRGIGSEMVRGRYTTIALHVDNALRVEYSVGNAFASVLPASKTSAARSN PQKVFMGGYGGIWPFLASYLDKRKINDGNVDVPFWGGFMGYTTYELGLEGIDVAPHSP VPADGTDRPDLNFAWVTDSIVIDHQENKVHLQQLTKSSINQDAEPLWWVDGRGQELVD FLSGASSALAKTPSVSTEFTAPSKVGAKARTPESSAYEASVRTCQSYISAGESYELCL TSLSTLSVPTVPASSSTPLSSKDAPALSSPAWSLYRSLRAAQPSPFSSFIRLGPATFI SCSPERFLSWTSSGRCELRPMKGTVKKSLAPTRAEAEALLDVEKERAENLMIVDLVRH DLYGVCGAGNVAVTRLMGVEEYESVWQMVTVVVGNIPGGDDNSGSQENLNMKGVPQET SVSGLEQGPRYSGIDVLAASLPPGSMTGAPKKRSCELLNEIEHGRRSLYSGVVGYMDV GGRGDFSVNIRCAFKWDDESQSDDQDTWYVGAGGAVTALSEDRAEREEMETKLFNTLN GFGLGLNLGRPPKPT VE01_02412 MFRNNYDNDSVTFSPQGRIFQVEYASEAVKQGSVVVGLVSKTHA VLVALKRNAEELSSYQKKVIAIDSHFGLGLAGLASDARVLSNFLKSQSLSSRLTYGRA LPIERAVSAIGDRAQTNTQHYGKRPYGVGLIVVGVDETGPHLFEFQPSGLTQEMVACA IGARSQMARTYLERNADEFADASREELVSHGLKALKESLAQDKDLTVENTSIGIVGIA PKGSQDIEPFKLYDGQEVKVWIEAVAEGKDDEAEAEAPTETMDVDDA VE01_02413 MAAGLKTIIALSFVLAVGFLLVILSCALWHAYYPLLVVATYVVA PLPNWICSRCANPDDFGAEGGGNAILDFGKFVTGGLVVMGIALPAVLAHSAIITIPAM IMSIIGGLLIYGTIVTFGMFFQEEQEF VE01_02414 MSPPSNMGPVETLDMFDNKNSFDAQLQTDLAFNEKIAVDIVAPQ TEEITLDLKSAPTEDVPPRTICVFCGASSGNSPAFMQAARDLAREFHKHNIKLVYGGG TVGLMGEIARTLVSLSGPSAVHGIIPEPLVVFEQGPDSKAPSKNGGKHGVPDEATYGT TTIVDDMHTRKQMMAQAVMKGGPGSGFIALPGGYGTLEELMEVVTWNQLGIHGRGTIV LNIEGYWDGLLSWVDNSISSGFVRESNRSIIVPAKTAQEAVEFLSDYKPADGRFKLAW KTL VE01_02415 MAPPAAPAAATAGSTSRVSPFIQSAVQGIAIFVAIQFVLGKLSG QKPATTKDAAGNVIPGNTGVIPPYTARPDKLAEGASYNPIPQQIAPIWEDNVAVDLVI VVSPTFVHEPLSRVPKERVIADETAFKIGDSSDKRVIDAEFDVPKEVQNNGTLWGHYY IGKTGSTLDPSVAGYDPGNAYHFIRPLTQYIAKKKTKKTKNLLTAADETEEIDEEDTI QGPIIKSFYHPNFTMSFVPSTGVMSYPNMHPAARQFTHIESTGARDATGQNGWYYPVF FVNTFWQLRAHMEELNSTVTRLPIHIDLNNQANWLYSIIASVDEGQKATARAAAMGGP LPPGGGDGSEFEMIKEVLLDTNIYLLATTVIVSIFHMLFEMLAFKSDISHFRNKKDNV GISVRAILGNVFMQAVIFLYLLDNNENTSWMILASQGMGILLEFWKVTKMVNVRIRPN PNSIIPYRIAFEDKHKLSETEEKTKEYDAVAFKYLYAIAVPLLIAYAAYSLIYETHKS WYSFIIATLVGSVYAYGFLMMVPSLYINYRLKSVAHMPARAMTYKFLNTFIDDLFAFT IKMPMLHRLATLRDDVIFFVYLYQSYKYKIDYKRVNEFGQGGDDEEVEEKIANKPLTT PAGGDASVKVGNAGEVKASGSSKKGGAAKRK VE01_02416 MSDARKLPALLDFGADYGFSFHISFPVQQRQSTGYNPHPRVYHR HPSTTSKMHFHLATVLILIPLLTAAPTPKIDQREPVDVTDAVTGALGQLTGGLTKLPG GKRADIAETLTTTLGGLTGGLTKLPGGKRQVPDVAKTLTDALNQITGGDITKLPTGGK REAPADITNTLTSTVESLTGGLTKLPGGKRQAPDVAGTLKSAVDVVLGQGNEVNPKQL LGKRDSIDVTKALGEIFDPVNEALKKDYSKDFTPGGLKKPVGKRNDGIDVTKTVGELL SPFNDALKNSKDYAENYKTQDNTKTPSEKRGGIDGTGTVAAVLDQVTNAIENSKDFKP SGLETPSQALQRRADVLGGLLGMLTGGLGGGLKPPGSSKRDETTDAINSVPLAGPLMQ LAQVLGGGALKDGKVPADFKFPDGTQVPPMAGAEKRLDVASAAKGVVYEVIDGVTKPT KNL VE01_02417 MIKSTQIARLDGLMLCASIDDEATENALTEIKSHVKMVLRRLNR NSEPQASIESGAYTLHYLIANSIVYIAICERSYPRKLAFTYLSDLSSEFSSTYTQAQL TAPSLRPYAFMEFDTFIQRTKATYSDTRATQNLDKLNSELQDVTKVMTKNIEDLLYRG DSLERMGEMSSRLRDDSKKYKRAANMKFQVAVVFLLAPLALAGLGGKNNGNPPHTTIS LTQPINSAIAGLGALLGAIPAIPVPGAPAAPTPA VE01_02418 MEGESIKSNIESDSMSVTGEMYASNDGSEIPHSSHGDEALANLM DSMEQFVAEDSTDNHDTNDVTTDQNHETPGSRRSQRTSATEEPSDTGPLSAETSAVTP AKRPQKNQATSQPAKKAKNGRKGIWSVENVLQNPKSPLVNANLKGLFSNPEAWNILDK ETKAKLISMLPENSHVIDEVDGELRISENFLKFDQNWAHYLGRAQEDIAEGRNDPGWL EEAAAASEQRAEGEFDDWKDNEYEMFWGVKQKLQSNVLTGLSGSIKFDDLVSRELIKP GDIFLFQRTIGKVFIEKEIKFIEAQGSGNKRVLRCSLPPGASKFSKGDDDIITEINGP ERICKAAVEADGRVKGKLPNGNSWKTVRVLRNNQDLGTLWDIRQALHFSLQGDDD VE01_02419 MVRITLAAAAILSLSLTPHVAAQDDPSILLGEVGRASNESLLWG PYKPNLYFGVRPKIPKSLSAGLMWAKVDNFQDVQHNFRHTCEQNEGMAGYGWDEYDVR NGGQQTVHDAGNKIDITTSFVKIPGGKHGGSWGVRVKGTPREDAPAMLMTTVVFYATL EGLGSLEVENKRDELGYEGDVTLKGNSAGLGEYKLLVTKGEGRHPTETHDSYKEKPLD RTMVRSMAAAEENLWQIKPLFYQELKGQIEAYVEKYTVEAMPPPWQVYTLPNDPGAGN IQMVQKVFEGPFEFDIMFSSGSATKEVTSEDLTEGIESASKSFVEKFGDVFDLKAPYN GPKYAQFAKSMFSNLFGGIGYFQGDEVVDRSYAPEYDEENEGFWEEAAEARAKKREQL EGPFELYTSVPSRPFFPRGFLWDEGFHLLPIADWDMDIALEIVKSWFNLMDSDGWIGR EQILGDEARSKVPPEFQVQYPHYANPPTLFFILDAFVERLSKPNESAIPVEGSPGLRQ AGLNSAHTDNPEVANQYLQAIYPLLKKQYHWFRRTQSGDLATYDREAFSSKEAYRWRG RTVQHVLTSGLDDYPRPQPPHPGELHVDLISWMGVMAKSLKNIATYLGETQDVAEFTR NANGILRNIDDLHWSEKEKTFCDATIDEFEENVLVCHKGYISIFPFLTGLIEPDSPRL GAILDLIEDPEELWTDFGIRSLSKSNEFYGTDENYWRGPIWMNMNYLAVRELLKIAQS SSPHAKQAGSIYSRLRHNLVSNVYKSWKETGFAWEQYNPEDGHGQRTQHFTGWTSLVV KIMAMPELEAGKAGGDESHDEL VE01_02420 MPLRQGSKQGSRHATPPVAFKVGRPDSRAGSRDPGGQSPAQPEA AATSASASHKNKPKAADFIGADDMDVEEGEEDDIVVEDDAMAAMQAMMGFGGFGTTKQ KKVLGNDIGGIRKEKKTEYRQYMNRVGGFNRPLSPSRET VE01_02421 MLFLRGPSLPPQTTYHFHSHSKLNRIWQNHHINGQGETSTPIAL PLTLGTLATGAVEGKTWTVATTEIDRTDQEHPSAHATVTMIATTSAMTVVVDDEMIAE IATADGETNRAEMTEADMAPGIWTGMEIGGNTEMIEALETVTEVNATEGETEKATRSA EAEARVENVPGKENHLRMPRTPI VE01_02422 MAPSAIAQRRTHNLLLFQKLLNLRDGASPFTLLLDTLEQSSRPV VREFITRAKIAKSKVIFVSFQTLKSPSQVDVVIRAHGKPLAALKQEILTHLPPPPSAA APTPSAKAIVIIDTLYSLAASASFSLPGFLSSFLFPGISLVATYHLDIPLTTQKSLNP YAPSPLTTLSYLATSILRISSLDHAVQEKRARDRSLPEPTFGLHEGRDGVIIGRKSLG DQVQGVVVEMEIRRKSGRGVREVLVLAPSSASLPSSLEAGGKMRVGPGAAGSKICLLD DHPLYAAPEVGDGQGAMDDEDEEPESTFSLGLTEKQKKDREGVVLPYFDAQSGGGDGG RILYEMGREDDWDPEEDEI VE01_02423 MSSYLSNLLTTTSTRYASLRNLLPTGEADGDTPDDTHICRVLRA YYTEKGRAFPPWLPPDPKAAPPPQVQQQNYGAPVGAGYGNLANAGSSGNKLTSLWDNK ASSGSPAPTPVNQSLRQGRPGGSPALRAGGGMQRGQQQQQQQEYVAARPLPSQRDGSY QNSLALQPTISAGSAQDRLKQRLWGGAKSNSSSQSSLDQPQGRSPGMSPGRNPQPPPQ RGGYERQESYNSGRSNESPGVSANSPWSQNGGGGDQYGNGRQGQGQDPRRQGLPSNPR GYR VE01_02424 MSSDEIVWQVINQQFCSFKLKTTKEKNFCRNEYNVTGLCNRQSC PLANSRYATVRSNPDTGTLYLYMKTIERAHMPSKLWERIKLSQNYVKALEQVDERLIY WPKFLVHKCKQRLTRLTQVGIRMRRLAKEDERLGERVVPKLAPKVRRREETRERKALA AAKVERAIERELIERLRSGAYGDQPLNVSESIWKKVLKGLERQGEGTRDEDMDEGIEE EEDEEMEEEEEGVGMVEYVSDLGEDEEDLGDLEDWLGSDAEEGTDEDEDDDEDSDSNS DEEDIAKKLSGSKRKRPAAPKGKPRKTAKMEVEYEVEGETRATTT VE01_02425 MKRNVTLIARRGAQAALLSYLRNASRASSRIGLDFLSPSHIPSG RRRFHSTIQAQQNGESVGRGIVSFFDDTIYAVSTAPGRAGIAIIRVSGTGSLDVYRAL CPSKAIPKPRYATLRTLYEPNPTNSSKPSVLDSDALVLHFPSPKTVTGEEMLELHIHG GPATVKAVLAAISRCKSASTIRYAEPGEFTRRAFQNNRLDLAQVEALSDTLSAETEQQ RRAAVRGNSGALGRAYEGWRQQLLEARGELEALIDFSEDHDFEDSDPDLLKNVGAVVE NIRASIKAHEEGSRRGEMLRKGIRISMLGPPNAGKSSLLNRIVGREASIVSTEAGTTR DIVEVGLDIEGYLCTFADTAGLRSPSSGVDREPATIRDRIGEVEEEGIRRAKAKAAES DLIIVMASVELSNGKEGWEIRYDTEALDIATKAEEYVVVVNKTDIIPETIFARILHSF KSSLPSVPENKIIPISCKSDLVSTPSAQDAGNISFLISHLTSSFQSLTTLGDEDLYGV TERQRQLLSRCDEYLSLYESETQEADMSLDFDIVVAAEHLRTAANNLSKLTGRGEGGD VEEVLGVVFEK VE01_02426 MADKIPKTIALGLTKKAADGRIISINHPNPTGKVLNKKQYRSLK NDRVMLLPQNYQARDSNAQNGEWQCPVDGCDTVFTRKAGLLMHMERPTGHKDDLLRDT GSGTFEKIHPALIDNGAADFINNQADDFINNKADDSINNMAERVNGIDGEDFREDKQI EAPASDGGESPQRQTADIQFEYWMIREKDYDNEFIEDFEWVMSIHEGRFDNLYGDVHR K VE01_02427 MDLVSSIRKEGSRGGVDFKWSDVESSTRRENYLGHSLMAPVGRW QKNRDLNWYAKADDTPAGEGETEEEKKQRLRKEEIKAIKEAEEDALARALGLPVKERV SDANATPVGQREIRKAVQDVEPGDQETEGQGRFGGFVGAVGDNDQKLVLDDGLEGAGP GGLAVADERKSRRDDDRGHRRRGDDDEDRRARHRHRHHHSRRDRPRSSSRGYRHERSD RYRHRDTGDRSRSPDRRGDGDRESQRVRKSSRERRSSRERGHSRERRRRERSPDNRQR DRSRDRGHSRERKRRERSPDTRPR VE01_02428 MTSRSEASPPSPSASFYALSDDEEGDYNTITHTSSGRGVKLLYS KSKVYIHPTPSAKDNIPGYIALLQQKTPQLERPTSSSSSASKKSTVAPSLLLAWLPES SLGDSRDIYVKVDLSEGDSPPKQSYLVPPPPTTTSHGPTVGPYAFAIPVSEIYSLLVR PPSLGWWFGSVIINSRAGDSFPALFFHDSECQSTILQKKRRTRESFDPFGANGEMFWG GDEVLRWIKRYVKVERSGAEPNIYLIEPSKEDKEAFGENPVTDNTVRRTSTSAGSGMR IGGAGGTGFGSRERSSSSRDDGGMDPVTKLLKETGWNIMNQFSKVTTFARRTAENVVE DNRIPPQMRRLLRNPEVQTIQEEFDGARVYLARWAMGIQEQSERDRDQRIWTARDVLE MEETGVGEFELLDTEMTGLSMKQKRKPVTLEEWKGFFDKATGKLSVTVDEVKERIFHG GLDAEDGVRKEAWLFLLGVHRWDSSADDRKAEIASLRDEYVRLKGAWWEKLENLGGSG EVGEWWREQRNRIEKDVHRTDRNVPIFAGEDTPHPDPNSPFSEAGTNVHLEQLKDMLL TYNEYNQDLGYVQGMSDLLAPIYAVMQDDAVAFWAFTKFMDRMERNFLRDQSGMRAQL LALDHLVQLMDPKLYLHLQSADSTNFFFFFRMLLVWYKREFPWLDILHLWEVLWTDYL SSNFHLFVALAILDKHRSVIMDHLKQFDEVLKYVNELSNTLDLEAILIRAEALFRRFE RTLESIDKKSNFPTPRLRQRPALNPRSSSSPSNVPVGTTSGTDTSTAVEANATSPKGK DKTTGPESSAIQQRKIVSPELRFLLSRKVEVLPRKIVRKKGEGLKGKDTVEGST VE01_02429 MATRALDPPLDEIQWRSPAWAQQMMGIHSNSVLPYFAKSPFFDP TSNNAVLENQAMYNQNMVNIVATREAFEGRLKTMSGLEYVVAQEPAETAPGTGTGVWV IRKQTRRKRQGQEDDITIHSTYFVMGENIYMAPAFLDVVGSRMLSIFTSLDKFVSAAN ALPNFTPLSGHTYLPPVAARPKATDSQLATQTSRQSSPLSDSAGGSRKQVSGTTSTYM DARLLDESFQLSMRYGDEYMDENPITGHPGAFNLTSTGRKTKDALGAAAQKAGLQDAS KIGVSLVDEKPSDIPPTRKGSKAADKAPRTPGIPKPKRKKSKVLSAGGVTPV VE01_02430 MDFTKLRAQALSFGEDEEAVTVNTRALIDKVLARYSGEWTTLRE LIQNAADAQADTVKIKFETTPSTTVPLPSNATPSDLLKHTLLHATLRRLLVSNDGLPF GDNDWARLKRIAEGNPNEDKIGAFGVGFYSVFADCEEPFVSSGKKAMAFYWKKDSLFT RQIQVPEGTRDTSFVLDYRNNTTPVPSLISICQFLATSMTFVALKNVELWLDDWKIVS IQKKASPSSGVAIPKDIETTTKERLMKVESLSRESVQMTATFMHVVGWRPSASSSVPK SSAFGETTYGRHASETPSIRSFFSRLTSSSSQQAIKSKVAQEAQAFQEIILEDLTKET TANVFLSVTTASIRTSVTSTFATELERATKKPPPKSTRLALLSSSYDEATASKEGNNG SKVANGVDIFASVLPGKKNGGRIFIGFPTNQTTGAGVHLSAPSLIPTVEREAVDLNAR WVRTWNMEMLRVAGIISRVAYGNEMSDLGNRLQRWTQSQGRPGKIVKEDVEKFMPAAL HILTSFTFEESTPSAQISQIIEESFWTSFQKASVDIYSTRGVLPTTTVRLAIEDLSGF VEGIPTLPKQLVETKMVKKLRDYGLISDITISDVKKELGSKALTTPQLIAFIGWIARK ALASNIDVSAMRSLLETTVATVGQSESQGGIISLSSIKYFIQPSKVPPEFPIPQNTLP YNITQTTTNAELQALGWAPLEASTWIGFLTESTKGRNGLSSEQDITWSPKFSSQVLAV LSKQWDGLPVESKAIIIKLLAPLTVIPTKLGMSKPSDSYFASVKLFDDLPTIAGCPGV KEKFLSALGVRKTVDLDTIFQRLLSPVESGAQGGPSIATPRWNHVDLIVYLAGVKDDI PIGDMKKLRETPICAAEAGPIGQEASIPSAKRYKISELFEPKADLRHLQVPIIQWTGK FGYRPGSPEANFLVSLGLRSNPTVHELVVMMASTDPTLRTKSMAYFIANYETNQYSAY SLAKASRPFLPVEGKEKGLAVPSALFTNANASMFGFNVLRKDLIPHASKFGVAENPPM EEVVQRLIANPPKTQTEARVLFVYLSTRIGEADFTRHSAKLGDAQIVPAVPKSIGSSP FPEKRAAGPKHLAPRMCFIGQSETYGDIFDFVDFGSEANRFLLACGSSNEPSRRHIAT MLASEPARVLGIVQSPEKYLSLLRLLAMSRVDLKRDKLLWSQMRISPFLLATKEISAN NEPKNRSSKNPVDLFDDDDDTSAGIKQWVLQPAARIVIVDDYTTYQLFKGDLLAAPLE DVLEEFYLDLGSVAVGQLVEQKISVGDPLIDQSASMQIQKKILERSKVFLHSYPSDQL KQPKGWLEKNLVVDYVTHISIKISLRGYKMSYNSKQTAVLKNDSRSKKIALHITYGVD YYDISQALVRNLLKRPNNEAATLFESLLTSDLQGLKRRGFNVDRILRAQAAQARIAED ERQKQLRLEEQQIKEKGGTVKPNQIEEDYSGYRDSDEVDMPGAFGNNSPSPASQKKSR NLFSSFTRKLGFGDGEAQQQLQSFLGGHGGHSRSNSDSKSNRGHSSSGDPVPTPRKPG EIEKVSSPAAVQQNLVNAVQSSRAHNSSSVFSPPSVNQVKEQSTYCDSTSEKNIAHIG DASNGMRIYVSRDMSVMNEVFLAQNVEQIQAFSKMLHDVGGIYNIPRNALNIFYDEVG NTIAFNLNGSLFCNLRFFLQLHWNEYKNPAGISGAESWWWVVLAHELAHNLVKEHSSN HSYYTEMFIAQYFEKMAERRHSRMLQN VE01_02431 MPGVDPAMTAPEDEGPLERLPFPPVTKQHILNCSYSSWYPKYRT STLRSRLIPLTAAFLDYLREDGLWLPDDDDQPYEETEWSAANADKPADPEFEAQAQPN DASAFADVHSVIKSTIAELGGSVVPKLNWSAPKDALHMALSKNSIACQTPQDIYLLLK SSIFVTHDLEHAFDDCANPEHPPFIRDIEYTLVLRPYFKINTSFEFRVFVRDRTIVGI CQRELKHVDYSPELLNKIQTEIEDFYESKLKDSLPDPSFAFDVYLPEPHDKVRLIDIN PWAQRTDPLLFSWLELLTISLPKPLLGQGDTSESPALPERSAEEEATDTEDEGVEELP FKAEFRVVKKDDPEAYNFGTAPYSAHKLPKEVVDAGEEGGEAWRVIMERWEKLGRGEA VDDSDDSADE VE01_02432 MSSNVGLTTPRGSGTSGYVQRNLAHLKPREQFKPYPTDGDLKHR QRQPDKEILEHDRKRDVEVKVFELQDKLEDEGVDEEEIEKQTEALRQKLLGEMKKGTD RRDAKGLKSHQVHELAEAKIRESDRFRNALGISKNYEEGSHWRKQEERMRESLEKSGN DDKERKSAA VE01_02433 MAGANDTVRVEYKGRIAIITIDNKKKLGALDGDGYYLLAKYMRE VATHDEVIITVLTGTGGFFSAGADVTIGRELPPGTDLYRSTLSGFVSNNLNITHAFYS HPKLLVVALNGPAIGLSAALTGFADFIYATPNTFLLTPFSSIGLVAEGGSSIGFVQRL GISKANEALILSKRIGIDDLVSTGYVNKVFDFKKGDPKFLEAVLAEIDDSMGDHLVGS SLTKIKALIQKPMRDRLDAQGVAEVFGGLERFVSGIPQAEFRKLASGEKRHKL VE01_02434 MAGPNLEVFKFGMYIMFPIGIMFYYGHNLDRRFQVPDFWPKPEQ THKIPFERDEIKSELDRLRAKRLYLREQRLKREQALNQNQE VE01_02435 MTFRSNPADIIIVLESARRLYQQCKNASDAYFEIGREIRALHTV LRHLKYEVQAPESILNRDRALYARDLAPLIADCRYTLEDLEELIRKYGGLRSNESSSS KQLRNLIKSSSVEMDRLGSVEMGQLGSVRVKLINHKTNITALLDTIQLSESSRLAATL DNHGGQLDIILDKVDNIAARMGQRASLITYDDDDEEDWKIFRRELVAEGFSSVVLTRH KDVLRAYVREIDQNGLLKDVPAQAKATQPILWVLEQAAQSPPSSPSPPSFNMVNTSSD SPIKELRRGEHTFSTRTSLDFVPQQSDFISPRLSSSTSPKLQSLVDNLGNPARIRSQR TGSLKDLDEHDVSISNLENQPRLRTLEGSSVYEASEASMEGGSIFSLPQSFSSKSSAH GTVGATREFVILLMENTQLRRLSPSLRQNFEFTAFRSKLHDLLRVFSRDLSRETLIPI EKESVRIFSQQRKRVAHTIGQEIFGLEDTPLLQSISQQQQLVTRKRIEKYLKDAAQVK GSSGDELSLHQEHMLNNNSSDDEDELEPFSNLEDVKKFLISSKAFKNLRVRINKLAAE GEESAIEMRLRHNVIELEREAGKDEKLSNIGVNQNPLSRTVIPESRTIAQAGRTNVFA TLLRWPWFVHRLFRPKLEIGYRRLEWQCDCGMPLYGDFRGDPEEISKLVGEIQAHGYV VTQSGHGIKQMPANSGVTTGLNLVASASQGLSTSQTAQDPSLNSTGTTSRPLGGTRNP MAGSAVTTSPLPPVTNNSSKFVALCVNTGPFQKTYDEIDISASLRNTQMFHNFKKTYE ACIGSRKNPLRRWLTQPIDIEFIQFAVEGLRRVYPIPGSPDCTICAHAEKKDGLVTAR KYEPHLNGVALTHPPIPPHLFLHLWECPNDITPTVQNMWLNRLPKKLDEKLGKVCLAT RPDGELILGWGVLVVEA VE01_02436 MSPTTIPATAPVTFALFSELPPELRNQIWNDALLKKDRPALFPY QDGCWHPIYLSESDEGYIANTDNIRLEFNSALLEPIPIEVPLCLVNREARDVALAWAH RQGARIIFLGDMRHHVFARLFDNEQDMLYVGLSNFADFFVEPYNRLAEPDLFGRIVGS GPSLRYIAVPEALLERMPGALEEMFEWFRGLEVIFIIVNAHHGILVHQRWELEGAQGK ALLYDHTQGRFDWDDGVNICGSIIYERIEQATTRLSVVMAGNHVTTLRSIRPVFAVRR VE01_02437 MSEKSQNIYRGAEGAIKQTQGKVRALGPLDVRIKITHSSLCATD LLYLPAGIALGHEGVGLIEEVGSAVQNLKIGDRVGGGFHRGSCGHCRYCLKGEDIFCS DRVIFGESDSDNGTFSDYYIGRETYVHKIPESIASEHAAPLQCAGATVYGALVDVVKP AQRVGIIGIGGLGHLAIQFASKMGAEVVVFSRTDDKEKEARGFGADEFYLLSNPGAMK DPVDVLVLTGSRYPDWNAFLKPNVLARNGKVVPLLAPHGPMELPAGKLLTNGYDIESH LVASRGVHNDMLKFAAHHRITPAIEKFDLSEEGIGKAVEKMKSGSIRYRGVLVAK VE01_02438 MANEDNEKKRQLVEQGYDKIAQTYLDWTTTKKTPRLAYTEKMLS KMDAPSDATVLELGCGAGVPGTQLLSSRCAKVIANDISKAQIELAKTRVKGNVDFRHG DMTKLEFEAASLDAVVAFYSIFHLPRDEQPVLFKKIYSWMKPGSHLLCNLAATDIPGS TAENWLGSSMYWSSYGVETNLRLLREAGFAVTEHEILEDDEDGKIIPFLWILAEKIK VE01_02439 MSDKPLITSMYTADPSAHAFEGKIYVYPSHDRETDIQFNDNGDQ YDMADYHVFSMDSITGPVTDHGVALKMEDIPWVSKQLWAPDAATKNGKYYLYFPARDK EGIFRIGVAVSDVPHGPFKPEPTPIAGSFTIDPASFVDDDGEAYLYFGGLWGGQLQCW ETGTFDASKSGPQEPSGDVPALLPRVGRLTDDMLNFADDVKELSIVDAEGKPIMADDH DRRFFEAAWMHKYNGKYYFSYSTGDTHYIVYATGDSPLGPFTYQGRILEPVVGWTTHH SIVEFQDKWYLFYHDSSLSGGVSHLRSVKAREIVYDAEGKISLAGSS VE01_02440 MRPIWLLALPLIGVAAQSSSSPESESKFTIKPPPASWVTGEPYT TIGRVTPTGSVATITTTIQKFPTDVAFVATGVPANPPAPDHTLSSFETQQLSMESLGN YGRLPRILFYITIAAAVLLRTHLPWLYLGALAASLTYSGSAAIHACLLVWRGPGYGEL DLFPLLGILSASCVIMVPLINWSSTIRTAGRPDLQRQNGDDRPKELKEFDAASRIILI FWSFLVTVGFLCVFIALQQGYRSNKGNWIPFAAIASGSFSCAPESGVLNVTVGQPREI EDSGLTVFNFLSITKEFLDANNCLSPCSNPAGGPAIFRSVDELQPLTMVEFGQVLGGD STTRTQAWLTNVTDKFAFGWSYVIVYVLLQGAWTICFGRNKPSETRAVLYHFFTRFSI SGRADRDKFGTFQRRGAQAIALSAYLWSVFVVVVAVPLLIIDVVLIELYVRRLPQSES ADHIGAWAPYASTGLVLFAAALAKSYETIKALLLQSGGNLRKIWRKIFPSKTKSSKLK HDEYVDALHKAKNVLKITGSFVFRQDVRGGARREWTSFVKFCKDPEQSYDEFLESRKP QVLCTCPRDYECKKHKECVHRLVCTDRTQCGDCKACGDDGGADAGAWAEDECTCKGLK DHITEASVAAAEGLTPVVDMTQPYVRSSRSSGYSAVSQDSRLAPDSIPQQALVPQQVS IPQQAPVPVSQQTPAPQASVPQQVFVPRRDSLPNPVSVPKRVPVPQRASMASIPQQVV IAPAVAAPVQQQASTLTPPLTAAATGN VE01_02441 MDLAETLLLPVRAVQALFAIIVLGLLADVTTNWYTASEVNFLIF ASVWTLLAVAYLVIAPLTFPAAAHKHAILVAEALTMLFWFAGFIALADLLGKVGCTSR QGKACGESIGGTVFAAFEWLLFLGTTALAALHVFRTRGGSSEPAHAMKVQPTPYQGA VE01_02442 MTEHLYFSLTKHLLSESPAQFAIATQSPFLLAAAHGRLDKDTLR SWLINDRKYIHAYIVGVETMLAQLQNPPDEAELDGSEPALATWLTEAVANVRREEQFF LDVAARYGIDLEPRADDSRPDCLIVYEGLFKYVPLNPVVTEPWWLDAAVLFWATEKCY LEAWSWAKGQLNEQSPEEDADGGALRTEFIPNWSSPEFADFVDRLARIIDDAVNKLSE DIEHNKETAPAHIRTMNEAVFVKMSVQNPQKLFLKVLETEEQFWPVIA VE01_02443 MPRSLETKACNACAIAKRRCEKQTPHCRRCRTRGMACTYPPTKP GLFGLFEGENSFSVQQDVSALTTPPLFVSSLERERETDAGLSPRLDLSLANSIASAQP ASTWFTSSEAWKIAPSPEVTGDALCMADVKYHIRNIHQWLKQWVAKGSNPFIHTRLYQ TRFPPCIQDAYMAMSCYFSKTDLNELTVFRIIEDRARRLVEDGLTDSLLGTPLDTHEH LARVQALAMYQAICLYDGDIRLRHLAEGYIPVLNSWIREMVDHATQTPCLGRLVMASP YEHTAIEPRGENLLWYSWILAESIRRTSMLAASIQSIYLIHRDGTASCYGSMTFTTKQ GAWDVDSAYEWEKMCSEVNVGFVQLAEAPILLTKAEPDDVDEFAKAMLSIICGASRVN RWINR VE01_02444 MSPTVVLITGANRGLGEGIIKRYLAKPNHTVIAANRNPDDPTSK ALSNFPIGPGSSLIVVKIDATSETDAPTAIQELKGQGINHLDIVIANAAFIVPFVKIE DAKIADLRVNFETNIYGFFMLYQATLPLLLKSQRPTWITIGSGAGSIVVSTPVSLIFL TQLTIGGSELLYPHSHIITVSTEFTYTLPVPKTQLMNLSNQLPVPNAVYGPTKAAQHW LTKRINAEEERICAFIMSPGWVQTPGGNSSAQMLGLKEAPQPVDETCDGMVAVFDKAG KETHGGKFLTWEGKEESW VE01_02445 MGLGSLRVISTPFFGSKIAGWGEVVRRIYNLVRKTDQKTLNNLT LDSMELKDLRHGFPDLIRKRNQTSAKIAIVFFFEKKSTYKVLVVKEEDASYPGVGEIL PMQANHLDICKFDDAEDDGYKQVRAKIKQAMEATGTSEATEEGNTYTINNHGQITNLA QGDMRIDSQTITYGSIN VE01_02446 MRLLRYNNNGDFSLTEYVESGIPKYAILSHRWGAEEVTFKNLIE GTNKSKTGYSKIQFCGEQAKRNGLKHFWVDTCCIDKSNSTELQEVINSMFRWYQNAIK CYVYLPDVSRPRADSTNASNKDWESTFRKSEWFTRGWTLQELLVAALVDFFSKEAELL GNKASLERHICEKKGVPVKALRGSPLFDFGVTERMSWAANRLTTRDEDKVYSLFGIFG VFLPIIWGEGQENALRRLQEEIDKKVKGVKGYEFHNLLKPVLTKT VE01_02447 MEETLCLDPDGDVILILRNPNATFAAWEERQDYMPLPLVPVRRE SPSPVETSYKKSKGKKAKSMFTFAEPEPDIVEAFEIPEPQAEASEAVEFQADLDHDRE PANVESPEALELDTSIDYVQPSRKADVRLQVSSRHLILASTYFKRMFRNDWKETCSAD GLFEIFTENWDSDALLILMNIIHGHTQNVKRTISLEMLAKLAVLVDYYQCAEVVEIFS EIWINQLNTNLHATYSRDLILWICISWVFRQPYQFKAATYTALKQSQGPIRTLGLPIP EIIVEKINQERLQSIDQIISALHKLLDDFYERRKLYSFECNSILLGALTTEMRARGLF SPRPTIPFLGFSLATTMASVRGIRSPRWHMKRNSPFGPEVDAIDCSLEPLIYPIVDGV EKSMNGLALEDFLG VE01_02448 MTKYLEAILEEADFKESRYPGPDRDMLFESAYRHKHHESEEYLL CTDCDQGEDSICDIALHASCSVLGCDKVRLIHRARLNTAKEAMESPKPAIHYGKIASG DTVMRSGEDRDEIARSEGVIAFEMEGAWVWDSFPCVIIKGICDYADSHKSKEWQAYAA ATAASCMKSFLEDWPTTHHTQLSLSNEHYQSSYSSNRVVLLNTELQNQPSGPKECHLS MFEIGKPLGKGSFGHVYLARERSSGFVCALKVLYKHEIQQAKVERQVRREIEIQSNLR HDNILKLYAHFHDSEKIILVLEFAGKGDLSRHLRRCNRFPEWKAALYIAQMAAALNYM HKKHVMHRDIKPENILIGMDGEIKLSDFGSSVHSPNKRRNTLCGTLDYLSPEMIKARR GSQKDNYGQEVDLWALGVLT VE01_02449 MHKLRLTRVKQQLNGALKDDAIIETLRPMHHAIEAGREVNNTAD GFSGIDEPKFASGSQGIMGFDVCVGVEYRGEGGGAPPPSTAGKVEVVYTTLNPISLSH CTSSVTLALSFLLTVVEPFGIVAGFIGITPAFTDCFEYAQFDRHFNLDFETSHLALDC ARHRLTRWGESVNNYDDPKLGRQDAIATEIQLAKDALL VE01_02450 MRLDGRLVAVLVATAWELAVPAQAQFTFANNNTNHLAFFPGLLH PETTSSRAYCPASCAAAGPTSFSWSRILSIEELERCEHTVIFEAMLRFPLELPGRQTV LRACTSSIMDPEGVSGTYGPLALSNADVVNTTAQVQTGWAGRPASQLAVSQIVESALK LQHQVALNPNNRLTAVFAKSGKTIVGLYAGGQVHRSSVASLMNDFIQRVAEVDMPSRL TMQVCGPTGNSSAALVFGMVADADGDLQSVHKALSGWAEGECADGFDGSSTAQNQTMA LISAVPLVQDSTTDPLSNQTLTNSTSLLSRHRRYADVKQPHQPLHRTRRRAGSCRVAK VNFGDSCWSIAEEKCGISLDNLYTFNGIDDAYCGNMATGDELCCSAGEAQFSVMAADD ECEYTMVEEVDSCPAIAKRCNIPLKTLVEYNGGDETAFCNFLMPKTAVCCSKGEKPDL KPQPQKNGDCAVHEVQKDDGCWAIADQYYLTQKEIQELNVGKTWGWAGCGQLLADQKI CISKGTPPMPVQVQNVTCGPQVIGTVRPADGTNITDLNPCPLNVCCSGWGYCGLTEEF CVDTSIDNTPGTHEPGTNGCISNCGKIEIINNDTPPKNFIRVGYFEAWNGNRPCLNMD VTEVKDPITHIHFAFGHISEDFVPSTASDVTEQWSKFLGMTGPKKIMSFGGWAFSNEQ GTSHIMRQGVTPGNRQKFADNIVKFVVDNNLDGVDFDWEYPGADDIEGSDPGMKEDGV NYLKFLTLVRNGLPAEKSLAIAAPASYWYLRHFPIENMAKILSYIVFMTYDLHGQWDY SSKWASTGCANGDCLRSHVNVTETINSLAMITRAGVPSNKVIVGITSYGRSFKMADAN CWGPSCLYLGPRNNSPAQPGKCTDTGGYIANGEIEEIIKSKAAPFQQIYDEESDSDIL IYNNVEYVGYMSQETKDRRVKLYKGLNFGGATDWAVDLMGDTSPGSDEDVVYIGTPVY TYPSMGCTAPCTIVLPPSTLASPSVISISPYTTSLQVGTTTTTITIKVPAKTIDEVPF YNVPIPSDVGTQTVVQPYPSITLSPTSVPVTWVSEGTTKTTTRTIFLPPWPQVTQGPP SSWSDTVSPSNIPTTTDDSIPDPPEPTVPFPTWTDWYKIPHKIQPVTEPPQDDDDDDD DDDDVVIIPCALWFFSTCIKIGKFEIKGWKLRIPEGIIGPGPPPPGLIPWPSGWQWKG PGLPPWPKITIGPGSKPTGIPEKPGQCETKSASVCMTTTSYELEDKGTTTVTVTSRVV ETCATIFGCAVEGYDEATATDDISCALPKRTVAAALAYDATPTLGPGAAQPSVTSSIE LPHASLDTRSSALVHLGRRAGEDDEASWDDDISLATQWFCARNDVIIFTKQVWDSDDD NSALAKRLTRLKDGQATTVVTRIQSSMMGFTAFWHVSSLPVALHAKLLKMKAVEVIYD PSNFRKKAWYPATGMEPIAARSVDVDVDDDDPDNTGEKENSGNIISKRAVTQYTDWAN SQISVPPYVDDWATNTDFSINPGAGQDTSMHKFHFHESAGEQQYIYIVESCVDATHPE FIGIRVAGALRTQNWGSEYSDDCTHGTAVSAMAVGTNLGVARKSILVPVHTGSRLVSG SEPAERYLEALVLVLDDIMSTSVPKEGKAVVSMSWSIGAGAVPKAFGRVMQAVLEEIA DNGVVLVAASGNNRPKGGTADSGFPQRVMSQGRLAESSLVVGATDGAARLAPFSERFS DNQLNRIIHAPGQKLKTAVMGATHKWDSGTSYAAPKVAGLVAYYRALPSRWKDQLTVA SSVKRLVQLFERNLRINDGPWKDVNPDARTVKFIWNGQVLDQSCLINGQDLRYNKKLV CPVIPDDLGTCPLINRRASGGDGGSQFDRRACEPPPGGPGNGDNGDGGGGGEIGGIPV PPPITWQPGPAQPTCEHNCGVRCEGYYCIPTPTGSPPDFTEPSPPPPEETEEPEEPEE PEEPEEPEEPEEPPFPTSPPTFYLADVTWASELSVGWFAQGIKLSDWGDCDGMDKPAD KLDGAKGDWPGYPWPEEEFTGELCEQKMRYRRKDSGDYDVFDEDSDKQIGVCTEAPEA TKVCGIWIQDTFNRAYQCTGAC VE01_02451 MADNDTSHGDADKALASVEKRDVELFRALLDNGLDPTQLNLASG NTLWHHAASSYGGTSFAPGGSTPRMLQELADSGVDSARANDAGRTPLHVLSSVRPDLF DDINMDRPGSLLAFDILLDQLRGTRESVPENVNVFDTDGITLLHLAVATSAYQVRRLL ASGADAARPDVQGRTSLHGAVQACDGNIVGLILQALGSRYEMQQVECNLPASSPAIAP ANAINARDAQQRTAVYYACLAGSPSIFSLLVEAGADTRCAAEDGSSSTIESSCWHALA LFGAERQFSRPKAGTRSGGSPARRIGEMVEYLLETSEPADITSIDKAIELAEATQAAY VLETLCRARSTFLTKHKLHSMHRPSQDAMAAAFPSLLQRVADRNETENPEIPARMRLL RLMELQEYPSAKSLLAQIGPELAAQSNVDLSLIVDLLASNGFADMLWPLKDVIKHQMQ RSHESLFFSSVDQSEPNMNVLKMLVQSFELDVNVANSNGIGVLHRLFLNSHDPLGESC NPTWWKSSLALPYLVRHGANINMRDKDGRTPLHLALDRVGHVDFDRSIIGRLVALGAD VSAADYRGRTCLCRAAGNIHYADSGLMQHDSGSMGLLTHNITVVKLLLEAGAPITQPT LIEAIRRSDAALLGLLLSLGRADPNTRLRQDQKPHGYWWSGTGYSLGGDAERDPWRYM PPLGLNAVPEQVMYPLHFACLHHNNNHAVISMLLDHGADPNARYENGMTVSHYVMSQR VCSPTMKLLLARFTTENSKNGLETRNPLGMTPLLIASHLAGESSPDDTSHDPSVSMVH TLLDLGADPCVRDNSGLDILLHLGYDNSESRDIGADNGGGDEAASGNGGLKGLAGRVR KLVHVCNSAD VE01_02452 MSDQYTLYIATVTLPESVTEFKMLFSSFALFSVALPVALGRSLI ERQNYYVDVNTTKYCTWYYDNDGANDCTTVLRLWDVTLEAFMRWNPSITAGCGNFKTS QSYCIEAFGEPPVTTQPLPTLPTTTAASSTVNPPTTTTTTNPGNGIETPQPTQADMVK NCNKFYFVKTGEGCSKIASSNGVSVADIFAWNPSVGADCSGMWAEVNICVGIIGGPTA APPTSTAPSNGVETPSPIQDGMVTNCNKFHFVKSGDGCASIAKTYSISVSNFITWNPA AGTDCTGLWLNTYACVAIIGGAPAPTTTTTAGNGIATPTPTQPGIVSNCDKFQFVEKG STCATIAKAHGIAVSQFVSWNPSVNSDCSGMWAEVYVCVSIIGHTPPTTTTKPGNGVA TPTPIQDGMTTSCKTFHFVQSGDNCATIAKARGISVANFVKWNPAVGTSCTGLWLNTY ACVAVL VE01_02453 MAAVYNRQGLNPLVLPEIVGLVVDNVHLVPDLLSCACVNSTWSV AALEKLYKGSLNDMQFRTPDIGSLNCLFVASQERFRRNMTFVKHLLLSLENPAVDEVT LPDIRLICNEKCRAMRHRQSAELLLQPQGAGLASLTIPFEIEGQDWSRISDLLLPRTV EFLAIDDYYCGLLMTSSDYFQGITHADKFSNLKALTIYKSESGQDIDKLCQLINICDL EFFHLEGTDKLTESDAAELLSCLRRQENLKALALIIPNRAALLGSSSITLTSKEQGDL WPKLKMLYLGVADQHWLEQFPKFEKLQILRLEKPISQNFIKKIAKCRHLRVIDVVFDE LDDVEALLDIARGCPLLQKFSVKHLGLGFEGEPESAENLRVALLRALHRLEHLELNLR FRMDGARLLDLTRSCPRLIVLALPQSRLCLSLALLTKSRPFWQLEIMHFASIFFEDSR RLMESDGIQAIATEWSRIFPKLRGMPCPADIYSSYMQEDDLNRDSEGDRVSVSVDEEM SDLNEESEGDMASVSADEEMLDLNEGSERDWASVSADEELSLSEPGLDFDNYESDWFI LRTKLWKVLGYAVINDKIENMWQKRLEIETIGWPVVPLMAFSDPDAHSSTAKRCR VE01_02454 MQLKIATLTTVLASLAAAQTLNIPTRVGSIVSLSKPSAISGTQD MGNREFDRGRPCDTDADTGSDNAVFILADGATLSNAIIGTNQLEGVHCKGACKLINVW FRDVCEDAISLLGKGDVLIQGGGAQNAGDKVIQHNGRGTVTIKDYTVVNAGKLYRGCG NCSNNGGPRNVIIQNIKAKSVSELAGINSNYGDVATISGSCGSSVKKTCQEYKGIEKN GGVDPKKLTTTANCRGAQGTSTLPKC VE01_02455 MSSPEKKISIEDITPTSHSHQQYGQATSSVLSEDPNGEPVTASP APPAPRQKVWQYFSADDTPEERRLILKLDGLIIVFLFLAYWAKVLDQSATSTAYVSGM KEDLNLFGNELNYLNTVFQCGFIAMQIPMTMLMTRVPVNYFLPAADLLWGVFTLVQYK VTNVHQLYAFRFFVGVLGGFFFPAAMWYMGCWYKRSELSRRGAFFFIASQVGSMSSSY IQAGAYSHLDGRYGIEGWRWLYIICFACTIPIAALGFVCLPGTPESPNFRFLTAADVE LARARMASEHRQARQKITVKIVRDVIFKGWHFWVLVIFAFFFSQADGVVSNSGLPLWL KQQGYSIEDINIFTTASPAVTIVSSIIWGIIADAYDCKASLIGLTAALNIFACICLAI WDIPVGLKFFAFYLSGTADAIASIIYAWANEICAGNAEERALVIATMNTIGNAFGAWL PLLVWKTTDAPRYLIGYNWTIALDICMVAMLFVLCHYWNREQREARETEKVEDGGAIN SEKSAV VE01_02456 MSAKVDPADFKTYHGGCHCGAFKFAIRIPELKDIMACNCSICIK NGILGNIWFRDDGPEEFIVEKGDIDALKKYSFERKNAVYRFCGVCGTNVFVKDPEEIG INARSLDDIDVEPLPRKPWDGWKKGTPYAPEFGQQVEWKKPEGKDEEKTEDDLITYHG NCHCGKIRYALRSKDLYDMEVMQCNCSMCGKNSHRHIYPTRTALQVHDPEGAASKYNF LSKDSAQTFCSNCGTFVFVDIEDQSVDLRPLNVRTIDDIDIYKLKYNMYDGRSKPPLY TGERHKLD VE01_02457 MVASHETHETTLASSPCLDDDTKEKRGLGAYIYNSWVLNIYDIW VLGISNSYAWRCPTSSILLPFFKANFSSNHLDVGVGTGYFLAEAVLDQAATPSADRYD TQQITLVDLNSNCLSTAAARIKAAQPTLAPARTVAADAFETLPLKSSNGSIGDDATGK EKFDSISLFYLLHCVPGPCSSKARIFENLKTYLTADGTLYGATILGQGGDVHFNWFAS ALMGLYNKKGIFGNSGDTEKLFVEALERSFEKVESRVEGCVLLFKASKPII VE01_02458 MGKVTVHNSANLTSAKCLRAGFLSSGPSEVVVFALFVSVIAIIP LTIFLRETARPSPKKKEAPVAAATETTEPESGESENAPPPYAPPAPSPPATEPESNKA AVDFPNPKDTAPAFVRGTIILALLVLALVTIGFGFQYLNYCPEVDPEAPLGTWSIIGW CFFVLLVLFASSALVSWGLLCWYVCGGKKQDFMLDIMSLSVFVGVGLPFYALYMGAVE LVRGCQKWFCGVTFEEEEQDGVVEAVETDVEMQRLMKGDNDGDDYDEEVVVAGRS VE01_02459 MSGDTPPPSTNGSAPTTTVEASATPELDVTKLQALPSEQQDLYL LTFVSTLSKHVQSLDADGCTSQQFYLKKQLLQILNLPAPAPTRVIRNSLGRCFAHIFG LGDRKLLFETINELISTISVGKGKAEGDLRIRHAASHCLGEIFAAAGDSAIGLHPLAC TTLIKLLKAAQNNAGLRASTFKALAKIINCVGRSADEGIARDVWKQARNYASADKGHI VQIAACKCLESLLLNTAYFDYSSDYESLKSTIFKTIDSSTPLVRQAAASCLASALGES YVSETAQDSEVPQLKKLKRSNTKRQTSTLAEDDDEVPRPGSPAPKKSQILSFTLLDLL RQVSSHYVRSSTSNRTRAGLAVCYGKIARSLPKKLIESNYIKIADHLMGDVLSHPNII NNRYRLLITRKFVQVVLENIIGQEILGESGQIDAAKKLINDVLKNYPQVINERSEPTK YALIAALSAITSFIKTLGSAAAAFGDACRDGLLQVIQHPSYTVQLYTSQCLRTFALAC PQQLLPCLTICMNSLNREMNLLTSNTGRQSPRRCVGYANALSALISTSPLQPLYSSVD VDSRVLSLATGLLKSSGKSELRVSGTQIQVAWILIGGLMSLGPNFVKIHLSQLLLLWK NALPKPLAKDNTAQRSYLESSFLTHVRECALSSILAFLQFNGKLLTTDVSKRIAAMLQ NTSAFLKGLPMKKTTEDVPQRLFPSLQLHDLDLMTQRRVLQCYTKLVNLSPGGSESLL QSNLLTLAVSFFSDPDNYTPSSLSTSIASSAGTFETIWDIGDNCGFGVTGMVKGFKVE QLPGEHVKEDQREWTTDQSPEAAISSVLLSPICSSLEHDSLALFVDCVGDDDSLPDPP ACEVVNVAIKLFAIAFPLTPPKIQESILEQMTTFLAAGSLQRDPGRKAAMNVNVATAL LSALKVAVKETRSPSGDVSNPAVEKLLSEMLRGFVTQPDQYVRSIGYEALGRLCNSSG NAYTNQEIKWLIDTIVAIREPTVRAGCAMALGCIHSQVGGMAAGFHLKTILGILMSLC NDPHPTVHFWSLEALSRVADAAGLTFSGYVQGTLGMLGQLYISDAHNDDQQSLISSNL ELELPTSAVVARCVDSLINVLGPDLQDMVKARELILTLVYQFREEQSVLILGESLRCL EHLSLYAPGHVNFAEYVVLLQKDLNSEAVELRDIAVDGLHNLMKRNSEDVIKVAEPGF EDQLWLALDAAPTHDGLRNIIRNWLQQSCISNTAEWVQRCQSVLAMTKTTKDSAAEST AKASGGLDLQDEEVAGFAAAAGAASHDAPSVAGQGQEQLRWQVRTFAMSCLGEILVIV MRDVASNGSGPSEMAIQKKVADVIRMAFSASTSSILELRVWGMKIIDLVLKMFGITPD PDFPEAPLLEQYQAQISSALTPAFAADSSPELASEAVNVCAAFIATGIVTDVDRMGRI LKTLVSALENFSTDAETASIGDLKGLSSNANVMVKMAVFSAWAGLQVASIEQKYLVDV MKPHIAKLTPLWLSSLKEFARLRFEPEISMSLGPPSLSGSLDSIYSALNRETLLKFYQ DSWLKLVDAIASLIEQDSEFVFDALDGKEVDAPSTDEKSAVKRKGNEINYRDEPVAFF FVLFGIAFEALVAKPGNDALATKEQSLEILVALKRILHPSVSGHAIYREAIFSETMDL LDRLVLTEGLDVQKVIIEIARGLCVSHPSATKNATSPDGSDLSEDIEQLFELTRIIVL VLAGILPNLAEQQQSNRSANLTEEAVSLIRLSLNALVDAAEVFPSIIKTDLHACIIHI FATVLGTPSCQTVVVPTSLPILKRFISSISKENAMKSDEASQEQLRGCLRRFLSIYMH AQKRESDNALPCVKNSLLACTVLMTSGINTLSASDPLVVKFLDETLDCLNDRMTAKVA ANCIRTLLLQTPKSVADQSIARYLLPHLIAFVSNTETEDPEKARSLIAQTLTGYVATL PDDKKGIAMSLVIPTLLARANSEGEGDEFYQETGARLLGLAAVDPGGFKAVVAGLSDS QRAFMEEVLRTGQKAGRKVEVDTGKEEPSIALRMNFGGN VE01_02460 MASLSPRGLQLLGKSHKFTNYVCPKCSSFSTYPTLQSGHNRWSK IKHDKAGVDAKKHVLRSMLSRDIAQASKLYGADPRTNPTLANVLVQAKKAGFPKASQE AAIARGQGQSASGASLESLTIEAIMPPSIAIVIDVETDNKARALQELRHEVKRYGGTV TPTSYLFKRRGRVQFEKDERGLGVDEVLDEAIEAGADDVEVDDDGNLIVWTEPSGTIG AARSLAESFKLTIESSDILWDPNEDTMVPLDSESALSSLKNLVNALQEDQSVQGIYVN ISQGKVSDEAWAELQEKIPV VE01_02461 MAAESTTLAAAIQDISLDGIQETPFPTHSKTAAGLVGGAETDIS SLAFSDKILITISQGGRLSQWIQVPLSSASPTTFDTALPAGGDDSLPAPHINPKILLG AGGEARETFGHLVASQIASLVLKREPEEARTILVGVGLLKVDLDRSAWFDLLELLAKV I VE01_02462 MKKFVPRQRKHKVIARTKESSKNDGVPDSNAVEIIPTARAELDE KKRLLREELKKEGSKMSGKKAKRLEKYIDTKLKKDENRILIQNLALNKTDTSLYSSSS RMGQGKESKRQRMGRALREAAAGIDLDGENNEILFEKRALKDGPIERDSDEEDDDEMS GAATTATGGTANEAKPAPFAAAAGSGLKRPLELDDSGRPVIQKRQKRGGVNSKLSFKA EPKAAPTATAEPAWTGFSESEDSEEDGEDDEDMDSDVSDSELDSPEVDDEASSGDGEA TSDGEDGSEESEDESESEDNTKNKKERSSAFKSWANQQRNEAMGFEPTNTTQLATLTP RPENFQPRPLESDPLPAELQTANSIARKAFAVSFNRSAEIQEARMQLPVVGEEQKIME AIHNNSTVVVFGATGSGKTTQVPQFLYEAGYGSPDSPTPGMIGVTQPRRVAAVSMAKR VGDELGDHGDRVGYQIRFEGTVSPKTAIKFMTDGVLLREVALDIALRKYSAVVIDEAH ERSVNTDILIGMMSRVVRLRDEMALEDPSIKPLKLIIMSATLRITDFTENKTLFTTPP PVVQAEGRQFTVTNHFARQTRHDYVEELFKKVSKAHKKLPPGGFLVFLTGQNEITHLS KKLKEAFKIGTMASGPQVRISGRDAPAEAEDVDFGGLIEEELDSDDESVDFEGDDNDD AEFDVGEAEEVGPAKMHVLPLYSLLPTKEQLRVFEPPPDGSRLVILATNVAETSLTIP GIRYVFDCGRSKERKYDEKTGVQSFEISWISKASASQRAGRAGRTGPGHCYRMYSSAV FERDFSEFAKPEILRMPIEGVVLQLKAMNLQHVVNFPFPTPPDRASLAASERLLTYLS AISPTGQITKVGSTMSIFPLSPRFARILLIGHLHDCLPYTIALVAGLSTADIFIPENQ VVPALTPKDENDEDSHFTQADRLEEDARNAIRRKYNAVQHAFCSLDDRSDAIKLLQAV GEFAHEPTEAWCRAHYVRYKVLKEILQLRRQITDLLRTNIPAFAGLTFVDKLPPPSVT QIKALKQMVAAGFIDHVAIRADLAPIPPPVGRKPSRAIEVQYLTLFPSHVRRDDDDKA VYIHPSSPLAHRSPKECPEYIVYSHLQRAAPSAATPDRVPRARMHALVDVSGGQLAAL AKGTPLLQYGKPIKEGRQLDKAGLEKECWVVPYLRAEGKNDMGWPLPARKVVQKKVLG KGWVIQG VE01_02463 MASVRAAGRLQPAARTALQAGISQQTRGYASLFAGEPSGPEIKT QIPGPKSQQAIKELDKVFDTRAVNMLADYTQSKGNYIVDPDGNVLLDVYAQIASIPVG YNNPTLAKAAQSPEMINSLINRPALGNFPSHDWAQILETGILSVAPKGLDQVFTATAG SDANECAFKAAFMYKAQQRRGGPDVEFTAEELSSCMNNSLPGASNLSILSFKSAFHGR LFGTLSTTRSKPIHKLDIPAFDWPQATFPLLKYPLEEHATENAKAEADALADVERLIT TFPHPPAAVIVEPIQSEGGDNHASPAFFRGLRELTAKHDVLFIVDEVQTGIGATGKFW AHEHWDLPTPPDMVTFSKKAQTAGYYYGNPALRPNKPYRQFNTWMGDPARAILFRGII DEVKRLNLVEHTAKTGDYLYAGIEKLAVKYPGQFKNLRGKGQGTFIAFDSPQRDAFLK KAKTVGVNIGGSGESAVRLRPMLIFDKVHADILLEAMEKIVKM VE01_02464 MAVLRKLEPYVGALAVATLAANLALTFAFAINLRKFGHPAGVLA CASAALGGLSLCGIVFVGLRRIFYQQSGEPSKAASWRLWKSTLEVAIILIIWSLCAIA TFIWILCTQKDGLPLNTLGMSTQTLVIIALILWAVSGLAHSLYMMSIASVVRKELHAA QGAAAAEEGQAAPEMAETSNPTVDDSQRSNDSSTYVGSLGSTSTGSIQKRSSSETRSS IRYSFTNAIRPMTSTTRLIAHRQSHRSPSIESAGERDFIGSFDSWDTSGVEGPAWEST LSFGIINSSPLSAIPSHPRILETIPASPTVSSRSQSPGYTLDFPPPSIYARHSRSQSP AASFRELSGSHSPVGSEKHIHPLFRSDSPTPPPSATPTSIITAAPNAGAVISEMAVAV VRRKRSSSQTGRSPLIHTTSFDNIAMEVKREIGKITQEVRRESMSRSGEVDMSEKNWP ESSPAGDRGMTPPIPEWILGAGQRTSFHGYSKRKSEKGEVIGGG VE01_02465 MKLTFRDLKQQKFVIEAEPTELISDVKAKIEKEKGWEAAQQKLI YSGKILQDANSVESYKIEEKGFIVCMVSKPKPAPAAAAAPKEPATPAPAASSATPAPP PVVASNTGTNTGIPSTPSPAGAGVSATNAPAPAQPQFNDPSALTIGAQRAEAVANLES MGFERASIDAAMRAAFFNPDRAVEYLLNGIPEDLQREQRPAAPQAAAPAAGAGDAQSP PPAQPAAGEGEGINLFEAAAQAGRGGAGGGARGANPFAAAAGAGAGAGAAGAQAGLGN LDWLRNNPQFQQLRQVVQQQPQMLEPILQSVGAGNPQLAQLIGQHPEQFLQLLGEEGE EGDALAPPGATQISVTPEESEAIERLCGLGFERDLAIQAYFACDKNEELAANFLFEQP DEDDGQN VE01_02466 MAVTASGHSDDKLSSLWHSACSDYAKETGINITDDQFPKIHGPE DLSRQLESEKDNFEDFRMKRRPLLHAMQMILAPFEAWGDLIANIASAAFPPASSIMGA MLLLVRGARKVSEAFDGITDLFRKLGNFALRLDCYKGVPLSEGMKAIIVNVLVHFLRV CGASQKCLSRGSLRTRLSKWAKNIIVEDTTIISLLSELEELTSQEHLMVSAQGLNLTH QALRNTEELLQRDGRKSDREKLERVKASLNPVSASGQVFSSTNENRIPGSGSWIEDRI RTWWQGSQPLLWLHGGPGVGKSHLASKIITDLSKAESPTITPPMVASFFCKNNDVDLR SVNKALRTLAWQIATQRPSFATHAEEFSLKEDPENSYIVWRKLLVEYFATDPLDTTAC FVIDGIDEVEPEQQEILFSLLERTFEDDDVTQRPPLRVVLLSRDSVRPMIDEHSLSWI PEIEVGNEQNKDDLHHYVSEKLRKTQLFRGSPDFQDEIISEISREAEGLWEWANLVIK SVLRCRTKEQIRKAVKAMPRGISAMLRQELQRLSKELSISDMSDDDEGSAGETTAAQI EQFNILLSFVTLAVKPLTVWQLDIMLEIILKEEVLNLEDDIRTIYSSLFSTRPNENKD TRDKSDVVTLRHGSFYEYFKASENGGPINVNTHQGEARFVYVCLLAVKEYDSPTLDRS IQDIWHYATDFLPSHLMCADFQEPGKLRGEISDLFADLFTHEENMMWIIKKRSRRFAN EYSSSPSAKISKLGRYWMDNDTRSAANKKSQLVLDWLLPETLQMFLKNSASDAGPFTV LFSHMAVFWSRLWLDPEHINEDDGSPEVLPYMLITYNTLETGDAALDADVSMSNESSY DSSEIVLRIAELQDIQKTPMWHARVAQALSLGLWYEDALDQFQIFLHEHEKSPNLSAL SLFVIHRDIYRTLMKLGRHKKALLHFELSESLRKDFLGDNKLKSAEYIASLLNAAQMK HHAKLADDALADADKAWEHVLQQYTDKWFYPQLTSFFTIFLELYQPHRIRSVLDFAFT HLQVFPGNNSKAFVLEWFSLIPRTMYRVLHHAVTRDDQCYVDIISEVIGKIDTETSER NAIDSHGFFGKTEPLTWEANNLAELRYFLATLLFEKGQVSQGIQGWYEVAALSEPSGE WDIKPAQNRSICDLASLCLSDKDIPFAERSPLALDKDAEYSDVCVVISSWLRDHGDMI NARNALRGRVRQCVSLLSDDDPWNDKAAFLSLFKTFLAIRGSDADLDAALYMIKLDDQ RIVRAFDKGEFGLKVLHNDTQGDLSESLDRVQLDNTTTRDADAGVDTGLDDVSSVGEW LCTDPMIECSSCRRQIKTVHWWYFCRSCANKGLCRRCYLMFRPPALANPDDAAPSTSD KIMVGVCDPQHEFYYTGPFLRFDDVFPKGMVPFVSTTGERKEIWIEEWKDRLAEEWET ADFEFEGGLSAWCSRVLPEPQRTRWATLFC VE01_02467 MAATDGAHFDFIVVGGGTAGNTAASRLAKNPSVTTLVVEAGVGN PDEAYKTTFVKRDDYERIDKPNTRGKVLGGSSSLNYFTWAPGCKSTFDLWEEYGGKEW TWDPLKIGTDGSIHISHAELIDDMNPFREAVTKAWKSRGEPQTSNVYDGEMNGLTRCA DTIYKGVRSGSYLFLKNKRNITVLPEVLSKRLIIDDVDRTCKGVTVIAASGKELNFYA SREVILSQGVFKSPKPLMLSGIGPARELAQHGIDVIVDSRHVGQHLSDHPGVPFVLRV RDGFSMDDILLRKTPKNEAVVSAYKKDHSGPVGSGLLELVGFSRIDKYIEKDPAYKKA KAANGGKDPFSPLGQPHFELDFVYMFGRDHVTVVVDLVRPISEPGEVKLNSADPLVQP SINLNFFADDLDIIAMREGIRFCYDILTKGDGFKDLVVDEYPWAMPLGSDDEMKRAVL DRCQTAFHPCGTGAVDAKLKVHGIKNLRVADASIIPIIPDCRIQNSVYMIGEKAADMI KADHKDLFK VE01_02468 MSDKKTPTYTLAEGCPIASSSTAQTFRSKNTPASSAKSLVLLQD TQLIETLAHFSRERIPERVVHALAVGAWGEFEVTKDISSLTNAKFLNGVGKKSKVLLR VSAVAPQAGGAETQRDVRGWAMKIFTEEGNQDFVFNSIPVFFIRDPIKFPSVNRSHKK NPATNASDNTMFWDYHNNNQEGTHAIMILFSNRGIPASIRTLNSYSGHTYKLVNDDGN FTYVKFHFKTNQGVKNLRQADADKLAGENPDYHTDDLFGSIEKGDFPSWTLYIQTMKP DEAEKYRWDIFDMTKVWPHKDFPLQEVGKLTLNRNAQNYFAEIEQAAFSPSTMVPGIA PTADPMLQARMFAYPDAARYRLGVNYQQLPCNSPVSPVYSPYQRDGASRHDTNYGRDP NYVNASLKTVNFKGDRGANGVSDGGHEEWVAGKVQGYATEVKDDDFEQPRMFWEMLGR TEPDEQRDLVSNICTHLGKAIPRVQKEAIQTFKKVDAGFADQVQKGLKL VE01_02469 MPTDPIVSQGEFHASVPPSRTIEADKHAPGTRVGNDAAPEFHAS TQPRGSSPASRTFKPNPKKTVPGQSPELSSPDTWVDAESTITGATSQDVYKGLGKPVQ GQTAGDLRHHTSQRSGLEGVGTSAEDSILKRGLERDVPKGGKTRDDMPAEERATMLGV GDR VE01_02470 MGLDELEKKVADHYNKGHPQGQAQQAQQPAPPPQPQQQPPHEDK HHAGDIAKRLGNATVFGAGASVGSNIVNGIIH VE01_02471 MVSLKTLLVALTAATGVLAAPFDFLHEGDDGNSTEALQKRSTPN SVGTHNGYFYSWWTDGGGSATYTMGEGSRYSVNWQNTGNFVGGKGWNPGTGRTINYGG SFNPSGNGYLAVYGWTRNPLVEYYVVENFGTYDPSSGGQYKGTINTDGANYNIYVSTR TNQPSIDGTSTFKQYWSVRQGKRVSGSVNMQTHFNAWASYGMNLGSHYYQILATEGYQ SSGNSDIYVQTKERTWNYRLLHSTIIRIILSTFYDVDREQLDRAGSTRLKSSYYSRGS G VE01_02472 MQLLNYLPLLLVPAVAAKSTVYLIRHGEKPSSGNGLNAQGLQRA QCLRNVFGSSSSYNIGYIMAMTPDSDGSRARPLATVQPLATDLGITVDTSCDRDDQKC VAKVVNNYTGSGNILICWEHDALTDIVDALGDSNAPSYPSASFNLIWTDPSPYSAITA TTSENCPGLD VE01_02473 MVAAAASYLIHGLLKSRNDGDDDGEGRDPSQREISMSWALFILI SLLIIALFTSYLLQTRKVQAVHETVISIFGGMTVGLILRITTGTSIQNLVTFDYLLFF NLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISAVVLGSILWLYTRIPLEGFD ITFLEAISVGATLSATDPVTILAIFNTYKVDPKLYTIIFGESILNDAIAIVLFETAQK YQEVGQKVALGPLSIIEGIGIFLAVFFGSLVIGVLIGVATALVLKHTYVRRFPKIESC LIFLIAYASYFLSNGLHMSGIVSLLFCGITLKHYAYYNMSRRTQLSTKYIFSVLAQLS ENFIFIYLGITLFTEPSLQFKPLFIIVTVFGICVARWAAVFPLSRLINWFIRYRARRR GRMDAPDELPYNYQAMLFWAGLRGAVGVALAAGLEGENAFALKATVLVVVVLTVIIFG GTTARMLEILGIRTGVVEEIDSDDEFDIEAVTGASGPYFARSGGSAIGNFPKRRSSVQ LDNVGRPTNGATRRIYASGNSSPAAREQSLSRTNSALGGSIGRHGSDQELLRAPLSDD EESDSDLPPAARRAARPEGSSSTSEGLGLLNPEIYPTSGVAAERTPSAGFVAGLGNTV TGAGAAMSSLLSGTSEDHAAWFKQLDEGFIKPKLLLDGGRPGNGSGSGGA VE01_02474 MSLSDGKSPPQGRERTDPELASGSERNSSDALGVANGSPHKVSL DQAGNPANEQSPLLRASQSDDEGDGLLDDGTALDHHDEYQVTKSVWYLIILTISLGGL QIAWSVELSNGSPYLLSLGLSKSLMALVWIAGPLSGTLVQPYVGVRSDNCRIPWGKRK PFILGGAAATIVSLLALAWTKEVVSGFLGIFGAKKDDPGVKVTTIVVAVLFVYVLDFA INTIQAAIRAFMVDCAPTHQQEAANAMGSRMTGIGNIIGYCFGYINLPRYMWFFGDTQ FKVLCVIASICLSITVAISLIFIKERDPREEGPPLRDKGGVVSFFKGVFNSIKSLPPQ TRKVCEVQFFAWIGWFGFLFYLSTWVAELYVEPFVEANPDLTPEEIDRLYEKGTRIGT FALLVWASVSLAANVLLPFFIAPTYDAPFVPSAGQVTQSIHSQSSSSSYTTRFDRFLE RLVIPWLSLRRAWTISLIMFGLCMFSTLIVPNPTIATIVVGIVGIPWALTIWAPFAII SAEISKRDALRRAQALNVASGGRVDTLSRDDNGDQAGVILGIHNVSIAAPQVLATLGS SLIFKFLQKPRGTPGDMSMPASLAAGGIFAFIAAYMASRLAEDGPVEGLEAARVLAAR SGGRRETNASQNRRSLSRSRSFETGLTY VE01_02475 MQILALLPLVAIVAAHNTPEEPVEGTVSTIYTNPTRVEQSTVST ILTNPTRGQEEATVSTILTNPTRSAEESTYTTIYTNPTRSAEPSTYTTIYTNPTRGPE DGLQTTALTNPSQPPPGSTVTVYVTACPVYPNTTFTRGPPVPPTPSGGGPGTTSPPGT GGPHPNGAAAASYMGASVMVGLAGVVVLAGLL VE01_02476 MADIDLSTGTPDAQIDHSASIEYWSGINADVDGMLGGFPHVSRV DLQGSRALMAKLGVLAGKNEGDAKPLRRAVDCGAGIGRITRGLLLSLADKVDVVEPIK KFTDALADVPGVGEVYNVGLELWKPASGAVYDLVWNQWCVGHLTDLQLVGYLRRCGEA LRREEGGKVVGWIVVKENLTSEEDVYDETDSSVTRTEEKFKELFKEAGLQIVRTELQR GFPRELYPVRTWALQPMI VE01_02477 MFYLCLFIEGESASAANSHYFNITGTAASAIVQPTSTAQSTSST ETTSSTHTTSSTIADTSTPTSAAESTSTSSTDVASPPPAGMSVGVKAGIGIGIAAAIT SGIIAGWFTFGRRKRQNVDLPKNMAIKSGYYQQEKFQGQMPYQWGSESTVVAEMFRQE QRNQLSDESTIAPRMYEQAQRSNQFSDQGNVVPQIYQGEQRNDHIYELPDYRAQ VE01_02478 MLKVPCNQNQKFSMCYRVSTDESVFNYPPDLGCLPSGITIANAT IIGANRYWRQSYSDQTWESEYCLNAFNDCPIDANGNAPVTPCDKDAEQATTWCCGQSN TDCCDTPAYPEPLFVVLLEFFKDHTHDNTCIIPHRNTHTTSQRALHRGKAGIGSAVGV GAAVFIGVALWLLMRRRKRKNRTEEHSVPDLSEKYGYVGGISELDSRRTPTQLDAPVF VNEMEGSRGSAQKNSAGKANNVYAPYGGIPAELGDGKREI VE01_02479 MARFTLLTLALASTILSPLSTVLAHPTNGPRNTRLGCGVVPTPE FLATANEMAAQEASSKSALGALTAAGGVSAAATINVNVYFHVVAKSTAASGGYVTAAQ IANQVTVMNNNYAASGFQFTNAGADWTVNSNWASDGAELAMKKSLRKGTYKDLNIYFM YAIGGNLGYCYFPTNAATGSNDFYYDGCSILYTTAPGGPETNYNLGKTVTHEVGHWMG LFHTFQGNSCSGSGDSISDTPQQLSASSGCPTGRDSCPTVAGLDPIHNYMDYSYDSCY DNFTPGQNTRMLSMWNTYRA VE01_02480 MSSDLSVELTAPNGVKYTQPIGLYINGEFVKSSNGQKIETINPT NETAITSVYAATEDDVNAAVAAARAAFKNPTWRDIPATDRGTMMFKLADLIDKHAETL ATIETWDNGKPYSVSLNDDVAGSATVLRYYAGYADKNHGQTIDVGADKLAYTIKEPVG VCGQIIPWNFPLEMAAWKLGPALACGNTVVLKAAEQTPLSILYMASLFKEAGFPPGVI NIINGHGREAGKALASHLDVDKIAFTGSTTTGKEIMKMASINMKNITLETGGKSALLI FDDAELDQAVKWAHIGIFYNQGQVCCATSRILVQEGVYDKFVADLTKYVSEIQVVGDP FAATTSQGPQITKVQHERVLGFAQSGKDQGAKLVCGGESFTDVGDGKGYFVKPTIFSD VKPEMDIYKEEVFGPFVVIASFKTEEQAIQMANDSIYGLGSAVFTQNIQRAHGIARKL EAGMVWINSSNDSDFRVPFGGVKQSGIGRELGEAGLAGYTNSKAVHVNIGTRL VE01_02481 MSQRPAPSRPVSQSTADGRDDSSPAGTFRGQEGQHAGLDHGDEI SRDQNSQQLSQQPAAQPHAQTYPPMTPGDNCAPGASRGVGVHTMLNPTESANSRVQST FSSNSQMAFVDRSLPQQTTRAVIPPLISRLNQPPNSPSLLSANAGFAGRRRRSLAPSV NRTVSLGNAAMGGQRPFVQPPPEYESGWPHVVVPGTGASSEIPPVPAIPAHIRGPINL PPPVSGPPLNRRASVAVMGGPAARLPNSQSVSPNSPYSYSSHPSPAAMTQMSQPPSGP PYFTGPTQRGAVPEGGPSQGNLQEPGPYTTHPPEIMPPQGPVSGGHDLLWAARNGEFN IPVDVDVGSIEAGNRRKRNASASARFRKRTKDKKEQENKEIQDRDKMIAYHKERSDFF QAEAERMWRMLCDNPATRNQALQAPPRSPIIREPSPLSHDLSPGSRRQSPGDDMTQGE RPARRRRLDDQGEYGRPDNQSRPEEGQNMQYLPPTTPYASAQPRPEGGQHPQHLPPQT RSVSGPVVVPSWLSNTAAQRPQSTFYPPGGSDRSWPSGQLPQPPQQQPQYQAQYQTQY QANQQANHQANHQANHQANHQANHQAQQQAQQQTQQQTQQQAQQQAQQQAQQPTPKPG DSSGQRQ VE01_02482 MPELQRWEIQEPYLRPNGDLLEGPFYEPENNRLRFVDIIQRKLH VIDIAEGPTSLKTIDFDIPVGVTADIEGVDSKDKILIGGKTGIAILDRNTGKYEYIKK FYDDVDEARDDRMRSNDGNVDSEGRMWIATMTDFHAGPCKPEGHLLSFDSNLNRASHR SELVIPNSVGWSLSNTTFYLVHSTEATIYAYDFDAATGAISNPRVFWKLDTGSEPDGF AIDVEGYIWQAVYGDGKVLRISPEGKTVGEIILPTRNVTCCAFVGEDLWITTASESAE NAKLYPESARNGGALYKINVGITGVDKHKFKLTEETRKTVGL VE01_02483 MSDLYNATTFTNRAVTPTGLGGFAYPDRPTVFIFLPGGWSGDMW HCAAATALCQSEDKDVIRAYAITIIGMKEKVSLARDGTVEVSPDYSIIYGNRTYNYFH SIQIPALLARLNKLESRFQPLFSGNITKGSGEYLNKIIALYRANYGNPNAVCSFMWPL TPETEEVANARPFQLPPNVAKPVSLAEDVLFPNDDDIPELATSDINPPYLFDGNEDAV TGPGHMLHLWTSTTITMQYLYDPNKRAGRIQYLQEQLGNISKTNSTWFQQARALADKL VMLATQEGVDRSNAKRVVLFNYRKGDVNKQHDGNIGLLSSVSQFGATKGFVVIALIVN VPQEEVDYLRINNHVVLNLYAKGQYYDKRYTATFWSIVANELQGTIVQGLIGGRSGSM DIASFMGVNTCSFDEPVFGKGYKFDDEYILAQGGQLLRLMSQYPVMSIVYVSVDSWYD NRQIYNSYDELDERGLGEWLNRAPSDPHICPAISVVEVRFTQAGSKSLDTALFRMEND DMRIRATSLRELLGLQ VE01_02484 MCIIVSTSHICHHKTFSVATRPCQYFLDNYARQRWRSQHECPYK RFTHKRDGKVCQGCTTEFAHPYRGPGGEEGGGEIERIRTERMSRRESMRRESMRRESL RRESVRGERARSPERGGTFKRALGRLVVIGAVCLVLTVRRNSEYF VE01_02485 MCNQLTTSYSCGHTKTTLLHCPLHDPQDAGAFTTPSTCRRLKET TKKWQCVCTYCDEQLVREAEEEERRRRSDARERERKLMKMKKECSVISYDLFAHVFAH VFAHTVMLL VE01_02486 MASFIKKFSGGSVDKKDPVSTPSVDSKTNLSGEVIAEEQGEVYI TSDDRRNVGRVSAAFLVFNRMIGTGIFATPSSIFAQSGSVGLALFIWVAGMIIAGAGL AVYLEFGTGLPRNGGEKNYLEYVFRKPKFLATAMYASYVVLLGWAGSNSVVFGEYILH AANVEVNRWNQRGVGLACITAAFLIHGFALNWGLRLQNVLGVIKLLILLLIVFSGFAA LGGHLQPGVEKPDNFTNAFAGTTGSAYGVVSALYNVIWSYIGYSNANYALAETKNPVR TLKVAAPLALGAVAVLYMLANIAYFAAVPREEILSSGRILAASFFRNMFGPEAERALS VFVALSAFGNVLSVIFSQGRLVQEIGREGILPFSHFWASNAPFNTPLVGLAEHWLVSV IIMLAPPPGDAYNFILNVISYPLAVVNVFVAGALVHLYLHPSADHRPTWSPPFRATLP VVIFFLLSNIYLVVAPFVPPDEGQSIYKDIPYYLHCLVGIAILLAGALYWLIWAVILP KLGGYELDREVDVDAHGWSRGKFVRRKIE VE01_02487 MRLTHTPDSLPLKSDHWSHKHLTPSKGGGPFGVNAYCKSRGCYC EGMMGERDDDLDEESQADEEFDWDEFYASEQEDKE VE01_02488 MSDQEITPKTEAVDAALGDMKLAEEDSATEAKLATPPSNTDDNP QPSATPTPKQSRSPTPEDMETKSETPTSEDEVNTEVVEGDIVVVQEAGKAPKLSRKAS QKVVFRPPPLFDHLEDATPECVTKFQVIQDCIYGSKYMGSADHEALGCDCSEDWRDGK NHACGEDTDCINRLTKIECMDDECNCGPNCQNQRFQRKEFSKVSVIKTEKKGYGLRAD TDLSAHDFIFEYIGEVVNEPTLRRRMRQYDEEGIKHFYFMSLTKSEFVDATTKGNLGR FCNHSCNPNCYVDKWVVGDKLRMGIFAERNIKAGEELVFNYNVDRYGADPQPCYCAEP NCTGFLGGKTQTERATKLSHTTIEALGIDDGDGWDVAVAKKPRKKKSGEDDEEYVNNV QPKGLDENGVTKVMATLMQGTEKWIAVKLLNRIQRCGDERIRQKVVQFHGYRIMRICL ETWKEDNNIILQVLDILYQLPRLTRNKIVDSKIEQVVEPLTKSEHEDIASESLRLMEV WSKLEVAYRIPRKKFDPTAQAANQFDARRGGDREDEPSHTPNPTAAAPTGPRNSTATP RGPARPFQPRPPVPRRAPLPTGWFATLDPAGNTYYYSRGGQTTWQRPTLPANDAPAPK KNIAKAIQDQKRLQDIIDSVTKGDQAKPVATPKMSEAELKEKKRAEKWRSLPEEKQMK IYENTLAPHIKHVMDKYRKKLPKEELKRFAKEIGKILTASDFKHKRVEDPTKITEYHE KKVKKSVRDYFEKAVAKRVAYEKKKAEKKAAEALKNGQTPASVVSNDVATPATADTST PMDADAEDKAAMSDVDMSDDELHTQSVPATPVGESSGDDTLKRKRNEDAQHTPADTDD AFAKRFKADGTAVDASPGPPPPPPPPPADAQVPDHIEEEDPAAAEMRAAQEALERENE AAVREEEMQQAMAQGVEGAVCKMGDGNTVNGTHDKSGNNDAEGDNNGSEMEGLLQSER TEVLSH VE01_02489 MAVGPFSEYEQRFLLSEILKTSNIPIDALLNVLREQNFDPTWEE VGLPAGRSMNSCAAELAKLNSSPSGDSNPDSAKQTSRGIKRTLSASAFYGPATPATKA REIKPKPAAASNGADKPVTSTPATGSARKRGRPSNAELAQRAKEASERGEAEKGVYAT KRKSISDQSVGEPPAPGSEPPAKRGRGRPPKNKAPVANPGVDVEEESKPSEADTDVAA PADPEPEPVVNDKTPQDDAATKETRQNLEVVARAASATVSEALAPQPQIAPSNTPAPT TSAFKKIFGLSSQTPTTQAPPPEQAIGAAAH VE01_02490 MSDGSDSPEPDLEETTRFLQDRLGDDASLYDISPSGELFRAISR FNNRTARLAQVLGELDRTAEEAHATRLRVEQLRRSMSSSNNLRDRVRRQRARLVANRL GSTGGAPGIDVAPPGYTANPSVPPQGSGPPPRPRMTESGRLLEVHRSLTEGRRRSPTL ASLEEMYQSGQQLQQAMSQTRSRFEAPRIEQLLAPAQEQGDENSRAFKRRRLGSPVTE SRIPAVNYGYYGQVEPGKLNMEIEFCDGGTFSEGSERDSIIYGAANVLKTDNSVYSTK SNRCNLVLKHEGDRPFCLKEIIIKAPPRGYTAPVQEGMVFISMDADDLLTRTTKYQIQ YLPTPHRRNARGNPVAAAPVISIRHNDDGSTTATRQSPTVYTDGSAYSRPAAQIPTDF TDPACTPPFRVTTSCSSDTESDAGSSTSSRRYQRANRNRARERRREERERMGHALMEY GETSSEDSEEEPPAPIELDWTDEVGDWGAAPPPRSRSRSGSRGARRSQPSVVSLGLEN AIEAADEATEEAVKAVDGRGSGQGLMAPHARFFIERDKSRCRLVFEPEVSGRYILLKM WSPEVGADGNIDIQSIVVKGWCGGRWFPKVTLR VE01_02491 MAANTEDGGPSLQNEATTNVAPETGITADEIALYDRQIRLWGVK AQEKIRNANVLLISMRALANEIAKNLVLAGINSLTIIDHSIVTERDLGAQFFISAEDV GKNRAEAAAPQVRNLNPRVNVIVDTDDISIKGPGYFQNYDIVIATDLLPDTLNLINTA TRVNNKPFYAAGVQGLYGFIFADLIQHDYVIEREKGNRETLLQPETRTRSVIDAKTKK EGGKLIEMVTKREVYSTWFLASDTAPLPQDYAKSRRRLKAVTPILSCFRALWEFQQIY DGRFPSNHADIAAFTTLATSKHRALGLPTETLRAEVLRSFLQNLGGEVAPVTAILGGQ VAQDVINVLGQQQQPIQNLVLFDGDLMEAPAYALHPDGDLGVALLPLSAAAQNGNAPG APNGEN VE01_02492 MGKNKRQRLGGGPTKKYKPPAKPIPKHLKASTKGPATTPSAAAP AKKATPQKKQAPIIPFSSSDRILLIGDGDLSFAASLVSAHGCGHVVATVQERNRKELE EKYPHVGANVEVLEGREQKVVFNVDAGRMGVWDREGRCGGGRKRGGMDRVIFNFPHVG GKSTDVNRQVRYNQELLVNFFKRAIPSLTPGGSIIVTLFEGMPYTLWNIRDLARHSGL AVERSFKFQASAYPGYHHARTIGVVKTSAGEVSETGWKGEERPARSYVFIKKDEVAPV VSKRKRGDDDSDEEEEEAVEGLSELEEQEEEDAAADHSEKEDVDSGKEEEDSGSEGEG ENQD VE01_02493 MSFGFSVGDIIAVASLANKIRQRLFDSPEQFKAIATEVRSLSIV LQDADIAVSERELTGQQKTELDGIVQGCHDLLEELKIALDRYQELDPDAKVSSGRSRK VWKRLTWDQKDIDGFRGRISSNIILLNTFLGLISSQAIFAVKDGIDRLNLGQQEQEQK EERQIIIDWVSTINYASQHSDFVTRRQEGTGQWLLDSNEFQHWLNHGQQILFCPGMPG AGKTMVASIVIDHLYAKYQTDSSVGIAYLYCNFRRQDEQKPVDLLASLLKRLLEGRPN LPISMKDLYEQHKSKQTRPSFGEILTELRSIIASFSTTFIVIDALDECQVSDGGLTKF LSEILRLQTNTGANLFVTSRFIPHIVEEFQGAPSLEIRASDGDVKRYLDGQSSRLPSF VRRNLDMQEKINAEIVKAVDGMYELSHLAMVEKRANIVRFLLAQLHLESLIGKRSPKA IRSALEKLPTGSEAYDYAYKEAMERIEGQIADSQDLAKQALGWITCSKRPLTTLELQH ALAVEIGQSSLDEENLPDIEDVVSVCAGLVTIDEESEIIRLVHYTTQEYFDRNWTSWF PNAEKDIAKTCVTYQLFSTFRGGSCAHNELEERLRLNPLYDYAARNWAYYASSESTEV EELILDFLQNDAAVCASDQAAVVPWDDYPDYDIWLPRGMRGTHLAAYFGLEKVMRNLL RKGHHPDSKDSFDYTPLLWAASKGHDEVVKLLLATPGVNADSHTNIYQTPLSVAAYCG HESVVKLLLAEAGVNVNSMQYGSNTPLCLAAERGNEEVVKLLLATTGIDVNSRDRGGV TPLFLAAGNGHEEVVKLLLAMPGVYVDSNDSYGETPLWMAAQNGHEEVVKLLLATPGV DVDYKNSHGKTPLWMAASRGHVEVVKLLLATPGVNVDSEDIDGRSPLSMAALCGRDVQ LVKLLKSGGAMTD VE01_02494 MSSLLKKKGAASFKPRAPARRPGVTAPPSASPSIPPVEKQAHAP APPVAHNVPIPSVEVESPAAPEVQPAPSVEKPVARQDSAVIPPPPKDLAAPATSAKKD FAVIPPPTKEVVQPPITATPLGQESAVPIPSSTRRRLSHVPTPPQTQETVPISKPTTR PPSIPSTATAEPTPVTAPSEEGLLERETERLAVISTPQDTAIIPPPVSQPTQQHIDRE HVERNDTAAISAHDESMDLSALGAGEAGHPSQLIPVVPLNPDGTSGVPIPVLPPKAKP RARKRKLDDANIDIRPSIEVQVHATKAPRTSAPRKPRKPREPRAATSSTPKPRARKKR AETPEDAEDQEIDHSTIKMADLCKDLKIGKKFSKHDEIKQRDIDRKAKAKLRRENPEL VGASDDERPSATTRSATETPLQTTSVGPRMRLVDGQIVIDESSLNLDRHKLAAANAGV MEIIEENEFTRITTSGTFMKREKNIFWDLEAEEKFYVGLRMFGTDFEMISKMFPDRNR RQIKLKFNKEERLYPSKINSALLGEKVPINFDEYKSHTGLEYEDVAVINAEREEIEAE QNAEEARVEAELAEATRQKKAAIHATRGPDGKENDRFGGGSNAGKSKNKSKKKNKASA FGGGEDVEYLGDI VE01_02495 MKVAAATVGPLPATIIKMEDRKRAAGQSVDDLAPPTKRQAMNGG SKVSVDADMPWKDDLERYQKDAILRQMTEYKREKALLESELKDVRKRSVDHDDHLRVV DAWWSQLLDEVTLLTKNSAPSTFNVDAPFPTATNFENSVVFSDHLASKAKQIKEMVAN IMANLDTSPEKRTDEVQDLQQKLNKLLASHKDEMVKYDRMRVENEQAKERLESASLRA LKLERKLERSKSSTVAKLEQQAIAGTGNSAGSGIGSVENGFDTRAEVANGSKDNEAKV EASEVALKEAVAVSDKQKQQLEELFTENKSITEQLTAANARLANLTEDDYARTELFKN FKSQHEEVIKRINHLEATNIQLREEAEKLQAERTAYRTQLQNEAETVKEELESQLQRL DSDLARVRSTRDELLADQTMRRANQDQERTAIGQMKELVSAKEDRVTTLESEVQRLRS VVDGSCEATPKPEIEGLDLAELQRKYVTLEQSFASVNNELPAMEKAYKRAVATASKKV MDFAALEEKVSILIAEKSKADQKYFAARKDMDTRIGEVRALRAQNSKSSEIITQLKEV ESSNRTIVTGLEKQLSDARQLYNSTVSDHKKLQLTSSESASKLEGLRAQVAELTNMLR SKDTALSTTKQRAQSVETELEQVRSRFEQSEKEKETWKAKSLSNQSGEEEMLRMLALC TICRNNFKNTVLKTCGHVFCNHCVENRLANRMRKCPNCSKAFDKMDVMTVHM VE01_02496 MHILPHLTPLLLALLTLTPPATAARKSGTSVLLSQVKSLTLRST ASTAHRRVPAIPQLKCMGGNGCRHHQVDVMRCKNAGSDYDDENIQWTCTADLPEEFKL GSTDVICEGYDGPEDPRVLKGSCGVEYRLLLTKRGEEVYGGGGHNVFSGQDGDVSGAA QVVFWAIFLGVAAWIVYSIWKNWGTEPAAPRREPRRDGFWGGGGGGGGGGGDYPTDPP PPYPGTGKSSSGQEGWRPGFWTGAAGGAAAGYMAGSRGNRQQENRGARGWFGGDRQQE PRGGGGWMGGGGNGYNYGGPSRSSSGGSSSSGARHESTGFGSTTRR VE01_02497 MRQRITFVHEPQDGIDPKSIGIHTNTLSVSGLKAAREDHITLSL DELPQELRVALSQTKELHIRYVTAASYESIPPFNSKLSPGLHVYYTPKTEVGKEGQEF SDLLCGLIDTLFDLKDKSLCQTPEISFTSLPSKSPKHKSSYEFYLRSSALGGTSALQS YFKRICSSTSCLTRISEGATAASIDLDYISTTGLASLTTSWSPRTWPSFAISKISHTD RVELGILSNEKPIRLDDLNMSGFLTVLGESEKPAPTMFQFPSRHHRHPAKFSSSFIEP TGLHPTLQLTIRDSQPPKNREGCSLNAHLMLPRSVFPDKYQFRDALFMASKNLTALRH VTVPVDLEAPEYTMALWGSSLLVELAPPPPSEESWTAEIPLHLRYLLPSESGYSSTSL PSPVLFWACEADEESKLEGSPFDRVNLGYDGLFGDKTLFYHLGRERGEEGYKEVSVPV LSTRFNGEMEVGTALVVALGFGWVLWKLWGVGRGVGYGSGRGGGGKVEGKEE VE01_02498 MSRNGDEGGSRAGHGPNTSTSSRPRLIVKLPVPSLRTMSIFSHP PTNSHAAAATASSALPAHPPANAPKRRGRPPKNLHRPDYAPPPPKPKVSDLPKPLATR SSKRKAAEMSGEPGAGAGGGSVTGDGDEEDFDGNHQPPRKKSRSVSQAPAVRISTRNR GRRQSNSEGANLEGAEEIANGHVTPAASLHKESSQSEREIQVARTSSRARKQENLTSS NELMASIEPHERLERDGGAMGVTTRAGARTRSTSIKAHAATQDDDTILSVVATEEVLD AVNGEADGIQSDAGTPLKPRGRGRWPNGAIKAKAMVAALSKKAASKKKGAPGKRKTSD DAVVQAVYDRQAHLRSSYKDLRKIIIRAEQALLDRALEELEEDPDRHKKTPYYDNVMK GLDARHTKVLAQNERKDMLKRDLLERRFEANVASTWQQHNLEVKELQEKYEMICKRAI MDILKRATRDDDDAATVSEIETLDPAHVSAVLEGAEENETEEVPTPVELEIKKPVPRG RKSAKRRIADDEPLGRRVAKRHAPAMLSATTQGGEDSEAEVAPEAPPIITTPSRQRNK YQFDEYGVCVPTESKKGAQKEEPNNRAVVKPWITFTNDEIGLRNYPEEIKETNRGPIP SGYIHLDQFRGIYDISGRAEDYDEELISRHLLHPRMGLPVPGSINPDNAVPPTDWTKV LKNTPAPIYIRDEEYPEGQSTSKSWNMIMTEREWKELERRMDAGMSLRVGLGRTGLAT FDAIARDKDLQSDMIEDILAASQIIEKELKEKLQRSVTPQKKDATPAVEEAAPIERPP TPDTAKLLLLADAIEMSILEPKVQSPPPPPPPHPIHRASFTQAPPVQQAPPTPRSQAQ MLSIAFINNPSPSHNALPPLAPQVPPPQQQQHQPQQQQQQQRPYPGPVMMAGPPPHQQ PPPPPPPQRTMQMQYPPIQPHPPTSFYGPSNSPSLRTSRSSSGLRNILPRAIPNEVPR QHHRYQQPPPPPPPPPQQQAPQQQQHHMPHEHQRFYEGPVQLPPYLGGEQQQQQYGGG GYRQVAPRQPYSPYPPPQQHPQHQGHQMHHQHGQPQHGQSQHSPLQHQGQPQHSPLQH HQSPHTHHGSPHHPPTHPSPRR VE01_02499 MAVRAQFENSNEVGVFSTLTNSYALVAVGASENFYSIFEAELQD VIPICHCTIAGTRIIGRLTAGNRKGLLVPTSTTDQELQHLRNSIPDSVKIQRIEERLS ALGNVIVSNDHVALVHPDIERETEEIIADVLGVEVFRQTIADNVLVGSYMSLSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSINRGSSVVGAGMVVNDWLAVAGLDTTATELSVV ESVFRLGEGMAPGMINTSLKDTMVESFY VE01_02500 MPPPRTTVPDSDADSSPTSASTSPEPLAPPSTTIALGTSRKRSA SPDPSSADGDDVSKHVPRAVDAVQGPSVGAANTDEGTTTALELMSEEARAEEKRVKQI EAIKARNKELTAEVEAMEAKLADARGKLKNPDAAATVKAHIKRLHAYNEIRDVGQGLI GLIAEQRGVRIGECYDGGEFGVGAKD VE01_02501 MSEGPTSRPPPIPPASFPTHNSPRVWFLTSSLSPLSVRLIRLLL AHGDYVAAGLPPTELSDPARSAAFRALINECKSPRRTTQGWSHRIRGIRCDGRLVSSA QAALAEAVETFGRVDILLCNSSEAVVGSVEELGVSDATRDLCRRQFETVFFAHVNFVK AALPMMRAKRNGHVLVLTGVGGHIATPGMALHSAAQWALEGFCDSVAYEVAPFNVKVT IVQPNMEVGVLSNKVVFAPQLPQYDSGQNPAPGPRDILCNVVGYHPEEELDESGERME EGIVSRYPELSTESADRLVLETVHALTAIGGHENPPARHIVGNEGVQNVKDKLKTVSE ELEDFIEASLSADGAYERTGAPRGESVEKAS VE01_02502 MPARSEISYFGAGPAGLPTSVLETAAASLVNHNDTGLGLAEHSH RSALASGILEDTKAHLSSYLDIPSDYDILFMQGGGSGEFSATLYNFIGFWVEARRLSI ASALGTDDEAAVTAALKKAVDEELKVDYLVTGSWSLKASQEAARLLGSEHVNIAADSR VANNGKFGGIPEESTWALSKAPAFTYFCDNETVDGVEFPAFPAAIDDGRIVVADMSSN ILSRRIPVSKYAAIFFGAQKNLGTAGITVVVIRKSLLPPSLATPSAKLMRQLGLPMPP IILDYATIAKNKSLYNTLSVFDVYIADLVLQSLLATYPNKVDGQQAVAEQKAKAIYSA LEAHPQVYEIVPHTSARSRMNICFRILAPKAEADFLAGATALGLQGLKGHRSVGGIRA SNYNSVSVASAEKLAAYLGAFATGA VE01_02503 MSGSDSKARQSSGGKSFFSRSSKKDRRQDSDEFGSEFQDKGSVA SRTSRHARGISIASVDQLPSPTTDSMSGVITSMPYDHAPDGRSPIPVEYLPRGDQMPM RKEPQPHHLNMGGSDYHQYPGYDPSTGQNQQNSSTHVLSGPRPPPNSANITMASTGNR TTQLQQWGPGQAQRPGSSNANHNSRYDSYSTYSTNNSAAYPRQSFDQQSVGSAAERAS VFSSNGSSRTVQPSASQTSLAPSQASSRESHRTTKMSYHRPDTMMQSSPSNFDVSKPA DERVIEQQFLALMQKRGWHNLPDQARRQMLAYPPGKKWTLVYQDRLTEWQGEQKRRTT ARQSGIYGQAEIMLANADEEGTPEWFVRKVMDNSITQKQLASLAVSLRTQPISWVKTF VECQGQVALTNVLGKINRRQANGPAPADGNTSDKDLDREYDILKCLKALLNNKYGADD ALHHQQVIVALATSLISPRLTTRKLVSEMLTFLCHWGEGEGHLRVIQAMDHVKNQMGE NGRFDAWMRIVEVTIDGRGKMGSLVGASEEVRSGGIGMENLLMEYAVATLVLINMTID APDKDLQLRVHIRAQFTACGIKRILTKMEGFQYEYIDKQIEKFRQNEAIDYEDLLERE NSSMKDGEEPEVQDLSDPTQIVNAIMQKVNGSRTQDYFVSALQHLLLIRDNDGEERLR MFQLVDSMLSYVAMDRRLPDMDLKQSLNFTVQSLLDKLHTDSEARQALDEAQEARQVA DAAMAERDEAKAQIELGADGLVAKLQKQLDEQAQVIEVQRRQADGLKSELENMHSVRA KEAQRNELETRELYLMLRDAQDIAASKGTKNGLGESDPQHMKGILDRERLMDRLEMQL QRQKTQYKLEGRVWGADNGPSDRLRALREEMDGGEAILGSTQPRDLTNSVLGSVSRST RIQRKPVDSHADLAESDREDDDDAVFEKPRVVELRRPPKVQGSKNVVPIVGASEDEDD GITTGPSHPSLESQSPKTPSDEDAQKMVATGFNGPPPPPPPPPPPMPGQAGGFTGGPP PPPPPMPGQAGGFNGPPPPPPPPPPPPPGMGGGGFSGPPPPPPPPPPPPGAPGIPGAP PLPGAMGPPPPPPLPGHSSGNFLPRPQFSTVPTLGLPVARPKKKLKALHWEKVDTPLT THWAAHAPTPAEKEEKYAELTRKGVLDEVEKLFMAKEIKKIGKTSGNKTAKKQIISSD LARTFQISLSKFSAYSVEKVVQMIIHCDTEVLDNTVVMEFLQKDDLCNIPDNTTKLMA PYSKDWTGPEANKEAREMDPEELTREDQIYLQTAFELHHYWKSRIRALALTRTYEAEY EDVSTKLRQVVTVSESLRDSVSLMNVLGLILDIGNYMNDSNKQASGFKLSSLARLGMV KDEKNESTFADLVERIVRTQYPEWENFTDDIGGVVTAQKLNVEQLRTDAKKYIDNIRN VQMSLDSGNLSDAKKFHPQDRVSQVVLRSMKEARRKAEQMQLYLEDMISTYDDIMVFY GEDSSDENARRDFFSKLAIFVTEWKRSRDKNISLEETRRKNDASMKRKNAMLLKVTSG TLADGSSAPPSPSSAGAMDSLLEKLRAAAPQVRDQRDRRRRARLQNKHQVRVASGQKI PDFDEIPELEAGLQSQESVASEVPPGEASSPAPSGATAAEEGGGGGGSDDVAARAALL LQGMRGAEGEDAEGAERRDSARRSRRRDTAEEERKTRRRRRERAGTSGVAGEGEAIAE EEEEERPATAGSGGGGVPVTVVRPPTPEGSQGRPIELDD VE01_02504 MKFFRADFFHRYTESTKERPLTSLKRRAQAAQRRRCTMQPDRPP PSQFITPPRSPPVTPDEPPEPPAPEPTTAPTPPPAPPQPSAEIASETAPPTPPPPAAA DDDPDPFDSTLEPALELSASDLACADISSKAPASVHEIEVSSSPQEASKEDEEEEKAP PGAGPKCSICHEFTGIPRCGEEEHDGRVEKVASLPCGHRFGHLCLLAWLDQDLGQTCP LCRYLHVHEECGHSVIPALADDAPPSYKGRGGRWEVPKKCMSCRVEGEPGTQMLKYQW QMEEARGMALVSMTQVPNEWAESRIQREWVRLSEETRRVEGTWREELERSWLGSQRGR CEW VE01_02505 MRLTTAAALVALLPCTALAHPALVSSRRDINGRAVNFDAFRLGT KADYSNAGFTAKSSIVSSLTKRGTYLETAQALVKQVAPGAEFRVVDDHYVGSNGVAHV NFKQVAHGLDIDNADFNVNVAADGSVFSYGNSFFSGDVPSENPLVARGQVDAVAALKG ASNVLGLEISADGAQAKAEEALEHYIIEGTTGAQQDPKARLVYFQKEDGSLSLSWRVE TDLKDNWLLSYVDASGASEVYGVVNYVSDATYQVFPWGTNDPSKGSRTIETDPADKTA SEFTWQGNGKTTYTMTEGNNGIAQANYDGDNSWTNDYRPDAPGAKFEYGYSLAETNPK KYIDASVTQLFYTANMYHDMLHALGFNEAAGNFETNNNGAGGKGNDAVILNAQDGSGT NNANFATPPDGQPGVMRMYIWDESTPYRDCSFDAGVIIHEYTHGVSNRLTGGPANTGC LNVLEAGGMGEGWGDFMAIAIHLKKADTRAKNYPMGDWIANDPKGIRNYLYSTSLTTN PYTYKSVNTMSAVHTIGTVWATILYEVLWNLVEKHGNSEARQPTFNGKVPTDGKFLTM KLVLDGMALQPCSPTFVQARDAIIDADKALTGGSNACELWKAFAKRGLGEGASRGSGS TGRKESTTVPSGVC VE01_02506 MSQKYDTLPMALKYDIGPRQFLFRQPSSFSSATSNIPLTKPPSY IPMAPTTEEPAATAATTTTSLHRLPSAPRHSTDSDTDSIISTNARLIYPTSHHIAQKD YTSTIYPTSFFRLLAAIFLIVALSLFAASGAGRAVPAIVFVSLALLRILFVFFFHTPR RARWLGWRGVNLAVDFSLFAGIFGAVGGAFSNQRNVAACVLGWVGVSIFALAAVDTGR PTRIAFTWTLSLDFWTGSGALSLDDNWVEARRPEMGGRASPV VE01_02507 MNSSSTRSYSATELDVVDFRIRKDGPSTLQNPHYTYAFRYWGFV IIRTTYTPESDLQWPVAMAKLSDWLLLEMKEKYNTSTTDQDRVIEKFRNLIADDKALY DGMPMNDAILHFDDILNEQYNVTLKYSSPEHFEKTRDFRIPELVEQSLVESDPEVKKD LLEASYWWLNSHICLVMDEESLDDLVELPVEIPGFDLTSMLQEFREDPHGPPPVPRTA YLKVAMQHSDFDLMDRKQYHSAEDPDWYAQWAKWAVHNGLGQMHRSYETCELDAGMRL ERLYGQPYVEIYGQD VE01_02508 MAISLLKGTAFVTGAASGIGKATAFAFARYGVQRLAISDRSAPA LRATALELQAQFPSVEIKPLIVDVTNDDEIDRGVADAVAAFGRIDIAVNNAGVSGAGK ETHEATSSDWQNVVDVNLSGVWKTQRRILQQMLTQESLGMREGRGTIINVASMYGLVG PPGYIAATAYTASKHGVLGLTKADAITYAPEGIRINAICPGYVDTPLLRADSQTDYMK KELLKVPIGRLSSQEETADAIAFLASPMASYIVGAALVADGGYSIQ VE01_02509 MAAQLPDLSQYGRYQLLAIAAPLLIVLYYIGLVIYRISIHPLAK YPGPTLWAISSIPGIRSLLNGRISFDYKELHDKYGPVVRVSPEELSFNTVEAWEDIYG HRIGKHAGRPNMDKDPIHVGSVEPIPGASSLTMANDIDHARLRRALAYAFSQKALVEQ EELLQDYVTKYIDNIRKFSEAGEEFNAVDWFNYTTFDIIGDLSFGEPFGCLDNKHGSR DWVVMIYESIKAGVLEQATRRFADVGTWAQQFLMWLIPSKARWIRREHLRNSKEKALR RMNDDSEHKDFLWYIMKQREKKSEVSDNEVIINAALFIIAGSETTATLLAGLTNLLLR NPEKLARVTAEIRSRFATEADLTFDNIMSLPYLNACFEESLRIFPPVPVGLLRRVPKG GDMIDGSFVPGGTSVSVPAWAASHSPANFKDPDVFLPERWMEEHQSEYGTDVKKSSQP FSLGPRGCIGRHLSYVEMRLILGRLLWNFDLAWSDSAAGKESHRRWDHDGECKHLRAF NTWEKPPLVIKASAAKKG VE01_02510 MSKSALIFGASGVTGWAFVNEILNDYPKEEIWDKVYALTNRPLN QSDSLWPNDERLTIVSGIDLLKGSQEDLEGAFQKIEGIEKVTHVYYLAYKASSDLLQE YKDAVEMFKRSTTAMDHLSSALEFVVLQTGAKMYGCHLLENHPTDYIHVPLRESHPRL KSPYYETLFYHPQLEWITSYSKDKSWNWCDTRPDIIIGFVPNQNFYSLATSIAVFLSL WAEVEGKGSACPYPGSHKAWVAKSIDSSSDMIARQTIHLSLALSKSSKGEGFNVADAK EPKSWETKWPEICSYFGLKGVGPPSEGEPTEVRTYIKKHFNAWQNLEKKHGLRTGIAD SELTFKGFEYFLLTQFDFDRQYDMKKMYETGFKEERSTLQTWGGVFDRMKKAKIIP VE01_02511 MAQPGILWVNSKITHPDAITPENFDKWYNDIHVPDILATSGFDS AFRYKNIDPKADRPYLAMYPVSDVDWLGSPEFSSIPNTSDCFPGPSHKCFDYADFDTR FYEFIHSYEKPGAPACPTKLVISAGLTPAAGTDADFDAWYREEHYSTLAACSGYMRTR RYKLKRAVKAENPTTYLSLHEFECDVLPQADLDKTAETPWSKKIMGSLLGAEIGVYAL SGAWGEVGGKI VE01_02512 MTDINNSDEKDEFVLEHLEAVKPDANVAFHHGQIAPEAIGGLYN EMPKGYYWSKDFLGTLIATCLAQISGYLGWVLPANTLSLINESLGGSPNINWVALAWT LGFTVGLSLVGRLSDIFGRRWFFIGSSLMALVGNIIGASAQSVNQLIGTNCLNGLAAA GQLSFNVVIGELVPNKLRGPFNALVLSTSIPFAVFGPPVARAFYENTGLQWRWSYILG CIINTIAIATYYVCYFPPSYRQLHVNGKTMMKQLKGLDWIGIFLFTAGLVLFLIGLNW GGQTYPWSSAHVLSTLIIGIATLIAFGFYEVYSGHEYPLIPMHLFNNIKYDAIVACAS IAAMVYYSMTVVWPTLVGALFTTSVQDIGWLSCAVGGGLLLGQIAAGLGIRYIPRMKL QMTVAAVVMVTFVAALASVDEYSRTRTVVFLIIGTAAAGYIENLTLSSMALVWEPEDI GLVAGVLGSIRTACSSVATSLYLSILSNQFAKHLPRYVTPAATEAGLPTSSLEDLFAG ITAGSFSKVEGITPAIEEAVGHAVKRAYSMSFRTVFLCTLPFGAIILVAAIISPNVED YLTDDVARRLQGKAVAAVPNSQVMTEKDTNPAE VE01_02513 MAEIRNIRTIDATTYPYIFEQNVSVPLSNGGVIRCNVYRPKAEK GHKFPVIATCGPYGKDVPYKDFHPKSFSEIDPPHQTEHSAWETPTPKYWTAHGYVVVR ADEIGIGQSPGLLSVKSSRTIDAFADLIEWASVQPWSSGKVGLLGVSYYAVTQWQVAS RNPKGLAAIIPWEGFSDPYTESVRHGGILSNSFFAWWYKRQVAPNQYGLPGRAARNWG PDTIEGDLAEEELAANRTASTDFLHKHRYRDHPAFASVQFNLEDVKVPLLSVANWGGI MLHLRGNVVGYMRAGSEFKYLRFITGRHDLPFYYPEEVEVQRSFLDAFLKGDDREGWT VKGRVPPVNLILRKGNVGYNNPTAEKTYFRRTEAEWPLARTRYVDVFLTSGSELLFEQ PVGVPTAKATYRALGTATPADTLSFQTRPFEEETEVTGHIVVHLNVSMSGDRWGSTPS DLDLFLSLRHISPAGDEIFYTGSAGEAVPLAKGFLRVSLRKTNPENPKHRSYLPHRDF LSTDLLSVIPNEVYPVDVEIWPTNVIVGVGGRLVLDVSSGDTAGTGFWGHNDPDDRSE SVFKGSNHIHFGGCYINYMTLPIIYPE VE01_02514 MPILPRFSNLTVVLKDGVALLKYDRPKAGNALNVPLIKARIDIL AGLKWADNEDLVKVVLQTGEGRNFTAGLDLRDKSVGGPDTVISDEFLDAIKELHETMI NSNKILVTAVNGPAPGWGTSSLGLADLVYASPDAVFFTPFVQLGICAEACSSITFAKI MGHQKAASLLLAGDRMTASELESAGLVNKTIPQENFLEDVLNICYRIAKLPSEALKFN KGLLMRNSRQELLEANEIELNFLRERARSQEARLAVNNFLLDQQLKRDQRAQARL VE01_02515 MEIKVQFPSDGNTLVGTLFRPDGAVGRLPTVVAAGGWCYTKEIV LPHIARIVNEQGVQFLGFDYAGFGESSGDRRQHLDPWSQISDYKNALTYAESRDDADP DQLGVFGISYSGGHALILAATDPRVKSAVSVVPVVDGYNNMRRVHGETRFRDFENAIL ADRRERAKTGGGGNRIEFATQTPNEVLSVWPFARVNEVFTQLKLTEAPLHEHWSTLES AELLLDYSVFPFLGRILDKKVLMIVAEADNITAWDLEIEAFNKISSPFKRLEILPSVS HMSLYSEKTDTNIAAKHTRDWYATSFKK VE01_02516 MSVIVEEPSLAVVQTLECLTLYWFGTGNSKSGDLCLSLAYRFCS IWDYGKKITERVEEFDISLKSELERRCLWACWASVCIVAEPKPFVRSAWTEMAMRPLP SSIFSTPSGWEISLGERMDAEWSPMPSFEQSGARRTAPALVGLMKIIGIWAKVQLFVT DLSSYSTPAKLDALSTLSDHATSIYHNTAPASGRVTLKNTATSESDPQVMLFDAFYYL CQITLHSTIVPLFSGSPLDPHIDAEVVRSSAQAALRHAELFTTLLMDYLDRGSDITCV SPVMGYGAFIASSVLLAFEISCRDKEADDGERSKISMVEAIVRMLDTLRIYWRSLHSP YEKLRTALKAASLRQDRSTPTQVNFETQHGSDSTERHPRMNSTHPISPVSFSQAQRES VSNLVQNPLTPSYHHLKDMGTMGSRHSQPVLHSPLTGTGESIERDEAAVDNTLMTLEV VE01_03237 MTSISAIRAQLQPIPLPTQSFADQTIIVTGSNTGLGFEAALHFV RLNAARVILAVRSVKKGDDAKASIETSTNRKNVIEVWQVDMSDYDSIKAFATRCDSLD RLDAVIANAGVLRNTYEESDGTEITIKVNVIGTFLLAINLFPVLRRSGAKVGKASRLV VTSSVMHEEAKFLERKEPSIFQAFNKNKKSYIGDRYSTSKLLEVFLVRSLAAAIRKGP HATEPLILNDVNPGLCHSELDKEAKGIASYILSVAKALMARTTEVGGRTLVHSAAAGT ESHGQYMSEAKVKEPSTFVRSAEGAKTQERVHKELMDILETIQPGITDKI VE01_03238 MQWTVLLAAFAPSVHAILRFSCSELVTERLDPLVFPGSIPSPHV HQFIGGNAMNATMDPAIDLPTASTCTTCTFTQDFSNYWTATLYFQARNGSFIRVKQKG NQGFESAKGGMTVYYSQPYDGSKVTAFKKGFRMVVGSPMYRTAAESSKNRQLTFTCLQ DASTRTGETTNMPVLPCPAGIMSNVRFPTCWDGVNLDSADHTTHVAYPSSGTFESNGP CPASHPVKLPQLFYEVIWDTTPYNDRALWPSDGSQPFIWSYGDPTGYGTHGDYVFGWK DTSLQQAMDTNCQPGPCAALSEQSIAAGNACSKARSVNEEVDGWLAALPGNNPVTGVN PGAGLGNPSGGGTPTNPGTGTGNGGTAAHWDQCGGQGWTGPTVCASGYTCKVSNQWYS QCL VE01_03239 MPSPVAQSPPKAEEAEAVAHQAETTIEVGGSESDTGYESEWASS VSTSVSSSVRDYTFENGRRYHKYSEGRYLFPNDESEQEREDMKHAMVLNLCGGRLHYA PLDNPQSVLDIGTGTGIWAIDFGDEYPSASVLGVDLSPIQPSWVPPNVKFMVDDAESP WIYPINNFDFIHLRHLASSLKDTPALINQAMVNLKPGGWIEMQELYFDLSSDDDSIPE AHAFSKWLTFVRQGLETFGVDLLSPAKTQDRLKDAGFENVTERIFKVPIGTWPKNQTL AKVGLYGQAMCVDGLQGNSMKTFTKGLGWTADEVEVFLVDVRDSFKDRKVHSYLTFRV CYGQKPVGGV VE01_03240 MATHEQLPSTSSRPPLDPTITGPTTNTVNIGTRRSKLALIQAQI VHDALKAAHPETEFKIHAMATMGDKNQVTPLHSFGAKSLWTFELEHGLLDGSLDLIVH SLKDMPTQLPPQCKIGAITSRENARDVVVMKPSLPYKSIAELPAGSVVGTSSVRRSAQ IARKFPSLKFKDVRGNLGTRLEKLDAEDGEYACLILAAAGLERVGLADRITQHLDSKT PGGGILHAVGQGALGIEIRSDDTKTQSLLTKLIDNDALLACLAERSLLRTLEGGCSVP IGVETEWIEKGKLLMRSIVVSLDGTEAVEAETLAEVLSEKEAEEFGLAVAQDLIAKGA SNILQAINLTKDVVVDGPAA VE01_03241 MASIMRSLRPVASRFAARTTSGVRTQNFAVRSFSARSAAPAKKF TTEHEWVDVSEDGKTGTLGISDYAAHALGDVVYVELPTLPMEVEAGDTIGAVESVKSA SDINSPITCTITEVNNILEEKPGTINNAPEDTGAGGGWIAKVEIGEQGLKDLEGLMDA AAYKEFSASE VE01_03242 MGHDLYQTAREPQQAEQQDDSMGGLEQSQTPTPQSGHDVRHQQS QSDPSGHEFRHQQSQSDPSAFQSSYQPQQQLYEQQMNADPAEPRFPAPQAPANNIPRP TSGLSGGGDRYGNMGTTYQEQNSRGSSTAATDTGRNKNSVVIKVGMVGDAQIGKTSLM VKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVA ILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPFLVGTKYDHFVNFPREDQEEISNQAR RFAKAMRASLIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENIGEPLLIYQSVG VE01_03243 MQDEWEVVAREERILLERRETEINKQPGQQQQMRYSTSNLFEEV PEFFRPMAVSGHMIETVPTSPGEEETNVNEPLLQDASHQERPQNSGEGVASHNKPRQP GYGPRKLAVRKSSPIGSALNPITRRSSSADLRGWSSDSKLPQRSATNPARPNALVSPV TYPSPNFLSYRSKGKGTDGILEMPAGAGTIKEEAKSEDFSPSIRSDVGVKSFALGSIY SSSSLRQERSDTRADESVIVYYGHEDRPQHHRLSDFPRSRPLTPELNGTQMVRLDGVA GGLDSVDEDDGDAEECNCGLNTGEADVGECGDQMHDEELFAPLSTPTTILRRFSITPL EMLHDSCNAQVSNYTSEVTHQDDGVKAPRSLGRAESLIRYLIDIDKFLNIPTEWAKDS SNTPRGQSTDPSNDSFKSIIEVPRGSDIVEEDIVDDRLPKGCTDLFDNVNNDVVGGTL EGANSPAVKDIMAPETAYRLQRGESSATEFTGSTSKTLDSVTDDWIEVSSPETFPTSL GSADLRAAGQPTPDKDLLSSDCDFISAEQHMSDVYHVAHETMDEGHVVVSLAPEIEMD AGNSCSIPDAATSETKTQSDEQTAAESTTQSEEPKAPETATHSDEPATATSRSNAEGE GQTSKASTSQPTDKVENPMGMRKRSKKSRNRRNRKIRAAAETAKAKS VE01_03244 MSFARSAFGALRSARLSIGGARAQLVRHSARRGYSSGHGPEASS DLPWAAGAVAVTVPACWYLLKESPAEAHHLHVPHKVEAHAEPEAEAEEEAKEEETKEE APKEEESKEEEPKAEAEAEASTTDNEEEKPSADASKSEDEGKDTTEAAEKPTTDAKHS TDVGGDSDVSQKAEGTPETSKSKDTVDVSKPSN VE01_03245 MSSTIPTNPNAQTVAALLPKLQDPDPDYRYMSLNDLFQVLTIGR PDFLYNDYNTAARAVDGIINTLDDQNGEVQNLAIKCLAPLVTKIPNTMLAPLIEKLSS LTTENSVDNSIPATALRMVVITLPRPVPGVAPTKEVIEAYTAMSRVLIPRLIGHLVVA PSGRSNQPAPPGMLQIGSKKEVDAEAVDVLIEVARCFGPMLQQPEVAALQEAIVGIIE NEKASSVVKKRAVVAISILAVYLSDSSLSAFIQHLIESFRNVHITPIQQRLYITILGS MARSIPLRFGPYLKGIAPFVLSTLSQQELDNQLEEAADDGEPNPEVDDVREAALVALE AFISSCGNEMRLYTEEIISSAIRFLKYDPNYVDDDEDDEEMGGTQSNDDDDSVGDDDD FEDDAGFDDDDDDASWKVRRCASKVLYTLIGTRASGDLLEDGTLYSQVAPVLISRFNE REQNVRLEVISAMALLIRKTGEGSAALQANEGSLDYMSQPTSRKRRRDSSITFDTKPL ITMSAGLTSPIIEPLPPSGPRADLSRLSPTLVKAVAKQLKGTSIPTKQAVINLLANLV SVQHGGLSEYFSQLVDPVVDAIKGTGASSAPSSGVVTTGSASATVSTLRVSALKLISD LCETHSSSVLQPFLPKIVPGVVSAVKDRYYKISAEAIVTVEQLVKALTPPRSRMVGAK HQTDIQNLYDVIINRVSSNDADLEVRSRAIHALGILLARTTSGDGAKLLSQDDRDEAL QLLKDRLKNETTRIASVRAIDTVAALSTGKDQLKPDWVREVSLELAAQLRKADRSLRG ASLAALKNLIVTPAARNSMDHSTISDLVASLLPLLNTNDLHLLGPALLVTAYLVEDDA KTVVSPGLNKALCDLLMTPLGGAVLDALLVLVKNIAGKGSGQPLMGGLLQEVSINGDP TVVGKVIGTLLVYGGPSVGVTIDMFIQELDGSSDDIRKSLALSVLGEATLRMGPNSPL KPETFMSHFTSEYTKLPLAAAVALGRAGAGNTALYLPAILNKMNEGGNTQYLLLHSIK EILLEAGSNSMDIGSQSKAIWDRLTLASQVEDNKAVGAECIGRLAILDPKLYMPKLQA YLQDPSPSIRAMGIQAIRYTLPDSDETFDAILKHSLIDMLTTMLNDTELENRRLALTT LNSAAHNKSDILLPRLGQLMPLVLRASVIQPELIREVMMGPFKHKVDDGLEVRKSAYE TLYALMETSFSRINILDFYDRVIAGLNDEHDIRSLCNLMLTKLVVLDSEETARRLDSI AECFRTILSTKLKDNAVKQEIEKQDEARKGVLRVSLLLHNAIPAASSNMGIATGQHQT WRTFWEWVEKDYEPQLRHIRDEGRETGPTY VE01_03246 MASPTEQSEVCIPCDQQFDDWKAYIRHMIVSDNHPHACATCGQE FQSEEGRQTHQRQAHPPDQSLICSGCHKEFTRCGSLVQHVEIDGCPVFRKLAFERIRD ANDDYYKKMGVPKKQNTATTADAIDKVHTANASCVPLEVLEASPAPAGTAQSEVGKVS AALARRVQSEVDEVFAAPARRVQSELDKVFAAPASRVQSEVDNVSAGPASHVTPRDIM TDFETPSKEAEYQEFKRNFPALTIQKPGVGSTDNVSILKKPTGMDLPPKGKAEEDLIE MSPNRNVPKRAWSNLAGLKPFNIAAGGAENMPWEKEAPKISPPPARSSKFSGPVTDNS NPSSQPLSLRSPMLTHAGPDLGAPSKPLNVLKSLQGLKLERPQLAAETSESTPEKPPP KYYHQYDPDQPGYNLEKHRNPFSRKYNCAFAGCSKLLNSSQALYMHLKSNAHLTDLYS CPFCFNQFRSASAITQHLESQTNRCNARDDRRFSQLMDQVAAGMIDTAGIHDDNTHRY YSAQIVPKLPLDTVHEAKEEVIVNAVQDPEYRLARIREREQKQEEERARIEAEKNAEW DQ VE01_03247 MAGVRKLIRKCERYCCLTFTYFPLAFVYSITTWAVWVEATIGFN PSQSAWIGSGTSFLGIALYILLNWSYTTAVFTSPGSTTDLHNGYSSLPTQAAPAATSF TVKSTGELRFCKKCQARKPDRAHHCSTCNRCVLKMDHHCPWLATCVGLRNYKAFLLFL SYTTIFCFVCFGVSATWVWTEILRDGQYEDNLTPINYMMLAVISGMIGLVLAFFTGWH IMLASRGQTTIECLEKTRYLSPVRRAMQHQHIAQHRSHEPPSYGQQLRDIHTNALPGV TRPEEGATPPAEPRYRTYEDLERQRARDRYQEYLDEQDSEKLPNAFDLGWRRNLYVLF GPKKLLWFVPICNSIGDGWSWEPSPKWIAMREYMRKERDEQLERERNAGWGTASGGWE GEPEPVQITRHYLNAPSPTTPSGQRSPSKADRVLGRDPGSYLEEPNDGHNIRMESLSR NRIGKEEDDDDYDTSSDEMETPRPGLVQHFSGFGAQRTFGGMKMGLGQAQKPEGDEED GDSVD VE01_03248 MHQASASSTGLDFLPPSRNLYNSHYPSTYTQTGWPRSLLPPTNA PVHRYATPDSTCRSAGLGPSVADRERWLQYASNIPAISTRGRLPTPPADDMSVHQPQQ STTNGVRQGINFPSIASYQTSASALAYPYNFASRAAETLRLGSSASSSASQLYDDRSH NGVTNGIEERVQEVVPRKESIHSSVQATPPVNGSSIGELAAQLTCLFWFETTETLRKA ENWTPSSSPVERIAPDAIPTATFRKWVLTILSTTQVTPNVILLALMFIYRLKTLNPTV KGKAGSEYRLLTVALMLGNKFLDDNTYTNKTWAEVSGISVVEIHVMEVEFLGSMRYSL LASKEQWAEWQIKLGKFGDYFERASKLPLPLPSPVSNTFPSSKLPSPTRVQSHSPISS THLSNSSFELNQQWPSQYTSSQPLPQPPQATKKRAFDDLWVEPPSKRPATISAAHIPA VMVPNSQVAVPRQQAPRLPVPQLSISTSTGAGYPTFAQTLPPLPPLNGRAMSQVFPAT PSWAPTPQVNVPSSGMPPQAQQAYSLPGSNHGTPSRRHSPRSIGVHSMNSSPISGIFP AAVHDLNSPSIFLQQRNSPYKPIRLPNTLLYPPPQSSYQHFQPGIDQMHYQPLGKRND YRSGVVPEYAANPVYQHYPSLPQPTFHPLA VE01_03249 MGFGDFDTICRNAPIPLCALVGSISNIDLGVGIEPDCYARNVEL ANTIIFQPATSFAHIAALIMAVIMVLHVRSKFTAVGRKEITTFFYIYMLLTFISLCID SGVVPPGSDPYSYFVAVQAGLASALCVCLLINGFVGFQLYEDGTTLSVWLLRSISFAF FVITFLVSLATFKSWAGLGPTKTIGLFVVLYLISAICLAVYIVMQFILVLNTLQDRWP LGDLSFGAGFLIIGQVILYAVSNTICHKVQHYLDGVFFTTICNLLAVMMVYKYWDSIT REDLEFSVGTKANNWEVKGLLPDDDPRRTTIYQDGDYASSFAGAPPRNSNYGGFSY VE01_03250 MLCAISGEAPQDPVVSTKSGNVFSRALIESHISTHGTDPITSEP LTVDDLIPIKTSRIAPPRPPTHTSIPALLSTFQSEWDALALDSFTLRQQLAQTRQELA TALYHHDAAVRVVARLTRERDEAREALSNVGIAPSGAGEAMQIDNAGLPSEIVERVEE AQGRLSKGRRKRAVPAGWATRADVAAYEAVAKAETGDAAFVDVDAAGAQALVGGSDGA AVVDVETGAVTETLAKGESVSAGAWAGEKTVLLVKAGNGGVVKVFEGAKEVGSIAAHA GPVNGVAVHPTGDVVASVGKDKSFVVYDLESTKAVAQVYTENELTACAFHPDGHLLAV AHQGTLSMYHTTTLTFAASFPLSSPALISAISFNQNGIWVAAATASGVTVFDLRKEGA EAVVKEFEGSGISGVQWDYSGQFLGFVGKEGVVVQAWLKKEKKWEEGLRSAVRGSAVG WGAKARRVLVVGGEGVNVLGQKE VE01_03251 MSPLPPATTLPSLPPTDQTHILDLLFEPSPPLHSLSLPLFTRPV SSYPALIAAIRSQLTALSTSSSPADAKQLDAILGAHPRLGAKKVDSAQSAAEQAQLGG GEEEARQLAALNAEYESKFPGLRYVVFVNGRGRGEIMKNMRERIDRGDGGLEREEGIK AMCDIALDRTEKLLNQ VE01_03252 MSTTTTYHWVNTLTSSLTPTTFTFTFVLTPSSKYTFTSKLPPAP PRPAPFKRRRPLWDVDGSPHPTKKKRRLRLGLVTSRLSRPYSSPASNIADRGVARIGS ASWPVSRRPEKNELRKAAIMNRVRQRLSVLKAAQAKPPPPASVPQKRTHSQLVSALAL RDVVAPMARTYEVPSHLPPSPLGLSNYDALDLEEEEGLGMDREGEDIDADMSGCGRGY YSDFSVRRSPRPEGEDYDYLDELDGIPPLSLAEEPPPLPQLPPLSIAKAEARQLDEKA EVGMDVYIAGGAHGAGAYVLA VE01_03253 MTESQRSHNKRTFGEMSSSISMARRPSADPSPSFPGSTFTFPTP PPPQTQTHSQGQRRTPHPHNPPRSSPFSVMLDPRHPPSRPSLDRQPTVIDLTDDHHER APSQSRRSSQRSTLPPQLERSDYTTLGDVISIESDDDDDEVEIVSSRALPSRRPSAPP SRLRLPRPPRSPRQHAGHPWPHLPSMLPPMDAPESILDNPSLLFGGLADMFPGSWRNL QGNMERYVLGRQSEIGPIPVHMRGASMRFRTFPLHEHVRQEPRKAEHVPPPPVGEGFT RSPKEDDVIVCPACDEELVVGPEEPAAGTTPSSTGKTAAKAKARSRKDREEHPFWVVR ECGHVYCNKCYQSRKPDTKSTSKNLFPEVQSGARKVPLCAVDDCTSEVGDKKFWVGVF M VE01_03254 MVDPKGLKRLFSDVLQEQEELLENSEVILDSESPSEFASRVYGD QPESQSRKRRRASIPEQTELNANIARFDHIPQDETSFNPTAASLPPNQLVPPSNLTAR PVFPHRTLPLPVPTAAPRHDPFTAATNALSRPAPPPNSLGIPSPHTGLSQPPRTHPYP SNMVSATPENEGSHRTPTRTPLPTMPTTQVVPPTAQDTWLGVVLQSTDLSIHQPRARS VSMPHVLSYGSSSSLEPRVEGAVAVADEVADAVADADVDAVADADAQPSTLQNADPTL PRAQSLSPFQNARLYRQRAQSSPLSMRGGALWTAASTPYQNGQTPVQNTPLPFQHGQF YYQNGYSQDAQPCSQNDYVQNAQNYSQNGYVQNCYAQPYYASGGKPAVAPTPVEDKSL ASLSNLFEKYRGSDDEKDSISVDGTMAYLTDLAVNLEDASSLIPLEIVQAPAIGEMTR DGFVKGWQKAGVDSRSTLDTIPKQKAYIASQTKLLSSDTALFKRVYKHTFVCSKERSQ KALPLENALVYWEMLFSSPGMCWASGTTDWLKLWLEFLNAKWTKTVNKDMWNQTLEFF TKSREDETMSFWSEEGAWPSVIDDFVVWVREKRGGGAVADNMETD VE01_03255 MLYELIAVVRPGSLAEVKDIARTAGSLILQNGGTIRGITNWGVT ALPKRTTKHQAVYHDGHHFVLRYDANSAVQDQVRKTLSLDPRMIKFGSVKLGDGKLDT LARIGGSIPWSVRERRS VE01_03256 MSKRAAEEFERGNAPLKGGKRVEKADDVDGLEFEDEFEDEFESE DEILEAGVDGRPDAEREAEEKAEAMEVDQQTFIVGRNKLEPGQTLSPDLSTYEMLHAL STPWPCLSFDIIKDNLGDNRTSFPATMYAVAGTQADAKREKENQIMVMKFSGLSRNER DQDSESDSDEDEDSDPILESASIPLNTTTNRIRAHQTPASDSSRPPTTLTATMTEAGQ VLIHDVTPHLTSFDTPGFTITPQHNKPLSTLRMHKAEGYAVDWSPLIPTGKLVTGDNT GSIYVTTRTQGEGWATDSRALTGHTGSVEELQWSPSERNVFASASSDGTIKVWDVRSK SRSAAMSVQVSETDVNVMSWSPLTTHLLASGADDGVWAVWDLRNWKPAPTPGASSKPT PVASFGFHKEQITSVEWHPTEDSIVAVAAGDDTLTLWDLAVELDEEEARGGEEGVPPQ LLFVHYLERVKEAHWHPQIPGALIGTGESFNVFKTISV VE01_03257 MENSEADDFEGLIDFLVQELAINDWNKGLSVSELLGRIETFYDN LEKTKLDAKHEDVGDENGEQFLTPVPEPEVSQRPIIDRSFQARVWDTLVARDDIIVGE GRELSLDEAEALPIKEEAQDELNNAIDPALLLEPPPEAETGVPTIEYQPRVHVNQRRL WLVLTGHDVDKTKLPFSEWQLLLAIAAAGNKGILQAEARNTTGQDKRSVPRRTDFLAQ KGYIEKKQVLAFNQKTSLLTHKIFLEANAAQRDIINPSELPASTLTQDLKPVPGHEHW SGLFVDIEMVARTAVAIMRAWGVIRRTHILAKMNINDLRLRKTLERIMRKLFELKVCK RVSALLPNNPKIFKDCIKFLRDPTEDEWSHFKKRLTSQGFKNRPSRAKPKSEHKPRAR KRTKGKPSKYAVEDLSEDAFDDVEAEESEVSDGYFFDGEDEEAYDASEKPAPMTTTKE SVEDIIEQVIETNPGDEGISEEDLLAEAETVSNEDLVALFSPFDISSASNSDKQTIRI VREPITKSGREIYQYYMMSSFERRVSNGESSWDGATVLHRPTQTSGRISDVSNYPTVA PQPLASSLGIASTDTPQTKQRTATTDIVPRKGEGSSKQTKAARPSTLIKGPSTMAPSR TTMPSQPETPKRPRGRPRKTEAKTVTYTSNDQLEREAGIPGAYFDLPDFPVPEQIRGP SGLLQRGRKPKRMMMMIKSKRLKELDLAPRPPKAQDKASVEAEEVISQIPEAAGDDNL EDQVASAVATPVANAQYSEEITKKDLIDSSRKETISTSTYTSPYPNVPITPSVPRLAS LSSQTKAAVANGPQVPDSSQPSAYVSPYARGYNSPYAKSPEISKTPAPQPQSMGTPAP KTLQIGLDPSATPQYKSPYTTTDGPAGPSKAVSPEAQVSPVLQASSISQPAQSKLYKS PYTAAIRSPSSPPREVSPAASDHQDLVTSQLVAEIESTAPESSANAQGDILLPEGEII SQPVAEVEAAAPEAVANGNETALPDEGEAMDVDTAGIPTGRDDAEELIHISASKDGIA GNLCLNDIETQLEFLPLDTEDLTSKWMIEISKISVFPAVDTETSEIVVVVKETQEESD ETAEKEVKFLVDPTSIEAATTFVAKIGTAMRRKKPKNKRIPLPPWAFPVPDGGPKPFK CDQCEGAWKNYEGVKYHKTKAKVTCNPNWTRPPTPPPPEERRKRSRESFALGDLTPEE AALVSAQRDQDGGWSLHDDGGRPKRRQYRRKQTVESEELRETTNLEEPGAQSLPSHRI GTPIPADTAAVTAIDESPSKFATPEKRAMLAARMKLKYPTANDRDNIERRTGPVITQK RKEVILDLLEANGGAFPGDQGLWFAMHAAWMQKYPDSLIQDYKYCNQAVGLLEDGGFV TKVKFSFRDRTGRMRTRTVVTKKGVNPLSPLVNDIKANIKEVFPRYYCPPDFEPPEAV LSMLEARESRPSEEERQRRMLAKEREEDPRIRAAKEAEALKEARIISSIVEAQKQTTN NGEQDSDDENEIVLLNAPFYIPDPDAEGETDVEYYMGRRRRRRKNGLYHRRSDSFREG QSSRMKALWASLKAQGVTLKDRRLNGDVVGESLDESGSDFISSDVEPEKQPLTAEEQK QADYVQLHGWQTAPSFLQNTDGSWGKGPSKQMQAKIHIRKSDLPEPVTYMQTADNPAW TFRPFGHGVNAIHVMSAKRGAVQQQLKSPGNIFRPVIVHKSKRKTRIGARDQLAGQNF GQDTVGSWNHGRGSNFSGGLTPKKPKSVRWDDESDDLRPYGLTVTGEEMPWPDFKPLP LPDSRLRTKSVMPEWTNNPGLDSLIQQAPGFSLPSKSPVERIGTPDSSIAAADVGSWA ASKGLFSRSAASFKDMLPKDLESIMSQMKAKGFELDTREGSSYTDFMAKIITTEEWEQ TIGGRTLLGMGNIAPDHIYINHTTEELRGPQTTEPATLSWLADNSFTLETLPYELLVV DPVQQLLSTTVKSKPRRGYQKRQRTEQASSFFGMVEATKSDFDYLSRKRKLPGSQLIG QPRLKRPYRRAAQSKDFRVRRHTSLPVDIQGLDIPLNDPDFEVSISYHGISGHRKRTN ERTVISEALDDRLIVAVVVIRTLTGGLDQSVDWVLVSRLFPQCTMNWVRRHFNVLADK HAKRLIKMTEDFQTAYLAAYESGELPRMDYDHLLDYDWDALIDWAMKRTQLVRTATVD LPSTREKFDEMYELQDVEEKNWRDSYFSSTLPVHKRIAHASASNLTLPASQPPEAPQG PQDDMLRIARSWARASTLTPVDSFNATTASAKLRGLGPEIMRDAISQLVEAKVIMPVN KGRPAPGRGFEATDVLTAALSRILTQAHYNEAVAYKACLDEAFRKDEIVMLEYTASEG EILATTNLQSAQRIVQTGHRIPMNKFGLTEGNYQTKKMDKTKLLFDIQISPSDTYVYD DTHPLIRSNMLSVQHNPPPKPHDGALPLWYDINDQLIPGLWRKVMGAVLATIMQRPGI EAKEVTRLLSPALEEWEARLALEWAFGVDALKEVAPGTGIEGWTVGEWWWWVAGKI VE01_03258 MPTKHKRSKAEDDGLTADLPPSEVAKPLAVARGAKSNGIFSSDS APARKPNKRKRRDDADDTPRAFARLMMFQQGKKLPSGLDDGIKPTKKQKQAAAAAEKG NKPQAPKVDPEQVEIPRIKPGEKMSEFSARVDAALPISGLINKTGKDGKDPLGLKVGR TKTEKRMHRMYAEWREQEQKIQDRRQEAMELAEEEELDDEGRVKWKLDPEDTVQTGKK KNGKGKKKKAIGEIDDGNDDPWAILKKTRNEGPNRLSDVYQAPPTFTKIPKEKFKVRG ARVEVEDVPKASGSLRRREELGEVRQSVVEGYRQMMKENREAAALRSKKD VE01_03259 MSEAGPSSTGRRISTGEFTDDDNLDIPLRDIREAEFERLEAGPV TKEAVEEEKKQSSRWPLWPLNKRRRSSAGYAKVNDDDDDAATPLAAKGLKSKPTKKAS GGVCGGKLVWGIFTFLIISNLCFLLAASFTGQMPNPLSRWGAPGTSSEGLSWYPTDFL RDVQPIPCHSHNDYWRTVPLFSALLAGCISVEADVWLFPSAETLFIGHNTASLTDNRT FTSLYVDPLVKILEDNNPKTEFNSGSMNGVFDADSSQSLTLLVDVKTDGTQTWAEVLR ELQPLRERGWLTFMENSTVHQRPITVVGTGNTPFDVLTFNSTYRDAFFDAPLQNMYED ANGVASKTTAITTPGGQGTTGTSATDSYTSLNSFYASTSFEEVLGKMWFFNLSDEQKE TIRGQIRGAKRRGLKARYWDQPTWPMHVRNEIWKFLWEEGVAVLNVDDIEGVKKVW VE01_03260 MTTKGINSKSPTIRRILREAAELSSTPSADFHALPTETDLFTWH FTLRGPPTSVYSSGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEE TWMPAWGVRTALVALRSFLETPAAGQVGGLDTTDATRRQLADQSRGWACQGCGKSNEE ILQESEEAAKALEAEGKARQEDTVPDELKLGYREDIEKGPGKPQDSAEASSAPKSSAA ADERTGDTEAELAEGFVQTAPLIPVEAPTFTPPPQWQPQYAAAQPGQAVPTPTSAAPA YAPGPAPVHRHQPDFHAIAQGHAWPQQQMQQMQQMQQVRQNQPDWSNGGVPPWVDRAI LGVVTALVVMLLKIVLEL VE01_03261 MGILQITGLCGAAGVLWYIWRLRNNKSIEVPQRRSSVAPHPPPQ PRELILDAEESDPGLLRKHSEFKSFTTSRFTYPNVRVFHRKHTQAEKLPKVPAPLPLL VFIHGLGGSAAQFHPLLTSMVNVSSCIAIDLPGCGRSSFEPRSWDAYKTDALAELLEV IIEEYREKDTKQGVVLIGHSMGCSLAALLASKNSPSYNGLSEHVVGFIAICPKAEPPN EQQTKLFKRLLWVPGTIFDLFRRWDRRGGVNSASVTRFVGEAAGTETKELQLRFNAQS RTPVWRRMASGSLPSYRDGVAEGGLPGKEIWAGLDCPVFLVAGEADHVTKAKEIAIIE GFMGTSHPVQNDTDDRSEAINDSAAPFDSSMSRDDRASMDATFDDELGTFADESFEDT GSMTPPTPESPDDIEAIYDDSDAPQKELGSLPPQPLKPKKVLKTTILPAPANHALLYM PASVRILAGLVSDFLGSHVTQRLSLGWQLQFLSTSGKWDVKNLAKWQAVAPVSAPIAG IFRAMKTLREVDENHCPEVFARDWSRWVKDVVDISHEAPVYDPRGLEKGGIRYHKLPT VSKIPPTSQEVTEFINFVDRLREEQKERKLTKGWGDELYIAVHCHYGFNRTGFFIVCY LIEREGYEVQEALDEFAKRRPKGIRHAHFMDQLFVRYCKGLKEAPTF VE01_03262 MTGGVSVRDVDAQKFINAYSAFLKRQGKLPIPGWVDTVKTGAAK ELPPQSIDWYYVRAASIARHVYLRKTVGVGRLRKVHGSTRNRGSRPSHHVDASGSVDR KVMQSLEKIGVLEQDEDKGGRRITQSGQRDLDRIAQTTLEAEEEDDEE VE01_03263 MEPPVTPPRRIQSSLRQTHRHHEDATSYASPPKTPMWRSERLTP TANTSRKRGRDTWAPATPTSLPRRKRREAVTGVSAEWAVGDGEDIFEDNGPVGTETEV SISHAIAAVEPLATGDSLGNEKQYIAIAVHWDADVIGVVCPFCMETEIHLMPRPSNTS DIDLRVPDCDPGKTFRLLFAGDGHPAVKGRKAIWTVERRRWEAVYIEDLCSEVSEGGS EVEELEDSTTEEPIRSGDLHHGDPEEILEDREEDQISTSSEGELPITADNDVDLPIAF SSDESTTEEPICSGDHNHGDPEEVVDDMEVDQIMIPSEGELPLAADGDWDLPIAVSDG DEEEAITLQENPEILRIASSLFFKPPPEYLTLGHGQTAIVYRPVAVIPVPGAVEKTVG FLAPTESSTNRIFTRSGWKGEEISGADCAWLQDALCTNKEAPWQELAIINNSNYTDKV RELSAHLGLEPKYHNYDKENPVGQVCFSHVEKQLVVVALEEHQSSRHLASTESLVKRT IYLDRAPCAGCLEFAKAVERTVPLRFTFIVMTRVMSDVQVRKKFGKRDLGKEGEDKET DDSADDEISPDHQQSSISETTGMNKETQDSGEESGDVYEVSAIIGRRTLHGELEYLVE WKEMWLNSVQFQAQVEGLYAKDLHVVCEMTGRKGEMRRLVRWSESWMGYKDLYNSKKL VEEYERKRGLME VE01_03264 MSLFGTSPDESGAASTPAKSRQTLFDDPSPANGSQSSLFADDDS TPGGSPWGMPTPKKASKGEVISTLLSGGDAPDSYVDVFESVQSKEFGQSGTVDVAGMT RVMNAAKLGADQQTRVMNTIAPAGNTLRRNEFNVFLALVGLAQEGDDITLDGVDERRK KLPQPDLHNLSTAPEGLPDTSELASKPPQRPATPPTKSIPTPKSRETRPRRESLIEDD PWGSPALHKGHNHGVPQPAPAPRHVESTATRTNGSFEPSERTTSNFTTESVIESTNGS RESARESRPAPNSLWYSYDGNSPNSYGSGLPTGLEQNAFAGGLGDDPHRPPVNQHRAI GSGGLTGNGVEENILVTLLPEKEGVFLFQHHNYQVHSPRRGSKVVRRYSDFVWLLDCL HKRYPFRRLPLLPPKRVGVNGSYLAADSTFIEKRRRGLVRFVNALVKHPVLNQEQLVV MFLTVPTRQELAVWRKQATISVQEEFTGKALLPGLEDSLPPTLNELFETTRSGVRKSA EVYINLCTLMDRLAKRNEGLAADHGRLSTSLMSLTDASHATYAIDTNDVPLLNEGLLT TAKHVTDSQSLLQDEARAWDEGVLEDLKKQRDALVSMREMFDRRERYDKDNIPQLEKR IKSNEEKLANLRSRPEGVKPGDVERTTESILKDKESIVAQHARGVFVKECLRDELTYF QDSQYQVSRWNQDWAQERVKYSELQADNWRQLNDQLESMPIGG VE01_03265 MDHPAIPNAETSFADLMALEKVPGKDHTYMSKTPAWAPGGSWNS FGGHVYAQALWAAAQEVPEGLVAHSTHGYFTLPGKIDRPYVYTISHFSDTRYTCQRSI SVRQPRRQSRRDEEAQFAITDADGEMSDVCFHMICSFKIATPTLSTHQEPNWGQQYTA SLGGKRPEDWDICPDIDMPWYEAHIRKFPEHKTFPGLEIRKADCEPYNKGKPPGDYRQ LHYYRKVGEMSRAEPNLHAAAHLYASDRNGLFLIARAMGFGDRLAKIASLGHSVIFHT DADEMLLEGDGWWTQEAWTTRSGGGRGSHESKLWSPSGVHVATTLQDGLVKKAEPGTL AMFEGFGEAFAGGDAEETEKSGDGAQMKETAKL VE01_03266 MPPRLPALTAALRPAQSTNGIVSAFLVPFLQARNASILSNLQDK DEAYSKRIRRGRGPSSGKGKTSGRGHKGQKQHGSVPARFQGGQTTQDVVHHQKGGDAA NFFSVEMSPINLNRIQDWIDQGRLDPTKPITVKELAESRCLHGVKNDGVKLLARGKQE LKTPINILVSRASAAAIEAVEAAGGKVTTRYYTKQSLRRLLKGESESSFTPLGMISAE DSAESTESPILAQAKPFSYRLPDPTSRKDIEYYRDPAHRGYLSHLIAEGEGPSLFFKA PSTTVKKSKKKVTKEGIVKGENKLW VE01_03267 MPSFNLSTRQIIVLFSSAAFLAIVVNSFILFGADAPRRVLHVVL PGQAKPEPELPRLKDWSNVNGDYDANLVDDQHPVHQRIVEKDALWDRYQERGKAISQN FSSIVLNYRERYGRNPPPHFDRWYKFARDRKVEIMGDFAMEVGSGIVGPFEQITDDLR PFWGVDPKVIRSLAGRMHSRIDDGIMGVHIRDGAVSSVNQGKEEWPKEWGFVEMIQSF VKDLPDMDIAVNLHSKPRVTVPWDELQKLLKTEEGSRVNTEHIKNEFSKHLPGLWEDA APKETVVDPEWKDISGLPYMSTAKEACPPDSLVHDEELLADKALFRYKSFLKFSEQRR NNNTNTLIQNQNRSLDLCSMGPLISDLHTMLYLPMGVINTHRLVPIFSEGKTNVNNDI LYPSAAYWTTSKDTNYAYDDKYDYAWEDKHDTLYWRGTVTSPPLGVDTETQNMQRDRL VRDLDAEFLGQHNVTIIGTDPLHPNIYGINPNNGLRNFQAAQYALDHSDAKFVSGGWC GENCDKSGGSSDFQNPTSLAEQFKNRFILDVDGDGISARFRALLQSRSLPVKSTLFKE WHSSRLFAWVHYVPLSIEYREIFSMLTYHVGYGNAEGNDRGEDWVNKDLYVQRHEFEG RLIAKRGSEWAAKVLRREDMEVYMYRLLLEYGRIIDDDRDNIGYPGDGSEVDESKWAK LIGLGN VE01_03268 MPLTTSSNAANTITNGVINENVDPALNPKAATAFPPPKTDKPRP HICATCTRSFARLEHLKRHERSHTKEKPFECSECTRCFARRDLLLRHQQKLHMTTTPS SRPRNRRESASSTATSAAKIRKGSVAKGHGQMRPRANTISHVDNAAIHLIAPNSANPR NIVQGTHSRHGSLMGLSAHDSYHLAGMSAALGQRGMSHNGLPKLDMDGVNTATMGGGL RTAPVDPRILAEFDWDMFNREGSTVNPNALHYSDSPQSMAIDSASAYQHAFPDMTGGQ PMEENFDWMNGFEHQLDFTANENAIDGSSPSAISTASQSGISEVMLDGSNQPVNPGSM WQQSMMAPALMAPGNFGFDLSLNGFPDFLHGGAPISPHNLSQKQASDTYFSTPPPSMS SLSPSMMPGLTNTNGIHHTMNFGPETPSSVNGVNPYSPAATITEATRQVLVVALNQIT PFGGRKNSFQFSAPAQFRGQPNENARSLPSTADLQRYVGAYVTYFQPHLPFLHLPTLS FDVPASQINSARQSGMVGGRGCLMLSMAAIGALYEMDHAVSREIFELAKKMIQLYLEE RRKADVRKADMRKAGVADSGHATSENSVHTPVWLVQAMLLNVIYGHNCGDKTAGDIAA THCAALVSLSRAAGLLRPPNGTHPDDLTSSDDTPMADMWLNGSMHDMSDEQREWINWR ITEERKRTLFTVFALSSLLVSAFNHTPALTNSEILLELPCEEDFWACDSAASFNAKGG VKAASQNLMLFHDALGELLRTSEKQHMHNGYANGGAPSTTLKPSTFGCFVLINALHNY IWETRQRHHNKLWTNEETEKMHRHIEPALKAWQEAWASNPSHSLERPNPFGFGAFSAD SIPLLDLAYVRLFVNLSRSKEKFWQRDYEGMAEEISRGSEIVQHAEHSPGSNGDSGAS EQMSEAAGGSVSGAHSHFGDTPPSSGGSPGFGKVLMAGGVQQGQMQGNTSAPLQQQQQ QSVTAPGTTSKRERHLRKAAFYAADSLSMSDKLGVTFADFTSRELPLQSALCAFDCAQ VLAEWVATVQERVGSYLGILGRDEVDYSQVPGIMLLEEEDIKLLIKIQEVLQSAEMKM NYEAGMVGMQGLAMQQRSLAEEPGFGARILRITSFMLDKAAVWPSELLLFDASYGTQS GDAGESYEGARGGVGGAEGVECPTLDGRCGRTVGCERNSKLEAWRLKASQNLQLPVSK TRADE VE01_03269 MATLKDRTKTALGAAATAAASKLKKRMSFQPTSAQSPPPDDPNV HVKAGEPVTVTQEPSPEQRFPNLEREKKTSGRRLIIACDGTWTNSYSNAPTNALGDFL PGIFSHTTVTLPSNITRICRALNTNAVATEDTEPRPAQIVFYHPGIGTDGGIEDRIIG GATGATISLHISECYGFLCNNWQVGDEIFLFGFSRGAYTARAIATLITDIGLLTAKGM EFFFQIFEDWKDQNVPEVLAKQQAEEKINGAQGAFKGLPATPPMPSKAYADELHKRGY TRPSVPVKVLGVFDTVGALGVPPIFGLHISATELMQYSFVNTKVSQVVEYAFQALALD DHRAAFAPAVWEQPEQPAELKMLAQAWFPGVHCNIGGAGGYDDQSLANDTLAWMLAQL QTLDVSDDGLPLLDFDKSYLDWIFALNVAHCNATPSVGGYRGWALGKMEETLTTAYSF VGDVKPWAGWENWRDWAHPGDLARTPGRYESYSAKSGRKMKRDRPLVGSNETIHPSAR VRVACGGKGLDDKGAYFPRGLEGFKIVGPQGADMKDPLAVGFKWVGKDSHGKDVVLEE TRLGAMELALLAASRKAMEEGEARKGVRAQEVEWQKGEVGGGVNGKGK VE01_03270 MERTARMSLREEPPMFPTYLSGGEPSALGAEALADTDRAADRAA EAEEDGYPLGGSDSSIEIEVGARSEITVLMTFDEDSSYGTDDADSGLFYETVGSSAFT FPKENGRTYHAYQEGKYLMPNDEKEQDRMDMIYHSMSLMFEDKLFFAPVKNPQRIADM ATGTGIWAIDVGDKYEGAEVIGIDLSPIQPNWVPPNVQFRVDDIDKDWMFEHPLDLIH SRLSSGLAVRDWPRYLTECHKALKPGGWVEAQEFSVSMGCEDDSLPPNSMIKKWHEEA NRTFTAAGCDLRFEGETLKQQMIDAGFVNCVVQEYRWPMGPWHEDKRLRDSGAFAMMS MLTDMEAISLASMTRYGGWKMDELKVFLKAVKKEWRMKSIKAYWPLYVVYGQKPEERP KGE VE01_03271 MASSGEATASQRRKSGRAVKVPDKFQPEVESSKNAGAGGKRKRS GDDMEGVENEEEQEEEEEESDEEDDEESEEEEAPVKKKSKPKAAVTKSAPVAKKAKVN GSAPQPKAHSMRLPSRAKKPTGKVVFRDNDAEGLYAEIFTSDKSSKDVATQWLGEYDA DNTAAMADLVNCVLKCAGCDIKVTEDDINDPDNSPNRLNEIQDEHKEKNVADYPLISK AKSSHAFKVCLTDFFESLMGLMHSTSVLYEDIALIENIHLWITSMSSSFLRPFRHTAT VIALACATGLCEVAKSQVQNTADMLTLMEKEEKLGSKANKGRLATYQKNIDKGKQHRE TSEGTIKDFFDTVFVHRYRDVDPKIRTDCVEALGQWILILPTTFYEGTFLRYLGWLLS DQNGPTRHEVIKQLERIMKYGELGGIRNFIDRFRPRIVEMATKDAETTVRASAVDLLD MIREKGMLEPDDIDIIGKLIFDSDIRVRKSVVNFFAANIEDLYESKIEDLGGEEALDE AFTLDDENKENPCSGWIKLKALAEVLLNYDDGDAVDEGSSQDDDLVISDGTINAAGFE SRYSLAAEVLYDKVAELQDWGMLTGYLLFDHSNKQKAGSVSVAVRSAFKLEEKEEVAL LEILHAVVKTHLARLSEDHHQKKKGGKSASRVDEDSTARKLAAVLPRLLKLFGSKPKT STAVLRLEHVLNLGIFQELRQDSTAYATLLHEIKSQFKSHADAHVLREASVALLHAQA FEELGETTEDQMQSLWVDTIDDLRKRFPKSTEISVRGDTKVELVLQLTTTVTRLNRLA GISNPVELFEKAPKKTAKGERSSTMPVIDMLLELVGRGFLDTPDPDIDDEEDKLVISA IQTSLFYFMWKGRALKEAVENAEEVSDVDVDEIKERTETFSDKLISTLSSRAGLDSCR LQATGSILDLYTIFRSILTSKKAEAALAENDFSHLLTLVRHIAPEVQEEITSIFATAE KHYANKAHKTLEPPADNDDPEDIDSDPEDDDEGLTDAERQVDGLKAELQLCDLSSKLV LAIYARVIDAEGALEGKLKHRMIRNKRRLGANVAQILAVLEEPNPKKGKKGKTSGGKK PKESEARAKMKSLEIVVDDDEDEDEEEEVDEEERRRQELIDEEGPPSADEADEEIVEE EDEEILGD VE01_03272 MTTSPFPVSFSKPGEGHLIARLLPNGISGLVKATFQYPLKIITP PSPVGDLKSALAFLLTYGGGLVAGDQVLLKIDVHPSAKLTIATQGHTKVFKSASPTLQ SSQTLSVTLHASSALCLLPDPVQPFANSVYVQCQIFTLHPTATLCLLDWVTAGRTSRN ENWDFTSWSGRNEVWRAAADDEDEDSARPRLLLRDNLILDGDALTPDDSTAALPLKDK MHTLSVFGTLILAGPLMAPLSAFFLAEFSAQPRIGARDFRSKEAVEQDGKTEASDEEA WRARRLAQEKEDGVLWSAAKVRGCTVVKFGSKSVEGGRKWVGGMILRHGGVMDVFGED ALMCVR VE01_03273 MSLETEAGTGALTFVSHLQQLHPPGCPIPAAPLDGELPTSRSST SFVMTDKLPPNLLALFAPRPGLRWVPPSDHAPQDRMTATISGLAAFLPALEEYKATDK YEPTESWLQRKDRVRLEKREAQEQLLKEGPADYKPQEDQNIRGDAFKTLIVARLSYDA TEQDLEREFGRFGPIERIRIVTDTHQDEKPNKKKKKHRGYAFVVFEREKDMRAALDGC DGIRIKERRIKVDVERGRTVKGWKPRRFGGGLGGRGYTKAVPPRPMGPGGFGGGPGPG FRGGFRGGFDGGRGGGGFRGGRGGGGFQDRGPGGGGRGGIGYQGSGFGGRDGGRGDRD RGFGAPPPNAPAGPRPGGGGYPRNGYGQGSPDRNGPPAAGGYEPRGGRPPYDDRGPSS GGYRGDRGGDRYGGSRGGDYRGGGGSGSNMEPVRPREGGGYRDRDSGRGDPRDRDPRD RDPRDMGREPRDGPRDGGYGGRPREEDMSRKRMYDDGNNGYIEDPRKLRRY VE01_03274 MGAKALKKLSSGQNGVGAFILQCKKLDFHYCDWAGSSRGMNAFL RDQLPAFAAANPSIEITVSPRPSKHPVIKGTFINGREKAICVRNLQPMSILQKAVLLR DASGEKLKTETKPVKSINDSVRGIWSPYHSGPMTV VE01_03275 MARQFFVGGNFKMNGSVQSIKKIISGLNEANLDPKVEVVVAPPA LYLLLARAELRKEVEVAAQNVYDKNEGAFTGEISPAQLKDSNIGWTLIGHSERRVILK ESDEFVASKTKNALDQGVRVILCCGESLEQREKGETVSVVTAQLGAVAKAISAEQWAN VVIAYEPIWAIGTGKVATTAQAQEVHIALRQWLSKTISDKVAEETRIIYGGSVSEKNC KDLATQPDIDGFLVGGASLKPAFVDIVNARL VE01_03276 MANRAGLPPPPPNHGFQQAPPGMVQGGPPVNRPQQPQQPRQPVY QQNFQQGGPIPAYRPDTVINASTRIHDIRPEKTESESFCKKQLTSYEVFTLLPNNEDD GKDSKGSKGNKDSKSSKDKKKDKDDSKSKKRERWAKVTINQESYPTENIIKTIQKLDA GKLSIAEKKAKLFPNQSMQVTNILDNKIMTEREGQFFEWVLAQLHREESTNSKTGNKE TTSMTIYLKRAPLPHIDAIQLYRERQERMRMQAFQRQQQQQAQIFQQQQHQAAMQQQQ QQQQQQQQQQQQQQMGGGQYMPQNNKGNVQQQQQQQQKGGPWAQPIKNKNAPGGVKII QEHRPGTPHKSPKGKAAGTKVHMNDSDDYSSGSNSETDSDYDSEFSHYDSEGGTPHTS ASSRSGGRKYPRSHRPLQRSRERRRGSHYDRGEDFVMIDSPSRRRSLSYAPDVPHPNR PLGGARVNSYGQPNPGIASPNFDTDAFAAGVVAAARAAIAQPAIIQQPAAQYTAAPPQ RMISNVERAANDDARLREAEDIMRQDALRDALARESLAREALARREDAAARISRPYGR DLRNEDFRNEGGRGYALPLPRRYLDEDRGERLYRDPPMSPSVSSMSSAPLSPLPRESG RSGRYSPEPRRRSPEYDYRSRSGMQRIPLEEDKGFDYPRNPFRPLREPRPY VE01_03277 MLSDTEPVLVPGPMIKWFRAGISYGPKKSRWSIDRQFLSLNPKY PDSIDFLEQHFSLLPGLVKTYVAIDRLNSAEASDCLTSVEVIDYIIHFDRSMQGRDRP HDTIEAWRENMKYIQEKADIFAPDVTQWDKHTREIETLATNAIAESKRVLEEYHSAEK RLPMGAVSAQEDYKAWNIQQDPPPQSSQVRKRRGYREDSATTMVPSTTPSTPLHTPEL YKRSSKLRGMAQYIQEDLTMWSINIVIYLLCAIFQTRSFSHQTPSDSSAFDYYNAIQT ALTQLLSVLMTYILTFRNASDHHLGMRYRLWFVLASILPVVALSIFKWYSGTSVLIAF LGTAVTGILQVLLAVDMKRAHGLPNHGATKLGGAD VE01_03278 MSSSYPSPPNTPSPPRVRIDGDQARQNERSPTRASNYAPTPPTT PSKNGSVETVKAIALAAPMDPAALTTLLGLGIGKCGSPTKKEGNPPCNNPNPSDITSQ IGSMTTLTQASRELPDQLEMLAVVAFCKYHIKPKPKAARIKVWKKVFPVGDEKAVPIE PIENEIEGVLDCFQYTSAYTCLGAKRDHDSTPCMFKIGGKRVRKGKKTVAMITKLEHY SNDDNLTFLLKVLAVNMFCYNHTDQIPKWVAERKSRITAIYKAYTVQDRLFKLAGNTG LSHKFQADPAEFWDDADDTSAFITKIDEDRPSDYLACYSLVRDKMKEPLKEQELHEGH VYIYEVEGNQGFVKIGFTTRTIEARSAEWKTECDRLPKVIYPLGAVEKIPHANRVEGL CLADLKCHTVIVICEACPKEHIEWVQAPAAKAIAVVQKWTKWIRTAPFTDSAWTLTDE ESQRTLDMPKFMQEIEVG VE01_03279 MMMPRSAARSLSLFKRPKVSHHIATKAHLIEEGTLKHYNPELYC PVHTNELFHQRYRTCVKLGYGGYSTVWLCVDETNNSYKALKVGTRRVSKSMTKEVQVL VYLRSLKSVHDGQSWVRMPCDTFQIDSPGGSHACLVYEPLGISLLERIDLQPGKRLEL PLVKVATYCLLLAIDYLHSSGVIHTDIKLDNIQEALLDEDTEVLNRLGEAERRQPSPQ KIVTDRATIYTSRRLDYESGVVYPILTDLGMAVFGSAEYTHTIQAIPYRAPEVILGMK WNESVDIWNLGIIVWELLCGEHLFGRDNELDTLASMIKYLGPPPAEFLKRGDKHLQYF DEQGNWKGTPLEPTSLKDRLEEGGDIDMFVDFVQAMLSWEPEKRLSAAALLKHPWLQ VE01_03280 MKIIKKLSGYHQLLLAGNPTKLDIGTLLLGVVAAIASGVPFPLI GIVFGQLLDDFNAITCDAVDTTDSGPEYQASINSKILLIVYLAIAQFITIYVHLTCWT LNGARLAQRLRERYLQNLLRQEPSYFDKLPPGEIASRLNGDIQAIRSGTSEKVGICLS SLSFFITAYIVAFIKDYLLAAMLVSLVPAFFIMSFVGGYYIEKYSGLMSDYAATAASI ASEALSNILVVQAFGANVRLEDKFSDSLKASEQQGLKKATAVGIQSGVLYFIAYSANG LAFWQGSKRIAIAAHSDSPTTTVGATFTVIFILIEATLLLSQVAPFLHLFSAAVASYQ KMREDIDRESLIDGTGGSGLCLTQAEGGFEFKNVSFTYPSRPDITVLDQINISMPANK HTAIVGLSGSGKSTVAGLITRLYDPTAGQILFDGHDLCDLNVRNSRSFLGLVQQEPSL LNRSLLENIAHGLINSSNPDHTSLKTALLGPELADLAATLREGEDLTVAAEKRGPEVV RIVTLVREAAVLADADTFITALQYGYGTTVGSSGRLISGGQKQRIALARALVKNPAVL ILDEATSSLDSRSEQRIQRAIANIASGRTVITIAHRLSTITGADNIVVMDKGRISEQG NHATLMANNGPYANLVNLQTLGSASGKIEKRTSLNSVQTLQDSVTDVDIEKEALETEA SNTEDTPATGTAEEQEPETPSKSLGVLIRGYSPALRPHLLVLVMALFGSIIVGGAFSG EAVIFGNTVGSLNPCNSADSIRSRGNFYGLMFFVLAIIEFFANLVSWSAFGWVSEKIV YSVRVLSFRSLFEQDLQWHQSKGRTPASLLSYITRDGSALAGLSGSVIGTLFSITVNL IAAIILTHIIAWRIALVCLSLVPLLLGAGVMELRVLGQFEERHENAYTQSVDIGVEAI TSIKTIAALSLEEETLNIYRRSLKGPRKETLKVTVQASLCQAITYFLGNLVNALAYWW GAKQIIAGNYTQTQFLIVVFSLLVSALLWSQMFALAPEISSARAAMARILGLIEEGSD GMQGRITPRNALAVTSEKDLEGTAETKSPLPSGTTASSVQLRDIHFSYPARPDMKVLN GLNINIKPGSFCALVGPSGAGKSTIIALIERLYTPQSGGIIIDGVDVTAHRDTSFRDS IALVPQESMLFEGSIAFNIGLGARPGHEVTTQEIEDACKLANIHDVIVALPEGYETLC GPNGSQFSGGQKQRLSIARALVRKPTLLILDESTSALDAESERLLQEGLDKVARGVTV IAIAHRLHTIRKADTIFLVEGGRCVDRGGHEELLERSESYRVNVMHQTVGE VE01_03281 MASSSTPRRYPLVKLPHPFLTEYRVQTVAETTPAKLTLAPLGQP PAGRPLAQPLHNDTLSWMELSLAPKDDRPPTSNNSAWARARRSPQTTFQWTGNSTPTL GQIWNIIHAIYTAHPTHEYFRVTLVGTGNEIIRAELLTTGLGIEHPAQWHPKFKTTTR PDDFLILRSAFWQGAASPAGPRPIWAVGDGTDGPVREPLAQYPIMPENYEVTMKFPDE PVYTRHPTRRPKPHPGSIVYSRYIPEIAEHFSLEVVNYEDAAHLALFNKWQNDPRVAQ GWNETGTLDEHRNYLRKLHNDPHVLCLFGRFDNTRFAYFELYWSKEDHYGAHYDAAPY DRGRHSLVGDDSFRGAQRVNAWYSSCIHYCFLDDVRCANVVGEPKATGGTILSYENAQ GLTIGKYVDLGHKRSVHSVCSREKWFQLCPIFWDGRERPLESADRAAWNAKL VE01_03282 MSLRSRSLNFKYFNVSFPDDKIVQVTLNRPEKLNCIDLPTSREI QKIWELFDQDGSLWVGIITGTERAFCTGADLQEWNAMNKAGVVNDMAAPGLAGLPRRS GKKPIIAAVNGICMGGGLEMVANCDLVIASSSAIFSLPEAKRGIVPVAGCLPRLTRTL GLQRTMDLVLTGRSVSAATMYEWGLVTRLVDAGSDVARAAVQVAQEMCKNSPDALIVG RLGVRMSWEAGSVEETVTTLANEWYPHLVKGANFAEGIQAFVEKRQPKWTDSKL VE01_03283 MALLPKIQSVFGRRATDDGVDSVPVELVDEKKDAVRQDPISEGN EKNREDPAIGTTGTDSNDEQDQLPTQDAQRGVHDVEAVTLTWTKTTLVAVFLNIWLLY FVNAFQSSILYNLLPYVTSEFESHSLLTVIYIVASAMSAATYIPLSKVLDVWGRAEGF LIMATFSTLGLILMATCHNLPTFCAAYVFYSIGFGGITYCVDVITADASKLKNRGLAY AFTSSPYMITAFAGAKASEDFYYNVSWRWGFGCFAIIFPIVAAPLYVMLKVNLKKAEK RGLLVNENSGRTFLQNVWYYVIEFDAFGVLLFSVGLTVFLLPFTIADSAPNGWSSGYI IAMLVIGFVALVSFGFYEVYLAPTPMLNFSFLTDRTVIGACILSITYQISYYCWANYF TSFLQAVNNVTIAQAGYIGNTFDVVSGVLLLIVGYLIRRTGYYKWLLYIAVPLYIFSQ GLMIYFRRPNQSVGYLVMCQVFISIGGSIFILIGQVAILAAVDHQHVAAVLALLNVAG TIGNAMGSTISGAIWTNTFEKALERNLPTSALPDLSTIYEDLETQLSYEVGSPTRLAI QESYGYAQTRMLAAGTGIMCLAFISLILIRNINVAKVAQVKGTVF VE01_03284 MGSILVDEIPGENEGRNSPIDILSKGEPADSNGFRYFEVAGLVS DQLLSLTSMKDETFLLSWLIVLLRTREGGQVSFDWAYKSPEGASGDELETRSLSTDEV VTELQNNITETTAVVTRFITTAEPSQRTPKSNPGSLLLSTSSLSKTSEGAKDEGVLHL EVRLNSINLEIRPVWQNINMPEYNVTRYIESLVDTVKMCISNPDASLEDCLRPTTRDI DHIWGWNNLLPPTCNFCMHDMIAERSQGLLEKVAISSWDGDLTYGQIERYSTLVAGSL QEMGVKLHDVLPVCFEKSKWTIVAVLAVMKAGATFVLMDPTLPLARLQNMAEQVGANI MVASRSQHDISTSIVPNGKLLIVEADTFPASSDAQELPKLAAVPSSALMYIIFTSGST GVPKGVTISHETYTSSAIPRAKAVGYTESSRVLDFASYAFDVSIDSMLLTLGNGGCLC IPSDEDRVNDINGAMRNMQVNYAGLTPSLARILDDDVIASLSGLGLGGEAASARDVNL WGEVTRIIIGYGPCECTIGCTVNSSAATGRDYISIGPGNGAAIWITNPDDHETLMPVG AVGELLVEGPIVGQGYLNDPNKTAEVFINDPKWLVAGHNGYAGRRGRLYKTGDLGKYD PDGSGGIVFVGRKDTQVKLRGQRVELGEIESQLRARLPSDTTVVAEVIVPTGGGQPTL VAFITSKAMKGEDTDLTSPQLPSELRETLSEADVEIAKVLPRYMVPNAYIPVNYIPAL ISGKTDRKRLRQFGATVDLRDLDKDTPSTASREMTETEKRLRHFWSEILKLDEEPIGL SDNFFALGGDSLTAMRLVSVCRAQDFDLTVASIFGHPTLSAMAGVILVSNVQAMAESS PFSMISTPAESACLEASQACGSTQEPVEDIYPCTPTQESLFTFSLKSTKAYVAQRVAC IPSHINLDAWRKAWEEVIQASPILRTRVAQLQEPGLQQVILNESISWKYPTNLEQYLE DDKAEKMNLGESLARYAIISSPGDDKRYMVWTVHHVLYDGWSEPLILEKISNALKDQR ITTKTQMRDFVKFVRDTDETAMQEFWRQELKGAVGPQFPRLPSRDYLPTPDAMIEHLI PLEKLSGSPFTLATLIRGAWALVASQYTGSDDVVFGETLMGRDIPLQEVESIIGPLIA TVPVRIQFDRKSSVESYLSAVQQGILARTPYQHMGMQNIRKVSRDAQHACEASTGLVI QPEPVYVDGDLGFSQGDAVREALHFNPYPLMLACGIQKAGFRVCASFDSSLIEVKQME RILAQLEMACLQLTKDPSRRVDEISCVPEVELDQIWQWNQTPPLALDESSRLRAGASI TQGAIYPRAVIPWVCDPRNSSLLSPIGCTGELWLEGAFLSGETVESPPWLKAGSSTCV GRRGRAQATGDMVQLQPDNTLVFIGRKENVVSFQGHTVDIGDLEGHFRRLLPPTIRAA AALFQSSDTTQQVPEQELVIFIEQQPSQGDSVEIMSVPHDITSDPSDPQSFKTAICAN IPLGLVVALKKLDKFIQDSLTSYMVPSAYVVVDKLPAESNEINHGVLNKLASTIPRHV LTQIHESTEEAWTKSSANENLTPAEDILRSSWATILGIDAEKIDVDDNFFRIGGDSVY AMKLVSRLRTLGHVLTVADIFRHMRLGDAAKVMKIGEAPKPKVQPYRRFSTLGNLDQD LFLSTIVRPQLVDPRCSIQDVCTVTDSQALDIRGTLQVPRTSMQYTMLYFDSGIDRQK LFDACKELVKTHDILRTVFIEHESTFYQVVLDEFESPVMTHKADGDLKQYVADFCNTH IESDFRLGSSFFKLLHVECNDGQECLIIGLSHAQYDGMSLPRLLQDLETLYTGAKIVD FEPFSSYMTLVSNTGAHNNALAYWRNLLNASTLSILPGPSTQPTDKATFQTHPVPTFT PLQDITTATLLSAAWALLLARRLRTADVTFASVTSGRTNNLPNIENVMGPCYQLTPLR VAFSQEWTAIDLLRFIQNQSAESAAHDYVGFSAIKEKCTQWSKEAGVDSIVHHQDFED FDTMPFAGGECKVDILNPHGDAAAPFKAVSFIKGGVLHVGIVGSERDAEFVDEVLKEL AAVVEELVVRQSELLMLGGLFEAAA VE01_03285 MCSKFWPKGGLPGILHHYTETLVTFEYTASVTHKPHSIVFLGGL GDGLATTSYMSDIVRALQPTDWSLFTLNLTSSYQSWGLGHLDRDTDEVAECVKYIKDY KTSKFGDGKIVLMGHSSGSQFVMHYLYRPNPHTGIAPFDPSLEHVKRLEIDGAIMQAP VSDREAILLCREMGIGGKTPSEVEAAYQTLEAMAKKVNREEICDTLLPLSLTSQIGYL PNVPISSRRFLSLNSPESPLAPEEDDLFSSDIGDEQLAKTFGMIKERGLLNHKLMVLY SGADQAVPAYVDKEGLLVRWMNAVNHNGRDQIWDRDHSAVIPGASHALSNDDQAEPRK DLVRRVLGYLLTAEKVPTKPTKSND VE01_03286 MNVDIGKLCRDLASGTDDGAFGYDLLRWAGKRETIDRVLNNPLL QTSRDKLQSAAEELRGKDIPVLPFRMFKLFDTVGERLGYEAPYFSRRKTLLVSALSAW LWNDPEDIGTLEECIWALCDDYTWSLPSHMHGSSLTIETNVGYSNTSKRRDAALNLDL FACETGLALAEICALLGDKLNPFIVERAQSEVMRRVIDSYMDKSTIQHWEVLDSNWCA VCAGSIGSAAMLLVGNDLDLAAILRNLLPVMERYIAGFSEDGACTEGLNYWTYGVSFF VVFAELLGRRTAGKLDLLSDKRFAPIALFQQNCFFPGAATLTFSDVEANDKYRPGVTC FLAEHFEEVMAPPLESAMDVMHSHCYNFAPALSDLLWTTDTLVSKQALPQPCVVYPKA QWLLCSGNDGTGFAAKAGHNDEEHNHNDVGSFLFSRHGVMLLCDIGKGEYTKSYFGPD RYDTFCTSSKSHNVPIVDGHSQRDGREYCARNVIFDQNGSISMDIAPTYAVSGLTSLV RRLSFDNKTGRLSLLDEIKVSRPMDVAERFVSFVRPRIENGAVIIEEGATSCTLSTKI SQDPQIGTTEHINHQGKSEVVYTIDFILSLKENAVFELVVS VE01_03287 MFDQHVYSQHESKLLSMPVEIRQAIYAQIFHGQTHAFLWQGRIQ LSACLQPNLGDDRHDGRERVTTTHWRRDDPGWARRLRSTWGVHWECEEAAYRERTDLR EHRQSFPTDRFLDACNVVVKTTAINVTDIDTLEMLLLKPNELISDSNCAWNLRDYISP RIRKLNIAFRLPLAFYEVLQKDEDEDLVLVVGPHTRAVSVGCAAWEHLWPAICQLPQL RSLHIWLDHDDRPSWSFVNERVAMRPMIAALLARTQAHSEEETMPHMDVALNLPKLHP HYAKPDTHYFQESSSSLFTIERRIRQRWHCQEGPIGNLKVEHKADFPVLHELPDFIIE QDRCSRRVDGVTVQEEDITLEEEKMTLEEVERLETQLWENGAENVYEFMSSGFHHHTQ DEDTYYSYSRRFPGYEFHGP VE01_03288 MDPCALIVDPWSLFPALHSASGDSCHRRLKELGHRASFTGIFRR ASPHISDAFTSLLSRAKWTTDLPVEHVASVSFGAHEKRHYAIIAEDKVGYLGSVCAYD GDRDKPGLKTGAVEALRRRVDALEAAVFEQGAASGEGERPAYYSNRDTASAIRDGLEL LFEQLQAQPRRKRRWSQTSEAAAARREHGDVQQLQLQLQQPQAQTEIPSLPSNKRRRA DTGLIEPDDLDDLSDSLPPPEVLEAVIDLYFLLVQPWIPVFHEKRFRRRLKDPNNKYR LEVVLHAMLVAMLKHVDRRQITVDLGDIESICERSRKIVILTAMDELHVENLQALVII CFEDIGSGRVSRAWPLVGSLTRTVEYLQLSVESEDHDNGPMLQPRPSLPPSENWVEEE ERRRVFWTIFNLDRFCSVTTGWNTSLTSNDVHRRLPADGGLWHNEEAVTTPFFGIWDK SEGKIGNLIAFLPRDYNAAEPSRDRYRQGAENIREPDASEQKVDLSTVGAFAYRVEAT ESLSRVTTFFLQQKINYRNRQEMGSWLMRFKELDLRLVSWKMFLPQKWKDSNISRQPT VVTMDPNLTLAHITHNTSMILLHQRIAYPPLEWLEVVKLPTLSSAETCEAAASETANI TQKYMDNSPAQAVVDNQFAFCVFVSARVLLVHWRYYKTDLSKDYWTLMKFLDIMSGRW SGQNRAQPASQQNSTAKYFNQLNDIYNKCIIDRSFKLDVLGYSNEIEWTGKRVVSRDS QNGHGVREGDGRDASEVETARIDAAMSTNGDHQSAQVRHVPGMSPFQRRPSDAPAYMG VSSLNDSSSDIPQQPVHSLHSEPSSAYHPSQFMDAQGPGILNSSDGNSNNAANNPMML NSPSARMGYNTGSPDELTAVTSILLDQQYSEMDRIISLNNAYFASDVAYIQ VE01_03289 MPPLPGFSDNPLKDRDDIIQAAIAFIKPLHQHISPSGAFVRLPT STGAHFDEGAARLEGYARPLWVVSTLLHSVQSDQAHAETIRSLAQPWINGICAGTNPD HPEYWGSIYNGDQRMVEAEVIACALLFAPKEFFHSLEETAQANIVSWLRGMNGKDMPL NNWRWFRVFSNLALVLVAGIPHAEVKEEMERDLAVVDSFYISQGWSGDGPWKTPEQEA EEERESAEHRRRDRIGCGRQADYYSGSFAIQFSQLLYSKFAVSLDPERTATYQQRARD YGSSFWRYFDASGAAIPFGRSLTYRFACGGFFAALAVAEVPDMPTPVSSPGEVKGFLL RHLRWWAQHSDDIFYPDGTMNIGYMYPNMYMAEDYNSPQSVYWSLKSMVVICLGKDHE FWSTPEAPYPVFSSVNKADLESSTLEDIAPVEVIPPPNQILCNQPHGGHHFLLSPGQF VAWPMKANQAKYSKFAYSSAFSFSVPTGPLIQQIAPDSMLALSRDGASTWAVKWKCSP VQFSTTKLQLESSSIAETVPLARVEWRPWEDGQVSVTTTLIPPTRRWPDWHVRIHRIR LGRPEKLDSLHLLEGGFAISRVPASSSKRNLPLLSDDDSSLLNIKTGGEGVYSSSTSA LVLSAAGASGIRGIASRSGDTPITTEHDAMKPDSNTNLTAQRTFIPITTHEIFDFDST SEIELVTSVFAISTPNDTHTNGRTLRERYLDIPRIHIQGRQTSLESTQDSIIIDG VE01_03290 MSTSDIPTSIQPHDSLVLNQEALDMASPISSGESKDESDHSALK TPPSDTSVSDYQSGEMVTSQSPNESYNMEKLLEIFSENILAKTLRVAIEALGNNNPPT VYPEFVPQRGEDAGKYFLRDADFWTCGFFPGILYLLRERAVKYPRVFPYLGHEKDSLH FSSSLLRQELISLCKDWTGPVEAMKSRIDTHDMGFIIQPSVRKDWELTSNENSLQAVL TAAKSLASRYSPAVSAIRSWDVLSQADVSITSMTQDFLVIIDSMMNLDLLFYASSHFS DPVYAEIAITHAKTLIKSNLRPETPPGRTDTRYKGMLYSHYHVINFDAQTGEVKERRT AQGYSAESTWARGQAWGIFGYAQTYNWSRDREFLSTACGMAEYFLWRLETSPACVERP TAGSGSPTIGRYVPLWDFDAPIEDESNPLRDSSAGVIAANGMLLLSQSMVELGDEILA ERYRSAAIRIVTDTLEFSLSTEKARFADHTGGPEKIRVEDAVAGQRFDAILKNATANH NSNDHDRYSNHGLVYADYYLLEFGNQLLRMGLL VE01_03291 MADFAHSDNFEGLQLKDVIPQGRKPWYKDTTLIKLNTLMLCALI TQIASGYDSSMLNGMQSLPEWKAYFGKPTGTRLGAMTFGPTGGTLISVLVSSQLCERF GRRYPICGGSLIIIFGSILQTTAVNYSMFVASRFFVGFGLGIVATAAPPLLSEVAYPT HRGKLVSFYLVTWPLGSLIAAWVTFGTRTMTGSSWTWRLPSVLQCFFSLVQAVLSLFA PESPRWLIYNNRSQEALDILTKYHADGDSNSRLVRFEMAEITATLEVEKVQKMSRWAE WISTKGNRHRLFLALFIPAMLQWSGNGLTSYYLAKVLTTINITDSKTQLIINACLSVW SFLTACFFATLVDRMGRRRLFLYGMGGMGISYIIWTICSATYEQRGFKGKGFAAAVLL MIFVFSACYHMCSPVAPTYIMEVVPYSLRAKASMLYQLTGNLAGIYNSFANPVAMDAI SWKYYIVWCVVIGINFTLIFFFFPETKGLGLEEVAEIFDGPDALFGMNAMREMGLDVN ADKSMAIGDDQGSMTGKKEVSHTEKA VE01_03292 MVSLKQALVMAMAFIAVSATPVAVTETVTMAKDSIADDFQSSNL PNGILARGGACGQGNCPDYNDGVDLIYQWTVIPQPGVGGAPPIPLVTMEYHGRWNHCG KCGRVKTNGDGCFSFTGCGVKQDVCIDKKNKRMHRIYRDKNIKKCWGIKQHDLGGCGF IKETLIWEPTNQISCNW VE01_03293 MQLSIGSSAALLGLVGLVSANPTGNVEARDAAAAAAVAATATSP GSYCCQILKLGLGSKISFPNSAPYNASIGSYFSEQNNVITPACIVSPATTKDVQEAVG ILSTPSLAGQFSGRACQFAVRSGGHTPWAGSATIQDGVDIDLSALNHVTPAENRKTVS IGPGNRWIDVYLKLDALGLGVSGGRVASVGVGGLTTGGGMSFFAPRYGFVCDTVTEFE VVLASGKVVVANAKTNPTLWSALKGGSNNLGVVTRIDLEVFDQGKFWGGSVVYPISTA PQHLAAFVNFNGQKNFDEYGAIINSYGYSKSQGGWFVSNNYEYTKAQEYPPVFNDFTS IQPQLFSTMRISNMSDFGIEMEGSTPYGRGQIMYTQTYANDLETITNLFNQANTSLQP VADVEGLVWSLSLQPLPTQITKWGDIKGGNSLGLHESSGNLVLALMSASWPNPSDEKA VTEAIIDTLAKANAFAQKKGTFNEYEYLNYAHKTQTPITGYGAKNVAKLKAASHKYDP LQVFQKRVPGGFKL VE01_03294 MLAAILYILPLFTVSVLALPAEPDVNVVDLGYSSYQGTSLENGV SRWLGIRYAAPPVGDLRFRAPTDPLKTTTLQRADAFGNVCISTTAPPNTAGYSEDCLF LDVYAPTNAKQGKPLPVFIYIQGGGFNSNSNPNYSGVGLVKASDNNIIVITFNYRVGP YGFLASDEIRKGGDFNIGLKDQRKVFEWVQRHISEFGGDPKHVTLGGCSAGAASIDLH LTAYGGRDDNLFHATAAESPSFGVQFTVKESQYQYDALVERAGCKSASDTLACLRELS ERDLQSVNFNIPTPGGMDDLPLFMYSNVVDGDITTDFTYNLFGQGKFIKVPVIFGDDT NGGTIFAPMSADTATAMNYFLRTQFPLLTKEHLARIDELYPEGNKYPNAGRYWQSTSD AYGHMRYMCPAMYLSAVYANKSIPSWNYRYNVEDPVSKANGLGVSHTIEVSAIFGPEY SRGAAPASYYSINKNAVPLMQGYWTSFIRTYDPNVFRMEGSPRWEQWGGEKGMNRIRL ETNTTEMEVVNIHLQARCDFFSEIGPLIHQ VE01_03295 MDITLIREPGGNITGAIFFPYTPSLPAAYVFMGSFAFLTIAHII YMFPLRSWYFLSFILGGICETFGYYGRTKAHENISEIGSWMLQNVLILGGPTFLAATV YMTLGRYIVALDAHDHAMIAPRWITRIYILIDVLCFVSQFMGAGIQASGDPKIINIGN KAILGGLIFQLVAFAFFVLMAIRVHSRLNGRHEVYTAMPSRWPMSRKYFWGLYVVSVL FIVRNLVRAIEYAQQATSGGISYATRNTDGTITIPKKEGSGSIGSNEIFLYIFDAAPM ALVALTFLLLHPGRMLKAVRSGKGERLLEMETGITMTG VE01_03296 MDLFDELENLAVAAVYEEPDDDDDIPHTNKGTIKMWQDRFGYTY EEAAAVIGITQNATKPSTLTQQATLSPAQARAVYLLRLNGPISTPQRIQIAANLETIP ESHHGSSVDVDAVFCKVDGRTKIAIESWLSNRNGPLFRPLFIPVKIAYKELSSHSLYP TLGKDTTLPQFRPQDSHLLSAPHSFGRTQNQFPVWYFFYGTLDSVPKLCSLISFSGDD IPILYDASVMGGRMETWGNGKYKALVNGSERSCVKGSAYQVMSEEHEDALRKYETDAY EVVRCLIKIDGTAVPGCTFRFIGETD VE01_03297 MTSDINKLHLELLHNFTTSTCLTLNSDTTIRDLWRISVPRLALE FDFVMYAVLALSALHLARFSPERYEYLVAQAAILHQNGLRLATTILPDVTPKNCTGVY IFTAMTCLITLASPRKPEDILLVGDSGISEWLLHFRGTRSVMNRSREIILSGPMGPML LAGRQRRTLRAEMAIKQTRETEQLEILNNLIRGSVTDPSDVHCYAGAIFELRGSFNIV YAPGFQGYESADVFLWLFEISDEYLNLLKERRQESLAIFAFYCVILKRFDPCCIDFGY DGRSRRLE VE01_03298 MRSTYLLAMGGMAMGGAALTTTTSKLPSTAPIACKYFKANYPNM TLLPSDAGYTAENEVSWNAGAWLGPACILSPASAEQMSTAVKNLVRFATPFAMRGGGH MSVPEAANINSTGVLISSTNLKTLELSGDQSTLSVGPGPRWGDVYIYLDETKSGKMVV GGRYAPVGIPGLLLGGGMAFFSGEYGFASTNGNVRAYECVLASGKIVEATASNQYSDL FWALQGGGNSFCIVTKFVLRTFDSPAIGLANPSYGSGSKVKDLWLDSILNYVIDGSSD PKAAIIPVARYGTGFEGIRYDATLFYNGVATNTPAILSDFQGGLLPSSNMTSLTTLTM GAFAKAVLPAFQEGGESHGLNQRFHVVSTVATREAMDIVHDTFFDAVKAAGLADSADF FVGLAWNSITTSFLEASNSGTGCPQGVAEEPLFWVEEAFTWGDSADDEKIDNFIKTVN ANITTQLEAIGATSPYIYLNDADADQPVFQGYPAENLKRLQRIRAKYDPLKIYTNLMP GGFKVAHA VE01_03299 MKLTILSTTLAVGVAYGQGSNSGWEPAGPDDFRGPCPMMNTLAN HGFLPHDGRNITKANAVHALSAGLNFDPALASLMWDQAIIANPEPNATFFTLDNLNRH NVLEHDASLSRVDAFFGNNHAFDQATFDETRVWWTGPVLDANMLANGKLARQLASKAK NPEYTFTANTEQFSLGEVGAPIIVFGDLESATVEKNLIDFFFENERLPVELGWTKKEN PVTLEDIMRITGIVGEATNLLTTPKEAPAARRRRDLHSGRGL VE01_03300 MRPAIRTRAVEDGEKAQRGVNDRAARAGEAAPPYVLVELIGKGS YGRVYKGEDTRTGEVVAVKVIDIDESDTASPRYADTYGEFLKEIGALKVLSEGKARNI NHIIDVLPVGHTMWMVTEYCGGGSVATLMRPKPGGLGEKYIIPILREVAEALGWVHKA GIIHRDVKCANILITTAGAIQLCDFGVAATLSLPSLKRTTFIGTPHWMAPELFTSSSS SSSSSSPSYGVEVDIWAFGAVVYEVATGLPPNASSRIPYGQLESGVRVAVPRLEGEEY SVQLRGLAAYCLEEDPSNRPMIGEVQLHPYIHSTTLSYPTSALVDLITAFRIWEQEGG TRASLFMSGGASGPSEAGETEESGSEEWDFNSTLRASAAKDAGTLSENPQPTPRAKGG RRRPPKEVLEPLREPIERVFDANTLSNYEGNSRAHYFPSPSDGVGDLPLRERDEGGSR LRDVDGDETLKPPPLHPVADLDDTKRRTQDWTFASSLPTPLREAETEGDGNRRTKDWT FASSLPAPRESTDAVGEFSDGGGGYAHTPTRSARPIQMNRMSMGEGLIDLDMSLSPSP QMSSQQAQSHASVAESLIDLDMSLSPSQHPPSQYPASRASTAEPLIDLDMSVPRSPPA EQTAPSTPTAHAQTVVTRTTTAAVKPGSCGRELPAPPSVEALSGVAGVEVMRSEMGRL LGGLVVELGVVRDVLAGPGVI VE01_03301 MSDLEPLPQCGSGNDYDGRIGLRISSIFVIGFGSMLGALFPVIA SRSKRMRVPKSAFFVAKYFGSGVIIATAFIHLLAPAHEALTNECLTGPITEYSWVEGI VLMTIFAMFFIELMAMRFDFFGVNKPGAHSHAHDPECARPPPIPITAVATHTSDLKSP TPDVIVPAAVQPALDSSSSSQTSHKHDDTHHHDDPHADCPPSPTRSQEFSYPPGGTDH LSHGHHHSSSEHLAAQLTSIFILEFGVIFHSIFIGLTLAVAGEEFVVLYIVLVFHQTF EGLGLGSRLATTPWPADREWLPWILGALYGISTPLAIAVGLGVRESLSTDGRAMLLVN GVFDSISAGILIYTGLVELMAHEFMFNQEMRRSSVKVVLAAFGCMVLGAGLMAVLGKW A VE01_03302 MLEFMDFVQQSFYNASRWSYENNYTNLTATSRALLDFDTPRGFR VDISSLSSPNFATSYALGSVGLVNGSLSYLYTSLPLTSAFAQAGRLNLHDVIRGYRQI QELPSPSSTWPPPSSDPSSTDAVSPPTLLYGRLYLPQSTLEALYLRRLSPTSQIKISA VSSSRLRNGGTLLALHQHDVGKYSTEALYSTDGGLLGFKGLYNFGADPRVPATCSTSP TSPGVVSASATPPTEDRIHGRFSAGAEAYYGTLNKSGGVSLGGRFATLPTYRGIPLTA TLTLNPLMGNFSATYAVKAGEDVALCSRFEFNAYSYESELVLGCELWRRSVKLPAVRG GGRSMAAKLAWRSEEEMFPPSAAPAAVSADGLPMGIRVNGKEDERGEVTGVFKGRVDE KLRVGVVWEGRVKDLLVCVGSTLDLRRRDQPFRSLGVELQYSS VE01_03303 MPKSKRAKVVHLTQVDKKGKELTLKLFANVQESIDKYKYIFVFS VDNMRNTYLKKVRADLSDSRLFFGKTKVMAKALGSTPETAYQTNTHLLAPYLAGNVGL LFTDRSPEDVSAHFAANTPTDFARAGTRATRRFAIPKGIVYSLGGEVAAESDVPMAHG LEPELRRLNVPTSLSKGKITLQNEYEVCREGQVLDSRQTRLLKLFGVATAEFRVRVVA YWSAESGTVEEVDGEAPEEMEE VE01_03305 MSSQDSLPSSPETIARQRSLLRYTSFGALVICPLLIALPPRKMD VYTIAALASTGLSGNYLMGDYTGRTIVDRAKFSAAGFMAEKAEEKKRLQEKIRMGEVL RSATDSRPKEGWKAQRDEREKKAAEEGKGYGDLIMDQIWDVWTWGKGDK VE01_03306 MASIALPQRPIIPIKKAHRKRKSDQDNAQTGQHEQTGPAQDETS DGWWTEIIHQHHTLLTSHSRILSAILKTSPPASARHDTISKFLANTKEMLGQVGGLEN NTKRRRSGGSEVLTEPGAKRRNAAEEEEAELLSPSPSPSGEERGTASHALPVQGGKKM RVERVEPPKEVEVEVDDLRAEVEARLKVKEREKLKMKEGSKKRRRRSSTLEADERKRE KRVKRESFGKKRGSPEAEVEGKEDRKRRRRVT VE01_03307 MVKTTAIRQCNERFAKEKNPELVCVFAGATSGSGAGALEAMVGM LRTSTFYIIGRSSAQFASQRKKLEKLNPGCKLVFLEAQVSLLSDVDAVCKQIAASEKK VDLLFMSPGCIPFGGPKYTKEGLETCFAISYYSRMRLIQNVLPLLRQSSRPRVLTLLN GGKEKQMFDDDIGLETQWSADAVITHTTTMTSLALEHLAKDDERITFLHVFPGLVNSG NFIRVTAEESAGVVWSVMLAVFCRMITVVQLIFGITPEECGERQAYHLTSDQFGPGAW RIDNSSEVVTVPAGDVFEKYRDGGWPKKTWEHTTAVFERVGATGNNEE VE01_03308 MRFSTGIYTAALVAVAAAAPKSSSTPAANPTATDPAAVYAAQAT AKTESPTSHVKGKVFDRFVTIWFENTDFQSAAAEANFEFFAKKGITLENYFAVTHPSE PNYMAAVGGDYFGLNGDPFIAVPENVSTVVDLLEDKKISWGVYQEDMPYSGFQGFSWV NQKTGKNDYVRKHNPAVLFNSVANDADRLSKIKNLTMFQQDLKANKLPQWMFITPNMT SDAHDAPIGTAGAWLRTFLEPLLNDKNFMQNTMVLITFDENSSYPKQNRAFSVLLGDA IPKNLVGTTDSNFYNHYSEIATVEANWDTHTLGRYDVGANVFSNVAKHTNDKLRSWTG TPALADTTFNASYPGIFHSTTWAPQPVPNTLIKVNGRTVLPKIRKQWVSQQKKTVYCG QLEIPTSANPPKGTCPGPSGNDGHSQQHHG VE01_03309 MKVTSFDGPVTKEELDSFSTYIATLQPAKDNVGNNWAQGHSGEE TKAMGVVYQISGQQPVLDKMLSYCDAVLSERNDIAAKPVGQHKIWTGDIAPVWPNDPS TKVIITGGEQGDPVGHLASCANLILGTKALYNQAVTIGDKNHYGKTYLERAKTYLTQA DKVMSGHILSRLLDLSNGNKMYFAKDSPYKGGQAVPWNQQMMFNYAFQNLVAAHTILG DNPALVSKYKNIMTANLKWFFTGGGSTIKKSKKGNPIYVWNYAMDQNNVEDSNHASLD INGFYRAYVDGNWAITAEQMKPFANVLIDVMTLGNSQYAGTTDGKCASGNGICTNYIR SGFLLVSEFRPDQYKAIMGADFKEGGTVGKVDLFSRFLLVKHRRATAKL VE01_03310 MSLGRTFTLNTGAKIPAVGAAPREVEQAVETALRCGYRHIDCAS IYRNEAEVGEGIKKSGVKREEIFITSKLWNASHEPKDVESALNKTLADLGTEYVDLYL MHWPCAFAAGPKFFPLDPKTGVFRLSDTPFTKTYAAMEALLETKKVRAIGISNFNIPH LETLLKDCKVIPSVNQIEKHPYLQQPELAAFCKSKGILLEAYSPLGNNQTGEPRTVDD AKVHEVGKGLGLDPGQVLVSWGVQTGHVVLPKSVTDSRIKSNFEDKLLPESAMKELDA LERHKRFNFPARWGYDIFGEAGEEEVARIAREAGEENKTKFVV VE01_03311 MGPATELGTTTLNVENRKRRRVSKACESCRMKKISCSVTKPCIR CCEDTIVCVYDVLDGRKTRAKTRQPNSPRQSTTNTAVSVGIELEKASTSNGSSSSTKA VYDSGDHYVGAASGLSFLQQAVQHIESQKGSNDAVGSAVDVEYASPASASIFNSGDMP SLSRPTDRFVMPSKDESDRMLARYFEFATPTYRFFHRPTVESWACQLCLRPDTSNSKQ KESRLEGVRAAAVYLVWAQAIEYEDMTQRGSKSSAPYFEKAVSILETEPGPPQLESVQ ARLAMCLYLLSTFRINECWYRFGMTTLIIMAMGLHRKTETSRKVGLVEQECRKRAFWS SYILDRYLSVMKGRPRIFRDEDIDQEYPVNVDDDDMVFTDKDLIAVLPLRGLLDASIN HAKLSTIMGNATDMLYPLRPLTRGELQTKAGLVTKLLDDWEQGLPQFLRPTHRTFTGK RMFERQNSVLKLSHAHMRILINRQFLLSNFSTLGQVDRPDDDDLEHQKYVRDCISAAY VIIDTVEGFVDDGNLIKGFWFTQYIALCAISTLYIYIIHHRLNPNSLVSPSGKSYFAA AGKCRNYIENLAPEGSHSKRYNTLLTRLRNRAMRSMGDAVVDSEGDRMRYNATEVSGL LPHNHPIEPVYNGNFYGEGGNNERGVGMEAGFETGGQEVGIQIPEYPDSGSGLIMEND TLQLTWGYLDQLGLPEHFDAFSTQYEEDYF VE01_03312 MAADIAHSSTIQHISSQSFLSPVDTSLVYSRRQRTFTTNHVPHT IDELMRLRAFHQPEEPILAYPVHNTDYVEYTYRELDVFAYRVGQQYVQHTTQRRSSAE KEKVVALLGPSNLDYLISVLALTKSGFTVMFLSTRLSDAAYLSLLESTQCRDIIIHES FSTTAERLRKTMPDLSAYPIAQPASYAFTTRENDQDTCLDYHLDLELEALKICWIIHS SGSTGLPKPIFQTHRAALTNYENNLNMRGFITLPLFHAHGISSVFRAFTSMKKIYMYN ANLPLTHNTLLSIMAEHSFEILYGVPYALKLLGETEEGIKALVKLQVVMFGGSACPDS LGDRLVGAGVNLISHYGTTETGQLMTSFRDRSDKVWNYLRPSATLIPFLRWESRGLDL FELVVLDGWPSKVATNRSDGSYATKDLFTPHPSIPNAWKYSARLDDTIALLNGEKVGP TDMEQAIRDNKYVREAVVFGNGRPQLGMMIIPSKETAGMPESRILEHIWPVVESSNAA APGYARVSVEMLKLLPASSTYPQTDKGTVIRQAFYKLFEKEIEDVYSTSELSPSTNAP STEPEIRAFLKEKVCTLNGQGELDDDTDLFSVGLDSLQALQLRSLILKKLPVSGKVLA MNFVFDFPSVNALTRELVLLQDGQTSKSIPVQEQMAQLIEKYGSFQHHIPKPNSNEGQ YIVLTGATGSLGAHIIAQIVLLPGVKQVYCFVRAKSESDAITRVISSMNTRGCYHQLP LEARRKIVALPSDFSKEDLGLGSAVYAEIALNITCLIHCAWSVNFNLSLQSFEKDCIA GAKHLMGLCLSAGRPSPAAFNFCSSVSAVASTPPGEAVVEDLPPNLDYAQNMGYAQSK LVTENIVHQAACQTGMKARTLRVGQIVADTQHGIWNATEAIPLILQAGKTIGAIPALD ESPLWLPVDVVAKAVSEISISDSPAGTTNVVATQSFHWTRDLLPKLHAASLQFEELGQ REWISRLKASNPDPVQNPPIKLLDFFTKKYDNDNIVRKGLQYNTQRAQSLSPALAMAE ILSQELVDKFVGHFITTSWKTTENIQGTIIVVAGPCGAGKSTVGTEIARKLNCSFIEG DQHHDVQALAKMASGQALDDEDRWLWLARLRAKAELEISTNGKGVVVLACSALKGRYR DVLRGVGKRIRTVFVMLQVEKKVELARRLEMRTGHYMKADMVESQMAILEGPRVDEID ILPVDAKNMPQDLAEEVFGVLGL VE01_03313 MSKIDVHDVQLVETVTTTSDEKPLAAVDIRVPLPSELIHLSLEE QDILEKHIVKKMDRRLMPIIIVMFWLNILDRNSIANAKIAGLPKDLNMNNAQYNTCLL IFYVGYVLTQLPSNAILPMVRPSIYIPLVTCAWGLMSMAQGFLHNFQSIMVVRFFIGV LEGPFFPGVIFLLSCWYKKEELVKRIAFLYAGNILSSCFGGLIAAGIIGGMEGVGGYA AWRWLFIIEGLVTVAVGILAMFLFPDYPRTTRWLTKEEGLFAEWRLANEVAGIVDEDS SGIWWGVSQALRDPLTYLFTFMQMMLTTGQSFTYFLPSIIKTLGYNNTITLLLTAPPY AVAFLGSVALAYSSSKRKEFCMHICFPLIISVIGNILAMTVNGTGGRYFAIFLMAFGV YVVYNVNYAWVSSSIPRPRAKRAASLAIINLMSGGATHFYTSYLFPDSDAPRYYMGGS ALTVAVFFCAMTAITIRFYLARLNKKIEAAGGDAEAALRANKGHTETMKAFKYQL VE01_03314 MSRPLKRLKVRKKTFSCWECKRRKIRCEVTPPCSVCLSCQQRGL LCVSQEFVVDNESAGESESRGQMENNLDRVETLVDQLLRQRGQQEQKNKSPNRTMLLS VPQSISSFSVGRYLYSILPPPATTAVIIEHGKFTLMPTPTGRAVGAVQQEPPPPSAHP VQFARRLIRLAICLQQSNGQVDEAARYIEIASQHVMSKDDLMNSLDGLEALTLEATYH INNGNPRVAWLRFRRALAIAQLLGLGVTEMTDRAEGIWFLLLMADRYMSLRLGLPFAV EDNNFSDPRGDALSKLKYIHVVVSGRIIARNLRMQRQGEPEYDETLKIDYSLKQVARS LPSDWWSFSSLHGLAAADVLEKTSTLSAQLTHHYLIVVLHQPYIVKSLVSPTTNEHVS YSKLVVCSASREVLLRFLVIRSFHRGGSYFGFEEKACTAMCVLLLAHIDGYRLGQANS LEHQRPQDLALVNNVLSLLEEMHVPNFEVEILKKLVGIEEQVADGANYSWMKKSGAGL ELTMPYFGTVCWVLMSPREQVIHQETSLPPSSDTAGLLDFLDMLEESPLFDQA VE01_03315 MASNTRHETNPLSLANKIAIVTGASRGIGAGIALELARHGAKIV ITYTSPGSSKGVDELISQINDLDNGASAIGIQADLRQISAAQAIVEATLARFGPKVDI LVNNAGVELVKPLQDITASDLSYVYDLNVRAPLLLTQAVLPHLRAPGRIINLSSVGAR AGFKNLSVYCSSKAALEGLTRCWAAELGAQGHTVNCVNPGPVQTQLIDNIPKETVEMQ KASTPVQNRIGTVDDIAQIVSWMASEGSRWVTGQVVSASGGWAMY VE01_03316 MSIYVVAIITPAPGKEELVKSLLADFANTVKTNEPNAVRYLVLE QYDGHEGELCKLVVQETYHTQEAFDEHFKTEHFAALGKLIGEGGLLARPLDIKKVRPF GGFESR VE01_03317 MNFDIPPDLKEFLSSLDSFIEREIAPLQAQDDNERFFDHRREHS RTDWENDGLPRPEWEALLTEARRRADKAGFYRLSLPVEYGGQNKGNLWMSVIREHLAA KGLGLFNDLQNEHSVVGNFPTIVMLLSFGNEAQRKEFIPGQLAGKVIMTFGLTEPDHG SDATHLETVAKPETRNGVKGFVLNGRKKWQTGMHVATHCILFARTSGRAGSTNGITAF IVPAKTPGIKVESYEWTFNMPTDHATISLNDVWVPSTAIFGPPTSGLSVAQAFLHDNR IRQAASSLGAATFCIQESIKYAKERKPFGKALAWNQGIQFPLVELLTQTEMLRLLIRK TANDMDSMPHRDVEKKLTDKVSMCNYWANRLCCESADRAIQVHGGVGYSRHKPFEHIY RHHRRYRITEGSEEVQIRKVAFKVFGLSELAKAQKQAAKL VE01_03318 MSKIIAVIGATGAQGGSVISTLLADGTYKIRGVTRNVTSAKSQA LTAQGVEMIQADLDDEQALIKAFKGVSAIYAVTDFIQPFGKYGPEVALKGEVAQGINL ANAASKTSTLEHYIWSTLPNGKELSGGKHIVPHFEGKNQIDAYIKNNAKLLAKTTFLW NTFYASNLTFPPFTPNLLKSSGKYVWLQPTPASTPVTMLGDADANIGPFVSSILKRPD LTLPGKFVVAAVEEMTHGEVLATWGRATGKETEYVEVTLDDFDRLWPGWGKEMGMMMQ MWGDLGHNSWGGEKVLTKEDLGITQPLISTEAWFKAADWGL VE01_03319 MSTTTSIRKRARKTCIPCHQRKLKCDAEYPCGMCATYEYNCRYA DDTTGTTSDGVHAAPRKRRSFFSGYRMMGPDVDDTTDTPGGDVHSSPPRDMRACLLGG IRMMGRAAASTSPSPAKPAHVERGHREADGMSPAGASAASTTPASIFDEHKSRYAGAS AAMAFPHVLGVALGSDSPPKMRSFAYNFSIRPEEASNPHGLLGDLISEYDLGTFSGIF YSAIAPIADYMDARIYA VE01_03320 MPLEVFLQVSSYLTTPDLCALRRTCKRTEAWLFDTFSLEFFTRK QFMLTETSLQALIDISNHPTLSQCLRHVIIGLDNYDYSGRPLPHFSQDAHANRYRAGL ADQFTLLSTGQDRDMLACAFRNLPNLQTVGLRDYSSGGRIRDSGQWHSYGATTIFEET GVRLAGGYRQGAIDTDLRYASRAFSSVLYALGQSGARPAAFEVLLKKRGFGLRDYAFN IPNFLEPSVVPVLASLKTLLLGVSLANESIFDTPGANNSPDLESRDFRFRQFFHHTPN LTHLRLNFQNVETSNDEDFLVWLSRPALHPASPAPIVLSQLRRLDIGMLSVAPHVLLD VIRKFQPMLRELSLWKITLKSTDQDRRRGKRVNVWAKFFAKLSDLDHLSVGCLSQLSQ QEQQQVGFRPVDSQEDAWGISRDYSRDDMLDFPYNLVRDVLLEWPEGGDGEDESMSDD TSDDYDNDTDMDDDE VE01_03321 MPSLKRWLRNNLSWSSTQAEPVLDPLPFLPVERPSILTPSPSKE NLASSMDSYGYFQHLPYEIRRQILTEALGGRTLHVDLTYLNRPVRKKGKYMIGKDGAY AYAKSKTWGWYSCECHQTDSLLPDGIPRRNFGEERWITNQRKLPCAGGCKDGRNHREC GPEVDDKQPLRCRVNAMGWILACRQAWVISLLNPVLLGMVKEYNNGLNRYADGVDILF STNNFHMDNFDLLLHLPRLILPQRLRSIESMEVSWTFRPTATTDKPLNVLWNDPTTKD SALHELCRMVPELFPRVRQLDINILGELRLRPDTHSTVIDLGGIETVFLGPIEDMVRA LGPGREVCIAIQQTVFHILFMEKLELYGQDLKQDIYNPYNIRFWKELDPDSGLGYWIC DGAPDQCQVGNIYFNNY VE01_03322 MVGALLPQKRVFGEASSARRNIDSSPASMKKRKLEGVETPISRL KSSQNGPKGKPGSSQPSHFESEVLEKLTQDMTGLKNKNSEKDQQWDRPTLVDFNSETD NLIFQQIEAEEGTLHGGKATVKLFGVTETGHSVMLHVTDFLHYLYVAAPVSFVRTDCE SFKVFLESQVAQHQPAIHSVQIVMRENLYGFQGNQQSPYLKITVTDPKFINRVRTTIE GGNANWKGMWKGADGGILTFDSIQYVLRFMVDTKMAGMSWVEARASSYKMINANERQS NCQIEAEIGYRDLIAHKPEGEWSKMAPLRILSFDIECAGRKGIFPEANHDQVIQIANV VTRYGEKKPFVRNVFCLDTTSLIVNTQIFEFQREEKMLMAWRDFLEKVDPDIIIGYNI SNFDFPYLLDRAKHLKLGGFDYWSRLHSIKSVAKESNFSSKQMGNRDTKATNTNGRLQ LDLLQLVQRDHQLRSYTLNSVSAQFLGEQKEDVHHTMITELFNGTPESRRRLAVYCLK DAYLPQRLLEKLSCLENYTEMARVTGVPFNFLLSRGQQVKFVSQLFRKALEQKLVIPN QRTEASGEQYEGATVIEPTRGYYDVPIATLDFASLYPSIIQAHNLCYTTLLNKASIDK LGLKKDEDYIVTPNGDMFCTAKQRKGLLTQILDELLTARKQAKRELAVETDPFKKAVL NGRQLALKISANSVYGLTGATVGKLPCLEIAGSTTSYGRQMIEKTKAEVEAKYTIANG YAHDAQVIYGDTDSVMVKFGTKELAESMKLGEEAARYVSSKFVKPIKLEFEKVYFPYL LINKKRYAGLYWTNPDKYDKMDTKGIETVRRDNCRLVQTTIETVLRMILIDQDVQGAQ DYVKDTISDLLQNKVDMAKLVITKALAKADYANKQAHVELAERMRKRDAGSAPTLGDR VAYVIIKGAAGAKNFERSEDPLYVLEHNVPIDTKYYLDNQLAKPLGRIFEPMLGETRA RSLLTGAHTRSVAIAAPSVGGLMKFAKKTSTCMGCKKPLVGKEESGNAVCKNCAPRMG ELYTKTLTKVSDLEVRFGRLWTQCQRCQESMHCEVICSSKDCPIFYMRMKAKKDVEDA GKELARFDKDAAAW VE01_03323 MAYNNSQPYHSGGAQNQQPYYSSYDDNRELPRLPSYSPQPGQPI VPQPSSSPFVGPFDDHVYPASHKGSNASLAGNSPYYGQGEATPGTSNDFIPLQDQQRQ KTLPMRHQYPSQDHIYDAGPPEDPPKPRRRGLGMFKGEPGVRTAWVVWFFTTIQIAVF IAELVKSGQLTGLPIQVKPTFNPMIGPSPYVLISMGTRYTPCMRRMDAIQNTTEITWP CPYSTSTDPDAAENACTLSQACGFYGVPEPAYEKGQAAPNQWFRFITPMFLHAGLIHI GFNLLLQVTIGREMEQSIGPIRFALVYLSSGIFGFVLGGNFAASGISSTGASGSLFGI IALMLLELLYTWSERPNPWRDLAFVALDIIISFVLGLLPGLDNFSHIGGFLMGLAIGI CILHSPTSLSRKIGADEPPYETVGKRGTGPSEVSRFVKAPLGFFKARKPLWWVWWLIR AAALILILVLFIVLLNNFYKYQKECSWCKYLSCLPVKDWCEQGDLNFQSKAG VE01_03324 MADAVPVIRVLDGRGEEGGGGSVKGGVGGASSGSEGNAASRKSP SIGSKAMRSPTIRSGNTKSAAAGGKTSSAAIDPLSHHILKRTNTENTIPHRMRTANVA DSPKNEVVTPASETGAGGGTARSAATEGSREEGAPQREKKKVSFLSRFSIGKKKGMDA DADDDDDDESEQGDLRTEGMNAQAYAGAARSASGFMPQHKEPPRYIKVRARYKREREF NRMFLAQELCGTKNPVAAGEEKEKTVPDVPPGSRPTSREQHGGAVWTTEFSLDGKYLA AAGQDTVVRVWSVIATAGERAAHEAEEEQSEGHGAPLSAPVFRSKPVREFEGHGATVL DLSWSKNNFLLSSSMDKTVRLWHVSRAECLCTFKHRDFVTSIAFHPRDDRFFLAGSLD SVLRLWSIPDKAVAFWNQLPDLITAVAFTPDGRTAMAGVLSGLCLFYETEGLKYHTQI HVRSSRGRNAKGSKITGIRTATTPDGDVQILVSSNDSRVRLYALRDKSLAAKFRGHVN AVSQIRASFSDDGRYVICASEDRRTYIWSTGAGEEGARAPVEFFASHAGAVTTSVLAP AGTRALLAMSGDPVYDICDPPPVTLRSRAEGTRRPTGPGGRHSAHKEGNIVITADLGG GIKVFRQDCAGGKRRAEVWETGSMLSSRRRGRGHIGRKSTESGTGLRVRTGTGLTEER EQSEEIMQWASEIQTPASSEADGLGSIRSMRTATTGTGTTVGADTPSVRSERSVSPGV VRRERAGPGASLAPGRNTEPAPGGLLPTPSFSLKLARGGGSGGGGGGGGWWKSDRGSE GSKG VE01_03325 MPRQGIKNPFASTATSTVTAPAAAEKPAARRNSFAKASRLTHFF SGTPKSKEQQSAQSALLAAAAAQQQYLLNNPSPSINASNVSLPTISLSAVEDEEATME EPATTLFQPPSAAEAKRQARVEAQFGPLVHQSHRYVSQHMGEELEEPVMDEPPYYYLL TTYLSYLILIVFGHVRDFFGKRFRPLHYKHLKSANGYAALNSDFDNFYVRRLKMRIND CFSRPTTGVPGRYITLIDRKSDDFNQTFQFTGTFTETLNMSSYNYLGFAQSEGPCADA VEETVKKYGISVASPRADAGTSDLVIEVEREIAKFVGKPDAMVFSMGFSTNATTFPAL VGKGCLIISDELNHASIRIGARLSGAMISSFKHNDMKDLERLLREVISQGQPRTHRPW KKILVVVEGLYSMEGTMCNLPGLLKLKKRYKYNLFVDEAHSIGALGPKGRGVCDFFGI DPAEIDILMGTLTKSFGANGGYIAGEKNIIAKLRATNSATIYGESPSPPVLTQILSAL RIITGELVPGQGEERLQRIAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLYNPAKMPA FSHEMLRRKISVVIVAYPATPLISSRARFCVSAAHNKDDMDRILAACDEVGNVLQLKF SSGVAGGAENLADGVTPEMEREWLKANGAKAVVKPPRWGLREVVANGVNDVKNPLR VE01_03326 MGWFDGASEVASSYILDGYGYGGRAASPSRSSRHGGHKKHKSHK SKTETGSAFGDWAAGVSGGGVPRGGNRSTTSFFSVGSGARSATSSYRRSPRRGLLSRT YSRLRHLLRKLLHFLKTHPLKVFMLVIMPLITGGALTGLLARFGIRLPAGLGKLAKMA GVGGGGGGVKGGRGGLEALGGVGGMMDGLGGVMSVAKLFI VE01_03327 MKLSLAFSVVACAVTLVAAWSKEDQEIFRLRDEIALSEGPEVTF YDFLNLKQNANHDEITKAYKKRSLALHPDKVKQKFIASRTKSTDKSKKPAGRPSNAEI NAAAKAASDRFARLGLVQKLLKGPERARYDHFLANGFPVWKGTGYYYARFRPGFGTVL LGLFIFVGGAGHYFALYLGWKRQQEFVGRYVKFARRAAGSANLTIPGIDAGAETPPAT DSDAEAAMQPMNRRQRRMQEKDSRKEKPEKKVKAPKAKVSPADTPTGVTGPKKRVVAE NGKILVVDAVGNVYLEQRNDDGEMQELLLDPAELQSPRLRDTALIRLPVWIYAKTAGR FLSPAPAADSDSDYDEGDDFTSENDTAGETSGATRSRGVKKVRGRKGGRK VE01_03328 MARIQIPLDVFTSRLNLSDRLAGFRSQTIGSRFANIKPISEFLD FKRLSKPANFGEAQSRVNWNLGHFSSNYAAVFVMLSIYSLVTNPLLLFVIFLVVGGMW AIGKLDGRDLELGANRITSSQLYTFLLIIAIPLGFFASPFSTILWLIGASGVTILGHA AFMDKPIDAAFSGEAV VE01_03329 MPPWGRPPGAKGSKARKRWERDQARYRESVRVEELDSDDSSDAR GVDVNGYPARYAAIDVAPKSRKAYAYQSDSSSSSSSASSTDSDSSGNVSAAQIALRDK EEALVQSALARIRRAQEKGKLEVKLREDELAALENRRKRIAAENAAKAKPKSSSSSSK RDKNSGGSDRKKKKGSGPPMVTVPIVPPPESAPSSSRPQSRRHSRAIHADPALMESYA AAEYRPPSSHSHQHQHHASPGRQRSSSSLARPGSQHYAYPPPPMMTGRHVSDGVRPGS SASMAGMQMPMTVMRAMLPHEEGWDPSASRRGSVGSGVGGVEYDPFEYQVRGEGEYGR GGGGYAGGGGGGGGYPVGGEVVYSNVRRVMPPGGGGGYVERRDESSSSEETDEGSGVR VVPERVREREVVPVPVATPSKKGRKKKR VE01_03330 MQYYPDPKDHIPGEECSVSRSYYKERYYPQDSKRDPMEYSDPLN IPILQEIFQQTFKKAGGVNKKNDAGEAAWTNDSNPTDCFQLFPTEIIYDILVCLPSKD VLNAKLASATFAALPLTNTFWASRFQRGFEFHCVFEAQRLQTNDSDWETMYLSVKSLQ NTPSFKNRRRIWKLLLLVEELHSRLISAELKGTMSHSFFEPDAPEDNRSWNLASGVLC QPDNYFDRGCRSLFARTVDITRKVTGVFLSFARFNSTKYVSGIRFQQCNGVNSSLGYV VSDEEIELDVDGSVLSKDGCSIFGFYLAIDSRGVRALSLLASNGKVSTWVGEHEGIPK TRLLLNQGDILSLKAGFDERVDDNLDDFPLQPVETPGLTTITGFYSILSSSCGLDYLG VISEHIQKDDDPSVIPEA VE01_03331 MDEPTKPEVGDPTTLNDVKNKDSHEQFDPFQEPENSPQDITDEF SKAMATALPDVLADISTDPGAVSRLRAFLAIVREETFRFMDPKEPPFLSQFFNFTEIE IKDADCSNDDFAEYKSFVQTAGEVGRRTTLTDYLIARKFQPLVQQQRQVDFTIAQMVQ LRVDLKQAKRFVEYIVYGPLFFVTHKRANQIKAGDLDVNSILDDILLVKEQHSSSIFA RRSMVSKTQFTDTLKLGLSQIRDRFYDVTKAKQDYLYEKDNERKLLADNSKVFLWDLI FNKYHPLTVPWRL VE01_03332 MSSNINFNEAIADLTAMQEHLQISSLPVEATEIKGDNEIMDAED ISMDEEEGPGKYLEFWDLYSALNVTMANTIDAQMDTYKHSVKKAKELYSTRKTPETPD EAAADSAAALKLFDESRFQQHYNRIDISLQALEDLDVAVYHLELSEKSGNGVPRMLSQ LCDRLEYFFGCKARVLRSWDKLEAIITEELKFWEGRQ VE01_03333 MSHQYSLYPTASAVASTTAAAAMIPQEPLTPTSISTRGRSSTST STSASLKKGSKRESKDSKSSTGSSSSSSSKRDWIEHPLPVGISIGGRVERVNPAVAVL APAFAEDPIITYVLNTLDPVARRAYLPAYFTALVTQATLNGAYVLEHSDWESCLTVLP PGRKVENPFTLLQSGLVGVLRKVGLSCVKRMLGEFEGAVKKARRRGLARGELPYYVFF VGTRADRQGRGLGRELLGEVTERAGREGRSVWLEATTADSRRLFLRMGFVDVEEVVMG RGKVGPGGYEQIGGPGVRLWCMVWRKGDVVVNIRDVEDEEEEEGDD VE01_03334 MAASIPRPSRPSYGPPTSALPAIPTTKTRKSTGAIPTFADSNDL TIPLPKTGLRSPSGGPPETGLTLPKTLPKLRTLPAGTNSQGKTIRKTISINSFPQPPR STRTSSLPPSPLSAGAGAASNRDSTESSPLTPLGAKPLRRKPKTSLGPGTQLAYAGGS SPSLLNGSGTTKSISSGPGARGSDGLLSLPSPPQSRSSSAQDTESTASTYEDGLDAGR GRQTSAELANGDSKSTEGKGNVIVSVRVRPDAGGDAPGASKTDGEWMVDGRRSLVAYK GPAGGDHYYDNVFATHDTNSRVYDGSAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQG TATNPGVIPLAITDIFSYIRETPSREFLLRVSYLEIYNEKIHDLLNPPPANALGANAP AQEEIKLREDAKRGVYASPLKEEIVQSPTQLLRVIARGDHARRTSSTQFNARSSRSHA VVQIVVESRERLPGNGAMSDNKRSGLVPGGVRVSTLSLIDLAGSERAAETKERREEGS HINKSLLTLGTVIARLSGDKDKEGKPMDKNGKHLPYRDSKLTRLLQGALSGDSLVSIL CTIQIGSVGSAAAANTHTGETLNTLKFASRAKNNIVSHAKKAEEALGAGGDGGARVLL ERYRLEIQDLRGQLDGQARSKDDEEESKREKEAELRHEEQMLEMQLARTALKERIEHL NRLIISSKSTGVNSGSFSSMGNHPRLSTMTSNTNLASARSSYAASLAADSRKSLERSP SMASQSTLGQLPASDKGGTGSSTPHHHDGHDDEDSMGEYGDGTASLAAQNRALLADVA DKNRYISTLEKRLLQARRSSHSRASIGFNGGKSGAAAAAVAGGEDTGVAALLRDKDAE ILDLRARLDDKDHMLTALRSAARSRDAAERSGETTGRTSVLTAENLASAAAALGRGEV GLGLGMGMGSAGNHVSIASAPTGAPLRTGAVTAPATPAAGAVVADPMAASMPTSPVLT SPTRGKGKRKSVDEMSRMLDEMIQDRVERGEIVKGVRGSVRLPGVGGERKEDGGVAVV A VE01_03335 MARTKSTRRLPPPKAPVEKDADSAPKPTASNPTPKKRRPIPRSH SQPTKKLKPTPLNTPPTTKLHIYVFGDGESGELGLDPTPINGNKPTSVTRPRLNPLLP AATVGIVQIAAGGMHCVALTHDQKILTWGVNDDGALGRDTTWEAPTRDVNEGSDGEDS EDERDLNPKESTPTAIARDAFGNVSGEFVQVVALDSASFALTSTGAVYGWGTFRANDG IRGFTAATAKSPNPSNRCQRTPLPIPSLPPIISLAAGNNHILALATTGRVFSWGTPEQ SQLGRRLPRSRITGLTPSPIVALPPITHIAAGSVGDNSACLPDRLG VE01_03336 MEARGQEEEQFRETGLLVTKMKFSPAPGQTVVTTPNAAFYQVYV TIRAEIQNDIIFAAEDLQTKESYSFRSAVRPAVGNWGGVSLTTLHVSIPITSSSRKLE YTTIQDGKKEYHGSISIHSQTQESQVSCPFKTEVRLSEVEVESSSWLPWLDLDEWEGW LWIRSRPTWVEPQFMRLSQLPSNYQTQALLLRKIDCNSTVLFIVPVSSDGVLLHISAA RQGEQPGAYIRARRANGDTCRASGEVISYLSTTGDTSLAIKEVMGAAVKKIDTTENNN SPFDALGFCTWSSLGEFVLPTKQNMKDLVASIADDKLPIGTFIIDDGWQDIRHNGSDG PDNQGLWGFGAYEGLGGSLKETVCHIKKKLPTVKDVGVWMSIQGYWNGTVPSSPLVPK YDMSPYRISASRVPKIQNGSSAAVAQIVAQRYSPNREWYLPHPSRAFDFWKDYFRTCA TAGITFVKVDNQASISLLEGRHGAEAAHAMWNGMFAAANEVFGPGKVIHCMSHSERMF VGDIATGLATQGQRIMFRNTNDFGLPTYTHAQHILYNVYNSLVLSNTCLILDADMFMT GKQWPEYHAVLRAFFPGPIILSDHLGKHNLEVINRLVGVSKSGAMKTIRAKHPLRPLA NRLWETGKNAECETRPTIKASTCFPLLHSAVIVAWSHGCIKDCSLDIISETDIRQALE LSPKSGGEYMVWFANARQCVPVSLSCDINDSNKSKESFESSLVATLALQPEAHEVLRI VPNHTLSKNGPKIAFLSLLDKYSGLAAAEHVIYGEGRLTVDILYEGVVAFVVSSAGLK VNVDGREVEARRTELSEACWLIEVELKRGGNDADAGDSWKVEILA VE01_03337 MASTDANQKESLAVQDSNVSHIERGLEEKLQGAILTEYAQTGIT SEHKMGLREALKNYPWAMFWCLMVSMCVIMEGYDTILMGNFYAYPAFALKYGQYVPET GNYQLTAAWQSGLGNSSGVGAFFGALINGYLVDILGMKRLILISLVVLSAFISITVFA NNVTTLCVGQILCGLPWGVFATTAPAYASEILPLPLRVYMTSYTNMCFIIGQLIAAGV LSSFSSQKTWEWAFRIPFAVQWAWPVILFPILLFAPESPWHLVRKGRLEEAEKILRRL QSKSCLADPKEALAIIIHTDNLEKEITAGTSYFDCFRGAERRRTEIACMCFAGQIFSG TLFAYNSTYFFQQIGLNTVATYKMNVGGTGMALFATLLNWFFLMPHFGRRKIYMTGMG ALTIILFIIGFLQIRATGTGPLLNAQAAFTLLWTFTFQLSIGQLGWALPAEIGSTRLR QKTIVLARNAYYLSAVVSRTLENYFLNPRAWNLRGYTGFVWGGTALLTLIWAFFRLPE TKDRTFDELDILFAKKIPARKFAKTDVNTFNEDEPITAAAIIA VE01_03338 MDLANRPATCWVEKEVNIRVWWTLYMTDTWSSYGLRLPKQMHRI NSLPLPADDEAFLQARCGNEIAPNLDTELESSLLSQMVKLHFILIEVNDFNSYTVTSQ ITEAETVTMVTKLSQKLDDWHTSLPPCMRDTPENLSRYAAQGFGRMFVAVYLGYYNYG QLLFYQFLNSDHYSPYVQSQLYAAKCESYATRLCEIVYAANAIPECEVHYMMAGHVLA IASTVQIHTLLFADNEEKIKAAKYRLERNFEILMRLKRFWPTLDISFARFQEFHRVCR ESMETSFRMDRWMLTFLLEFGKPLNK VE01_03339 MGLLTKAAVIGAGIYAVKHLKEKKDNQKAGAQYEQQQQQGQGQF APQGHQQQQYQQGHGQQYQQNQQQQYAPHGEKGGPPSYVQHNGGYGAEKMGGK VE01_03340 MRSTLMLAVASALVATTVASDAPKVTGNPTDVTYKAQIADDNKN GVSGFVEIAAGPQGNGADIKYQFNLPAEGGPFTFHIHENPVNATGLCASTGAHLDPYN AGEKPACDTTNLAACQVGDLSGKIGDKLPSGGNTGELDDAFTSLVVGAPAFIGNRSIV VHASDKTRIACANFELVNSNGGGAGSGGGSASPTGGAGGGGSATNGTATRPSVTPTAT HTGAAAVVSGSVLGFLGVLAVGAALL VE01_03341 MENGGAPQQGEGKDPSGFLGEIINSAVTVKLNSGVVYKGSLQSV DGYMNIALENCTEHVDGARRRSYGDAFIRGNNVMYISAD VE01_03342 MSTPDPASSPLASPTSPAPAPAPAARKSLFKNRNRGGAAAATTT DAIGFFSRAKDVFAENVEVERREREREKEREREKELQRQMEEERVRELERARREKRVR GVGKVESEGEEGDSENERRRKRSRSEDRSNTPAGESGYGDEEESRSIEREGSTHSTYG NSVASPGPSQRTTRSQAHIISLSSDDEDDKPAPSPRPAYHSAFSSPPPKPHSTNHAPI AIPSSPPANPPSDDDELYADLIAAARRQPATTATAPSNAPDPTLTILITSPLPNTTPL LIRRRLSQRLKDVRLAWCMRQPPHAGAAGASIFLTYRGLRVWDTSTCGSMGVKISRAG GVLDDGGGAEGGDVHLEAWTREAFEAYKAAEETKARNAHLGLENEDHAVSGGPAAEAA PSQPQPQEEKLRIILRAKDMPEVKLRVKATTKIADLVAAFRAQREAEVGVRSVELWFD GDRMEEDDCVGDADLEDLSGVDVVVR VE01_03343 MPKLPKAFARRKSAANVLDEPLADVPPNQQTFRVFDRANTANFD GGKPRLNGGSGNVSGRPSTDPERDDNLFSGFSNRGSGTSNSNTVSTADNSSRRSAVSS ASSDPKDTTANGKNNNAPLPAVPKGTSKFSLISTGKAFSFGRKSSGSTPSSTTQEDLS KPPTPAKDDTTRSSTLTDSARSDYSSANASLKLDNRLSLGGDFAAMFMGTGNRKSAVL DAENRRTQSVSPAEGSTSTARQSGVSRKPLGNVSNERSLTGDTSSYPWGQQKSAEKQR LMFSTSPGPSPSATPPPVPEHDTNGSNNTSRPGVGLRRSSAVRRQSLMAIGDGEDEDA KLMRESINAMRQLNVDETPRARDSWINPSAVAAYNVANTPKPTAASSNNTTPRARYPA MEPQEDGLFDQQTAASANLALQWGEKPSTPQSSAPKNKVMTTAQFERYRQEQERQKRY SQGKFPDAKADDDDDAADDTYEDEGDDEEERRKEQAKQRRKQEAHMTVYRQQMMKITG ETANPGARPSAVTSQSTPNLQLYADNPAADEEEDEDIPLAILAAHGFPNRSRAPGQLG GMASNPNLRAASQMSGAYPPPPRSVTGGGGERGSALPAFARRLPQDPYFGAGLVNSTN RESLALGSGSQYGGTGGGPRAQPGGLVGVIASEERSRAMRRGSPNPAGEYPAMPAGMG LGYSGGARTPGAMSQDPVAMQAQIAAMQAQLQQSMEMQFQFFQMMAGAAPPMQAPPGV QMVPGMMPQGMMMPPQGMPAQGAPQLGGMGRTSTMGSSLGFGGAFPAGPRSVAGTQRP MTMLDPRGAPYAASIAPSERSNVGMPDRYRPVSHMPVENANGVPRMSVLAVGGKGPNV TVRPVDGGDEDEEDAWEEMKRQKEKKKSIWRMKREKKGVLVEEVGEMARFTS VE01_03344 MESSDPGSASTTAPTALMRNNNIPDSAPPQPPPLLAASTPPTPD QSTARPLKRSRIDSSPNSATVGAIADAGLTASPLAKSPRFGTSVSPRASPGAAAIDDE RERLRRSEAAKKAPGENPGHKVISALISGSGGRQDAPAATTTMSEGMEVAANAISIPS PLHFEDKSQNSPASVTSLGSMASTAQTATASTTGITSPSNMMNNPGEGGEHRDNGANG GGEPQSDKPSARAFTFPGNALARPEGLRDPMRGLSLPMSGLNPRSPSHKKHKCPYCDT EFTRHHNLKSHLLTHSQEKPYVCNTCQMRFRRLHDLKRHSKLHTGERPHICPKCDRKF ARGDALARHAKGQGGCAGRRASMGSFGGDEEYDDSHMGEDGGMEGVLYAEPVEGDVDE GEEERRYSLPSIKAQHVDGSGGGGGGGGQDPYAPLMRNAPNTYPPPGPRRGQSGPTSF HHLAQGEHGSGSTAGSTVQSSMGGVSSHTTMSTAPSTGSVAGTNNNGNNNNPMLFAQT PMTESPKPISPGAMSSLQLGHDPASIAGSSLNRQRSPSLATQVQQRDFGRRQQQGERM GSTGGQGPKLPALSGLAPPEARFMVPSQAGANGTSTSTSSSTAGFRGQPGMQQQQQQG GPDASNNLFSSERGVWTYIQSLEEKVDRLTERVVGMEGVERRQEERIRKLVEEVEGLR GAGRPGLNRTGRNNKTTPGDEEKDNLDWTDYFVGLTGELGGAPYEPRAPTEYTTASPP TPESRTKLPPKRREGRDKRTELMTTMAVENGIPSVAEPEVREEREEPVKGFEDAVVEG EGEVNGREEGVNGHGEEGETVLPKSFAEVVIEGAKEGADEGESTATNRQKKSTGETNR GKKGTATNGDKKGRADERNGDRKGRREQANNDDGGKSYAAALLQPPKPTTHPRRSKPS TRRPPSHQHTHSAELYEDTEFEDSDIFTNYPSTRSPSHSTEHLVSIKPLSRSSSPAPA DDPNPRRTRTLTSGREAGKNWHVSKIRFAPLNIPLQRRLQTAMVLAHTLCIALLIGTF LFACAIPLLWPLIVPYMVYVMFSKAGYSGELSMRSPRLRGSKLWSLFAGYFPARLHRT VELSPTRKYIFGYHPHGIISHGAFAAFATEALGFAQLFPGITNSLLTLDSNFRIPFYR EYILALGLASVSRQSCENLLSKGGVNGEGMGRAITIVVGGARESLDARPGTLRLVLRR RYGFVKMALRTGADLVPVLGFGENELYDQFSVENRPWIRRGQDLLKRTLGWTLPLFHA RGVFNYDVGLVPYRRAVNVVVGRPIPVTQNRMPSVEEVEELHERYCDELVRLWETWKD DFAKGRAEEMKIGD VE01_03345 MSFQTTAAHSSRIKKSNPASARRPSCAFSSLPRRKPIARAGSSS KQQSQDDYSDDDTPSSSSSDPTARLPDAGLIHTLLTDLTLRDVPQAILHITTHTFTPI PPTRSGLSSTRTAEILNFRRALPPVATVSHVQALLHAPTAVAREIAELTAKGTIRKLV IPGRGGAGELLILTRDLEGLINSSTTLDDAVKAAYIKLLREQPTALKLPRSSLSQDAA RQLMQAGFITAATPTWTAADVFSAPGQGARGTLASLTSIASAARGGVGKVGNEGVVHA AGGSGGRAGSSAGGMGDYSVSVPNVGAYLKLLTSARTHLVGVLGKTRFREMTEEGLRE RWEGGVEGAGRGSEARRDRGEFGVARGRTRWWRVFWGVEFGWVVRECVGAGMVEVFET GSVGRGVRVI VE01_03346 MHRLSIDYSIPDPALTVLGAGLQCDALRAALKELPLEIDLIVSS PMRRTLQTTTNALGWRMAEGCPAIALAEFQENSAKPCDTGSDAAAMAAEWPAFDWSEV DPVFPAKTGLYEFSKDGLTRRGVEARKWLRGRKEKVIAVVSHAGFLRVGVSYCQYANA DFRVFEFAEGEEEVGGRLVEWELTEERAGGLGKSEKGVFGWETQHFPEEKVEEGVPAE DAQGASAVKVAS VE01_03347 MEVARSAQQWSFDTDPAEHLYTQIVFKDGGDYFFCQSKERRPKL DTESINALNPQKILRGHIWPLLEGGLTVCDDPTNPDIYIKKPRLTAYDSTPALAHLIL QEARVCEILMQNSHPNVARYLGCYVQEGRIAAFAFSAMLRLLKKGRQEVC VE01_03348 MTDIEQAFLPPCIVFVHGLMGNPRTTWTGISPPPSAESEHKRSL WQKITQKKSPTTWESQPQPVFWPKEFLPRDVPHARIITYGYDAKVVELFQSVSQNSLY AISRDILNDLQISREDSAETSRPILWIAHSLGGIALSQSHALQQKQPHLFGIYSSTIG IIYLGTPHRGSGGATLGLVAASAASCFLQSPNKHLLRSLESSSAELERISDVFSLLPK KVDKTIQEYSFQEDRGMASALPLIGGKVVPDSSSRLDDGVGSTSNIAANHRDMCKFVS STSRDYLRVISVIKRFSKSTVSDSSSLAADIAPALSQPNIQPEGQYIRASSRDSHRRR ESLQFQRRSASSFNASDDGISITSVGINEAQSSSLMIPRHRTSTSSHKQEKHFFELPL LDNPHFTGREQHLQQLQSVFPIEDQAANNPPRRQRSSKDLRRAILMGLGGCGKTEIAL KYARLHRNDYTAVIWVDGTSPKTLASSFNRISSIFPRALKGELQQRSNFQFSPRNSTT DLPATLHGGKLFDITKWLRDSHDYSWLVIIDNMDDPDMIDVVEQLIANWHHGHVIITS RNREASRLGTAIQVTEMELSEATELLLLSIRQTCQDETTKELANKLATRLGNLPLAID QAAAYINYQQMSMDEYLLLLDEEQAYLLGHSSRNRYHKTTQLEDGQYDTVLTTWEISF RHIRKTHLHASLLLQLFAFMNPEEISEVIFSDMAKRSPWDTYGLNGELISMDPADYGL DVELMEVLMSHIKLREAIGRLLSFSLIRRKAQTKTLSIHPCTLGIVENGE VE01_03349 MPEDSEASENWLLDNQSSALNALYLRLAFLVEQVRYEVGKGNRD YRELQHWIIQHDLTKASRKNTRRVLLCWRPTSSLMGDLEEQACMLQDFSNIAFGITQV ELQSLEKTEARLVQCFNAFRSFSSLHEPIFAGLGILSLTPGCPFDSSLEAIYRMLTLS SKADILRVWEPIAKAVSGISIRAYNDREKLDVVKLFNHFKTREDSEKSKLRGKGEFVT DISDSKWARFCEFYRKFDTTQGALEGVARREILYFLRNPSNRDESLDEMSKLLSASLD YAE VE01_03350 MDHQGTSPTGEHPNHLKQESSPQPSSYQDDINPANLGLGINNGN LQEQYSSGQFSQGQTLPVFETNVEQNQQFSQGNIGEPLYALSQNQNAQPEFQQQFDGN QQYQNQEFNYSNNFLGVNNYNGGDFSLYPNPQTQNEIYDPSLYLNDSPQPSVNPADLI GDISSPPHHTPTPPQMLTAELPQTSSAQHSPSFNQHQFPRSPGHSRTASLQPESAQFP NAQLPTDWGMIPQQFKTHRKTPSEYSDVSASSVAPSPNLGLHDSFDTTDQRHSPLVHP QDQGIYQDLGAMGNFSISDPHHGTSPLNGRSPAHSPQPSPRLDPQQMGQMNQQSPFML SMNMPNNGYIQQPTLDMYGQQQFKQENFGEMGQEQQGQGPQMPPPDINIEFAPASRQN SFPVKAPLDQDALTPPQRGRRPRASSDITGTGLSQFSRQGTPSNLGPGSDRGSSPPGR SLSPLDRAGASTPGHRRRQSTSAIPNQRDYILGLADPEYQAASGSAENGNPKRVQKHP ATFQCTLCPKRFTRAYNLRSHLRTHTDERPFVCTVCSKAFARQHDRKRHEGLHSGEKK FVCKGELKQGGQWGCGRRFARADALGRHFRSEAGRICIKPLLDEEHIERQRLWHEQHM AQNMQQQQPLPPQSMDANGFPMDASGNYTLPAALLAQYPALAGLSWADLPQGDAMEDD VSGRSSFDASGSEYYDEGDEGGYVSGPGTGFTQGQMPDGYRVDYASDYGGGGGR VE01_03351 MAAAEVSQSFGSHPRSDLGHTREPWTIGWDEDDTRIRLQHIALA WVASRRSHDAYYHGPPMPGAPRWENTVALGLRSQQPKAVTLRPLRLFVTSLPKRHIAS PADDDDSSCDLDAPLTDPSDSSDALATENYNRDAAAHFDTPLPPRKPPTERIFADPAM APAAVDWTSQDGFKTTAKKKAKQAAKAAAQSKWGDDDEEKKKEEGEGDAGGDGGAGGG DAGDAGDAGSGDKKGSDDGDKKEDAPEEGDWGGFAPAKSKKKGKKGKAADPEPEPEPA KASAFDAFQEIKLDDGGTLDLSFDKPAATTGSGFGSWGSSWNTGTKGAATTGSGWDFS ATDGAAATEEKKEEEGGDNPWSLNRGKPTTTKKKKGAFSFGFDEEETKEPEPEPPKEE KKEEDDGFSGFVSKKDKKKGKKGAVFAFEDPPAEEPVVVPEPEAAPPPAEDDWGASSW GAATTKKKKGKKGAIAEVIPEPVVEEPPKEEPKEEPVVEDTWGAVGKKKKKGKNVIEE IPKEPEPEPEPVKEEESSWGGGWGAVGKKDKKKGKGVVEEKEPTPEPVPEPEPEKVED DSWGGGWGAATTKKDKKKGKKGAVVEEVIPEPEPEPVPEPEPEKVEDDSWGGGWGAAT SKKDKKKGKKGAVVEEVKPEPEPEPVPEPEPEKVEDDSWGGGWGAATTKKDKKKKKGA IEEVIPEPEPIPEPEPEKVVEEDAFASWAPAATKKKGKKGSKVVEEVKEVVKEPTPEP EKEEEASGWGGGWGTTTTKKGKKDKAKEPEPEPIVEPDPISKAFEPAGDDDWMSFGKK DKKGSKTSKALVEVKVEEPAPPPPPAAPEVGDIAAEDDFGGWGAASSKKKKGKKGAAA PIVEEEPIKPDVVEEPKTDDIWGSVGAGKKDKKKKGSKSAAVEVVEVVEEVVPEADLI DLDFGTKDKEPEDDSWGGGWGAAISKKDKKKKGGLDAPPPAPTPPSMDLTEPEPEADD GWGAFGSSKKKEEPKKEEPAKPAKKLSKSEQKKADKEAAKAKEKAEQDERDAAAEAER VAAEEAEKAEADAAAAAAEAEAKAKEEEEAAAAAAEKAAAAKKPKLTKKQQEKADKEA AAAKKKAEKEAEKEAKAAADAAAEAEAEAKRIEEEEAAAQAAKEAEEQAAKDKEIQDA LDAKKAKEDAKKAKEDEKKAKEEEKKAKEEAKLAAKKGGKSKTKKVVEPEPEPEPEVV EDVVDDEAAEALEKELAAETTAGAFSFWGASKKTSKGTEAAKKESAKDVAITGADKAG ILEGATLRDSSAATGKLASSSSMKASALSKKPVGGKIADRLRAFETAEVAPPPPPPEE EPAPPPKDDKKKSKSSRKKDEIIEVEDSPKKAVPGSFPVDIIDDEIVEIIDMAPPKKE KKSKKSSKAPVEVVPPPPPPPPPPPPPAQEPTPPPEPKEAKPAKKERAKVVRGESGSW GTWGAATKKDEKKSSKSKEVKLEDSPPAEKKKRSSEAKASKSRKTEDRGSGTEKSSDK EKSLKTPKPKSKGLSSMFASTPPISRSMSTRDKRSSSGARTSSRRQSLDTGMMSPPPE MSSKAARMLGVTPGNSRSKSDRKSKSREVEDDDIVMVDKASDESGGRKRKSKVPRQPD EEAAPPPPPFVPMAPGSDDLPHRPRHKRRSMTDRSNKQAVDDDIVMVDANGPADDREG RSRGLKRSESSARKSGFGGMFGGFLGKSTSAKPESRRRSSVRPDEGGGESRRRDSHKT RSTDDADVISADNPVMSGGASEEDHEARRAARRARRAEREAQERAADEARRAKDEERR ERRRKADEADEARRQEEREARRAARREQRAAEERGGERAERHRLRREERATSERYNND TDVPHAKRSERRRSTMDGVPTDDEERRRRHAERRAAKEGGATPKLSRRATEPPDAYFE PRSGEKSRHATDDERRPHKSSRRKGEKKPGWPHSGTDSWVKEHSDAPPPPEDEEPDHD EKVDDPISDEVERKKTRRSSRYDDLTPEEAEAKRRRRETRRAERAEREKGRSQPSSAG DERRYGPDPRGGRSERERERPREVSGGSWWKKITQAV VE01_03352 MPNDYNYNPDDWTREEKMAMWNIFCSLVFDATGGANMEYLYPGW GNYTLSDLLDATDQLYSVTHPDEYLRLEDLSIQIVLARRRPVQLQLEEEGAEAAPVQN PEPEVETGVAETGDETGILARLYRSLWWIFSGFV VE01_03353 MIYASQSTLQYAVSVLSLCALPSILTPVQAAPAPSTLHSRELFS QQVLATNGVGPAPYYRIVALANLGNGVVLAAYDGRPDGGDSPSPNSILQRRSTDGGDT WGAPTYIAQGQAGSTGVQKYGFSDPSYVVDQDTGKIFNFHVFSKNVGFGSSVIGNDDA NLNVISSEVSVSTDGGVSWSTDPTNQLSLPPAASSLITTAVKPVGQTVNGVANVGGVV GQFAASGEGIQLRYGAHAGRLIQQFVGRVVQPSGNVIYQAYSVYSDDGGSTWHMGSPV GVGMDENKVVELSNGNVMLNSRPSDGSGYRKVSISTDGGITYTVPKSETQLPDPANNG AITRMYPDAAEGSANAKILLFTNANSQTSRVQGTARYSCDDGTTWSAGRVFQAGAMSY STITALGNDTFGIFYEGVSNTLTFAKVDKAWLGVSC VE01_03354 MPQMPRIKSNTTPKPKLSGIGSEPLGLGTKLIGQSGMQYRIDGM LQHRTDPVLACVYLATAEDQKKYAIKNIFSTEFEYQLDLQAPLRGCPNLRVVIDTVPE HLLFVYNYCTTHLLKLAEKDNLSDASRKRILRDTLAGIADLHERNILHGVVNYEESPN GAIEVQRVQVGDLEMGSVIPPGLNFRGAKLGNPMWRSPESHAAARINLPTDVFSFGLV CIYTMLRKIIFRIDSEGLSRADEERLVVKRLSPTLEMVLPFSMWVEVDEGFRDIVTKM TSLDPARRITAREALEHPWFQDL VE01_03355 MVDKMKVYVHGSYRNIDGQYPVAVSTAVFTHESGSHKAWTRIVP AQPTPTRQRADLMAIVLALEKAIVDDGRLYDKRAVDLNIYSGSLYAVKSLTDWADRWI YNGWIGTDGREVDNQDLIKRALQVEEMLMDGGSVRYTCIPREEKTEANTYGEHALNLW MGGFPIGWEWEWPRNTRQV VE01_03356 MELLTAIGERETKWLQKFGEKRYPREPLYKEFYGHQLVDPQVQI KYLADYLKVAPHLVPDGEELNAPTIRHPDLSPSNIFISETGNITGIIDWQHTAVLPIF VQAKIPKHFQNYGDDDSENFRRPKLAEGVDAMSESDREVEMKLYRRRQVHYFYLGYTS SRNKPHFHAMGKHNLVLRNQLYDIAARPWEGDNTSLQAQLIRTLEHWPEIRAGGEAPP IQYSEAESRECLERDAKQKNADEQMQQVREAIGVDIEGWVPNDEFESAKARAEAIKSE MAQAADSEKERREFEELWPFQDHEEMD VE01_03357 MKNFAIVIAIFGLIAGYVNAAVVTTGQVLETRGEQMTDTSIFKS GGPRLVQERDYKAQMLEEIKGKPVKS VE01_03358 MTVSREVTTLSDPTLLEKVDKLRDLNIGQHVPLPQLVVAGDQSS GKSSLLESLTGIPFPKDQTLCTRHATQITSRRSINDRVDIRIIPGPHASEEHRKEVEG FQMHMPSGLKFREQFEEILKKANEKMGLRTDVSTGKGAVFSEDVLKIELQGPHEDYLT IIDVPGIFRTTTQGTTKDDMVIVRDLVKKYIKDDRTVILAVLPSNVDIATQEILELAE DYDKNGERTLGVLTKPDLVLEQSAQAAVCDLVKGKKRPLTLGYFVVRNRGASGSSKEQ PELDQIFRQQPWIDLPQDRVGIPALREQLMSLLVEITRREFPKLLQDVSNQIKDCKRE LQSLGPPRQDEREQRVYLSRIAEIFQDRARAALAADYNADPVFDKDELRLITHVVNIT GVFSADFQLGAHSRHFEKSSVASDTDEDSDLEEPPRVESMIDNLRVLLEKARVDDVAL GERAELDGIIVPLPPHKIPMPREDFSAWINNVYLKSRGLDLGTFNANFVATAFAEQSR KWGDMTKIYMSRVIITLHRFIAAALRSICSEEQTRSHLWSAILESLVERYKTAMAQAS LLIEVEQRKKPYTLNKQFAEALSKARGYRVTELLRPKARKDIKQYGDTQYMVNLNDIA KVAEGKSNVEQLQEEIHDILQAYYSLALDRYIDNIFQLAVDYCLLHGPSSPLKVFTQD WVINLEAEQLERIAGETKSSKKCRTKLAKKIVDLTDALKILKT VE01_03359 MAEERLKLENEYNELTKEVVQSCDKRKKEIEDGFAGERVINDRR LTALETEKQQLLRTMEEEKRSAKVQSETLQQTIEQCDILKRAIEDFGLNSKPKEYFEK RFWEIQGSIERISLKYFHDIDGKVNALHLLCSLTAYQDLEIVHEKLVAEDPSFSSVPI DDSDDSQDLRTAHA VE01_03360 MKDFAILFAILGFCIQHASAAVIRAPAHTSSIELTSNSFPIKKS ATHIFDESDYLTPTEGHTEDESFSDLALRADVEPNKSHTEPLSKQLLAVVKCMFEALP GLTFISEREVAQSSVVQDPTGYYRVC VE01_03361 MAYASWVITLAASVAIARATTIAEVCTPSYVQSSLVFGSLINGI VIDSSSVTANIVTDASVNGDNNFPDAVFDYCNVTFAYSHNGADDQVHVWYWLPTPDTF KNRYLSTGGGGYAINSGTGSLPGGVTYGAVAGATDGGFGSFSTSFDQVWPLENGTANW DALYMFGYEAIHELSVIGKEFTKQFFSMGDTKLYSYYQGCSEGGRDGWSQIQRFGDEW DGAITGAPAFRFAHQQIQHLWSNVVEQNLDYYPPSCELDKIINETIAACDPMDGKTDG VVARTDLCKLHFDLSSIIGKPYSCAAAPASRFSPATPAQNGTVTGKGVAVAKGITDGV KDTQGRQVYLSYQPSATFADAQTQYNSETNSWELSISNFAAEFVLRFVDMVDSSTFAN IDGVTGDTLRDWILESWHKYDDSLQTTWPDLTPFHTAGGKVLHFHGESDNSIPAASSV RYHESVRKIMYPDLSFKDGNTALNEWYRLFLVPGAAHCSPNPTQPNGPFPQTNLEVLI DWVENGVAPATLNATVLQGDHIGENQQICLWPLRPRWSQNGKKMECEFDQASIDTWLY EFDAFKLPVY VE01_03362 MPPILGLRQASANPSFLSILRSLVFTYPVTQELLTSSTFKLINP RNHVIVTDQVSVRLPASSISGLSDEAVLALFTRGFFGGKVFAIERLYMKLGGWKTIPT GYSV VE01_03363 MIRLPAAVRIAIFLAISVSIVLYSHVADSEAIRSICADTEWNPA LVFTCSESVGGIGNIRNSILNCVRFAISAGAALVEPAIVLRNDADSAEIRTSVKTDMG YMLDPAHFRESLRISCPGKKVYDWIEELKGKLFHDQIALLPESLEEKVPSTGLAAQRS GGNLLKPGSASTSSLHQREPNGANFALAFGKLLKFRADTRILATKTLLALAANASYSP NLSQPILPDFFFGAHLRTEHDAQLGWPAEDWVYSRYETQAKFYLKGTLASGLKREEVA KFAQEAAEKNITVSTKIGVLGAEDVAQLEALSWDQQGMVDFLVMLGASRFAGVGHSSF AWNVALVRHLFAQQKDHLKGPHLLNDELSEVYGYPKQYPEYASCLWP VE01_03364 MKPVVSIMHAWSCIVISVFAIVILSVLGALFNANHHSLMDSNDD PEDGTVVAGTAFAAVGVYGFFLVFCGLQAWLHARESRRGAIAL VE01_03365 MSDLPEHLRDQYSSQPQDDDSQYHTQQSYYQAQVGMNYPPSAQQ QPTMNQSQGSGGRHTALNMGALAGALPDPNYGQAFPQQSPQRYQTLPPNAAISYQLQQ PFAQAPTGQPSRNQQGNSQYPSQFQQQQFQGMYLQGPGGHIQPVQGGLPMNQQQYPGQ GFAQQQQRPQGIQQQQNPQFYYQQAALYSGQTQMFQGGVFPQQHGSNQSSRRPSEQLS VGASSDIGRASSTGSSAGIPTTIRGPPRKPKQSGHALWVGNLPPGASVVDLKDHFAQD ATEDILSVFLISKSSCAFVNYKTEEACAAAMARFHDSRLKGVRLVCRLRRSAASVPGG VPTGPAALVGTGARVEVDSGAGGAGGEADGDVNGEEDEGGDGMPTVDEGAGAPAKDKY FIVKSLTVEDLEMSVRNGVWATQSHNEAALDKAYKTADAVYLIFSANKSGEYYGYARM TSPINQDPAAKISFAPPPTSAAAPVTEPGAAGAAGGTALNDPDQPTATITPASATAPR GRIIDDSARGTIFWEADGAAATADGDSGGNSNGDGGSGSGDEESPSPPLAGSGAGGAA WGKPFSVEWESTRRVPFFRTRGLKNAWNAGREVKIARDGTEIETRVGRRLIGLFGGVS GPGGREGGGDER VE01_03366 MDTRARGIRRKALQKIAALSVTSTGKSQGNPDLERLYNIFPSRS TSKAAVNGHADEDNNIPLGGVAMNIREFEVLLALCKAAPLLQDYQSAERLAAQLAPYT LEAHTQVFVTSPFFREIEPSPIESLNFNLTSALLSLGINHPGLQSAISKNFTAYLDRC QYTAKAVISRQESAVGKEEIGDVEDAINVARVAIALLGFLDAATIQANFWTAGERLAL ITVVKRVLSEDFLVAVETAFSRIRNYQSTNREIKEWKRHVRHYAATGRPLGAMLLQRS FMWLLVATSSLLIADTKTLRDNCILELMMGDTMPRPLTSHTAEESLPSIETMAEFVTE EMNKLEDGADYLRIGSAWQQRIAFAVKAGALTCYLNCVTFNDDVADAESLMIWLEETL SDPIQMADETLANVVLRSMALVAQISPSLAPNMSRILPRFIVQGSPRGETVAVASTCL AFVLRLLSEDAVITTLYSLGNVLTPITSTERVVANQTRGETANGHASPAFYGVKQTGS AISLTAAGELEVAVVYGNVIKAIRVIAESCADDKITALAQSMLLQKITKIDTAVDAAI IYEAAVLASTGAPVELKSLLKLYSRLTQEALLDENESLLAALLKTRNYLSSTLKKGSP AYDIYFEYLLETIISRGDVHQLHHTRESDVESAAREIGTLVQPLALLMSANNLGKDET TDDDIWALVRDAWFNMVVHGFTTCTERGRQYLDELRLLAVNSKPLVTEQRGEQLESDI ELNSVLRRGMNSEHENIQKKRLTSLIPSKAGEIRSLSYRKTIFLHTAYLVETLRADSG DCTKAMIYFLEPGMRTGNMSSVMEAITMSVVDTYLRKAMSGVNPAFSAPCVAEQLVSI FCGCCHRIERVQQAATACADKILSNVTSALCQRSSLFALLELLSLMWVSCLEAETDEY EWKSSYTSARSKVTIQLGDNFEFRGKTLNTLYKKAKVWMMNVVNIAPLDIKGLLQTYL SEYDDDGAYGHISLGRSFAAEMGGMIPVTDQRLGAIDRHGDAKINAISDFVAQYTTRQ EYRYAEGLPDYDAEWTNFMKVASRRSSILVEGLGTEHADALTVLNFLDARMQKGKFIP ISELRDMLRRAAAFLCRAKGDEAAVVKHLVGIPFAHFSKQSIKLGISLWQGVINENPR MESRIIMEIAQQWENTIHMKKGVFNDEFKHPDPFFIRKEYAPSDRDTLHKKQQHAQNL LAPHSRLLQFLASHFNATRLCSPHTQKTFVRLLQATLNGLMHSTGHPLSRELRFNIVM LSLKVLRHGTVLTAAGQYRLKNQMLSAALSWFSFAPCFTFGGNRLQLKAEAKLLSDVA AGLDSVRHIGAKNSSTLKRLQDKEELLFALLENEQSRLRVWLDPFAEGKASHHAEPSE ATLVHLVNTAWFVSPSLAISLATRFHSARVQADVRNLLLADPLRGINDPEGLNILMGR EFQSDLKWQLKYLLFWTPVNPITAVTYFLPSFSDNPFIIQYAMRALESHSVEVAFFYV PQIVQSLRYDTLGYVERYIVETAQFSQLFAHQIIWNMKANSYKDEDSQIPDGIKPTLD HVMARMISCFTVADRDFYEREFTFFDEVTSISGKLKPYIKRPKPEKKQKIEEELRKIK VDVGVYLPINPDGVVIGIDRKSGKPLQSHAKAPYMATFRVKKDVAAEMEETEDMLEAA DPRKRVPENSIEVWQSVIFKVGDDCRQDVLALQMIAAFRGIFSNVGLDVYVFPYRVTA TAPGCGIIEVLPNSVSRDMLGREAVNGLYDYFVSKYGTPDSVRFQAARSNFVKSLAAY SIIAFLLQFKDRHNGNIMLDDAGHLIHIDFGFCFDIAPGGVRFERAPFKLTAEMVAVM GGSSSTQSYRWFEELCVKAFLAARRHVDALCRIVRLMLDSGLPCFKPESIKHFRERFV LEKSERDAAVFVRGLVRRSHNSYSTGVYDQFQLMTNGIPY VE01_03367 MAAMFSQNPVMNGPNYSFNQSAAPSSAADGYRQHRFDPYTDNGG STLAIAGDNFAILAGDTRSTSGYNINTRYEPKVFKIGGSDSTQRDATLILSVVGFAAD ANALKERLDTVVKLYRYQHGKAMTVKAAAQRLSIILYQKRFFPYYAHAILGGLDEEGK GAVYSYDPVGSYEREQCRAGGAAASLIMPFLDSQVNFKNQYEPGSGVGHNLKERERVL LGRDVVEDLVKDAFDSAVERHIEVGDGLLMMVITKDGIEEIYKPLKQD VE01_03368 MVDATRKPEEPVSNDGPASNDTQQQQEEPNSQNERSEQEPEAQE GGSHHPDQESEAIEKRSKKGKVKDKVEEKKKKAYEMANPPGGYDSTPVPSAPDGYTVK FTFIRAENLPIGDLGTASSDPFVIATLTSHGIKPRHKEDPEMRLRTRTIQKDTNPVWN QEWIVAGIPSSGFKLKCRLYDEDANDSDDRLGNVTVVVPHISENWEGMREAGFPVKKR MGSWRAYLLKGITTMCGSDGDLSGQLFLSMEVLGKSDKPHGKMYTVGPTRWTKHYSPM IGRLAGTKAPKVEGHEGEKAKVERYDFQANQIQLQGPVPDELYHRFVEFKPFVKGMFD KTGLRGHVLNAALHHQHARVYNYSNTTTHGTMEPKSQEAALQFLKLAHFDEGGRLFTY VLTLDGLLRFTETGKEFGIDMLSKHTMHSDVNLYIACSGEFFIRRLKDKNSSPEDPNQ QTHPTDDIEGGPPHSPPPKDPANYELVIDNDSGTYRPDGSLLPKLQSFLEANLPGLKI VTKPCTDDKLQRMKKEQRETKKRQGEQVQVYQGDSDGGWSSSDEESMDERAQGKKSKK DRMFEAIEDPEAAIRGLRHGGRAKREEREEEEDRVDDTVEAGEGAAKK VE01_03370 MRIHRLALAALILAFTSEIVFGQEVHDQRNARDVHQKRNLDSFE IFNRKRNAHACIMSIVFIVLFPLGAISIHLPIDRVPYLKNTYLKKKVMAIHVPIQVLG SVMMVGGMALGIRIGQDLGYLRHPVHAHVVIGFIVVCTIIVFQPIMGILQHRHFKKRG DKSIFAYLHRWIGRGAIILGMINSGLGFQLAQTNVIVSTGSYIRNYVLLGFFVSIWLS LVLYDEFKMHQSRFVTSGIRRGCSKRDITREHHV VE01_03371 MHFSSKPLFLSLFPFALAQYGGGGGTASSSTTTSVASATTSSAP GVQTVTVGDNNAIAFSPDTITAAVGSSIEFVFFPPIHSVTQSTFESPCAPLANGTGFW SGAITTASGQNANVFTLIVNDTNPIWFFCATPLHCETGMAGVINPSSNASESLDQYKA SAAKVSNPPTPSVVQGGSIGPPQAASSSSSAASSSSSTSSAATTTPTKSAGVNSFESR GSAQWVLMALGLLAVGIGGLII VE01_03372 MSPILFALVQLLPVALCLSTTQPSFTAAQLSHEVVTFDKRAAAT SASPLNRQLLGLSIEFCWITGFLGDVNQENALSLRLLQNIQDLSGFPPRIRIGGDTQD VANYCDDCTQTLNNTFASNSEIGLFANTEAANVTFNKNLFRVLNENVPSEQEYTFGLN FGENNISNTLAEFKAVEQYMNLSRITAYELGNEPDFYSAYRQFRPLSWDIFSYAQQTA SFLTKITASLSKTEAKRFAGYMSASFANAPVDQGDFSISSLIKMGIKEVVSEIKIFSN HCYFGDVCTPADAALVSLPVLLNHIHTFQMVQQFTSAISAAKSVGAQFFMGETNSAAC HGLAGVSDTLGAALWMLDYSLTGATAGMDGLYFHNGVGFPYSVWQPIAVNGTAAHASG LYYGFLLFADLVSNLGRTPEIAPIPSLDATDLAHYAVYSSSSNLQKLVILNLDYINGT NPRTYKTFDVSAEFGKDFTVKRLSGSSSIATQGLTWAGQTVDGNGHLSGAKVVEKVTN GVVSIGSSEAVIIERV VE01_03373 MLPLLNWRAPRSHQRSHSTTQKGGRVAKVRTPRKAAAAIPTYVE SDAEEEDEDNDSNVDEYTEENISSIMVKSESNECGAMTPNYGQESQNFAVGGYVRSSF AHHSFFGFVSGNSNSYFNGKLSGY VE01_03374 MGVTSSKPEDGAALYLRDQSRFSIASLTVTNSKRRVVAHIVPNA YPATKVSASHDASDQSIVEYVQDPDPSPTSGVPNFLLKINNDEELTFTYTLIIRQSQQ PSSSGSGSSPEALVDTALNGLTYVSASTAKEVENLVTLEFHADPNLHKNANVQLVGDY ATGGSQSVSFDWTWKWRPPKPTEDRGGGWRNCCSFVEYDQRAHRLNTLATFSFWVHNV YYAASDPSSPKQAFALNAPPKLRVPSAQSVDSRQSVLDSKDYEDIPSPILAPADGPPQ SSGSGKIELPKVDVLCQRPGEDLSATEDGPLFRATMKSLEQKTGNMRARMKKVIKRAE AAHMAQIECNDAMSGFMEALREASSSNANAVQPALDHYFDKIAKEILLYEKQNTKNLQ RIIIDPLSKVYEQDIKKAESKKRDFEEESKEFYSYVSRYLGQRQDTMKDKKRVESDTK YQAKRRNFELKRFDYSSFMQDLHGGRKEQEVLSHLTKYADGQTKNYLTTAKKVEAMLP QLEALSTEVKEADKEFQYMRTEREEKRRNLEMSTVTYVEPETVPQLARPPQSSNGAAG TAQLSDSDIGRADSSASRLRPAVNSNTNQPLSISVTSAPSDGSKPATTPASAPLPSPR ESSKFKGIRDLEEKDYSLSSSNERVGTERKEGLLWALSKPGSHVDPKGLNKQSWHKFW IVLDQGKLSEYSNWKEQLDLHMDPIDLRLASVREARNAERRFCFEVITPHYTRVYQST SQEDMTSWISAINNALQSAMEGRGIQDIVVAPRAPQEGSSIRRDIGSILTGKSGSLGH AAHAAHQSINSSVLNKNDIFRRTTVGARPAYQRKTSAALDESPDKLLQVLREADQGNC WCADCGSGVKTEWVSINLAIIMCIECSGIHRSLGTHISKVRSLTLDITSFTADIVELL LAVGNRISNTIWEARLDASLKPSPQATRDQRLKFITAKYVDRAYVAPLSPTLSRYGTP DETLLAAIKKNEIQSVLYALALRANPNATDKSRATHAVFLALAAADPASPSSSLPPAP PRTADKPKSVAFPVAELLVQNGAEVPAALPAFPLSRAAALYVDVKLGRGEKLGDRIAP LPGVGAGPTGAISSSPAEKERAREARLQKRVSAGGRLARAVIPER VE01_03375 MATSYDWATTTFAEATAIKAIDSHTYEGSFPPDWSIGKVPNGGI VCGNFLAVAKLHFDTTLKAQNQPHTIAFHTDFLRRTQEGPCLFKVTDTKLGRQTSVIH ISMSQGREEVVAYVTQSNLHTEEGLSLDAGFELHPAPPPVDLVKLKEGKDEHWERRAE MPFASFRKAVTKLQFHFPRAGHPHISIGDEWVRMNTGENITNQSLGFIADMFPMPVES FRERENRDPEAAKKPLGIYWYPTVLLNIEFKKMLPEEGVEWLFSRCKTKQIKNGRMDL ELTIMDAEGDIVALSHHVCMVLPAARNVAPRREDGSKI VE01_03376 MCGIFGYVNYLVERDRKYILDTLVNGLARLEYRGYDSAGLAVDG DKKNEVFAYKEVGKVVKLKELIEESKPDLTKVFDSHAGIAHTRWATHGTPSRLNCHPH RSDPAWEFSVVHNGIITNYKELRTLLESKGFKFETETDTEVIPKLAKYLFETNPSIGF TDLAKAVIKELQGAFGLLMKSIHYPHEVIAARKGSPLVIGVRTDKPMKVDFVDVEYAE DTPLPAEQASQNAALKKSSVGNFLSAGTAADKSLLRRSQSRAFMTDDGVPLPTEFYLS SDPSAIIEHTKKVMYLEDDDIAHIHEGSLNIHRLTKSDGSPNVRTIQTLELELQEIMK GKFDHFMQKEIFEQPESVINTMRGRLDIENKKVTLGGLRSYIETIRRCRRVIFIACGT SYHSCMAVRGIFEELTEIPITVELASDFLDRQPPVFRDDTCIFASQSGETADSLMALR YCLERGALTVGVVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIAMVMVALSL SEDRASKAARREEIMVGLGGISAHIKEILKLDQPIKELCARTFQGQNSLLLLGRGSQF STALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNIFAKSLNAYQ QIIARAGKPIIICNPNDSEFHEDKCEKIEIPKTVDCLQGLLNVIPLQLMAYWLAVAEG LNVDMPRNLAKSVTVE VE01_03377 MSDEARQHSLSSFKTKLLESREWEAKLKALRLDIKGLQKDYDHT EDNLKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKEKLKQGTRVALD MTTLTIMRMLPREVDPLVYNMSLEDPGQVSFGGIGGLNEQIRELREVIELPLKNPELF LRVGIKPPKGVLLYGPPGTGKTLLARAVASGLETNFLKVVSSAIVDKYIGESARLIRE MFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTKI IMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEIMKIHAAGVVTEGEIDFESVVK MSDGLNGADLRNVVTEAGLFAIRDYRDAINQDDFNKAVRKVAESKKLEGKLEYQKL VE01_03378 MPITKVHARQVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKTKWRGKGVLKAVENVNSIIGPELIKKNVDVKDQKSIDDFLIELDGTTN KTKLGANAILGVSLAIAKAGAAEKGIPLYAHVSDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSQAPTFSEAMRWGSEVYHTLKTLAVKKYGQSAGNVGDEGGVAPDI ETAEEALELITDAIKEAGYEGRMKIAMDVASSEFYKEDAKKYDLDFKNPNSDPAKWIT YEQLADLYKKLAETYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPIRIKK AIELKSCNALLLKVNQIGTLTESIQAAKDSFAAGWGVMVSHRSGETEDVTIADIVVGL RAGQIKTGAPARGERLAKLNQILRIEEELGDKAIYAGENFRTSVNL VE01_03379 MPQKWDDEEDNSTPPSSPPSGAVQAVGVPTRRGKFDDEESDSDV LDSWDAAEDSEVEREKAQVAAAKKAKADAEAAASKKSKAQRVAERIAAKKLLLEAGDS DVSSDEDEGDRRERLRRTEQEADLAHAEDLFGAVGISNNRRQKTAANAVVLDAQDPST TVDLAKLPLFDPKTKLQFERMRETLVPLLTANVSKAHYVLFLQEFTKQIAKDLPSDQI KKVASGLTALSNEKMKEEKAAERGGKKSKAAKNKVALTASRNVASTADVNAYDDDFGD DDFM VE01_03380 MNHLPQAWGRPRDDVYGAYDASYLSQAGPSQHTQQPIVTGTSVI GLKFKDGVVIAADNLASYGSLARFTNVKRLRAFSSSGPPTAVIGFGGDVSDMQYLDRL LQSLSIEEAYSSSGHGLTAQNVHTYLSKVLYKRRSDFNPLWNHLLVAGLDSADEPFLA SADLLGTTFSAPCLATGFGAHLAVPLLRKLAKSDEAVKEITEEEAVNAVKECLKVLYY RDARSTDRYSIAVIKKGGVEMKEDEVLEGQSWKFAEGIRGYGRTTE VE01_03381 MSGLVAYESSDEEDEVEVPQVLAKEVKVAEIPSDSQIDHKHTGK DSTTKNQHAPQPEPLNAPTSPQIQPEAPIVGPALGPTAADSTASPLPEDTDDTTPRSP YSAGRALLRDLTMPPVPNFDIPPSPPGSPPPTTEKKFAHFLQLKKQGTHFNERLANSS ALKNPSLMQKLMDFADVSEEDQYATTLPKDVWDPSGFPKWAYKDELAKSQQQVLKRKE EEKLGGPREFVPASGSGESSRSATPSAAPRGVPMSAAERVMAGLDRGKSNSPQVAGTK RKSRFES VE01_03382 MPAPAPKSSQLKRARGTPSGSPNNEVKKPRRSERLSVPEQKTPV AKGQLPSPITNKESTDSSQAYKEVTATPPGGRPNQLRQRTPDRSPELTSVFSSPPQDT QAFSQYTNPKTALSEYVKDEEKEGVWGYLLPLNAEYKQPLIMRKRSSCSKPPTLDKLG EASEQGNAKDDKAEAHSSGGYLIGRHPECDLLIEGPIVSNRHCLIFEENKGDQSVAVL EDLSSNGTFVNEALIGRNKRRELKDNDEITILDKARFLFRYPQYRETSAFQEQYEIID KLGKGHFAEVYLCVEKSTGQRYAVKVFTKNPDLEERSKTEGLQQEIAVLMGVSHPTLL CLKATFDEKHAVYLVLELAVEGELFNLIILKQKLSEDETRKVFVQLFEGIKYLHERNI VHRDIKPENILLTDKDLHIKIADFGLAKIVGEDSFTTTLCGTPSYVAPEILEDTKNRR YSRAVDIWSLGVVLYICLCGFPPFSDELYTREAPYTLHEQIKMGRFDYPSPYWDPVSD LALELIDNMLTVDVNKRYTIDDCLAHPWTTQRPINLNDSTDGLVSAVAGLDFSKRKVA RERTLLASINDVMVSKVVGTQKSKDAVKVFVKNPGSENKVNKSIAKAIGDGPAEARPA DNRKLQEFMAAGGLGDSPLFADDEDSQYAAKGSAGKKR VE01_03383 MAANMGHAKLRTQLWSSAIPFFTAKSRPPVPVSSHPHIRGFATS HRTNVHSRPTASRTATTQHGGRQSISSRGILGNQTATSGPDNARAGALGRPAFIAKGT GHKQTSLLAGNLWRRHIHQQIPRIPDKAWASEKTTIIPPNTAKRLKSTKPEGDKEDAA KKSTAPHTKPEGTPEDAGNHSYFHLPHLPKMPHRPTKEELLAAATGFWSRLQIRFKWF SIRSSRPWNVDDWSAFVSWFVLGHIVWILVGTTTFVSLLILAINTVVAQETLARWVGD YLTQSTGVKVVFESAVVPKWRNGVISFANVFVSRRPGQGPSKVSKGSSLTAAAAAAAK RQADQDGSAEPEELEDDGNYTQFDVTLDAVNVTLSFAKWWNGKGLLKDVEIKGVRGVL DRTHVVWPDEYVDPRLYKHVHQVGDFEIENFKMEDLLLTIHQPKGFRPYSVSVYTCEL PQLRKQWLFYDFLSANQMSGSFDGSLFNIHPHQSHSPGHNTEYGNPDVWKKHSRMRID GLKIDHLNRGVEGLFGWIYEGNVDIVADIMFPADSDESIGKVMSDLYDRLEATVTSNR YRNFLENNPYTADLDTPEQRAAFEAQNAIDHDKRFLIMDMKVHLNDVRAAVPLFTRDL SYINQALIRPIVAYINSRKTFIPINCRIVKKSHEFDGSWTIYDCGLMEDLSGETYDAF AKDVTDSQARMRRFKKVGIWSISLALQALFMTMSGSVA VE01_03384 MSAPTALLRNPEEIISAPESIPLPQIEDEELILDTEMDVGGVSL IAAPATDEMTIDEEGRPVFAPAQNSDGPVRIDSRKVPIPPHRMSPLKNEWPKIYPPLV EHLKLQVRMNVPRKAVELRTSKFTTDTGALQKGEDFVKAFTLGFDTDDAIALLRLDDL YIETFEIKDVKTLQGEHLGRAIGRIAGKDGKTKFAIENASKTRVVLADSKIHILGGFK NIRIAREAIVSLILGSPPGKVYGNLRKVASRMKERF VE01_03385 MPRDGGFHPFKGRVSRHFGDSLSPDDAYLSYYDTRLTKEDVDTL KDDWLTDNTISFWEEYLEREQLSQYPTSHIVLLRPSMAFMLMQTPNPHTLREALPDFT RTTHIFLPINDARNVSVAEGGSHWSLLLVSIIDGVAFHYDSMSPSNYNEAANATHKLS ILIGKPLRFMNLDDSPQQENGSDCGVYVCIQMRHLLLKRLLSANAKEKISMSMGGKMV DANGGRKEMLRIIETFRKEGERRRSNDEYRSSSASKSKGKKSVSRSPPRIDS VE01_03386 MEDAPYDSSPPRHGHIPRLKGFLPLNDESPMALHNAGVLNSLIR TRSNTSLHRLRRPPSIIVNDEEVGERVSWRWDGEDSPQLRRASSVLYGPQMRSMRLIG NSNPRYNWKRYWKTEEELKLMKKPIRQYYERTNLLIQQYIYIDRLLDSSLPHDLLNEY NISRDQPDVPATISEEQPSANEDPGSRTEPNGTSSNNKKIKRTPRDLYKVPSEDTPLL EFSDDEDGPRPEIPGMSDDSVESGDRIVQLAIYVNLAANIVLLGGKMAVIVLTSSLSV LASLVDGALDLLSTVIVWTTTRLIARQDRYRYPVGRRRLEPIGVLVFSVIMVTCFFQV ALECFNRLNSGDHSIIQLGVPSIAIMASTVVIKALCWLWCRVIKNSSVQALAQDAETD VIFNIFSIIFPLVGYYANLWWLDGLGGLLLSAYVIINWAGTSAGHIRNLTGAAATADE RNVLLYLTMRFAKTIKQIQGLEAYHSGDKLNVEVDIVLDETTSLRDSHDLGESLQYVL ESVPTVDRAFVHQDYASWNLPSHMQQQAE VE01_03387 MSGQDSSLSSIPFSIATVSVGYSSTPLHSKLYAIAQAGFTGIEL AFDDLVSFANIHLLRDVEEDDYDGLSEAACEVHNLCAAQNLKIVVLQAFSNFEGWPEH SDERMAAFKKARGWIKIMKGLETDMLQVGSNDAPIPPLSRSRMDAVRDLKGLSDMLAE EGFKLAYEARSWATVSSTWKDGWQVVKAVDRTNCGLCLDTFQITAAEYADPTTANGLH GGVSPEELGKNFGDSLYDMSTTVPAEKVYLLQISDAWRPKAPLSAEMNEDTKLKSVWN YNYRPLPFEGGYFPVIEIARKVLDTGARSWWSVEAYDGGRDGKEEREPDLEMFTKKAF AGLTRLREECIHFGE VE01_03388 MAPVAPKKRSSIRAKVSRAGSGMMTRPANYQPSSSFGAGVSDDF LNTKKDKRTIKHSAFVNRIEKANTKTLKRRRPSKKLVATLESLADALPDFDDDGNGGE RIVGDAKIKHRSLKSRPGATKRREKLEKMERERFGKNMAQLSATTETAPVMEGQPHAA AAAATSNKWAALRGFISQTLEQKPEFVKS VE01_03389 MAGHRSKRTRSPPVLRLLSSPSRDPNSCPFEDFLQYLESSLGRN VFLRLSESIIKKMKATLARLGQTDQKVATDIVERTVSAIGAFPEACSELHPSAFVAIV RILIHAGNSLLRDRGLYLLAEFLHNANKKQEAADDIQKSLSDISKDCLELIFVTFCDK SESYKTRRWVGLLILELTSHSDENVGMIGFIPDEKRRKLGSQILYEGNEILRTLSGRI LTTLTASDIIPKEWLFPVETDKEIIAQYPEQAPSASQWDKRFSSYLDDIWDKIAGTEN NGTIDFALNMVTFPEFLNTKAISICHRSISVVLADCVYIYVHSEKKGFDTAIDIPFHL ISDVRVEPTSLDRSIGTEPPADLILHVKCTGGNQAYVNSSPSELSVVQLTFRDTTLAT SIGKVVQQCGAKLNSETRRQDTASDNEAMSGHTDDSEPTKKTSFIKASQSNDGLNLNG GNTAKAIKRNSSMKVSQGNEGLALDGNRKDTNPKVTKKISFLDDSQSDEDSIPYGNRT DTNKKATKQTSFRRTSQVDTGLVLNRNYDINAKAIEAQGISDTHANNHDTESPREESF ADSFVGVGMAAHSSPIIQYKKLPTKKGQNTIDNGGNLYDASPVAHDRHLRSSIAAAPP NSRLRLPERVLPILTTSRTQQQSDISRVGKTSKPLHHAPTKMNTRTEVNDVSGVTINT SDEFGSSSPRIHQSPLIAFPNNPADDALLPMQHDGSTDELIKIPPVTVRPKKKQALKS KKPLGLSKKADAPEKATKQHSENQDKSWNIEPDSPKSTTLPLKKPVEDGKAASKKRKS EPDVPDRTRRATRRNALPVKETANEFADDTDDQNDTRPGPSNRANKPSSTKLVKVEQA DGKENPLFAAAVVTKPKRGRKKHLLRPKAALKVRKSVPNDIEMSHSDTGGQHMESDGG QHMESDILDPLQRHESFFEEAFHDHELPNMDDEPPLTPMPPVNAATTIASKMTDIFGH VVESSEPQRKARVYGKSAQKATRAPQKQSKSKAKVSLKGKEREKVVPLPEAESLPEPP EQKTNPVATGKSPATTPAKEVEMIYISSDEESEDSGDIEPHQPPVIAQAAMSKLDEKT TLATAAKTESSIPAFLEAPSDLSPEDQSTPAMVHLPHPVAPPAVVPTKLRNSSAHLVD DHLSRKTPIVAFGKKGPKNKGVSSALKPKPVENSSSKTDMAKLVNAAAFGQSRKRPGP EPTPHMEASPPKRLKTVDIPVSKAVDDDACNDDIPNMLPHRLKSSTPFASQQDSLICS SQSRVDENGSPHARPTVEETANVAQIRRRTLSIPWKMTHISQNKDIATEKGPFDDPDD DMRLVLDDEPTMIQNFDGPSPNRKPQYFGREKEASIIPRYLLSRPTTAGKKLEVIPET DHASTVNSVNPFEERQPRQLSNFAKRLKGERPARSKVTISIEPQPQPPLKGSNGQPRK RSFVIEREVTVHDPEKTLVEPENQYQWRRARSISFSNSACSSDIADDKASVASSEEEE PLAPLIAWRKTLQLPYKGMTDTILSIAKILVEDLVDKQTAIDDIVVEYARNGTKLVEE LEREASADRRELESQFLNTLQKVTRSFKQTRDSTIALNSEWEDLGNLEAQWRTRQHKL QKVMNERKFCVEDGTWKSRIKN VE01_03390 MRRSASKESVGAAAHKQDGASSVVSYLANTLLKEKAVEPTPATS DDESSGENIFYLAYGSNLARKTFLGMRGIRPLSQKNVHCPSLTLTFDLGGFPYLEPCF ANTRYTTDVPPPGPPGVDYHKTHWKKGVVGVVYEVTQQDFLKIMATEGGGASYQDVIV PCYPLESDISVVPEVPTGEPFDAHTLYCPWRPGGLNRPDPNHAQPSPRYMNLLTSGAA EHNLPDEYREYLAQIRPYVATSTRQKIGRIAFAVVWAPIFLPIMMISRKLVDDKGVAP GWFKAIMDGLKVAMWGSYDIFYRRIYGEGERTVESERPPRFAEEKDEVRPKILEDKMR DLQGVLEECGE VE01_03393 MRPLPSQSTSESARQAARREKSLNKIPAMQRVAMALEQASSSLS AKSEAKASGIKKSIEAADDSDAGVGFAIGALPPLLPLTNGAFQNAVEDTESDIHPDFV APPSSHPRHSTPPASSDETTPRSEDEDGGVSTDVFADFDIQSDSDRAFLRAHLREAPK EDVNFLLNTCKAAQVATPVKTKPIPSTPKSQTRSGLPGTPRSLLKYHQRAAIVAEFAQ KHAVETIPGAPSVNQLECYLTEVQTFGIGLGLGANQALVEAKSAQEKLCRAKGVKLES KLTALEMPGLELTDAIEVLASVQQTVGNLFNANGVPQDAAQNKTPPAKRKRFRKEVNK PKVESDVGGTPSTEDANKPKVESDIGGTPLSETATTESQPEKVMLNDRRSKRQKANIA AKAAEAEFAKLNPEAPKLSRRERKIAFIQNKAKAATANTPPVTPVAVIAAAVAAKAES VEPTAEAPKLTRKERKAAFIQNKAKEALATTPPVAPTPIVADNEASANTPTVAPTTVV AAAVTAEAKVDEVVPEAPKLSRKERKAAFIQNKAKAALANAPAVAPTPVVAVAVAAEA GSSEVDSGAPKLSRKERKAAFIQNKAKAALANTLAVVSATVTAAADTQAGPATNAQIP QVAIAAPTEQINAAAMLTLATIKAESGEPTEKSSNKRKRGAKNKPTPGSTPILPPAIK PPTVAVPNAPKPVVAADDGFIDLRAPKKKKRARKSTTATDAGSNSNASELVKIDAIIK PEPIAPVEAVNTQPEAEARPIVMEEANDVGLNAAAKRKRKRGPPPPKKALDTNTQIPT STPAAVEAVPEPMDLCVDEPASKPVAAPIIPATQPLSEIPAPNPASNKRRKRGNRKSI GDENKTEVLVAVGSQDPASGGQALEVAGNTMYISRRESSSPVTGATEVERGLGESKVR KETRIIPPGAYGEAIVDTKDEPVEDEGAETDGEAKVSAYSLSVQSEADSDESREDSAL DEFDLRVTRSQSREGSSIDAVQTMTSTKQPAFKYEMVRSSTPPLGNSSDEADDLESPT RPKPIHPRRNSVILPRLAQSPNKLLLQPPEPATTLSPVKKERAVTKSPYFSPAVSPKK PLRSPGGVVSCIPFPPLSSPSFGLLQEKLRHDPLRLLIGVTFLIRTYGKSSIPIYYRL IELFPTATDLANADKGVIVELTRHLGLQSVRADTYIRYAKTFLDDPPVKGKRYRVENY PTKNAHATIKKGEILSDEDVREGAWEIGHLTKGPYAIDSWRIFCRDELRGLAKGWNGE EAEDEGFQPEWMRVIPKDKELRAFLRWCWLREGWVWDAESGEREVAGKELVDAVNDGR VVWEWKGKDGKGDWRILGKYENGEKEEGVDIKGEDG VE01_03394 MSMFARNAARFGAVRSSVSRTTKRAASSASEAVNSPFKLTAAGT AATAVAAGSMAWYYHLYGSTAHAMTPAEEGLHPTHYPWEHEKYVKTFDHQALRRGFQV YREVCSSCHSLARVPYRTLVGVTHTVDEAKAMAEENEYDTEPNDEGEIEKRPGKLSDY LPSPYKNDEAARAANNGALPPDLSLIVKARHGGCDYIFNLLTGYPEEPPAGAVVQEGL NFNPYFPGTGIAMARVLFDGLVDYDDGTEASTSQMAKDVTEFLNWAAEPEMDQRKKMG MKVLIMTSALLALSIWVKRYKWAPMKTRKIVYNPPKVGGPRK VE01_03395 MSGQELVAYDEYRDYPFLTPDEFELACHYLESIYINANLGQARR KFKLRLQRSLTGGPSYVTIATPIAIADGGIDELLGMGFLRASDGADEDMDGLQGMDVE GEDGDSDALRSNGTGDGLLDGPYVQYEIHLHPTYRTPVLWFHLHNLPNDARAFDIESV YRYLVPDILKDELRSVGVIGGISADHHPITDLPCFFMHPCNTKEAMEQFRARLGDYLM IWLGIVGYYVGLKLPLAMA VE01_03396 MSSSFFIENKDVGNRAGTEDWRIRGYNPLTPPGLLQHEIPQTPE SKETVISGRDESVAIVSGTDTNHRLLVVIGPCSIHDPAAALEYCDKLLALKEKYKDDL LIVMRSYLEKPRTTVGWKGLINDPDIDNSFQINKGLRISRQLFVDLTTRGMPLASEML DTISPQFFSDLLSVGAVGARTTESQLHRELASGLSFPVGFKNGTDGSLGVAIDAIGAV RHPHHFLSVTKPGVVAIVGTVGNEDCFVILRGGTKGTNFDAKSIAEAKAALQKAGVRE RLMVDCSHGNSQKDHRNQPKVAAVLAEQIAKGETAIMGVMIESNINEGNQKVPKEGKE GLKYGVSITDACIGWDDTESVLASLAEACKKRRDIINQSKATGGN VE01_03397 MAPNELKAANEVYLLPLLDDGSPDVPGGYIYLEPKSAEPIVVRF AIEGTSSICRHGSLWVNINAPGEPFRRDAFREFKLEPDFNRTLEIDIPIQSSGSFAFY TTYSPLPDLDDAGSNPSEPTKTPLYYIDVAPRLTLGDKQLPMAALSIISVISKFMGKY PTDWQKHLRGISERGYNMIHFTPLQGRGSSNSPYSIANQLGWDPECFPGGEKDIAQLV DVMEKEYGLLGLTDVVWNHTADNSKWLQEHPEVGYNMSTAPWLESALKLDTELLKFGR ELEKLGLPTDIKTVEDLLLIMDGIKTKVIGSLKLWEYYAIDVKRDVDAAVDAWVSGNI KASAEGFASKDASPKEHAKFITEKAMLGTDRLGERYRRKVDPAIAAALLTELHGKSNG NAADSGEVRSHISKILDEVNLPYYKEYDEDIAEVLEQIFNRVKYVRLDDNGPKLGPIN DKNPLIESYFTRLPQNATTKKHKPEDLALVNNGWIWAANALVDNAGPKSRAYLRREVI VWGDCVKLKYGDGPKDSPYLWEYMGKYTRLMAKYFTGFRIDNAHSTPLHVAEYLLDEA RRVRPDLFVVAELFTGSEEMDYVFVKRLGINGLIREAMQAWNTGELSRLVHRHGGRPI GSFEVDEISGNDASGDEVTEIVRKIKQTPVHALFMDCTHDNEVPAQKRDARDTLPNAA LVYLCASATGSVFGYDEIYPKIIDLVHETRLYTSSSSEKPVDIKDEEGGIGGVRKLLN QIHVVMGLDGYEETHIHHDDQYVTVHRVHPESRKGYFLIAHTAFPGYKNGNGAFSPVH LTGTKAKHLGSWMLEVDDSEEARDAALGDKQYLKGLPSKVTSVSGINMESKDDETVIT MGGKFPPGSIALFETWIPAAEHASGLDTHVTSGAKEAFSKVDLVDLNFIMYRCEAEEM DSSNGKDGVYDIPSHGKLVYAGLEGWWSVLKKVIGENDLAHPLAQHLRSGQWALDYTV GRLQRKSKEEGFERLEAPAQWLQERFDAIRKLPSFLLPRYFGLIIKTAYSAGLDRGVE LMSENVQKGQWFMKSLAMVSVQQTGFVKSASLYPKRAVPSLAAGLPHFAVEWARCWGR DVFISARGLFLGTGRYAEAREHIIAFASVVKHGMIPNLLSSGNLPRYNSRDSVWFFLQ TIQDYTKIVPNGLDLLKEKVPRRFLPYDDTYFESDDARAYSTTSTLEDIIQEIFQRHA SGMSFREANAGPKLDMQMKPEGFQIDINVNWDTGIIFGGSQDNCGTWMDKMGESERAG TKGVPGTPRDGAAVEITGLSYSALKWVSELHKEGKYKYSGVKTNNASTKEISFSDWAS KIRDNFERCYYVPASSEEDAKYDVNPAIINRRGIYKDLYKSGKEYEDYQLRPNFPIAM TVAPDLFDDKHALGALFIADKALRGPTGMATLDPSDLNYRPDYHNSEDSTDKATSKGR NYHQGPEWLWPTGFFLRALLAFDLKRRDTPEGRTEAFQQVTRRLAESKKAIVESEWAG LTELTNKNGSFCADSSPTQAWSAGCFIDLYHDAAQYDVSKLQEK VE01_03399 MATSQPRVPSQHGTLEYLRLQYDNAIASGVKRRLLFPQMLFSMA LLAGFLLIDHRRNRFLYQLRWLVWFTIIAVEVSNMRHRISVDPAVSYVSGIASVYVIV WSTMWLIFERPQFTAVRIERRRKAVQNGIRAGSGGTTQERSETIGYKMNGNGYSLEQQ NGLDAEKSLAATNDSKGEWEYFWQPYPDVLGERLAWVLDLIFSFRGRGWNFSIPTNPA LPEDVAASLGEPISESDKVLKSRTGIKCFRTRKELASYVISRFILCYLVLDLCKFQII HDPFFRTGDESLPAPPYLDGLPPFLITRIRRNTLVLSLVTFIQFHALLVPIIASLLLS PTVLGIRGEPWMYATTWGSPSTILDKGLGGFWSSWWHQTFRAGFTAPTNYLIRKGWLP GTGIASQLVGIAIAFIISGAMHTAGSAVQVLPTHPERQLTFFLLQLVAVTAQTSFCAA LRPWIERLPVWARRTGNAVFTYLWLYATCPLFMNDMSAGGTWMYEPVMGSPLRWWGLG PKGYGWWTWAHVEIGWYQGRHWWESGIGGL VE01_03401 MASSAPSEARELELVGKVEMRIALAKDEKLESVLKVYLPPVLLK LGSEHQAVRNKVIATCQHIKIRLSGNQNVVLPVAALLQQYKDNPESSMIRHFDLLFIQ QSIGKLSSTEQIDLLPILLHGIAKDAGKPTCTTVFNLFLRLLPQMRLPVRGSKEDDEL RTKLGLDEHPEDAEFVASWFGKLVLFNPAKSLAGSGGGSIASTGLSADDYAFLTQNGK EDTWNPKAQEGLNVTETKITALKFLTSGAFKDPERFIPAIFAAADTNSRISSFGEDLL KRSTVSLEDKSVISKLFDIYMSSKPPLQTRILTLMAKSTASTTYPDKIVRIVQGSIQP AMPDAPPVQGLEALKLRNAMFNFMNWVSRMGSPDDLKRAAPSIITFLRSFIEEQGWPV PNSRSTDELALRALAYETLGSMAKTVPSTVLEPGLDLIRWLFRSLTEERSSESIFISI EGALASLLNAFSGPLDSSLRDELRSLLLSYMLQEKDDTIVRSAKFVTVRWANRCLEYS DVVGRWVDILALGSPAEERSDVLEEGNKGLDPYWYRLLNLSEGTNDLVLPNWGELVRV FFTGKTLMENSHIANTMLTGMDIDSVSVFGNFSGNRINAFHHAVAYCRRMLLIAATQQ GKDIGSEADWERQLNVLLRTDKSSRQLVKDHMKTISHEDLSIFFTAAFEGMLWKHGKG LEDCGKSLVELGALAPQACLSELATRASELLPAIASNDVATRQTAAQSLGMLGAHPSS EADQLNKILQALIQSVKLWDSAVGAGANKVHGSTIAVSYLLSRAVFYGRIADVEVALI DEAVTLILNMASNATDASNKESAFIAIGLLSTVDLVTEARLEASPDDAVAIIKVLTTE AKKGNEKAISALGRFTLIFDEETLETPESPLAIILKGLYELHELRQAEVHFTVGEALA VASTGWESDSLILTLDVEANYKGPTRSSTLEGVVSKILKDCKNTKPSLKKASGIWLFS LIQYCGHLQEIQSRLRECQAAFMGLLSARDDLVQETASRGLSLVYEQGDKDLRERLVA DLVASFTGTSTKIKVEEDTELFEPGALPTGNGESVTSYKDIMSLAAEVGDQSLVYKFM SLASNAATWSTRAAFGRFGLSSILSESAVDPKLYPKLFRYRFDPNPNVQRSMNDIWNA LVKSPTAVIDEHFDAIMDDLLKNILGKEWRTREASCAAIADLVQGRQFEKYEKYLAQI WEVAFKVLDDIKGSVRKAAEKLCQVLTGILVRQLEAGTSSKNAQVMLKEVMPFLFSTR GLESPSNEVQKFAYDTVLKLVKSGGKTLLPFIPSLIEQILGLLSTLEPDIINYLHMNA AKYDTTQEKIDEARSTAISHSPMMEAIERCLDLLDDKTMKDLVPHLENVIKTAVGMPS KVGCSGVLVSLATRHSFLFKPHADIFLKDMEKAVRDRNSTVSAAYARAAGYLARLGSN QQILKLATYSKNLYFAAEDESHRQVSADIIYAMSKFATDRFNALATEFLPFVFMAKHD FDEHVRDQFTKTWDENVGGSRAVLLYLKEIIDIAVERLDSPKWTVKHTAAVTIADVVT SAGTDISIPHSTAIWPALERALAVKTFDGKEKVLTSFVKFSQASKTFWSKDPKITAQM TKIAIREAKRNNDAYRPHAFLALGEYAEARTDTDLFTEVHNVIWPWLEEAISDDKMDV DDKDDKKASSLDTLTITNGVSALIRAVNTEPGVVENPLTHLPQLLERMNAVFASQKSS INARVALYERSKLKFAALAKGTPQPGDNYALAAGYFSLLEVPSGVGSEVARTKRAEAA EAVIDAVVAGVFGEDQAGRVGLSEDFGKQLREASANERALGVKRVLQSCTEKLSKI VE01_03402 MDDAVGDFCAITGATPQVARHFLNLSDSNPNQAIQLFFDSPELA SAANEESQQIPAAPAASSRPPARSTSGGREDEHGVVHLDSDSDDNVMDETDDDFVETS HTPPAPAPSTAPQNSAYEDDEAMARRLQEEMYAGGDMGGDFDADGVRAPLARTTETLV GPGADWGPEDTQSNALQQLRQRAAMARPRGRASVFNQYASSSSIWENADAGPAAHREG LAAATGGQSETSTKAARLAELFRPPFELISRLSWDDARDLGKEEEKWILVNIQDSAVF DCQALNRDIWKHEGIKETVKENFIFMQYSKDDPAGQQYIQYYFQQYEDQNAYPHIAIV DPRTGEQLKVWSGPPAPKSMDFLMQLHEFLDRYSLDVTVKNPVARRKAEKPPSMEVEK LTEQQMMDLALQNSLSNGADTERKHHDPDDLTKSIGDISKGKGKEEEEPEAQDEEMED VNEEVNPAFASIPSDQPHTEPTPDPVTTTRIQFKHSGGRVVRRFNVADPVRRIYEWLK SDPIDGKIGVAFELKKSMGGDLIEILDQPIADSGLKNGTVMVEYLEG VE01_03403 MNPLFPEKPSSGPSQQPPSYTAAPSYPPAPPSFSTSFASLSLHR SDRIRCLQFPTQTIDGIRATIKASYPFGIQRESPYGPSHEFKLCSYPWSGQGRDAIPS RIVMREILAYLYRSGWIFHVSTDCSKKELDKDTIVFRQQQAPPPPAEWIAISFNQSDR LRLIGADEMLKAAVREVLVGMRLLQEEAWKDRALGAWEFKIHGRPWVASGEQTMSTRL LLLRLLECLEKHGLSLYASIDQSQGQGEGTSETDSWYCVRDKTWVPGAHVFHR VE01_03404 MTSGMGGSVDPETLYTKQNCIGGGSFGKVYRGVDRRTGQSVAIK VIDVENAEDEVEDIIQEISILSELHSPYVTQYHGSYLRGSDLWIIMEFCSGGSCADLM KPGSIQEEYISIIIRELLMGLDYLHGDKKLHRDIKAANVLLGSNGQVKLADFGVSGQL SATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYSDIHPMKV LFLIPKNPPPKLEGNFTKAFKEFVELCLQRDPRDRPSARDLLKHPFVRRAKKTSYLTE LIERHERWAMNHKSDAEDSDEERDQPQQMSQENEDLWDFGTVRPAGGKGGSRFGLGAM GESATNARSSRSSESGDDYAERPRERSPTKMKDSGYISSVDTVKAQVQGGRQVSPQRR PVPPMPQQSPSKVPLPPSPIKPLPQFPQTPRTASVAPSEATDSPDYDESLREQLRKDM VFLNLGATPSPQPPAPPPRNIVLQPPQPPQLHLNTQQQQPSPTRPTGQQPLPRLTPMK LPEIPPFRGGGAKPLHNFTNQPQPGPSTSKHPPFPPATSHNPPQLQNIPPPRQQQQQQ QQPELIQPAPALPSKLLNKQSVDSLRCDTPPTFPSPAPESSDGELDALNHVIFPALEE ALKRREVHLQRWLHRSAAGSPIATPKTQRVQAGHERIRRLVFKIANQFKEIDRIDREE RVGMGKEVDVFLEGLLEEVLVRVEPLEEEEEVM VE01_03405 MLSRSSARSAQGLVRNATSASSSTSAEIRRSFASVQSDIFKPTK YGSKYTVTLIPGDGIGAEITESVKTVFKADNVPIEWEQVDVSGLESGRKHSEELFRES IASLKRNKIGLKGILHTPVERSGHQSFNVALRQELDIYASVVLIKNIPGYETRHKNVD FAIIRENTEGEYSGLEHQSVPGVVESLKIITRAKSERIAKFAFSFALANNRKKVTCIH KANIMKLADGLFRNTFNAVAKEFPTLESSDMIVDNASMQCVSRPQQFDVMVMPNLYGG ILSNVGAALVGGPGIVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPTAMLLSGAMML RHLGLDEHANRISKAVYDVIAAGQVRTRDMGGNSTTNEFTRAVLDKM VE01_03406 MKYLANLCLAILVVGANSAAIAVAEPEPICWRPGQPCGKVKRAA EAFAEAIAEPIAEPICWRPGQPCGKVKREAEAEAEAAAICWRPGQPCGKAKRAALALA HAVANANPEAGAFFDKLAIREAFPEPEAVAEAEPVDEKLKREAEAICWRPGQPCGKVK RAAEAIASALAEAAPEPICWRPGQPCGKAKRDAEAAAEAICWRPGQPCGKAKREANAL AEAAAEALASL VE01_03407 MTSIGTGYDLQNSVFSPDGRNFQVEYAVKAVENGGTSIGIRCKD GVVLAVEKLVTSKLLKPEANKRIATIDRHMGAVSSGLVPDGRHFTSRARDEAASWREV YKAPISTADLASRMGGYLQAYTLYSSVRPFGITAILGGWDSEVELPVDGQVGDGPASG AGGKAEGKTYGGPALYMIEPSGQYWGYYGAATGKGRQSAKAELEKLDLAAGTLSLADG VKEAARIIYVAHEENKDKEFELEMTWISALDGPTKGRHEEVPRELLAEAEKAAKEALE GEDEDEEKKEGEEGDKMEE VE01_03408 MAATQEELSALFSRTLSFQPPAPAPAPIHQTTPPSEAITYSITQ HYHHSSHIASQPAPAPAPAQTTDDHTTSIILSRHGVDVSSLFPSQIALFQSAEPAQQM RLVELWRISPPTYGGHALAAEQPAWPVTSVAQEEAAAQARYERAMLEERAARHNSMEV GGDDVMSDGEVSNTPLTPILGGGDGRGVSAVEPYMASGYEALAAREYEISAQQPAKDA YSHFGTAMGGAPTVAYSRATDPVYAAAGAGWATRGEQEQRAAMEDAYGGFVQRGYGGV CFGYTGDQEML VE01_03409 MSFGIGIGDIVLLSDLAHKLGTTLTSGRKGATAEFQEVQNQLFA IGKALKFASAIVENPKSPDGDQNVPPEDEILGRMIENCGVTLKHLDGVLEKYPELRAD AVQVQVDEKTQQKWVKDLKDNIRKIKFTTEGTGLDKLRNSLGIHVTALNLAITARNCI QADKVKLQVEASHAKLEDMHEWFKSMKLSSEELKKPKKAANRPVPHNAAASSGLSRKK TNESVSSMSIYIEASELGDEDIRPSERHVSFRRQSEEKELTFSISLKTLNTASSYLIC HTAAFNPEWMWKQDSKLFHCSCENYHELDYTLVPPSIFVRVTTGRPTWTIHVFSKSSN SVEQLLISDVLSTRLADFEQHRLHLALVQGLRSASTGEDPSMLMYTSVQDTGGSLSVL DAISGTSSFRGDVRNATIQSDRLSYSLEIIESVQLLHYVSMLFPGPDGDVTQDDSGLL SCRNAEVVIQTGISPDGAEGGDVYQLVIQFGQFTAVDGHGSKTNITLRGVNGKAFLTN NDRIDIQDADIDLTFTSSEAASSFLTSIESLQRHLFISYLQSQYLEEVVKFRKNIGNV MIREMQLLDALAMVVEDTTTGEQRMIISSKCGSKFVTVIVPPAATGSSPLVNGPRIGP DVSAFFINIDAEDTSIVKQSFGTISNID VE01_03410 MSYYGNRRYEQYDNAGVNRLPSGLFFTVARVEHMEPPAPAKRAH DGVKQKKVINHEKINYDDFVVPKYNSKKIGKEIYLPMLEVSVEVEVVATIAWSKLTQT FTNRSKQPIKEATYCMPLYDRSTVTSFVCTIGSDKILKGTVKPKEEAKAEFKKAVAKQ QVAALLEEHTAEVFETAVGNIPAETTVKVEISYITELKADLGGDGVLVTIPTSVAPRY GAIPSSMRDAFAELLAVPEDNGLQIKIQVSSPVAITKIESRTHPVSIEMGSHGTRVTK DIRDFSKKQESQGFDPKKAVATLSDRNACLGKDFVLLIQANDRQLLASRAISEPHPTI PDHSALMVSINLRDLYTPNVVSPKMASEIIFVADRSGSMKKSMEALKTAMKFFLKSLP NNCIFNICSFGTTHKLMWPKSQPYNQETVDQALTYVANDFAANMGGTEIQGALRYVVD NSEVSVATEIITLTDGRVWDTPSLFDFIQKTRSSGLNQNTRFFCLGIGDAVSHHLVAG IGRYGGGLAETVLTDSSGDWMQRVIGMLRAALTPSSWKVDVTLDGVSISGKESENKRC IQAPHQIPDFHAFARYSVYFLLNQEFEEKVVKIKATSVYSGETFTAEIPIDKSDIGKK WVHQLAAKAVLGDLESGSSWIHDKSKNTTDVKTKTEADEEAKIEGEKIGIEWNISSKW TSFIVVDEKSSLEKQSRWYQTGHSDLAELTRPRFEPKYDSHTLASDAQLGLSGKTVEG QGSSQPHDFLSYRAPQSYRDAPPPEDYSMFPQRSRDATPPEDYWTPPKTNGRGHACYA PHQIRHHRAPENRDSQEQQREKQHPDPMYNFKPMKDDSFLPGATYSSQAPSMGRTIKP ARSFSRSGTSRFPIPPDEEPGSPRPPQQPASNTNDPPVVINQYQFENSDGSDAEAMAG VTAMRLGDESFDFAYDDAPYQSQASMQIATQVHLFSDDSFQTLLEPSRAAPQDTFYSD NSTQEPTSMEKGSERHSHDPYFVPSNPFTPLGSSAATFPDYPIQPSDFFNPHPPSSGP SSWNPNPSYVSPQEERCLFAPPPAQGHTAQSSHSSDRPRSSTISRPNYSGIFSLYPDP HISPLTVPNLLTTQHFLGYFSLTEQLWTQLNKEYNHLAWTTANSLLPRRGANDSEWLE TREKVVGTAMVVVYIEVVHAADKNLWDLVVQKARAWLEREIPHQRIREKVLSKLRGLL ITPYDEGVGRIIDEVTSISAVEAQPKDDLATPGDEGPDAGVGEVEGISLLSAGSGDSA GMPQEGRGKGAEAGAGTGAAVKYVGEAKGEKKRRRD VE01_03411 MTDLADIFDELGLTQYLDSFLDQGFDTWDTILDITEPDFDVLGV KLGHRRKLQRKIAATRGISHAQALASPKRGTPSLEDKQSEDNKGATPRSDGKDGNAST QPSGKRKYRRHPKPDENAPERPPSAYVIFSNKMREDLKGRPLSFTEIAKLVGENWQNL TPSEKEPYEQQAFAAKEKYTVELAEYRRTESYRTYSEYLIEFKAKQLQLQESNQEALN ENSKRPKLENLNTSSNSTTTKSSTPSSLSREASLDSRTRVPSLGPIPAPWFPADTALQ APLPPSTKAQTSPGGPSTALPGYRDTVINPNLQTLAWRDHTRPPNLPIHEYNRQVEPK LNQSGILNAPETPTSFAQAFHNQPRPSLSSISSFSPPSLTSESTVSTQQSTSSSTAAD PRQSTYFAPRIPLDPSRERPSFPMHPVFSHNSSKAQAQHFDTLPPIRHPSLSPQLPAS ISYNSQSGILAMDYSSINQASQRTGYLSPTRPPHRPQPIPLQLRHPHPTVPDDDNAPL DPVSALIRAGEIVSSQGRQQQQLEHAQLHQQDPR VE01_03412 MADAGKDPINDEAKRDAAASIAKAFQDVQAGEEAARQAEERLSR LEAKIEELLASAEAGIEGKEGLGEEMGRVVEELRGLEGGKEEKS VE01_03413 MAAPEVHHLFHHPIADHSFSADRKTLAVARDSTVDLYGRVGNAF KLKDELKGHDKLVTSVDIAPKSGRIVTCSQDRNALVWEPTPDGYKPTLVLLRINRAAT FVRWSPSETKFAVGSGARVIAVCYFEEENDWWVSKHIKKPIRSTITTVAWHPNSVLLA AGSTDAHARVFSSFIKGVDERPEPGVWGERLPFNTVCGEYLNNSAGWVHATAFSPSGD ALAFAAHDSSITVVYPNGADAPPRAVISVSTQLLPFMSLIWTNEAEIIAAGHDCQAYR FQGGEQGWAIAGSLEEKGAGRGAEREESALNMFRQMDLKGKAKDDTVLGTVHQNTIST VRSYEGEGGVVRKFSTSGVDGRIVIWQA VE01_03414 MPPKAQPPAQVAGKAASTYPPNQTLYITNLPSSKIQKYDLRLSL YTLFSTYGPVLDVVAMKTMKMRGQAHVVFRDAQTATQAMRALKGFEFFGYELEIQYAK SKSDTIAKLDGTFHKPAAAAGEVTATELQQSIFNAPPSAAFMAGGGAPGTAGAAALLK PPPALGRDTAMEDARSPTTSVAGEKRKRDEEEEEEEESGSDVAMEEDSDDD VE01_03415 MNVLDPFNLRRSPAFAILRGATAQTAANITRRAIAASATASLLQ SGRRIAEDMAFSIPKNVPAFTDPQRELENRAWGSSGVTARSSAAGQGSGMQDRLGGYF ERNKDYFDQGRQLPLYKDKPYSSSRRRRPLWKYKRAFALMGLFVMFVLYLFGVWGNDE EKKTVKKKGKEGWGWLQSADKKVDWPERQQRVVEAFTLSWDAYERYAWGYDEFHPVSK KGKQMTPNGMGWIIVDALDTLMLMNLTSRLTTARKWIGTKLDYDQDQEVNTFETTIRM IGGLLSAHYLSTEFPEMAPISDDDPGTPGEDLYLEKAKDLADRVIGAFESDSGVPYAS VNLKTMKGVPSHADGGASSTAEAATLQLEFKYLSKLTGETFYWEKAEKVIQVIDDNGM EDGLVPIFLYAPTGEFRGDNIRLGSRGDSYYEYLIKQYLQTSKQEPVYEEMWDQAIAG VRKHLITYSTPSQFTVLGERQNGLKGALTGKMDHLLCFLPGTIALGATGGISEAEARR AGSWGAKQDEDMKLARELMHTCWGMYQVMATGLAAEITHFNLDSPPLPASAPHKAPKT FDPAPEAEWRKDFVVKPNDRHNLQRPETVESLFYMWRITGDEMYREWGWEMFKSFMNH TAVELGGGFTSLRNADVVPPEMADNMESFWLAETLKYFYLLFGPNDVWPLDKIVINTE AHAFPRFQMGKLFKTGWQRKPRGKDGNIVRDAVVEAAASKGA VE01_03416 MHAPTSLFLLSLLPFALAADTTLGIYVFHRHGDRTSKAWTPTHL TDLGYTQVHAAGSYFRTKYITDATTGISGIAPSLVNLAQLDVEAPVDTVLQVSAQGFT QGLYPPVGPTLNTQTLANGTKIEAPLDGYQLIPVNLVTSASKPTADSENSPWLQGASG CPAALTSSNEYLTSAEFVALDKKTRAFYAALAPVVASTFDADYLTFKNAYSIYDYINV ATINNATIPSSNLLTKEVLSQLRTLADAHEFGLAFNASSPIRAISGATLAAQVLEHLN ATIASKGKKKLGIQFGAYGTFLSFFGLAQLPAANNDFTGVVDYASSMTFEMFTSKTVA AGSFPAEEDISVRFMASNGSAAYVGQTAYPLFGQKETVLPYKTFVSEMGKFAIGDQET WCKSCGRTDGACAEFSASSSSTDAGAGASSSDGKSGSGGPISLPVAGVIGALVTLAVV LGLQALVMVAGGLRVVSKKRLGGSGGGGGGGETSQVKV VE01_03417 MSSSVASGATPSPSIDPRQLDGSSPDYPHHAPAAPLDAAYLSSY QYQHYSSSSSPSALTPGNPVSENSGYSEFSDYGADEFFGVNFDADGQDLIIGSDSQGP SPPQTTGLGFDPLLDNEATPDTPPAVTAPLMTPDPTRSRKSSPNSNRPPAVKTFIPRV SAPEDGLNSASSPSSFNLSVNTAEQQATPDMSGNTSHTSAEGVPNSMGAFAGHSPRVT LTTWNSTEERELSTDPEDQGTTVGAASERGLQGYFAPRDAEHSSAYNIQISVAKSSNG SSVDDDEDEGGARRGFDPVRRKSFSDAEIPNFKDRARSEEIEAKRREVEEWRSQAGDS SDEQDDSPTRPPLSGLSAPAPNRGRSLSTGAVPHSQNSPRGFSSWAPEPPHIQTSALD AEAEEDNIRPVSPTASIRENRLQDGQTYFNKGASNITDEDVILMQQSRHFSDAATFPN IVSPSIEGKGTSAKEMMEKWNAAADSFSIISRSATWGTRRRRLSAPSVKEIEAITSGG LLKRLSFGKGNEKKPGILSTINNAFGNVVRKKSVGEPGKLKRNRNIDAVDRGRPPSSP LGKKENNTTSTLAPPRSPSGTRVRPQSPRLTTSFGRELTPGDGGHSRRASVGSTNSVG GFFTGVGNAIRRSRSKSELSTEAGLTGIAGQWMKMGGPPVPTLTSPPIDADEEYGLID DQPMHDVSDMDLDADDDDDDDLADDGDLQFDLDTPIVATMDGFRDHVRKLNPMMEPGY LVDRLAHQQMVRYKCLLGWRIKHQGAISDRACNAQEFCLSMGGATKYYEAKAKGRAGA NKALPIDGDGSDSNPENALGAESFPDGVPKPPALSLPAEFECQLCFKVKKFLKPSDWT KHVHEDVQPFTCTYPSCREPKSFKRKADWVRHENERHRHLEWWTCQIEDCSHKCYRKD NFLQHLVREHKLPEPKTKSKAAIRRATGVEEEVWARVAECHHETLVRPVDEPCKFCGK AFASWKKLTVHLGKHMEQLSLPVLRLVDQRTVDANTIISPVEALPVRNHHPLTPTGPQ SGGPGGNNLYHGQGISPPFAHFPPGMAGFPQNGVRGIPDYGGEGMYPNPQAQNMAYAG ANGGGYAYGDNAGMQAHHHMQQQHMQQQQQQQADLLRSATTYNGLTPLEHTPLVDQSG FPSYSPHSVTPGGGYAYTSASDISPTPAAYPPGTGGQGFGFVQQQQQHLTPEPYMPAE YGGGAGMGDSFGLGVGVTGGGYGGMSHHGLPFSAGGQQMKVEQTSPFSGASSSTTSRG FNGGGGGGLGVEGLGVAGMGMVRDRSGSGGRQMAQQGGLQLQMQGLVPGQQGGQGGTS PYSAGSSAGGMGHQGVQGQGQFGGVMGGQMQGQQMGQLGHQGGYADEYYGGM VE01_03418 MPPQTSCSPCTLTFPTRLALRSHIRRCESHPDCDPCHRSFLNHH ALATHLAQSRFHNGPVPAPSKTGSARMGKRKKHRRRSGREGMEESTTGTEEYGGHKIE EKHTPSSRELCVPAQKILIWAPYPFRRAPRRILPPRHLRTLPRPVSAKRLVSAIVRKG PWYLMMMLVMVFFVVVINGYCGRFGCGYGYGYGCYSVVRI VE01_03419 MIDPTYRFWSPYEDKPNGGPYSWHILDCDQRRWVTVTGSPKAVP RDPDAIKILRRHIDQLDPAVHKITVSDEGDIVSISSHPNDEAAWFVHYPRYDPRDVPN GHTTLMRTQMEEVDRVGHSVDMVRYEDGPGKSQLGIFKYSMIYIHLDRIWNELQIMMA LPKHELIVPFGRIVLDDVEPRILGFTVPFIPGGTFDENKTRPFRFAWLQQLTSLVDEL HFKFGIYHQDIAGRNIVVDPVTGKIRLFDFEFAAKIGSPSVDIYRNDIDQVIFTIYEI LTLDVHFREIASWDQDVKAIEEMAEWDVKIPIEAGNGGIKEIRSFLAEWAAGRRSKAQ NGPVNATSQLDWPGFPPQTPVLYTSRDRAYNIEGGSS VE01_03420 MRGLLPNHSDPKEGEGSNPSLTSNSPGFYDTPGSFNGEPFYEKP RRRSLPHHFIDSFRRDPNRHIIDPLGDLGDVDHQDWVYDPNQAILRTANTRLARKLKG RHLQMIAIGGSIGTGLFVASGRSLNAGGPASLLISFLLTGCMLYCTVHALGEMAVLYP VSGSFSAYSSRFLDPAWGFAMGWNYAMQWLIILPVEIIAATITIEFWNKSINPSVFVT VFLLLIISINLFGVKGYGEAEFFFSIVKVIAVIGFILLGIIINCVGGPTTGYIGGKFW VDPGPFRDGFKGLCSVFVNAAFAFAGTELVGLAAAETANPRKSLPTAIKQVFWRITLF YIVSLTLVGLLVPYNDPRLLTSNQNRGDSASSPFVIAIEDAGIAVLPSVMNAVILIAV LSVGNSSVFGSSRTLAALADQGQAPKILGYVDRKGRPVVAICASSALGFIAYAANSDQ QNAVLSWMLALSGLSSIFTWASICLAHIRFRRAWRIQGHSLDELAFVSQPGVVGSWIG FIFNCLVLVAQFWTGGWPVGYGNMTMAAQVRNFFLGYLAAPIVIVMFVGYKWTYGTKV WRCHEMDLKTGIRELNLAEMLKAERAEREEWPRWKRVYHVIC VE01_03421 MQAAKLKADSQGRKAKLANSYQQLLEEFSSKDLRSVGNYTLGRL IGKGSFGKVYLASHKLTNGSKVVLKSAKKDDSNLAREIHHHRQFLHPHIARLYEVIVT ENLVWLVLEYCPGDELYNYLLKEGALPVDKVQKIFAQLVGAVSYVHNLSCVHRDLKLE NILLDKNENVKLCDFGFTREYEGKSSYLQTFCGTVAYSAPEMLKGEKYAGEKVDIWSL GVILYAVLCGELPFDEDDEQLMRAKILKDDPKYPDHLPQEARALIGKLLSKRPLLRPT LSDILADPFLAEHAPQQQAILKLQQPSPFSTPLEKEVLERMRSAGVEIDHVIENVLAK RCDPLSGWWTLLLEKEERKHRRREQKRREKEAEIRANRRLSAASSRHAPTLRDVDEED GDSTLRPDPPKGRGRKERRSAHYPELVLTDLPGLPENSLLNSPLGSNPPPPIEKDNVR SVSSSRHRRPIPPPKEGTIRGARSRGSTLQLVTSSNPDLLHPNTAIRAPRRRHQYPFL AQLTHWKQWLITSTKRGRSPNAKASTSTPNLVPKSSMSPNVGGPKTPNSRPNPPHSAS LGPSLANGKQGYGAPPRIYTGSSSNKRHSLSPSPLTPRSTFRRGSSGLRGRKSTSSSV SSIRSIHRHRHSHSKASSTSSNGSDAVSKTPIGARSPHHSVKVLPATPTATSFPTNVR LVRNPPMSSFNESAQWGREGMGGLVFAKRKRSVFKGPMLNVQPGQPEKPHGHGHSRST SAVGRRSGEQTTIEEEEEEVEEVDAFGPLTGEGVDVEVVFEEPEVVVGGEVKEGESKE KEKEGEKEGEKEKEKGAE VE01_03422 MAPSRTSRRESVISLDTPERSLVVQESVDTAGTSTVAIAKFATE IAPSMELADIFKADLDNIKSLVTCTICDQLLYEPWMLACGHTYCYSCLCNWFLPNRRS KSCPDCRSAVKAMPAPAFVIKQLAEIFVNRVELMPADESAEQHVKRRKEENQAVETDR ISDEGLFKGCFSTARLGNIRYDEADRMHVCSHCGHEFTGGPLCENCGVDFEGEDEAIR DDFSDLEEMEFDLDDEEDEDEDAQEAEDDMDDDDFFHGFDPRDGMGWFNPFDGAVQVE DVRQLLEDHPGHHHHHHHHHPHYHQFLHPVHLEARESRGRGEHSDESEEEESDGDETT SSMMDFIEPDDSSPAVGRRSRPQIIDSDSDSDDSDEEEEEEEEEVVSSRPRQQGRRRR PNRPIVVDSDSEVSADSGDGQGEPEAANHPGIGDEHESDSSARNGGYSPLDHGDDSEN DEGEPFSGVDHHSDNSDSETTVGRHELDDVDDEGAASRNDGPAVSRRAGEPEAQRCPF HRRSGSRAKKQRERISAGPQSSREQSLEENSEDHDEERSSSPAPGYDASDDENEATDQ DGDIEMDAKSSMRGSVPEPDLLSHNAPDDFLPHPDIWLGQPAAPPAPRNRRRRGGPAQ ISPAQEYDPRISMMFAQHQSDLRDATARESPLYEGQRDAPRRIEAPSRSRRRAQYRLD PPILSNRFGPNREPIVISSSARPGRAHRNRREYRA VE01_03423 MGAFFPDPRTQQHVPICNAIEAGDFRQALKLVEKRLAKTSDTYL VALRCFIQSQHDLAVHRNTAYIRLATLSQPGCKEIISDIDELNIYEEAAAAMFPNQEE KKAAAFTSKMRLAAVRANPKKEKLAQDCFHVCVKRSDWDYAQQIATVLEKNFPGNHEY PFWKLTAILTFTETRECDPQKRHILLTVASRIMQTFADATIEAAGKSPLPVRSLQTPQ ELLLFHRILQATGQTAARLKAYKDPYIGVSSKIAKGEWVFKRDHVLLLEDEGEWQELV DVCNDLLEGAQSTTTGKMIDARGADWKVWEAFITAAIALQTKESHDKVRDQLKAHLAP TSGLNKTYKRNASLANLSFCFELQKDGENRTFVIPSGSRFPGIDPRQAALMTHLSQYG HTASAFGDVKRYVETFSQGEIAELFGKGIPILGLRFPWAWVDEVEDVEKPDIAPREEL SDVGLRTSHVTMQKLEIFYHNCNLPPIPNGADYESIASTVESNDEYTTCTWCGNKRYV WCERCQKANVMRLVRDYSTAMKGFNIGGPWVEGRPIGIPKHLKLLPTDTHPLDDMAIM AAISLIKLTATPYPRFWAKPHDFEMRHGHQRLIQAAALLEFAHSKSAANTQILLLLVK LYSMMGAGSLALRAYNKLNIKQIQGDTLGYIIFDRISSLHPHPVQDEINGTAQTLDPA ANIASVQRMYGNVRGQINNNCKRSFEAGSYDSVCQLVNASDKLSRSIGTAITVIELRK IVRMTDQNAVFTKQSQGYDLLPSNPIANQFANNLDYKCYPDFETSSCPPVEMWLRLGP APSDFRARSALLVDELWMLFRNQTDGKSKTNSALKSLERSLSVMESLKVRVDMTGHEI CQHAVAVALTHFIVTTCLENPKHDVTRNRSVRHPVTNITKFMGNLKNVCKIDLGAIRY YPSFIGTLHPLYLAHETTSLIIAAAKFVNSKSVETHPKTCEVMDGLAVHAREVQQLVV DTAEQVKVKMNGGGWIDRVMTWLWEEPVGDPNVAIMDWWHPKFAHTPGRWVAQELGQE FCEWWVGELVDSWKESVIGLAALRVDEIKK VE01_03424 MALINAGTIIRSICLFHITLGFFFLTSPHTVADQTLVFIMGEAM GLPHVRAFDSPSPPLAFLGVVLAIWGFSDLIAVSQPEEIANHYWSSQAPIRLVLFFAL AGYSYLFSAKSPMYKTSGYITSSWGEGLKNRVLFTWAFVEMITWFWIWTTLREERRDM LAKAQEKRAQEDDRL VE01_03425 MQFSAVFTRAALAKVFLASYAVSALSISPQMPLYNTDSEDESSS LVGLLLLHKSLVEIPSISGAEHNVAKWLASYLEGEGFTVESQVVSTDPPRENIFAYIG SERHTRTLITSHIDTVPPYWPYERRGDEIWGRGTVDAKGAVAAQIKAVEALRDSKSIS EGDVGMMFVVGEEVNGAGMLKVNELGLSWETVIFGEPTELKLASGHKGILKFRIDAHG KGGHSGYPEVGRNANDMLVPALAELANIDWPRSERFGNTTYNIGRMEGGVADNVIAAD AYALVSVRVADGDPEVLERAISDALLAVAPDLKITMAPGGYGPVPINHDVEGFESMVV NYGTDILHLDGGHKRYLYGPGSILLAHSDHEHLKISDLEEAVEGYKKLLLASLD VE01_03426 MPHHRLHHRSFQVVLDFDGTITTEDTIEALAQSAIALQNPSLPH SQLSQTPAAEAWNNCKSQYLADLSAHYEKENQEPSDGGVVTGLGGLEREQGSLDALRD VEWASVKRVGQSGIFKGLSKESLREKGRAATAGDPQDGNDLAVVVREGFPEFVTWIGQ IGGQWGIVSVNWSRMWVRGVLDVALEERWVPSQIDLCNLTTNDINPLTGMILGWRLDR VCYDLLETTISTDDHQLSAKRTHLLTTADKLLAQHKMMFYGFDIGVSSPEPLTIYIGD SPTDLSPLLHADIGIIMNSPSSTPGALGALIDKCGYRVLPVSEYEELYRKGEDEKVAI LLSVNNFTEIIDSGMLQDEGWDPTAAKKAWKKAESES VE01_03427 MAGQKRRTYSKASALRIDNLTTVKKFTTMEQPVFNKELHIKYWR RCLKSVLPTEYTSTDSSRLSLGFFILSAFDLLGVGGDQLDRKDVAGLKQWILNCEHPN GGFCGSPNHKYPSAYYRTATDTPSDMDPANLPATFFALMALNFVGKVGEVDRKKCLKW LQKLQREDGSFGETLGKDGKIEGGRDMRYCQCAAAIRWILRGDLKSKSQKEVDDINVN GLVGHIRKAETYDGGFGESFEHESHAGYTYCGIASLSNLGRLPGSLSKSEEGAEPSDS KLTGVTNLQDTIRWLMSRQVNYVESDDEEDDEEPQTKPEESSNPANNEIEQLAAAGLS LEDTYAIGCNGRLNKGPDTCYSFWVDASLYILGQSKLINKEGSRRWLIEKTQHMIGGF AKEPGYPPDIYHSYLGLGTLAMLGEPHLKTFDPVLCASQEAKSKIERDIAEFLEPDAP GKSVWDNIVLMGDMVPVSDGKFPKFWTDAEEEHKKMKEEGTLPFQPFAPQNVWSGET VE01_03428 MESENDEAQALRQLILATELRLKNLKEELAEVETRGPPHGRAEE TPNELESLKDSKWPLTAEEYLRYGRQMIVPSIGIQGQLRLHAASVLIVGAGGLGCPAA AYIAGAGVKSLGIVDGDTVEVSNLHRQILHSSSTVGLWKVDSAIHNLKGLNPNVEYRA YREHLSPENAADIVSRYDIVLDCTDHPKSRYLISDICVLLQKPLVSASALRTDGQLMI LNHPAAPPGSNAGGPCYRCIFPKPPPANQVVSCGDGGILGPVVGAMGVLQAVEAIKLI TAGLKVSHTSDDAELQDPKVLPSPSLLLFSANSPSPFRTVRLRQRRPDCFACSSQAGL TPESLTSGSLDYVAFCGSAAPVNILSAEEQISAAQYSELRNDDSKKEHVLLDVREKVQ FDICHVDGSINVPFSKLQRDLDTTYPWLPETSPADAPIYVICRLGNDSQVVTRKLKES GLGDNGKRSILDIKGGLMAWKKDVDSSWPEY VE01_03429 MLARTELCLRTAAVNCRFQPSLTATNSYHTTIPSKSQSQYPQTS RPRLLTSKKSPSQSTSQIVRYASTTASTDNTASTAQPPSSSADRLTWNAFFKLRKTRR RLQLGSSVGTSFGSMLAGAQALAVSDMDSLVGQVPLDPFITLGLITFSCGGVGWLLGP IVGTGIFNTMNRKYIPDMAAKEVEFYRRVKKFRVDPSASSMANPVPDYYGEKISSVAG YRQWLKDQRAFNKKRTTYVA VE01_03430 MTSQESTCVALQDAPANSGAMELSKPSAIPYEQSRLYQLEMFEA SMARNTIMDTGSGKTHIAVMRIQAELERCPPQKRVWFLAPTVALCEQQCSTLRTNIPY VQTRLLVGSDNVDRWSEQRIWDAVLENVRIVVSTHGVLSDALSHGFVRIEELALLVFD EGLKGPDAVPHILGLTASPVIRSKPSDLEIIERDLNALCRTPRVHRGELLEHVHPPTF VRLAYLSNPSIYGQYTSLALVSLIAVYTDATNSSTSRDSDDIDLIKETSKVLRELKSF CTKANHVYDELGQWAADYYIKTSIDIWSAAETKRNGDPFFDNGKRSNAVLELLLQVHG ASSALNSGHPDETNTTRKVRQLIRFLTSYRDGDLHGIIFVEQRATVAVLHHLLSVHPM TKGMLRCGTFIGTSSFSSRKSSLGDWLSPLEQTDTLDCFRKKDKNFIIATSVLEEGID ISACNIVICFNKPPNLKSFIQRRGRARKRRSIFVLLVPSDDRSLGPQEWGDMEIQMRV EYQKDASKRQKLQQLEDTEEHVEGRSNARFEIKSTGALLTPETAVAHIHHFCATLPPQ PYVDLQPKFYFQESFYGLITGNVTLPNCVDSSVRTATSGWEWKSERMAKKDAAFQAYV ALYKKGLINDNLLPLLLGKDEEVTPDIETRASVEEVSEIYNPWISIAKSWMEPGESLK KKLISIARPDRPTLYLVIVFPQDLPALETFTIYWDNEITYSISISDTTPISPTDTPFI PIMRQVTSTIFQAVHFNRMPTGRDDFLALFIPYIGEGLLRSWLEESAGNLPATEVLNA NPNAASYGLIRDQARYAAPHIFKETTDDGTIKVTRLPKRRDFLHRSFASKSDTENEID CSEKPALFLPLESSTVDKLPMEYVECSLLIPSIMHRLWRQALALDLYNAVFEDQGFLN LDYVITATNAPSANENSNYQRLEFIGDSVLKYLVCVNLYATQPSWPEGYLSRAKDQAV ANSRLSRAALAVGLDRYIVTKTFTARKWSPPYIRDILDAASSPEKRTLSTKVLADVVE ALIGGAFLDRGYEAAATCISRFLPEMPITDPLDIFARGFGAESVFAPTSLANGHLADV EHLIGYQFKNRGYLLEAITHPSCEHDSHTSSYQRLEFIGDATLDMIVVSFMIDSAPEL SHGRMHLTKTAVVNAGFLAYLCMDSSLEQVVTDVALSPNHYSAGFTEVHSSKLVHLCQ LMRHQHPEINTAMSSCLERYRKLQPAIASAIAHGNEYPWVLLTSLAPAKFLSDIVESI IGAVLIDAGGDLSACKKVAENIGLIAYLRRILDEDIDILHPKNKLGEMSGGQKVEYKL GKEGQEYTCTVEVGGTPIIGVSQGISQEEVMTQAAYMAVVLLGE VE01_03431 MSVFQPRGRGVGGRGGGDRGRGGGGRGGGDRDRGGGGRGGGDRG RGGGFRGGGRGGFQAGPAEVFGDGKFPPPNPNVTKTEDSYMAQKPTLAGSMAGMSVTA DFPARPAYGTRGKPVVLWANYFELAAAKNLIIYRYHVAVSPEAKGRKLRRVFELLLED PRLKNSATDFKAILVSRKKLPDVELEVAYRSEFEDDAKPDDKPYRVKIQLTGEMDIDA LVNHLRSVQPDPNFRADNRMQVIQSLNILLGHYAQSDPLTTTIASNKHFLFGDDKPGN RYLVEHNLGKGLTALRGYFRSARPSTGRILVNVNVSHAVFFKPGPLMELIKIFGAAYG TNLFQLERFLKKVRVETNHLPVKKNKAGKIIPKVKTIIALASKNDGTGLPHPPRVGRF GANATEVEFWLESTDKKKSGNGRYITVFDFFKTHHKKHLNDAFPIMNVGTRANPMYMP VDYCVVIPGQSSMKKLDPDQTAEMIRFACRKPHLNAQSITSDGLAVLGFDAKSKSNLG LFGINMNTNMITVPGRILPPPVVKYQGKNTVSPKGGQWNMMGVKFAQGSKLPSWTYIW ITRKGRRGLINGEDHISRIMAEFQGMMNASGLGAPPPMKGKEIVIDVGHNGPSNDELI DNMFKMAATGKVGLMVVVLPDPDAAMYNAVKYAGDIKYGIHTVCALASKITKEQRRDQ YLANIALKVNSKLRGSNQTLDAQRLGIISDGKTMVVGIDVTHPSPGSLSSAPSVAAIV ASIDSTLSQFPCELSVQEGRKEMVTDLSEMMRSRLQLWRDRNKALPENILVYRDGVSE GQYQVLKDDEIPSLRKAFDGIYTADQRKKGLPKLSVIVVGKRHHTRFYATKQDEADRS GNPNNGTVVDRGVTSMWNWDFFLQAHTCLQGTAKPAHYYVVLDEIFGKGNLKTPHPFP NAADTLEDLTHNMCYLFTRATKAVSICPPAYYADLACERARCYLHKEYEPSHPGGSSV SGGAQPSTLESDIKVHDRLKDSMFYI VE01_03432 MPTPTPQPVPIDNAYALKLKALHQPSSPFVLSNVHDIPSTTTVL ALNTPTSTPVRALATGSFAIAGTLGLADADLTLPLNLEALSLISPIARAAGVPLSADL QDGYGYQLRECIGGAIDLGVVGANIEDGIPATGYGKGLEGLRTVEEQVERLKVVFEVA KEKGLPDFVVNARTDLFVLDPLPEGWGHEDVLKEAVRRGKAYLEAGATSFFVWGPVTV DDVKTLVKELDGKVAVLLSGGGGKVTVAEWKEIGVCRISVGPTLWRESMAALKKAAEK ILGLE VE01_03433 MATLNAPSKRHKVAVVGSGNWGSTIAKIVAENTRAHPELFEEDV QMWVFEEEVTIPKNSKHYPGDSAKPEKLTSLINKYHENFKYLPDIPLPTNLVANPSVE NAVEGASILIFNLPHQFIGRLSQQMRGHILPFARGISCIKGVHVTDASVSLFSETIGK GLGIYVGALSGANLATEIAKEKWSETTIGYDPPMLDSRTPTPRGPSPQASTDDLKGLQ HLDVHGEVSSVKLTPLPSDYPPIDHALWHTLFHRQYFHIRVIHDVAGVSLGGALKNIV AVAAGFVDGRGWGDNAKAAVMRVGLLEMVKFGKEFFAETVDPATFLEESCGVADLITS CSGGRNHKCAKMSVEEGLSINEIEKRELNGQKLQGTSTAYEVHSFLNSRGLAHEYPLF TAVYNILEGNNTVDDIPDLISKPGE VE01_03434 MQGIVVDNAGGDFHLVDTLEEPTPGRNQILVKSLVTAINPVEGF MQGTGQLVTAWPIVLGCDASGTVVEVGEGVTKFKIGDAVFGCTTLGTPGHSTFQEYFL MDEDLTFKKPGGVTTEQAATIGVGLLTAALGVIIGANVELKAKESNSQSPWLIVLGAA GGVGQFAVQLGKLCGYRVLGSSSPSNTEVVKAAGAEAVFDYKLPLDEQLATIQQVTNG NFTRVFDASAMGTETGIDAVTQVADQRAPERYFSTTNDWAPIDPREGVAIHHVELGQI GRSGSEKAEKINKDIVTMIPNLEDYLGAGLLRPLEYVQVGDIGVGEVLKALEAFKNHK SGKKLVVRLAEN VE01_03435 MRLRLPVFLLLPSAYAAVTVTVHTTIPTTLGAAATPTPPSTEYT SPRAFQRAILETHNFYRKEHNASALAWNTTSAAYAADWAEACEFEHSGGPTGENLAAG YPNATSSIDAWGTERSSYDFKKAEFSHETGHFTQVVWKDTTTVGCGRRECDRRGGSPG WYVVCEYYPPGNVIGDFRENVQEQVEGVRNGNSEGVKPSSMPLLKAEQPGPPFNANWH IS VE01_03436 MTIFVVSLFLPHTINFTLPAQSDTTSRRSSLRPHAPAHPPIQID TSKDAPSLFDKQQLTPPQSPTKEDGGYEKFFAQESAGTGRKHFPKKVDPRSVVAPSDP HAPEWGTGTSFVQPKSRASSPPPASILQHKKRLVEKAAAMRRASIRHTNHLNRSNSHD SHDRNFSEATWTIEPADQGNGGLRNAVQAAARSGKQDFNWVGTLGMPTDALENTQQKQ DIDEALAAEYDCLTVFCKDSDFDGAYTHYCKQILWPVFHYQIPDNPKSKTYQDHSWVY YVKVNEAFADKIVKNWKRGDVIWVHDYHLLLVPALVRKKLPDAKIGFFLHVAFPSSEV FRCLAVRKELLEGMLGANLIAFQIHEYSRHFLQTCSRILCVEATNEGVQLEDRFVNVV NLAIGIDPVALSVHREDPEVAEWLKTMQERYRGKKLIVARDKLDHVRGVRPKLLAYEL FLNKYPEWRDRVVMIQVATSTTEQAELDATVSDIVTRVNSSWANLAYQPLVYLKQDIS YAQYLALLTVADALMIASQREGMNLTSHEYLFCQDGKFEGHNKYGSLILSEFTGSASI FGGYDLSINPWDYRQCAEAIKTALEMENPEKERRWTNLYKAVMHETADHWFNAFINKL DEVYEEQHRRDTTSVPRLSIPGLSSQYQSSGRRLFILDYEGTLAVWGSPSNIILTSPQ RTIDALNDLLIDERNMVYVMSGRKPEELDTLFKRVPNLGLIAENGAFLREFGKTEWQE MSDPNEMNAWKDSVIGIMNYYKDRTPGSWVETRHCSLIFHYKNAEDFETASRQAGDCA GHINDACEDQHVRAIPVEGAVIVESMKWTKRTAAEIIFKNIKDGMEPARKGKYPVDFL LVVGDGREDEVVFRWANEIEEKGEVPYVTTVSLGSRNTEAMKTLTQGVTGVLTVLQRL AAI VE01_03437 MSTVLPPPSKRARTDAAERAGQQQAIEEIPADAGSLRIQFFDET TGLPIGTPVLVSVADANPKNLENLVNALQGHDESDHIPYRFTLPVPERKSSTNLVTTA FPTNVYKTLLLPGLISTEEVQNISAAPQAVFKVKPVSRCASTIPGHGEAILATQFSPR SSSRMVSGSGDNTARIMDCDTGTPIHTLKGHTSWVLAVSWSPDDSRIATGSMDNTVRL WDPKTGGEMGKPMKGHSKWVTSLSWEPYHMQKPGEPRLASSSKDCTVRVWSTNQGKID MVFSGHKGSVSCVKWGGTGFIYSASHDKTIKVWNVSDGTLAHTLSSHAHWVNHLALST DFVLRTAYHDHTGKIPETQEGKVAAAKERFLKAATIQGEVVERLVSASDDFTMFLWEP SKGTKHIARLMGHQKQVNHVTFSPDGLLIASSAFDNSTKLWNARDGKFINTLRGHVGP VYQCAFSPDSRLLVTGSKDTTLKCWDMRTHKLAVDLPGHQDEVYSVDWSPDGKMVCSG GKDKAIRTWRH VE01_03438 MSSTPRPSTSFSARTAISHRHDGDTASTSQGQSIQRTLSRATTR PPTRARSRAASSIGGGLSQHIVCAVSESRGVSPTVGLAFVNVSTGEAVMSQICDNQFY VRTVLKLQVFEPTEILLVSTSGPPNQKSKMYSLIEEEVIGSRIVCVDRRYWSEPAGMD FIQQLAFPEDVEAIKVATGGNFYATCCLAASLRYMEHSLSLTFASHSLRIKYQPSEGS MMIDLSTIQSLELIQNITNVKSKDCLFGLLNETLTPMGSRMLRSNILQPSTQESILKN RYEAVGELASKEEMFFDTRNGKHVVNTLKPFHDVDKLLTNLIIQPTQPDIQHSEQSIN HILMLKTFVQCVGPVYEALSGARSPLLVDIRNVCRPNNITPTLKIIGEVINDDVTFQR SPLDLRNQRTYAVKSGVNGLLDVARQTFREATQDVHKHVSDINDQYEMQMETRYDNAR RYYLRIPESNLEGRTMPDILINCYRKKGYIECQTLDLVKLNQRIEDSHQEVVLMSDKT VAQLIDNVRAEIQPLFRVSDSIAMLDMLAAFAQLVTTSDYIKPEITRCLAIKSGRHPV HEKAHSEKFIPNDVYADKQQRFQIITGCNMSGKSTYIRSIALMTVMAQIGSFVPAQYA SFPIVDQLFARVSMDDCIEANVSTFASEMRETAFILRNIGNNSLVIIDELGRGTSTRD GLAIALSISEALVESQAFVWFTTHFRELGMIVLLQLRNLKLMSPAQIMNERAGVVNMH LKVDISQEDKMVMLYKIANGFVKEEHYGLALARVVNLPPLILEVAEKVSKKLQAQAAA KKKSSKSFAIARKRKLVLSLREVLLQAQESSMQGKALMEYLRKVQEEFVKRMDCIENE AEDSGDEGVVGEEELSDNDDGSDENLGREGEFNEADDDSDESEMTGTDKTEMLV VE01_03439 MGLAEPRKRLKLSKDPNNTTWTKDTTGFGHKIMKSQGWTPGEYL GIKDAPHAEFHTEANASHIRVVLKDDNLGIGAKKGSGLEQGECVGLDVFQNLLGRLNG RDEDEMEREQKSREDLKRAIYSERKWGSIRFVSGGFLIGDKIQDLIDGEADRLRQLAV DSSSSGSEDSGDSGSDSDSDTTPEPVVMKEKKSKKSKKSSKEIEVEVSEASVDSENGK SKSKKKRKLADVEEDGGVSIVDKTKKSKKSKKSESEEDASESKDGKSKSKKDKKEKKD KKDKKDKKDKKKKSKSKPSEDSLDSDSAVTSKSSKSKSKSKKSKSEKTASNSSDTTPV VVEISSRPILLGGRHAVRSRNIAQKRLAAMNSASLNEG VE01_03440 MSSPSDNEESSPDGINSIDHVRRAGQILAAGKRKKEAKLKAIEK EFNQRVEKAHTSFQEFLEAHNSRVSEAQKAQMNSLNHALHRRQLVEIDIWKQLKALEK SRRALAKLLIEVCQARLETTAEIGTTGRVPEKAPKL VE01_03441 MVAGRKDLSAALLASDMQHSVEDDVRIDDSENLSAERASQIDMA TNVRSCPDELHQQTSPANTDLEHHDGDGDLSNTLPGSGMLPHPEGKHNVEPKDSWPQR GRALRRTRKVPNPLSRAESRNRANDASIEVNVRFMKKSPTRRGAFYKGRSSSLGELED IVLEPLAPGQMCASVNETLERGGTPVPTTRKPSVRSTSDQYSIRSKKIPWATRTRKVA ATNDSKPRSHAETISARGVIQSIRSGRTLHLDVERLPSSPQTRTLSHDEPEGLVEKPS TASVLPPEILTKIYRRLSPSDFNSARHSCRSWFISSLEHSLLETMLKRSGWFASIQRE LFNNKYLDDHLRMTDEWLMSKHLAKECALGPDWRGNGIPSLPTEQIPTHSKGVANKTP FRLISKLDFTNMGTHYPGSSSEACGLLFIVSACTKFLMVARGCMVFVYELNRSHRTGK GADDIESGAIRPITSIICPRRVLGCSMDTSSNRDAIAVLMDGRMGLVCAITGNTNRPG PTRTRDLRKMQEQSNVFPVLRGGSWRQNVSLGTSHSLRSSPEASNQYLRVSVLAPGQP LADRYSGRELGERYVGRDYYTSTAQRRVRPEPQTTSSYLMPTEASPPTLYTLICSPDD PPRSIAICPQRRCVAFGCSSGIELHWIDALTGEDLNRWFPLTAPSDHLYFLPPRTGVD LAKKLRLVSTQGTPRERISVGERFSGHKRSSVFWAQGGWDANDMFVDTDIESESRGRI RNGSEHYRAVPLSDGYHLLFIDPNSGVLCLGSDAPFGGPTKLLRKLWFSGPVGEGSPV AYAAACDLRWGVRVIAAYGLGKEQTICLFSIPIDVFTDGHGRGEQPHIINSFATISRE TARGNADWMPWWGNDGDRLLQWPTFGYGSRTNTMWPLQVRGQQVGQCAGLVDVAIHTG SGVGITIWAFTRQGVALTWKLDRGTPHHDHIQRLVVQDGTIREIDGNGDIEMCDRPAS RPGSDFDATPSQQEQFDGTASGLSSEVTRSSRRRRNSSSLEQDAEGQVLMDSLRNHES RVVTQDDREGDADCLKRRSRFETDHSFEAIAMANDGDGLHYGYRRDLVEQLTGVARID IEIR VE01_03442 MSISLFSSLEGCQDDDVISPKANEKDIYVQLVISMGLGVSSFIA FCILRPRWKSLYAARKRHSDAAMALPDLPDTFFGWIPVLFKVTEEQVLASAGLDAFVF LSFFKMAIKFLGVAFILAAVIIAPINKHFVGLDLSGGDRKDNETTADASSSHYVSQGF VYIYAAGKGKHKVEEDESYLWAYLVFTYVFTGLAIYFLIAETRKIIKVRQDYLGSQST ITDKTIRISGIPEELRSEEKIIEILEKLKIGKVENVTLCRNWKFLDDLMEERAATLRK LEEVVSVHFKRQRAQRNFERASETPTEYHDDPDQDENEDRDEGDNLLDNASIEVSLYG QPRPTTRIRGGFWNLSTKKVDAIDYYEEYLRRLDEKIKDARKKEYTATPLAFVTMDSI PAAQMAVQALIDPTPLQFHASLAPAPSDIVWSNTYLSRSSRMLRSWSITIFILILTAI WLIPVASLASLLNICYIEKFAPKLAAVLSRHDIIRALVQTGLPTLVVSLLNVAVPFLY DFLANYQGSISQSDVELSVISKNFLFTFFNFFLVFTVFGTASKIWPVLQDSLKDSTKI AYNLATSLQTLGLFYTNFIMLQGIGLFPMRLLEFGSVSLYPIMRWGAETPRDFAELDQ PPVFKYGFYLPTSLLVFILCVVYSILPAGFLVLLFGLIYFVLGYFTYKYQLLYAMDHP QHATGAAWTMISYRIMLGLGIFQLAMAGVIALKQAFTAALLVLPLIMFTMWFSYFFAR TFEPLTKYIALRSIRRDNNEEVNLADEYLGIHRPPGRIRRRSTIDEDRERDQKFVNPS LVVPENVDSPEAQRWWGNTKNTGARREHRELY VE01_03443 MDTSKVPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDTTRSIIRN VKGPVREDDILCLLESEREARRLR VE01_03444 MGSISNDTDLIRLSLEGRLLFAVPKKGRLNATALNLLEGADIQF RRENRLDIALVKNLPIALVFLPAADIPTFVGEGQVDLGITGFDQVAEHDARRRAIVRD QAESGKSTPVEGATPKGCDQLLDLDFGACKLQVQVPVKGKYRTPADLIGKNIGTSFVS LAAEYFAKLEAEADAANGETSGPKPLRTKIIELSGSVEAACALGVADGIVDLVESGET MKAAGLEAIDTVVSTKAILIKSKNPSNKAMVDLIASRIQGVISAKQYVLCQYNVERKN LEAVTKVTPGRRAATINALEEEGWVAVSSMVEKKRIATVMDELSSLGAEDILVMGILN TRT VE01_03445 MLHQFDYIFAIAMIFGFLDAFMIGANDVANSWATSVSSRSVTLR QAMCLATVMEFAGAIGVGGRVADTIRTKIVNISFFEKDPAVLMVGMTCALVGSSIYLS VATRLGMPVSSTHSIMGGVIGMGIATVGANNVTWGWKGVAQVFAAWAIAPGLAGIFGA IIFTITKYGVLKRENPVRAAFFMVPIYFGFTTAILTMLIVWKGATTIKNPSTALILGC IFGVGGGVALLTVIFLLPYLYRLLVKDDWELKWYHLFFGPLLLKRGPVPPQPEGHNIV TDYYKTFDYETAQADGTVAPGRDTAEDVEKNGSKPVSLQKNIDSPTDIDAEEQPKKSP FQIAKRLLFRGVDMDVVSHQSKKSSALVGDLKSVHDAATHYDNKAEHTYSFLQVLTAC TASFAHGANDVANAVGPLATVFTIWHSSKYELKKSSDVPIWVLAYCGAALSIGLWFYG YNMMRQLGNRITLHSPSRGFSMELGSAVTVVMATRLALPISTTQCISGATVGVGLCAG TWRAINWRMIAWIYFGWIITLPCTGIISGCLTGILINAPQFGKAVIVS VE01_03446 MEDEAAASTALNVTDGNGGETKTETAPTGAVVDDSNGPNEIPPR LPPRPNQLDSLERPSTPLSARPKPRAQPTTALSSIDIQTLSFPDGTRGTFSSTDNTAS PSAAGTSVLDYSHRRDSRSRDEADDNASLQTFTGTTHTGGGDLESLLGGANAQSAAWK MLAGQAETVNPFETIEHSENDKLAVFEQEFDEIHDVDSEMGNEEQLLDQFKSKLKHYM IFSSAGKPIYSRHGDVNLINGYIGVIQTIISFYEESKDPLQSFTAGDTKFVVSAQGPL YFVAISSLGESEAQLRIQLDALYMQILSTLTLPRLTQIFTNRPSTDLRRPLEGTDVLL SSLADTFTKGSASTLLSALECLKIRKSQRHVINNTLLKTRTDNLLYGLIVAGGRLVSV VRPKRHSLHPSDLQLIFNMLFEAKSIRSGGGENWIPLCLPGFNNTGYVYMYVSFLDGE EDDTTPESTNSRDDAVAVLLISTDKESFYELKQMRDAVVAQLHKNGSLDIIKNAVRAG RPSTTDIVPGTQLRHFLYKSRANVQFTTSSYAPHFNSLISHRRLLSLYQTLHASSHAR TSHTKVLHCVSKTSIALAWATPVFEFYCVAGPNCARGALLQGASRVVQWVRREEERLF IIGGAVF VE01_03447 MALDPAPGRHQTSSPTNSGRASPISRKWRGEEEALSKDKTFRRY ATGVDRSLALFDSQLQEWADYISFLGRLLKTLQARPSNITVIPSKGIVARRLAQCLNP TLPSGVHQKAIEVYNYIFSTIGTDSLSRDLSLYLPGLSSTLSFASLTVRSPFLDLLKT HLVPLDPLSLRPALKAIILALLPGLEEETSEDFDQTLKLLNDFKKAVRPKGSQDGHEN TAGDEYFWQCFFLASITGANRRLGALAYLVRSLPKLDARSRSGSVPNLDQGPGNGTEI ITTPEPGLLLRCFAAGLKDDQLLIQRGYLDLLVTHLPLHATVFQSRVKSDDLELLMAA ASGVVARRDMSLNRRLWSWLLGPEPVAGIEVESGPGPASGNARDRAMSISATRTSYFE EFGLQPLTQAILKMIARDQLAPAERARPFKVCLSLMDRWEIGGLVVPEVFLPVVGSVR RYQSQSTSAADFNEVLRSASVFFDGVESGLIWSEITGLIAGAVGSNKASNDVKLDKLA LVRFILTNFNVREEEMLLVHAPITALALLAMLYETASKEIDSVEVKTFATNIVLDLIG LIPERAFVSAISTEQSESERRQPTLSIDNKSIMDKVRHFYVHNQGNLDVSPPPFSAQE VSELLLREAVRLTSRSLSVATSSADVAVKVRLLVALITTAPVAKSLDVSELLSAIHEK LSASTPPPFSVFSSVVVIVTALYPRYLKLEQISDLVDPLVRLAWSYLSPSCPKYHVEA VRNLWHLQTCLGDANREIEASLCRLIVEYDVTGTFTSRNADPGRRFAVLWTHTLHDNA VHVERRGSKPLKSDSNSVGLAPSTGDYEIMLCRPLFLLLDALSDERTQLFTSVRIWIQ NLPGIEKIFHLFVSKFSGFKFLQPVEIQSNAGTEKSTMQYTTDDDIDNCLYYLRTFLN ILRWSTISTWGTLANSKVSIPKTDEATDSIGHHEDDIPLQEFFLEVCLRAINGTHNPA EPQLDASISQLHQAALAVLHQILANPFSSNFTDLNLEVDLISKLQRSLADPDPFLQAS LLDVVLASLKLKKESPISPPMSPKLEHRPLSPESNRGAVQSEAVAHTEMLSERRPPPP SALLECLQAAFVSPNSRPVLDNWIVFLSECINLYSDTIFQVLIPLVETFCSQISQTFE SLRKTFQGYDGSIKANSAPEATLISFLNGLELVLARGHERLLKDEARAPTAKSPDQPQ GFFGNMVSGVFATETHHSRTQTANSRLTVLLSFQDAVRICFKIWSWGGRGRDDFSPDA DSMASFGYTSLRMRNRARRLLEHLFHAEPLECLETVIEIWQKASTASNTQYTAAFHLL HVLDGSRPKHTIPAIFDAIYSRTNPGVLDVSRKSTLTSTLLDTELVVFLVDYTRSVED DAMDEIWTDCMTFLKDILANPFPHRQILPELLEFTSVLGEKVDNTNFGEQRKMRKDLA DLFLRLLTATFTTNPIGYSMSTSQQPPAEKHSEVSSSSKLKRRAEDVVEILATIIPNL PKILVEPERVLVAANTISTSVIGPTIRSKAYPENVSVSLLTLLYQLSRLANTQKVWKK DLGDAFNDPRFFANPPSLYESHWMALIRQWAITDKERMPELLSRITPPTTAGIVFGVG ATSARLEADRKTQLNLRRIATLILSVPDDTFVSVLADINDKIVELLTATTTSSPSSTT RAEIYILLRVLVLKTSPIHLAFMWPTVNAELEAAISSVVAPDHSGPADTWNNFSILQA CKLLDLLLCIAPDDFQLHEWLFITDTIDAVYRPSSSGYQPAALIDQLAEELGSATLSG AFEGTSVAPEDTKDKSMRRPLIGPGRVETRGVNLERRDELVAKVLRPFFSQLSIWAFE TVYEMGSIEWEECRRQVLDDLFDESAVVKAL VE01_03448 MFNALNRFISRLDSDAPAQTSTYNAFGFQVLRNKNADLPIEPWF DFVVGINGRMIEDSDPSLFAQEVRNCAGGTVTLGLWSAKGQRTRTLHVPIPSDNPTLG ISLQHAPLSTVATIWHILDVPANSPADLAGLLPYSDYILGTPEGILHGEAGLGELVED HIGRPLRLYVYNNEYDVTREVTIQPSRDWGGEGALGCVLGYGALHRIPAPLSEPVAEP GSTLFDGEPARFSNEESRAAALSATGAPPITTAPSEFLIPAQLPGAAPPPPASSDKAH RKHGRGHDKTVQAKGGELDDYFMEGEQKSRELDYVPSAKPTNTPPPPPPKSGGPPKAT PGPPKGGPPLGGPPKAGESKAGESKAGESKAGETKETEKEEEEDKPVAN VE01_03449 MASNPQTFQSFGRPLANSSNFFSQRDNEHQLYGLFSDLNLNGEH RKQPLFTPAPVAVVSSEDIELNDARSTERKLTTKQLRKQREAEQAAKTPKKPKTLASK AKKQNRIKIRFERRKSQKDRLDAINRDPKLVEKRIQALKELHACAQDLHNATENKVAL KQALQNLTEALASHTEKTSAELYAELCQTNNQKSAEVLIGNTNDTQSSEESFRNFVDN LEKPVLPSEPVSTSRGKKTARVKELPVNIAQPTFKLLAPSREQKIRNAHNWKRAKPLI IDLTKAIERSDISIAKSYAAARVPIKNLADGLERDFADVISEVIPSFTRDRIAYFERP FITLKETNQISPSNTPIATNGGLDQSMSA VE01_03450 MAASIDPSKTTIVDIFAGAGGNSIAFARSGRWEKVISIEKDPSV IACAKNNAAIYGVADKITWINDDCFDFVSKDGNIDFNTSTIFASPPWGGPGYRGDEIF NLDTMQPYSAKQIHEMCKSTECALFLPRTSDLRQIAKLAPEGDKVEVVQYCMEGASKA LVAYIPATQSS VE01_03451 MRSALAYVALAATFVQQAVTAPTVGLPAHGSKNVHWVDTWATMP QLTEVGNLPPAPYTQPDSVFVDSTVRATFQVSIPGKTVRLRFTNVFGATDLPIDAVTI ALPNGPAGTRAIHTQTLQKVTFSGKTSIIIPNGAQVVSDPIHFEVKARQDVAVTYYTA AGQAGNSITSHPGSRVTSWYSRGNHVTAANLTDASVASSEHWYFVSALEVDDSLGSTF AIVGDSITDGRGSTTNGNNRWADQLSLRLLKDRRTAHIGIANEAAGGNRVLHDGLGPN ALGRIDRDVLAQPNVKYAMIYEGINDIGTGNSTTSVAEQLETSDRLIWAYQQMAERIH AAGIKVFIATITPFNHPADQFQPVWDAEREKTRQRVNKWIRENKVFDAVLDFDKVVRD PEHPNVMQVRYNFDDFLHLGVEGYRALADSIPLSIFS VE01_03452 MRVLPALLLAGPAVAQQAVWGQCGGTGWTGPTTCVSGTTCTFSN AWYSQCLPGGNGNPVTTPPATTPPATTPPASTNPPATLPPSETTTATGGTPSTCPTIP GSINPPSVATLNDPFTPLSGSRITTKAQWTCRQDEIKQLFQKYELGTLPPKPSSVTGS FSGSTLTINVSEGGKSISFTASITYPSSGTAPYPAIIAIGGLSIPTPAGVAVINFGND DIAAQNSGSSRGQGKFYTIYGAGHSAGATTAWAWGVSRLIDVLETQATAARINVKKLG VSGCSRNGKGAFIVGALEPRIALTIPQESGSGGAACWRISDSVFAAGGNIQTAHEIVG ENVWFSPNFNAYVNTIPTMPFDHHMLAGLVAPRGLFVIENNIDWLGPMATTGCMKTGQ MIYKALGVPDNMGFSETTPHSHCSFPSSQQADLTAFVQKFLFDQNTNTAVSKSDQTFD QAKWVTWSVPTLT VE01_03453 MAIDPPEQPWDEKTERKFEGKAYSEYFDPCQDLATRSLKCLHRN GGQREMCSDYFQAYRDCKKQWLADRKDAKRKNAKPWFGSNDKPEEPSK VE01_03454 MPSSQECSEDALPAPAADSEQHEHDATVQDADPVGSVAEFTHIP AMFESLDDELLAGESEITTAKHEPSDDQFPVQAYPTPELDPVAVENARLEYEKYMEEI KDKPAPTPRIERVNEPDHFIGKGVVTGVSYHLNKTPRETLPRQPRNGQRHNSCVPDYN ANKTIWETREERLNAIDHQNAILNPRGRLSEYFVWGIAYNPPDELSQGHRAVHIGVPI GTTLKSILDNINTGPIYSATLCNTVAITGGLTAFIIFVEESGASLLKSNLAMESLKPV HITSYPTWPISPTMLKQIRQGWTRCLSIRGLPSAFSTGDVFDMIVQPGYSQVKELLEV QRDAQEYFFVEFSSITAADKVYRLMSAHYRGSDVAVSFGADPCSVPFPVTFGATPDDS PATLTPASSFGSEGSDSTDDKAALIAKYGLPPKLRLPRALPEAQKQSMMAASNISHFA NNTQLFNSVGKENAQDASSTRVDAQQYMAAAALIQIHREDASLAAQQTKADPEAGRDF SDLVPTFEYHGSGTGFNWADEMIEEAAGEEYGNPSALDILAQQALGAKVY VE01_03455 MSNLLRTLFLLALPLATLQATPVKPGTNVDIVPFGSLPLCAQSC GKLFDVAYSCIPPATPAPDTSCFCKDARTTSVGLSGTANTCAAVCPVAADLTAIQTWY NGLCASGGTTPTTTTGSNGAGSTDTGSTDTGSTGGSTGTDGSTVTAPKKKTWMEAHYQ YVIMIVIIVVAIVGGWIAAAFFRRRYLRKRELNYEMRPPTAPWVTGHSGPTGPYGGGG FGDGSAGKEAAMMTTPMTAAQVKKEKKKWFVSERT VE01_03456 MAKTVCIIGAGPGGIAAARAFMYSKGAFKVTVFEQLSRIGGLWP SNSTDKGLINPSMSTNLSKYTVCFSDKTWPENEPSHPSPLFPKASDVGQYLQQYVDKY LNNPSVDIKTSCRVEEASMVEDDASTPIKWRIRVQKLRTDVKGPSSLEPEDTSAILSV NVGETEEHLFDHVIISSGFFGKPIIPEAFTQREGVPIPIIHSSEFSDLKELLKDNKGG PGKILVVGGSMSGAETSATIAAQISSEIHSPEKSDIESIEQYTLHHVTSKPFWTVPLF LPLKTQVDNEKNDSKVMNPAPEFLPLDMVMFNLSNRSPEERLKSRSGIVSPEAAQKSH AAFKLFNGGEATAFASLPTDKETDAPWLGISDTYSSFAQSGAIKTTRGRVKSLADDNT SVIVETPSSTETFDNISAIIFATGFDSASSLSFLPKSILSTLGYDPSTPDLPLALSLH STIHPSVPSLGFVGFYRGPYWGVAEQQASLLRTLWQPDSRPPPALSSALKDAGSIIPA LRRCYKETPERLTQFPMGDYTHIMESLREMQGHGPVEAADSYCTPVSPALYATSLQGL GEGEEATESKEALRLIQQEIDGSRGGKFVARAIFRSLQGNWVLNRTIKSNISTYPSGS LVGTARFYPRRPTAEGFAAEFLYVEDGDFKTDTGLQFRATRRYVYRYAEAEDKLSVWF VKTDNKTVDYLFHELQMICPHPTGGEQGQNPKELVPARDRRWKATSHHLCIDDTYDPE YEFAFQGVEVAKWSVGYHVRGPHKDYWIGSVFTR VE01_03457 MASPFPESDRFPNQPLDVSDCNFDICPISRSIFRYKPDLAMAIV PLVLFGLLAVGHIIYGWRYKTWTYTISILIGISLELAGYVGRILAHGNPFPLDYYLLQ IIVLTTAPVLFCAAIYICLGSIVAICGPKMSRLRPAWYTPIFLTCDATSLGLQGAGGA LTSIADDAEGRQQGINIMLSGLSFQVATIAIFAFLFLEFLWRTHASHKVSTSIQNASL AQSKKWTAFLWSLGSATMLILIRSIYRVAEMAGGYDGELMKDENTFLVFEGVLIVVAV LLLLVFHPGAVLDGFGGTVKSKGSYKTAFGSSGEGDSEMSPMHP VE01_03458 MARHVGRSKGCKICIQRKVKCDETLPECSQCRRHQRKCPGPTIG AVFIDMDWKKRRAVTTEESVPAALQLSASSKLKNSITARPRENRSTQTATYYSREVNA YKLPSQPPSLASVNLVQIVSTYVHNGPHRTMGFSVPKGSSVNWVRYLPDLSNREPILD SALAAVCLAHIGKTQKDEHVLRMARLSYGFALKHFQAALILGRRDANILSAINCLSVY ELYDSTLSNAIGYIKHIQGGQLVALDLNLSDQSPLSDTNSFHIFRTMMLYNSFASRKA CSLAHYRRPSSQKFGKGFSELEDLEDTTVHIPGLAEQSDILLHSPYTIESMHLFSNIF SRWRNLTYQLNNWYFRLYQRHEAPFDYDKVEPGPQDPYPGINFGSRFTFTSYWIAESL MHYWASRVLLYDVLAQAITWSIQQGLMHAPNSLTLGPLPMLTSPTQPVDDHATDLPTG AVLSAGDIALYVSVAVLPADSVPADLGVVRVGIRAGAGRDAPSILAVVAMAALRQKSR VLAMSWSC VE01_03459 MKYSLTTLGAYGFCLLISTVFGSPLTSTSAHSVNLNTLLAAPLP PNDIDITKAHPLNNYNMIGNESWVITEHPSLGLHWIYHNETQSPLETRNDPTRFGFSY EASTQMDGQAPSSSSCNNYITSFSNSGNCLNVGSQIAGGSTNPNGMGAISVFTESDDD HYRINVYGPPWSAPETGQSLCQQFIYALRDISCFMVQGATVYAILPYYTS VE01_03460 MVALSIFLGTAAALATLAIATPTTTAPTTTEALEPHLDIIMVDS PPSDPTRFLPSVRSPLSKRQHEECWDNHITIRQKQEAYENDCEVLYASMERSHTTISF APKQSKDFHTSHRICKLTVRNQQEDGCGVKTQSVNRATIGKALEDTLSSCSSLAQNSG WGYITGKPNLVYIVEPDQIAPPSYSPSCEDGGFPGSGSGGRR VE01_03461 MATNRKAARQQRLRGAQTREPQDINFAFTLPPAPPAPRTTRSAV TPSSSRAARVIPTRGRGSSSSRRSGSTRKRIEQKTPSDNRAEKRQRIEVAGGDKTSAR RPPQDTKTPEREIEDELSSINPVALRIDEEVTESPRNAPGSGRRQHLSSDPAERGTPT KLKTPRDATRTRKSMLTVPSPELDVVDEIDELSPDQPRTRSGEKEVDELSPDQPRTQR GKRQIVEETLDGDATSSRRRRSALKELDTVEPAKTPNTDAAKKRRRRSSREEPDELEE AGDIPSADATKKRRRGDGLEEPEREEISNADTAKKRRRRSAPKEPNAVEIPDTDVAKQ KSRRRRRSALEEEPEERTSKGQEVAEEIPDVDEQEEAEEIPIADAAKKLVSQRRRRSG LEEAEPTRSKRRTSGPPTKASPAKQRAPKTRKPTGAKRRGAVPVTAYRMTRHDSDSDD DILNRAIPFASRSGVNAVDVLAQACDEFSGSAVDALGRGLEAAGSTAERREYRTKLLA VEAFAEEARWKLMELTISLDAEYAMRKRVRAVVKEKGELRAELMRLRAEREQVALKMD AARIQHEEEGKENQTLISLSTTLHDVALAASLGRDTTSGDSLAKPPLPVVIDKVAAMA SNKSLEGGLLRRLKEFNGFLERVAGAVEGR VE01_03462 MASTLRAVTLVACLLSQVQHVQSKICYESNGFESTSYYDCAPDA AVSACCRPGDICYTNGLCHPGPDAEQGITPWYWHGCTDPTFQDPSCFSACFAVTGDGV YSCPNQGPNKWCCYGLGGCDCNNSTQVVSALAGSIITTIDFGVTSISSTKTTKTTATT TPTSDSTTTTTTPPTTTAGKETTTDGGAAAGETTGQTAGTTPTETPTSEKSKSNALPI GVGVGVGGAAAIAIAGLIFFFMRRRKQQVAAAQMIGPEPKYTAAAQVPQYEMPARGGN ASELPAAPHPIEYYQELPAER VE01_03463 MYALTGCSGKLGGAILKAILNYGLIPADQLVICTSSKPSDTQWD SVKSQGAQVRQSNYDDKPSMESAYSGCTKLVLVSSPRISMDFNNAPHGEGREKHHFDA IDAARKAGVDHIYYLSLAFGSDSKAGVMRAHNRTEEYLYGLKDVKFTVIREGLYNESW PLYFGNYFKLKDDPRKEVVVAGDGPINWTPIRDLGYTTALVVADSSSKYEGKTFNLSA PTATTLAEIAEIVSEVKGTQVSLKVVSREEYVRYYVEDMGMERGNVEWWSTSYDALKS NECHVTDSTLSDLLSKRGCKPRSIQETVRAMLA VE01_03464 MARDLNRHDHSCASSTGSHHGTVSDDSTIDFDPENEAIMSTRQM DLNISQRLPELRDTAKKYGRWNGNRQQTNDFIINTSAIGRAFPDFSQGGSSDESSFHV EVGRGTKTRQRVPSRVPQTEYSDNIDSPVVSIGDFKILSTPPSKGQSKHRQDSLRSSV GNGRQSSKGTPQKENIPPPSATKLPNYVSGATRSSSGEQRRTLAEIHARVADDSDGSF ISDERPATVTFQPKQSRFSAAQPKGSPLSAIHNIPQQQIKTALADALSGHRDEFQQTP RPQNNATQNSYTANQTNQSFLLPNMPDLSELVSGSFKDGTPVFTRSGKVQSRFTYSSE RTPPGPGHVKLDTVPVPDEEKAIFISLQLLQDKVAMLEMEKADAQRVAQNLQDDNFQL QSENAQLQKHRRSDSALGMADSGSDGEFGKGNKNLVAEKTKIEAQFKSLQVRYSEIER KSSNQELRLKTISFERDQAAKQLAEAYYTTEQLQSENNALRSENGDLKLELARLSTDS EENTRQWRQKETGLREKISRREAAVAELREMTQEIQQARLANTASVKTRKPVVQKQQA QKEPSNPRSSTRGRVQAVVGKQVPARLQSRSRSRSQSRHTQSRNVKSYAEEETFDIPP AGTTNEDFDDASDEGSFDDVTKTVHKDLNVNAEDATQGSNYSSILGHGEMDRLREMLA NERARNAELSAGAGNHEHTSHSVASSRSAKSTPVTQGLTGILKNRNSAKQDQDNTSHS MSHTRRHSETSIKARTARRHITTEEVTSAFIIPDITLSVAMNGGHPTLSAKAKKVLDT LCHHDGGNCTVCTRVASFENNEMKQTVSIQKPIPVSDRMPVAGPYEDEPTMRPSVTPG LALATVMKGLEDELAHLKIELAQYQAVYNKHDPSLSMRKRKAVKARIESLLKAIDTKA DQIYALYDVLEGQKETGQELSEKEVEVTLMDIGIDVDALKKDKSEQDPEGEDSGEDSE LDLPWEGIEDTTGSSSVNGRRRSWVA VE01_03465 MDNPWRDDDFSASSACEVAPYEILEPERLAAESSDLQTFTQNIA EQPYDPESWLLRARCLRLLGFPELALGDAYKARLLVEASQEKSTPLGKKAFLALCDKT RLLHETDPRLAAWKHLVSTTALLEKRVVAELQELELQVWSELMEGLMASNACSDYLTL SQEAVLRFPQDAVFPSEVLNAKSWFKQRDDILREQVNAGEMSEEKMQATLHNGGVYPV AYPWMREDFVSREDSFLDGCKKEFSVRSTNSTVSRSAIRDVDEDDDAPSSGDVLGAFA TKSIAPADTVLVDSTVAGVTSSAERCPTCCGRIMEEILNDCCSVPYCSTDCSKTALDT FHASVCGKDFGFLTSAAESAQLTTDLSLDSVLLLRVLALSMQEPTLHPLKTSLLNRLT PTYKNDELIIFNFPDHIVTPIRVLGELGIDVFANAAYDTWVLHTIRCRLQNNKHGQTL DGFPGTAVNPLYSMFNHSCAPNVDWEHGDSNSTLRMFALRDVEEGEELFISYIRPLTM DYAERQESLLPWLGMECECERCKAERPPTEA VE01_03466 MSPATTPTALLWTLPLVLVHAASKFSFPSAARGLLSFAVPSVLS PSPAKPVSLRPIDPQIAAQRASTDCLDGLRGVAAFAVMIFHYSSFAYPNIRTAYGYNG NTNLLKLPFVKIWYSGGFMVFLFFVISGYVLSARAVRLMLRRDRDRILPVLSSMTFRR VVRLGLPSLVMSFISFLCQRAQLLDKPWPHYVPGLWGDIRFYFENLRDLFTFYNWNQF HIYYLPPLWTIAVEFRCSMILFLLLLGIARCRTGPRLLIEALVFVDTMLHDRWDLGCF VLGLLAAEIHVGTQEAASQRSKEEGLLHNADATDSSFQYDDGRNGNATNQRRRRILKK LGLWACFIAGMYLGSVPTEHTCETPGYATLCKLTWHGEKWRYIALPGSFLIIVGILYL PILQRPLVAPAARYLGRVSYALYLVHELVNMLIGKGIRNAGWALLGKTGVMYHFGYVF GLVFYVSLSLWLADMFMRAVDMPSTNLARWLEEKCVDKS VE01_03467 MRFNQVVQAIAATSCAFAGAAEAVKVNPLPAPVSITWGTSGPKQ VAGYLVLKSPYNQVVQDAWNRAFNSITTLKWVPQAVEEPIPVFDPFPTTPAAAKRAAA PVFAESESEHTKRWSTLIYEVNVKISDYKADLQHGVDESYTLDVKSNSPSIDITAKTI WGALHAFTTLQQIVISDGHGGLQIEQPVSIKDGPIYPYRGIMVDTGRNFISVKKIFET IDGMALSKLNVLHWHIDDDQSWPLTMNAYPEMTKDAYSTRETYSHNDVRTIIAYARAR AVRIIPEIDMPGHSSAGWKQIDPAIVACTNSWWSNDNWPLHTAVQPNPGQLEILNDKT YEVVAKVYNELSSLFTDNLFHVGGDELQVGCYNLSTITQEWFAANKSLTYDDLVQYWV DKAVPIFKKPKNRRLVMWEDVAINDPHAHDMPKDIIMQTWNGGLANIKKLTSSGFDVV VSSSDWFYLDCGVGGYVTNDPRYNENVNPDPDTANFNFGGTGGSWCAPYKTWQRIYDY DFTTNLTAAEAKKVIGVTAPLWSEQVDDTCLSSKLWPRAAALAELSWSGNRDAAGKKR TTTMTQRILNFREYLVASGVQATPLVPKYCLQHPHHCDLYYDQNAVV VE01_03468 MSLVPYSSRDSREIVLQHDDAIVVRDPQTRQLILRGASAAQKLT ECPYCHQSIRSASTDESHPLSSGPIHGQSFVNPEYFRMLRLASEPGSEDEREPPSSPI RRLTQPVPRSEPYRAPSAGGHRADTEGLEESDDAEFVTSAPGGQSGREALGIKKDAFS PNYFQKFFTVESELGRGGKGVVLLVRHELDGVFLGHFACKRVPVGDDHEWLEKVLIEV QLLQQLSHPNLVSYRHVWLEDYKLNRFGPSVPCAFILQQYCNSGDLLHYVIGKGASAT STNEELKAQMRRRSKGQLDRPQGLKSPQKKLAFDEIYSFFKDITSGLAHLHASNYIHR DLKPSNCLLHRGEKDLRCLISDFGEVQADNVYRKSTGATGTISYCAPEVLRQDASGRY GNFTAKSDIFSLGMILYFMCFGRLPYLSAENIQEEFEDLDQLRAEISSWQGFRDERQE RPDLPDQLYTFLKRLLSLNPADRPGATDILQAISSGSGLEGVSSLSRSKNNLNVGKRI QPVDSPAPGAKPIGGTRSVSAAYIEDEDGERVHALRKTLSNHLDSQPEVTTPLLMPPP SGRIGRFNQHLALASHSARSWMQSNGRLLTYVAKFIIFLFKAYTLTKPCLPWATNPLV SAPLLILAAMDLVLVGRMERRMTTLLLLAHVVALLVASRYGSLCASGRDMWEWEAAVN RRDL VE01_03469 MDLRSIINNEGGDTSRQDKAQPATPAQTGPSPGLYREYSQHSQP APPSRQASQDYGAQAQRFTSPTAYQGSFQGRPPPPPPLQPPSNDLRSPATSSHYSGQS PFRHTPSSSTSGGAFPFPQNAAPQSPGQPQQYPPALRHRESYSQNEAQPQSQHMNYPQ ASPVPLTPPIGSSNTSYPFPPHQRPQSSHSSPTPTSAQSQQNLYTQQLQESPVANTAF PPTPFPQDQAQRSQPGTPFRQPATVQRQSTGSYPFPTSPYQQRAPSLGVQQDNTKVER ISPSPSVSAAPTTPAPYNHNPASAPASKRNSQSERENSMSVSPKTTVFGQPKSEAANQ ARQRTPAPDPAPTPAKRKIDDRRSSDARAPSSAPNGDQPMSSKSQSPQEPRKRRRYNE PPIWAQSWMLYKKNKQFPAPSGQANGKPAAHTSPEISLPQTPKQEAGVTPTATKYDDI LGPWEPSISDTTPVNDLTKAIADFLYLEVVNRNDWGELESRGVAVEIEAKLGQLIDKE TNQRYYLPVLSECVLAPSNRISFRSSMTEEQHKRMNEFLNGLVTQTHPSNVNRPKPRV PIRYAHRRERDSFFELPKAIVQTLPPSIQHFLKQSSHHAPKVRITHDQKTGAPLAQII KTRVKDLDIYIPNSPLDCRISVNLEMRYDGDSEALARGSDKKNQPDRNKDRLSYTQSH YQIDLTQVTSASGDKEHELEIEIDTKTLVEQGQRLQSSQPHRYDALVEGLINNIHVLT KELSLPLN VE01_03470 MPGLVSATGVLGFLSDEEPELKIFALQTLNDDIDTLWTEVAGSV GQIEALYEDDTFPERRLAALVLAKVYYHLQEYNESMAFALGAGDLFKLDNGGEFEETI ISKCVDQYIALSASHRPRASDAGTGAIPTLATSFANTAGDSNVSGALSSPTTPFSQST LPSKSLLSRQSTDISADYPTISTGALSLSVADRKTQVALENVIERLFESCLREGRYRQ VVGIAIEARNLEVLRRVIKRASDDEKKAGKPTDNGSGPTEELMEYVLNICMDVVQERA LRTEILKMILDLLNDIPTPDFFAIAKCVVYLNLDGEASAMLRKLVEKGDDSSRAVAYQ IAFDLYDNGTQEFLGNVQKALPTEKKAEEPVAEGESEPLLGENKDQSGDSSNADNFSA EQIQIFTNIRSILDGSKSIKLNLEFLYRNNHTDISILNKVRDSLEGRNSIFHTAVTFC NAFMNQGTTNDQFFRDNLEWLGKAVNWSKFSATAALGVIHKGNLSQCRKLLEPYLPRP SSVGGSIFSQGGALYAYGLIYANHGAEALDYLRQSFNDTQEEVVQHGGALGLGLAGMA TGSEEIYENLRAILYTDSALNGEAVGLAMGLIMLGTGNIKALEDMIQYAHDTQHEKIV RGVAMGMALIMFGRQEGADELIEGLLNEADPTLRYGGIMTVAMAYCGTGSNKAVRKLL HVAVSDVNDDVRRIAVMSLGFILFRKPSSVPRMVELLSESYNPHVRYGAAMALGISCA GTGLDEAVDLLEPMMKDPTDFVRQGALIALAMIMVQQNEAMNPKVAAIRKTLKKIVGD RHEDAMTKFGCSLALGIIDAGGRNCTIGLQTQSGNLNMPGIVGMAVFTQYWYWFPFTH FLSLSFTPTSMIGLDHDLEIPNFKFHSATRPSLFDYPPEQEVKTDEAPTLIATAVLST TAQARRRAQKKERAQRHESMDIDQTPTTPKVSAPDAEKMDVDEEAKEGGKKEGEEDKE AGAQGTPKKKLEKEKVGYEIENMSRVLPAQLKFISFPAGRYEPVKKPTGGVIMLLDSQ PDEPKSLIEEKMKKASVERAAALPTLEDLRQESFSLRRLADAQGEAAGALSGAGAAAG AGVLTAVDEDGEGGEEAAVPNEFEYFSDDEEEEEDN VE01_03472 MRFSLALIAAVAAATSVAAQDVASIVSQIPSCALTCIATASAGQ NCAITDYACQCGKMSAIQTSATPCVTTKCQAADAIKVLSLTGELCKVVGSGSGSSSAA AGGAVTTQAAGGAATPTTLVTAPSSADEGSFGGSSFPPTSAATSAAATSAAATSAVAT TTGAAAGTSSGAPQQEPTGAFTQTPAPNASGAASRMGGSGAAAAVMAVAAMLL VE01_03473 MIEKLQTPTAFTGRLPRQIQRALPVYLACILIIFIISHADSLGA PLRVAKRGFSHQQPLALATKFPRKIWQTWKVDPLGFEERDSSCARTWTSKNPGYRYEV LTDSNDLMYVESHYGPAGLNRPDIVETYRSLTAKIIKADLLRYLVMYVDGGLYTDIDV EALKPIDSFIPDRYKEGDIDMVIGVEIDQPEFKDHAILGPKSQSFCQWTFMAKPGLPV MLRLVDGILLWLQGLSETQGVPISQLQLDFDEVISGTGPSAFTSAILAEISAGAGHAV TWDSFHAMRESKLIGGALVLTVEAFAAGQGHSDSGNHNARTALVKHRYHASGWPTVHP RYSHPVYGEVERCNWDIQCIQLWDANTAAFKALSIEEQAKMVAIKEVGDAQKAAAALA AAAS VE01_03474 MSLQHDGLLGQKWVPADPSSKLSPLARIAKNWAEYLRPSFLTRA GGSKTKTQLGRTAYLDGLRGFAAFLVYWQHHQLWAHEALGADKILENGFGYEKQYYFA CLPFIRTFFTGGHFAVTVFFVISGYVLSAKPLALIQGGEYGKLGDNLASALFRRWMRL YIPIIVTTFIYMTSWHALGIWTDSEHQGSYGDELIKWYRDLKNFTFIFDGSGDPFFHY NGHVWSIPVEFKGSIIIYTCLLAFSRCRKNARLWCEIGMIYYCMYIVDGSFFSMFLGG MLLCDLDLLAAKDELPRFFALFEPWKELIFFNLFIISLYLGGVPSHSGEVSVLRETGG WYYLSFLKPQAVFNYKWFYLFFASVSMVASVPHMPWLKTFFEMRFNLYLGRISYAFYL IHGPVMWTLGDRLYVAVGWYRDSHLKGVPGWVNLLPLSKAGPFFGAEFSFLVPHIIIL PVTLWLAEVVTKTVDKPTVRFVQWAYSRTLAPAVGKL VE01_03475 MLTRRTTLLTALLVASTFVLFLNVDFLSKYAGSPLAVPVAPGGF PAGAPGGEGLGDGGWPAAPERPQVFGDGGLAVVNDKDGGLGGLNGDGGLGGLGGDKDA GFGGDNKNAGLDGFGGNKDSGLDGLGGDNKNAGLDGLGGLGGLDGNNESKEAGNTAPI DFPPSNTDSTTIAVPPAILPETTTTNTNPNTNPAPTNADGSPKNTYFDQAFSASPPTP YDFPALTAQCARTHWRTDDVYLQCGGMAAGLTSIMSQVKVCLKMAFEAGTGLVLPSMP LRDSEHLEQFNLMNQDAYMTYDQWFDVAHLMDSVKRVCPQMKIVHPAQLDTAEYPVKN KWLIKLEDAKGYRQFQSYFWTGRPFATFFDEQYTKLTQLDKLNPHNKAAVEQGAPAKK GMTVVKMSSNFLLFRITDDPTGQDLKLWNDLGHLIRFTQPTRDLVSALLGHVSRPFYG VHFRVEKDTIWSSLENQLKVDLDALDKAWGMFGTPGGEKPLVYLACGDQEQVAKFVEA GKARGWEVTHKWTLAGGDKTTLEKIDALPFDFQGAVDMGFMVKSEFFLGITGSAFSST IGNARDVTGRYRGSSLLLGKGGDGGARTHLFNDGDASLYACCL VE01_03476 MAKKLYFTEQELANIQLAERISSVFSILGCFFVIVTFSSMKIFR KPINRLVFFATFGNLVTNLATLISRSSILTGQNSSLCQFQAFIIQMFMPADALWMFSM ALNVFLTFFYQYGPTEFLALEKYYLVFNYGVPAIPSIVFVLLQTEERGRVFGNATLWC WVTVKWNFLRIATFYGPVWVVLLSTMTIYILVGRIVFRNRARFNELTAQASRNQASMA ASIVGSPSVLEEGHIAVTTDINVTSDAANSVEREEYKKGKSTAKVKAKKEKKEVSSAD RAAWVYLKCALMFFTAMIVTWVPATANRIATLVNPALVSYELNIAEAVLLPLQGFFNA CIYIGISTDACNYLRAHCRRVFKQIFINPWNHAFGLPITIPHNNIPPYVEGAPTPGSR SRKNKKKKSSPYDLHDMDPKRPGKAVVKPIQPEELEHYHRLREELRISPEPGPITYNY MAADIEEYERRRKALMISQQQARSTGSSSNN VE01_03477 MADNMDDETRERLKAALWFSIGKIVDAETLRLGVNATPQFIGAL TEMVWAQIESVSQDLESFAKHAGRSTVTTDDVLLVTRRNDALHDIMKEFIDKEKAASG KGKRRQ VE01_03478 MATMRAIDIKNGKGPASALFLNSSVPKPLPKPTEALVRVKAFGL NRMDLLQREGKYPLPPNISLILGVEFSGVVEELGAEAQKGKFKVGDEVFGLAYGGAYA EFITVSAATLLHKPSTLSWVTAAAIPETFMTATQALHLIGAFKPGDSVLWHAGASSVS IAGMQLSKLAGASAVYVTSRTPEKVKWCVDTFGAAGGFDTTQKGWGEEAQKATGGKGI DVIVDFMGASTFADNLKAAAKDGRIANLGLMGGVNLPAETNIGLFLAKRLRYEGSSLR SRDEKYQSQLTGKLEGYLEAFAKGDLEVKIEKVFDWKDVIKAHELLEGNGTKGKVVCV IS VE01_03479 MPRLYIAMYEAGTGNYEHWALCLDDEDGNVPTIFEVSGEDGTFE KSAVQDEPENRLLHKRNLAVGEVNERDIPELLKVIDDAKVDNETTEWNCQDYVIELLE MLYEECIIDEDDKEYKRGMKKAKDKYFGPL VE01_03480 MLRACCRPRVGARIVSHVLPNSGSSAIAAFGLTRRTLAGLPTGG AADGFPLSADAAGGANTIGANELPWMKQFKETLQRHESPSLSNLATIVKSPDNNSIGK SVRVQGALGNVRNVSSKMTFVPLSDGAATIQLISLRDNSPDAHKILKAARANTPVEVV GTLQWKNVKPTKDGKQEPPREYELLINSVQSLNDFPADILLGEDATYGPELRHLQIRF DETLKKRLIFRSEVLKGIRTALPDFHEVETPILFKSTPEGAREFLVPSRTSGHAYALP QSPQQYKQVLMASGINRYFQVARCFRDEDLRADRQPEFTQLDMEMAFTNADGVMKRME SFIVDMWKLRQKLWAGSDRDVPSAPFMRMTYDNAMRFHGVDKPDLRIKDLTYRVESIV PDNLKSMITSLEDPIVDIFKINLNCSPRQVGAFVKTIMDSPEMRPFHDNPDGGPGVFI YDPMKPLEGLAAFGHEGLESIRAFYGAGVEEPASSKDQAIVDKIMAATNEVSPENPAP ATETNTWSPPTTREPLEQGDLIILQARPRDVKLSGGSTPLGRLRSHIHKAAIAADLID PDPSFNFLWIVDFPLFTPSNTTDPGQGGTAGFSSTHHPFTSPKTPADVDLLHSDPLRV VADHFDLVLNGVELGGGSRRIHNAQMQEWIMREVLGMPEARLADFKHLFEALRAGCPP HAGMALGLDRMVAVMTGTESIRDVIAFPKNNRGEDVMVGSPSVMTEGQLATYQLAVVG KGPEEK VE01_03481 MSSSFEKSVKGATKIKLAPPKSKYIEHILIATHSGEHGVAEVFR ALQNRLRDSTWTVVFKGLITVHLMIREGSPDVTLEFLSNHKNMLATSSFTDGMYKAWQ PKLQTHGKNIRHYSSYLTERARGYRHSKCDFVRGAENRFQKLTVDKGLLRETELVQLQ ISTLLKCDVLDNEPENEITITVFRMLVLDLLALYHVINQAMIAILGQFFEMTKTDAQR ALEIYRRFTKHTDLVVAYLGTARTYEHKTRVEVPKLKHAPVNLGKQLEDYLADPDFDI NRRQYLAEEEAKKKKNGTFKPFLTAQKTGGSSSRVDEAKAFPDAKPTKETAAITTKAQ PAPPKGPAPDLIDFFASIEQKQEPLETAPPQQQVNAPNFTGAPQFQGQQQQPMQQQAI HQQQVFQQNGFMQQQTGFQDPNQQQQQFNNGFAQPQPMQQPQQLQPNMTGAGFGGYTP QPQAYPSNSLSPINQNSLSPFPGQPQPPFQTGQQQQVTNPFRASMMMNHAASASPTTM GQSTSHTLSPVSPQNTNPFAKPASAPPGGPQAQQYQPTGPTASPLQPAPTGTNPFARR PGTSGAGTPGGLAPQPTGTNPFRQSAFVNTATGMGWQNNQAPIGGGLDSMPTIEVFPR PAQQQPWSQ VE01_03482 MAQPLNDNALQDLERHRLLLETQITQLRKALQTWQLWSAEYEGL KEEIEALSSPTNADLLAIGQNFNGQVVTLVEIDDILNLKGEQRNAAQIINLLDRRIDY VAQNVKTAEKQLHAAEDKLEKVGMVSEELEDSARDEEGLPLTEIIEELDDEGNVINYK TNTPGSEKQQLLDVLRQVGVTEEEIQVRGLDPVDAEVEEDDVTNVLPKTSEPSPKEPT GAVTEDAAPIRPSVQPRKKSVTFTEDTKPGPSSELSATETRIAEIMSLSKAQNEPLPS TGPDAPIVPTSESEEEAALRREMLQYSMSEIGAVVAELDLESGSEWSEDDEGYDDEFS DGDDDEDAFGRSTRKVVDDDLHQRMRELEEKLGVRMMQNLGPKPPSDVSTERSEPDAG IAQIRITQEDVDRAAKLQSPDKPAEEEIKTAKKGKKGVRFADDVDVSPAPETKPAAKP AAKPTPPKPTAVLAPLTDIVERQPAAVAAPAPPTGKIVSRFKSSRQAHPVQGFIPPQP PVQSSRSERTVPTGPENATLATQVVEREVVETGDVTGPDELDPGLLHQEVSTEFHRLR NRMIQREGGFKKKEAKVDDEGTILEYADEYDDEGRPKKVSRFMAARLGRQ VE01_03483 MPPRAQTNTLTSNPLDDICPVCKSNRYLNPSLTFLINSECYHTM CTSCVDRLFTSGPAPCPVAGCHKTLRKRGFHTAFFADLNIEREVDVRKRVAAVFNRRQ DDFETLHDWNNYLESVEDLVFKIVEGTPAMKKDAEEALKKYAEMNRMEIEANLEAEKE EEEMGRRAQAIADERARKRREMGKKKEEADRRDLERTKRNVIETLARSEGNADEITRK AERLILEKTEKMKRDQVEDLEGPKMAIRGLKKRKAVEVEKPYDPFGDLDLTPTRYVLQ TRYKNDWLKQAENDPRHMVGGYSFQEYYARTMFEAFSGLGVFIEDELQNTTASGAPLA AIAATARSGDKTMEDVF VE01_03484 MSSGDAPVDKAAEAAARALEEEEQAALPYKWTQTIRDLSLNFTV PGNLKGRDLVVDIKKTKLSAGVKGQEPIISGDLPHPIHVDESTWTLASIPAVDGKELK QIDIHLDKMNKMQWWEHVVTSAPKIDVTKIQPENSNLGDLDGETRGMVEKMMFDQRQK ELGKPTSEEQKKADMLAQFQKQHPELDFSNAKMS VE01_03485 MQAPYMTATDGADPPIDDGLDNGPGKLFVESRNEKGEVKYTMFS GKPLRWRGDYIPSPEAYQETLRDKALEAQEGYVFHAEALRMLGVKVFRTGDYHIETKL RGKRDVVKFQTKELLPGGQVWTGPRNIAHLAFLSLEDGELPIFHFWIDPTIFGKKPDQ MTLAETIDSETHKQRTEDEAKQIEEGLKMRLMPNITPSDQIWVDKLAEFRKLLADYGG NNRNQSLMDLMKKTFLEDQIRRLAASGEHSMLAMLQVRDQLLYFDNLDNDAFKGVKNN AATKFCMVYFGLPVEPLTLTNILNARKNEDDAREKIEPDYSILPGIYGAEADLEFLDN YHERAYGYPTLRKPKAKRPYKDIPEEVSDLIREQNRIDEELYPQPTRRCGGNRNAFRG VDSYDFGFDKPEPDLPSFKEFLALRGGAGPSQISGDVNDGDDDDSQLNSGHFSDMEDV IMNDMSMLEHSDGDYGLPSSLHNPSWVDGFDGGLRGGASPPRGNEGDDEEQGGPSAPP PNVNTPGTNTNNAALPPPVSLPPLASMESVLATLQDNPRQPPSGRRGRTTPATNGSTF DPSQFREYMVETEKNLEQDDLRVGAYHKAQSAHEPHSGSPEPPRYGKSVEEQLVTGPT TPGVFANDISLAEVRRLQARNGELERLILARGTYCGMCDKTISFTTKEQRDSHFAGHV DGLHSCGFCGISFNSATHAERKSHLASHADIRYTKQTGKTPQRPTASARPLAATPPAS SSPAQVARVTVVEGDTILYCQNCSVDLANFTTPAQLIQHTRACSGRNRAPSEPAYCKF CAIEISRLGSADDVTNHRNLCKGSSAGRGRQRDLWAAAGCSKDDRELTYWKLCALAGV PDLHYRPRNPNPRPYECRYSGCDASLLEMAKEGTLDAHHKKHISGEDRLKYICQANVC GMDLSMHEKRGEERLQRHLTRHLKAHCTYPGCDVIFRDEDISPSTREIELEAKKKWVD HAHNHINDDEDELGHDGTYNYIDSDPPSDDDGDGGHQSTTGHSRQGNGANTGGGQGAG TRQTEGNNPARPPVPKAPAPKALVPGTTIRTTTTGILPRRRLPANTIPEYFISLPKEW NAAVKMLPAVLYGGSKKPQKSTFICPWHRSICPDGTKGCGVDNDDDLLIFSAVSAGRV RSSKTGYDQVDMDNEGAKTWGATQQLSVVSQYLARNRPTADKAPHTLLDPRFNTANEQ QRAAGLVVRRQQANSEETVQGKAAKRAPRPPKRAAAAAPAGQRPAKSARGAGGRTRTR APGTPVAPPAERQGGGGLFVSPDT VE01_03486 MKTLYHDEKAEALKLEEEIVSAANGVFLWVELVLNSLITGLGNL DDIAQLRERLVRVPPEIEELYKHMLKNIDGIYAEDAARIFGIMSIAQHSLKRPIFDSQ RYRDLTFRIPGLTALELSLALDKNQDFAINPSPIDKHDLELRMKQLDIKLRKSCAGLL EVSGGGHFRRSQEEVPGR VE01_03487 MALAMHAAFNYDVPGPVGAVQQLVEFILLEDEEFTGSCYLCPPS AEERAETMQLKRPDLYHAADAF VE01_03488 MLPPAKLPNRGPAVELVALTTLGIATLFVVARLVTRLGIVKRST LDDLMIVVGWTLALGVTLSITIGVAKGLGRHDVDIPPQSLDDLRKCEYTFSVLYNPAL MATKTSILIFYLCLSKNMKYFRLATYLTIAVVIIAGTILTFFNIFVCDPITKTFSNSS TQKCIRLVTLYFAASPTNVATDIVILILPIPILTGMQLPRKQKVILVFTFALGIFVMI VDVIRINALQNTILIITAGGPVPTTTDSLEDTTDFAYLVSPALMWSAVEINVGIICAC IPTLRPLVKRVVPWLIEQVGSHSSDGLSKPKPKPWPENQTLDVQVTTNGSWGVTAPTE DRPIHPDARDSDIQLEFLTENNIAAQEQTLDVQVTTNGSWGVTAPTEDRPIHPDARDS DIQLEFLTENNIAAREQTLLSVQPTGTAVYFGFVNLQPPKCMLRMSVSDSWKYCSVVT ILFFLWGFSNGLLNALNIQISTIAQLTFKQSLSLSTAFYGGYIIGPFTVGWYALKEGG FKIIFIVSLCIYGIGSLMLWPSASFISLPGFLVSNVVAAIGLSSLEMAANSFITLCGP PQYGEMRLLFAQAMQALGATVSELIADKAFAPLIQTKKNLIHVQWTYFTIALFTIVIA LFYHYMPLPEASDDDLQEELQPDLLPMNRMVKTTSLTHIGGIRVVFLTLALGVMVQSI YILSQVAFDTWYIYVLAPPPAEHKIDGLNLSPRNYLLLAYSLKFFSRVIGGALCFVMW PRLILLLSLAGALTFTIAFVALPEGRAAKDPNLVAGLGMMVFFFLAPVFPLVYSITLR GMGKNTRLAAAMLTASISLGAVGPWIIFGAMRKWRLRESFWVIIFWLGIALVFPVYLS VSKKARSVVDWKVKDRRGEERPKSQRRQNGVLTRRRRSDEDEERGWGR VE01_03489 MPISKKARVQREHKKADAAGTRAPVKANGLPVKAPKATSICANC RKEIVSSNKTQLQVHAETHDQKLWPKEKCWPNDFPAAA VE01_03490 MPAPLSVAVPAAAAALAYINAKGNVGYDYHMVSSFLSAVFRGKW RQFKGRLSLFYILEEAALNPKTANNVYLIFEGRQWTYKQFYGMALKYGTWLKEHHGVK AKEIVAMEFGNSEKFMFMWFGLWAIGARPAFLNYNLTGKALAHCVKVSTARLVVVDPQ FSNNITDDLKSDLPGVEFVIFSPDVEAKAECIEPIRSPDEDRFEDKQQNMAILIYTSG TTGLPKPAIVSWIKCIVSSAFPGKWLSLKHPDIFYTSMPLYHSSAALLGTLNVMGSGA TVCIGRKFSTKLFWPEVRACNATVIQYVGETCRYLLAAPPQVDPVTGENLDLKNNVRT AFGNGLRPDVWNAFKARFGIETIAEFYAATEGTAGSWNLSRNDFSLGAIGRVGAISSK LLGGQSAIVTVDWEKEEPWRDPKTGLGKKVAVGEPGELLLTLDGADIEKGFQGYFNNK KATSGKVLRSVLVKDDAFFRTGDVVRKDSEGRTYFVDRIGDTFRWKSENVSTNEVSEV MGYHAAVHEANVYGVELPHHDGRAGCAAVILAESPSEPLMKSLAEHVKALPRFAIPIF LRITDGGGPITGTNKQQKHTLRAEGVDPEKVVGGDQIYWLKNGTYTPFTNRDWEELNG GRVKL VE01_03491 MATPPTDEAEASNAVQRTGKHGIDDGEAPAEAVSAIPKKKGPPP EKPENIRIRLYVMAVSWAMVLFIGLPIWWWTTAIYRADLPLDAMMDWADGRACRPVFP LRISIDTGSLQDQEAQNLLRATQLALDDLNDFSAHHLRLQLSGPQVPPHTPANTSVLP TANQNDEEVALLVRLLPGQTTEAVLQPYAPTLDIHYTPNQVPTSASSSAPLATYIATN LREIFAEEQAMIAYLLATSSSPPERSPKALAPEVAEALAKRSTRSMKYSRTYHLTFSL FTPSATPSTWAIEQALEEHMKPLLDSFSAISNFTIDTQVQLYAQTGVTGDILRKEDLS GFINHAEWPLSPSIGGAPTLNFIIYIGDMEVEGGSKSWLIPQWGGVIIQPNIDDLRPA MLTFSNHLLALIGAPESLTLPLRLNTLTRVRSAGLLLKASSTLGSLARLTLALPSISI PRSVADGVSTTLAHLEAACDGLGGVAGLENARVAEEAAERAFFEKSMVGQVYFPDEHR IAVYLPLLGPMGVPLVMGVLKEGKAWWKRRKGGV VE01_03492 MLPRLLLSARSALRPSLTKCVAPTATRALSSVALAKTAAATTRP TAAAAVVKTADVAMEQVRGMKVRSSVKKLCEGCKSVRRKGGKKGKGHVYIICSLNPKH KQRQG VE01_03493 MANTKKPLGIDIANAKSLFDFLKDYLPIDTPWTSFTHPATKEKY TIELQNSSNLTRDELTTCFSLVEESSKGDYSASKQGWKPAAKRREMRLLELKYLLVRS TKPRDGEETGKPGDIEAFVSFMPTIEDDQEVLYVYEIHLAPSLRRSGLGRRLMGVVES VARRIGVEKVMLTCFTRNKVAKGFYEGIGYGKDEFSPLPRVLRDGREVEEAYVILSKR VGGVE VE01_03494 MPGTTSSDIDSLKVIVIGAGIGGLVLAQILHSTPGIQLSCYERY KAIDDRVVGFRVMLSGTTLSMLKRKIKSDIWADLAFGIGEQPEGGEKIEFFKGNGNKM LTWDSDPTKDQYSVSRWHLREALVKEASFVRTGISFERYEVLPNGRVRAFFSDGSTDE CDLLVGADGTNSNVRKQLLNHATIKDLGMVVIYFKVPLTERSLKLLGSPANSTHTFCP NNQYIMLAPWQNPLAPFSTRYTQHTIDPEDSYIMLGAGSPHANFHNRRCSPNELTQSE LQAEVLARTSQPGIHARFRELAEMVCLDTAYVNIVRKSEAVHPWTSSPNITLLGDAVF NMSNTLSRGANCAIMDAAALADRITSPEYRRERHQPAALDDYVRGNIERREVERQRSA MMQKIMFSGQNKLRGFIRNKALPSSLKKIDSLDREEHEGVNWIGSDGEGSVDSTGEEP KWVEELKWDEIFEGRHGHEKG VE01_03495 MTSLNSDEMRAIDQTRTRLDQLTKSIGALKNDILRSPVMPPIDS IQVHSTILAQSLKNITDHLSKHSDLFAQTVVYTSTNFPGRTQEGLVGQLLRKKLEPSA ESWVEEGRALGKTENVGGGQDEDLDELWSFAKDYVLPQVAKAAQLSRSSLDDIYAESD EEEEEEEEEGDEEGGEKKHAYGDGGSRNPAGISRDLNAITRFMTTGTATGN VE01_03496 MDSVRAALQPITHNLPAPIRELGVSILGRQCYDTLLLEIDPTAT ECIKLAISKGLGIGIIGASSVVKVPQILKLVNSKSASGISFLSYLLETSAYLIGLAYN VRSGFPFSTFGETALIVVQNIVISVLVLKYSGRATQAAIFVAALAMGLTTMFNADLVN MKTLSYFQAGAGVLGVASKLPQIATIYQQGGTGQLSAFAVFNFLAGSLSRIFTTLQEV DDNLILYGYIAGFALNAVLAAQMVYYWNAPSKKAAEKQKEKPAVGQSTATPTKGKGKS PTTRRRG VE01_03497 MVGPVRSRRGAQRSTTTYGYRRALKDIRQPGKRATPEDRNGYKK LLEELRKREDHLTKVKPGKKNGKDDSGEDEEYEVERVLDSRVKDGELKFYVLWEGFSI EEATWEPRSNLENSTEAIDEYFDAHPGNPGGPKANNRLGRPSLKAAEKTS VE01_03498 MSTPLGPLSTPLPPLPDDVFTSAQWSILLALMDTVVPRIVRASA ASPSRAIDELVISEEEYGAIARAAGNKEGETLDAYLAERPSESEDFKGLLRRQLVSYA REDQRRPLMIILSVLSTRPGALLLTGYATPLDQLDIKSRTAILQGWGTSYLGAMRTLY NTLTSIGKMIHIKSSRLFPTVTGFSAIPLKYSPGPSYEYTFLQFPESQTPAILDFDVV IVGSGLGGGISAKNLAEAGFSVLVIDKAYHYTSAQLPMTEAQGMIHLFENGGVIPSED SSINVLTGSNFGGGGTVNWSAALQPQGFVRKEWAEDRNLPLFTSATFQESLDRVCERM GVSDKHIRHNHGNRILLEGARRMGHTAKAVPQNTAGNEHYCGHCIMGCSSCEKQGPNV CWLPDAARAGAQFVEGLAVEKVLMDGKKAVGVKGLWTSRAGGKREVIVKAKRVIVAAG SIYSPHILMNSGIKNNQIGRHLYLHPVNVVSAFFKEEVRPWEGGILTTVCSSFENLDG HGHGVKLEATCMMPSLCLSMINWNSGTSWKTTAAKYPHMNSYISLTRDRDPGRVLHGP KIEYTPSAFDRRHVLTGLIEMSRMLLVTGAREIHVNVPGIRPFIVDETGGDATCEVVD PRFVDWIAHVEAVGNSPPNATFACAHQMGSNRMSAKKADGVVDPEGRVWGTKGLYITD ASVFPSASGVNPMVTNLAISDITSRGIAAGLKKETGTKL VE01_03499 MWKWIEKIGPMCIAHFLLIPIITTSILSMVGCISISPVIPQLFI AELPDSNVQFGYIGICKTGGNATICTLALATPPADLATRLSLSIDVVHHVLTLQQSVS LALPALNSILIAVGYVAFWFGWGMTDEKSVKSRRRWIATTRIVLWSAVGTAFAAAYLL TFSIRAMEVVAPPAQPTTSTTSTTLTTSAGLAVTKGSPLQVLQWAVFVFTALFVSTIH YILHKIVKEARDGILPKVEVRTGDTAAAGSDPKASTENDAPATATSGPPSAPPLPPLA MPPQNLQYSAIHSVY VE01_03500 MAGEPRSSDGGPRRRTKKACVTCQEKKLKCTYADIPRDRARIHT RQQRYGRNLQSTQAHGHLYPRASPKLPLPQNQGSNIPPRTPQFQNERYIRTESQVTSS KMLRPMNEAPAATGDVMESTSVANSEGQRLGEQLRMLQDGKGRLLYLGDSASLSYLDT IRKLVESTIGPCNFTNDVHKGKLVEGSISTGLTPTYVLPDKEAADFLLESFFSNTVGT ILIFDREAFTQEVQSIYDNPLQTKQSRLSILNLVFAVGLQLMTSSDVSSPHGRQILER LDGGSVSRAEMFYVNATHLNDPVSGFEDGDITSIQALLLVTVFMLTVAKRNAAWAYLG MAIRSAYALGLHRKRSDFSFTAPEQRVRRNVWRSLYVMDCFLSAMLGRPNGIHCRDAT DSLAAGSDFGDLWTSSDDTLELASISASVRASCLVGDILSNIYADRKISTELAHAISN KFQACKDSLPTFLHWQNIDLPDEDPKATLAQLHVNLNYFHGIILLTRPFLLQRVSDEI RALRETDGGLLSRQTHELPETDSAKSAPFQAACVRSALYTINAVQAALLKRALPRRDP FVIYWLFTAALIICTNGFCAVYHDIDNKHAMYTALNLHRHFGAVDPLARRFLDILIAF SEAIEQDTIPCAVPTGSKSKQEAIFSALFGAMHYGAKPGYVDDSAQPQTGGSRGANDL SRNQPGGIGFHSEMGQGNGQQIPLEPDSPHVSPPDYSLDFDAFLMNVTQDPYQQDLWT PLYGITDTS VE01_03501 MGANIQEWFKAFYATSDDGTAHEKYTTFFAEDATLIMGDNRVVG QADILQLRTGMWSKISSRKHTYTYYSSTERPDTYMLEGDVVYGLKAGGEATMKWVAKA EFEGQGSDRRLAFYQVFLNAGSK VE01_03502 MPYANVNSKTLFFTLSPSQSSTASPLTVLFVHGLGSSSSFFQPL VPSLTSQGYQCLTVDTHGSGLSPYTGHGNTTASIAADAIALLDSQGITENVVVVGHSM GGIVASLLAAEDSASKQQRFKAIVLIGPVNPNPGAAEVFGKRISIVEKDGMEPLAASI PTTATGSKSTPLVHAFIRSLLLGISPAGYISLCQAIAESAVPAYESIKVPLLVIAGAE DKSAPMDGIIHIMENYASTKKELRTLEGVGHWHVLEAFSEVQEIIGGFLKELQSLK VE01_03503 MTSLNFEGYSPRVEAGSIFDRLCDLGEELNLPKRYKALAKNVKF TSEKDTIYFPIPFKETETTSALKAVEALVATSLANLKYGESSRKIEINLEQTTCFLFQ TYLSTIDGLGKYDVGIKAKLKDTDLFQAQSDPYRRMSANLYATKTPETYFHIHGSLEA NTTLQMIGLPPFRPDLKTIEDISECIESHVQQFTIEELETKNKELRQAGVTAHKHEDF IATPHGKLNNDLPPWSVVPLETTTPPIPLFQDAPGAKPRVLSGIKVLELCRIIAGPVI TRILAEYGADVLKVTSPNLPDVPFFQVDVNFGKQCANIDLKSVEGRKIFDKLLLEADV VVDGYRPGALEKLGYGAKALTDLALKRGKGFVYVNENCFGYEGEWAYRPGWQQIADCA TGIAWAQGKFMGLQEPVIPPFPISDYGTGVMGAIAALTGLYHRAVSGGSWHGRVSLMH YDLLLFRVGQYSEEIQAQLRARQTEDFFELRHNHSVDQISGTVVKQMRKQYPWLFDQE RYRERWVSKGWDAEVSAIKPVASIEGLELSFKRASRPNGSDEPGWEFLEEDTRLL VE01_03504 MSTKPASDTPRFDPNFTQHVIDSMGPNTSPRMRQVMASLTKHIH DFAREVELTTDEWMEGVQLLNWAGQMSDDKRNEGQLLCDVIGLESLVDEITFKKASEA TTLATRTAILGPFFRTDHPIREKGATISFNTPDDAEIVYMHGRVLDAVTKTPLPNASI DVWEASTNGLYEQQDDNQVDSNLRGKFIADANGEYAFYCIKPTPYPVPDDGPAGKLLK LLDRHPYRPAHIHLNVILAGFNPMVTQIFDKDSKYLDNDSVFAVQDSLLVEFVPRSGD EKAKLELKYDVYLAPSE VE01_03505 MAQRKDFHVAVCGGGIGGLCLTIGLLRQNVSVKLYEAASAFAEV GAGVSFGPNTIRAMELIDPLIAKGFENCATTNGWPEKKDTWFDFRTGQEKGTRGGVVA AVNTHVADVKTRGKGEIGQNSTHRAHFLHELVKLIPDEVPEFGKRLKNIEEKGDKMVL TFEDGTTAEADAVIGCDGIKSRTREILLGIDHEAAHAVFSGKYAYRGLIPMDIAVDAL GDELAKNSQMYMGEHGHVLTFPIEKGKTMNVVAFRTKPDGKWDDEKWVLASKKEDMVT DFAGWGDKVTSIISLMEKFDVWALFEHPPAPTYYKDRLCLLGDAAHASTPHQGAGAGQ AIEDAFILSHLFGQVRSAADITQAFKAYDAVRRPRSQRVVTTSKEAGCLYEMEDGEVG SDLDKARENLLARCKWIWENDLNSQFREAQDAMMNGSKI VE01_03506 MATELTVQSERAFQKQPHIFLNSKVKAKSARAGKGGRRWYKDVG LGFRTPKTAIEGTYIDKKCPFVGQVSIRGRILTGTVVSTKMHRTLIIRREYLHFVPKY ARYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKAFSK F VE01_03507 MSSRGDMTLPAARPKSRKSLAHVPSSSSTMDKENMTADIGAMVD SNKRAPGAGKAAKKSRSKSIGPGGLDALMSSSGNRRKSIAAPPPRSILKPTIAPLQEI PARKPSPRKPGQQATAQSSSLRDATNDDTADPFTLLESTTTSDNKVMLRTEEEQQAAA RKRDSDEAQDKEKARRDARRKSLAARRVSFAPEATLHTWDVVDYMQDSTTSSNSTNST RRNSSASNGTIGSPNTQSGPFGSDPSEPPSTPPEQVEDKVSESETPDDQRALHQKKRR RSSGIPPLNFNNPDDEFFSSSPSEGSSVVEGANENFNEDGSSDSNSDSDDDGTAMSID MGEGTDMSMASVKSFASDGSSVRLERALQLAAQQAGTQGIDFDENGPLGIEEDEDVVA SFAPWSQRSVAANLESQTDQENINPFSPAFAVAGTRQQDANDEDDGMTMEMTRSVGGI LQAPESQLSDDDDQSMDITMDMTRAVGRIMPTEQPDEDGTMEMTMEMTKAVGHIFSAP VHDSSPQESDEEMTMDMTVAIGGIIGKDAQPKNRRQSVRRRSTRRRSSVEDSSLGDET MDFTVAMGGIQPAQPATPKTAPLYPDMKAAYNEIVAHPPSSISRPEAKRILVEEVDHA EVLSSPFQPDVPSSIQRPVPTATTASETGSPSIHAFRGKGLRRSSGLRTSMTPKTSSA ITDAVKSAATPSPLQKPTTPSKQATPQSVRPTTPGKTPPSKSIAMRTGSPQRLFERET KAANASPRFTPSKKPTTPNKLFQRNVDNNIATPSIILKPQSRRSSGVGIDRPGLGSPR VAAILDRRGSIGDQASSFQPSRMGDAARVIRFNDAEIIEHEVDREREEERDREDGRKV MEREADMLDDEKDATVNLKEMIQSLTPKKRPLKGRKSLHVGAAKGILGKRPAELDDDD ENGDDDEGGTKRLKNFEGSPVKNVKLRAPPSKEETTGRLTRAVRRSLEFTSGNASTPT MASSPAKVATATTPKGQAKFKDAEATVGAGTAIPFEASITSNHPITMDEDDVEDRIQL QDFLNLTSIRFMELTTTKRRHTIAPNATAQDGSEGQLGKDDGSLENCVVSAACTLPML ELFQHSCRELKKYISEGRKMVREIETETFDENPPLFQEYISATPDVKKLMDNQFRNIK THSRLVSKGMWYEWRMKLLDGLRDGLITIAEGMSSDAETLAKQQELLDNVVPELVQKY ETLLQQEADLQTSAEEIANCNQEDLAEARECLVALDADIESKKAFVQELRSQMEDNET RFKAATERKQACLDEIREADKIREECRGWTGSEIAALKAKADALEEKYGWTITGISGT TLSLSLRSDLELVFDASSFRTKDGQVKNAQANSRIDLWYIAANRERNPLPLTPEKAFF VESIRDGIRGMPQSQTTIKELLDTVSFGWATSLNVVEGIEALNRVYPTDVAKTSDESI VIKSRMLLASLASKVEVSYHVTTKNGEEGLEVQFNTTARVVYGERFNEDSMGRFLGKG IAEGRTWVEVVKELEGRLFARGKK VE01_03508 MANRTYDDALDCLNSLQTSFKTLNERRAAGVIPGPPHYENTLHA LNRLGYKPHDLDCLNIVHVAGTKGKGTTSAYVDSILASYQRSHNLPSKIGLFTSPHLV SVRERIRINSLPITTEKFTKYFFDVWDRLDLYNAKEGLGALDKPPYFRFLTLMSFHAF VCEGVDAAVYEVGLGGEYDATNVIAQPAATGISTLGIDHVDILGHTIEEIAWHKAGIL KHGSPAFSVEQLPAAASVVAKRAQEKDVEIEVLQVDPRLKDVRITPDAKFQKQNASLA ISLTETVMKRLQPDFKLPPDTLPKEFIDGLEQVVLRGRCETKVEGNIRWFIDGAHTAD SLKVSAQWFGGESARHTASKRVLIFNQQGREEAVELLEGLYNGIAEQGLVKFDHVVFC TNITYAEGYKRDFVNVMYDPAAIKGMTMQKAFKEKWEKMDPEATVVLSETIEGALGYV RGLAGEGGEVQALVTGSLHLVGGALGVLEGDDAL VE01_03510 MAPKPVRTYKQALSLLNELQSNQAVVSMVSSSSANANSLAIPEM LDWLRKLTYTPASFNDLRVIHVAGTKGKGSVCAMLDSMLKQYLLTQNASGVTEGRKPL GRVGVFTSPHLITPRERIRLDGLPISKELFTQRFFEVWDKYTAFALEDNHPNPEGAES KPGYFRFLTILALHTFLKENVQTAIIECGIGGEYDSTNILPKEAKTATVITRLGIDHV GMLGDTLGEIAWNKAGIMRPGVPCFTVRQPEDAMKVLQERAAETGTELTVVKRIPEFD NNELKLSLEGDFQKDNASLAIAALTSHLKTLGIAAIPETTTPPEIQRGLSSISWPGRC QVIHENNIDWLIDGAHTDDSLAEVARWFAGKWDAEAHQPAVLIFNSQLRDAPRLAGKL RESLGEATGGGRDVFCKAGFSTNIPFKKDVPNPSIDTLQRETAEAWVRGGGSGVPAVY DSIEAAISGAREVAKARHPEKVHVLVTGSLHLVGGFLKAMGAESE VE01_03511 MLRASLRASTALSNGSIAARAGRQLLGAQAVYSRPSARSFADNK KPSINDPEPVVLPGSGSTTHAEVPPAPGKITSSLNSPTPQTPSADSKVTQTVPLTPPS PSKVQSSPPTSLGSAPPIKPTPAPAASTPPPPPPKKSRKLIRKLFLTLLALSVGGFAG GVYYSRVNDNFHDFFTEYVPFGEEAVLFFEEREFRKRFPVLNSKPRSRELGNRVTIPS SNGVTWKLAEEKPQQPEVSKAAPTAKTDASDTKQKEKVESVKGVKKPEYADTTAPAAA APIAAAQAKSAAEPAVKPTVVDAPAPAPAVAKKVPEVNEPSVYTPYVERIDPINIKNA NDPVVQDLVKILNDIILVVNADKAGNKFSPVIKNARTELEKVGDKVQALKAIAMKEAD EKVKASHEDFDRAAKELIRRLEGEMHEQEGRWKEEYEEERTKLQQHYEQRLRSEIAHA KDVNEQRLRNELLEQAVEMKRKFVAEVNTRVEEERNSRLGKLTDLSKTVDDLEKLTTG WTDVVDSNLKTQHLHVAVEAVRANLENSRSPKPFVRELAALKEIAAADPVVDAAIASI NPLAYQRGIPTSAQLIDRFRRVAGEVRKASLLPDEAGVASHASSYVLSKLLFKKRGLA VGDDVESILTRTETFLEEGNLDEAAREMNGLTGWAKTLSRDWLGEARKVLEVQQALDV IATEARLQSLRME VE01_03512 MAARGPPGARANNARFAQFKLVLLGESAVGKSSLVLRFVKDQFD DYRESTIGAAFLTQTISLDEATTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDIT QASSLDKAKAWVKELQRQANENIIIALAGNKLDLVTEQPDKRVIQTADAEAYAKEAGL LFFETSAKTSENVRELFTAIAKKLPLDQAGPRNPRSGPRPGVDLRPEAANTQGGAGCS C VE01_03513 MAPSLPFRDINVHASASHYAFTSPSSPSAATLVVDRPTGDIRLN DGKVLGGKRVSSIAGILGMIRLRLDKYIIVITKAQPMGRLKGHMVYKVIATEFLPLRE RPLHDNDEDIYLTLLKGFIKSGPMYFSYSSDLTNSFQRQAQIDQSQPLWKRADDRFFW NRFIQSDLIDFRSSGSRQQIGQQPGADPFILPVIFGMLEISPTTVKGMPLTIALITRR SRHRAGTRYFSRGIDESGHVSNFNETEQIVIINESGAGLGGFAGGGGMQNGKVGGSDG REVQVMSYVQTRGSIPVYWAEVNTLSYTPKLQVRGVESAVGAAKAHFDEQIRLYGDNY LVNLVNQKGREKRMKEAYEQIVKLLLSSPKESQQADEKSDEKLHFVESRSRSQEFDRL HYVYFDFHNETKGLQWHRAQLLLDQLEGALQQQAYFRATDMPADTTGRLDVRSLQTSI IRTNCMDCLDRTNVVQSMLARHTLNRMLRDLGVLPRDETFNSDSAFESLFRNIWADNA DVVSKSYSGTGALKTDFTRTGNRTKAGALQDLSNSITRYAKNNFLDGPKQDSFDLFLG AHLPATGNIGNTSVFVDRRPVIIQAVPYVLAFAVFFVLLGIFTIRLPESAVLPLRLFT IFWFIVGGLSASFIFKNGMLYVNWPKLIPRPWATEGYNETVNKLRKDKVLGGLVARHE RGMSTARFLSAEEGKKRIE VE01_03514 MTDALGRVAMTTPSDPRRNHATPPGTTAMRNRYSVASDEAGESS SRNSEQQDRSTDDTYKPVDPSLTDLGRNSHGRGSHRSHRSRTSGGFLLSDNTFFEPPV HETRASIGNQLTPPRKRQQRRDPKGKVPVRPKTDQTNGGSPLATHVRQADAQDKAHTD GTNTEENAGQVNVGKTIQTGLDVDSAQIVNLALNLSESRRQAARRVVTVPSPPTIHGF EDTFGGGSLRQHLQAQRRSSRNISPKPDKGEKFGPPKLAQPITSPLQTAFTQDVEQKY QFSAATLARAEKAKNAFELMAQYRRLLQYLPPLKSHGLTPVPTISPPGTSAGIPVRLN TLSRASTATNKQIGRPYNPLQYIRNRKVRARERQPIDGEAQGFGDVDDVTAWVDRVAK HCPGDGYEISDCLELPPLVPDEAESQAHVAAGADPSKPAVPPVKVKRPRIDWMISAPN MLADIVWLEQSDNKKLIEDNRERKIFPPSTNLVRLPTRTSEEQLRQLEQQRHTSEQEV EESESAQSPDAKAKIPGKLHVETRLPAFNSIRRPGHNGSDINHTPKIRHKLHKMARKL DSTGPIRSRSRVDSESSDSDDSLYNAQMRRGRSDTADSFALNRGILAKQMSQMIAKET DEESKEVSKEVSKEVPEKIEEEKKPASSVEATPSPITAPAKAEESDTLSPRKLIARKP RPELHRRNWSLNYGDKAAPLGRSSLEVPGQRPSEWPSSDLDTTAPNSPESKAAKLLNA LIPSISMDLSPPHSPLSPTHSHRMPLDRVRSKISRLRERSAEPLGRRRKGESDLDSDR KLSLQESPGSPLDHIRSLSPRKRPVKGSVDNTIKSPSAASLARQRPKDGSPPNMAGKP KSRNPIARVSNLLWTKDDTSASDKQGDTAPEDTDIDENAFESSKEESESSRRNSIMTD QGSTRGAASRKVKALLGEMSTFISPTERRGRPTDSRHPTQMSPDYDAQTQQQKAHAKH RQDRLDRLKPPRIDIQRASGDSSPKTTSRDRSPQGSLLRVHSADARLNSILNIPGISP MRTTFLPATDLAALAARRPSLGSRPRDPSAEPTHITRQDVARVRALLLSSGIKAKELT RRHNELRDLNNAAAYRFATVAQLVPDLALERAPRAQEHLLAARVLEEDMRRSARAWEA AAESFRDGKMAELEKQVELLHEKLDGPGGLSEQGKKAADEADELAKEVMGSQMLRAKA LAGAMDTMMRRRRRRFRWARRGGWVLVEWVLVGVMWWAWLVVVLLRLLRGLFRGVVGV GRWLFWL VE01_03515 MKIDKQTASLFGAAAVLRLVLFTAFPTLPDTLTGRVEISTPVTS FKRLQEGLFLYNHNVSPYDGGVYHQAPLLLPFFSLLPNYASWPIFTNIIYILVDLLSA VALMKIAESGESVSTKHYTSPRKDRRWSSTKIAAFFLFNPFTLATCIGRPTSVFTTCA ILHAIAKAVNGASFTSMFAIAFASYLSMYPLLLLPPLVLLCYDRRRATKVTESLTSFV FSTVPAVGGSIYALLFMSYQISGSWEFIPSTYGVQLLLPDLTPNVGLWWYFFIEMFDS FRSFFLGVFWLHLSSYVVGLTIRLRGQPLFIITTLLGLFAIFKPYPSISDTSLFLALV PLYRHVFPLMRYSYLATSTILYATALGPAFYYLWIYAGSGNANFFYAITLVWSLGMSI LVADILFAVLRDEWEVERPEMIGKEVTQI VE01_03516 MARIKKKGTSGQAKNFITRTQAVRKLQISLPDFRKLCIWKGIYP REPRNKKKASSTSHAATTFYYTKDIQYLLHEPLLQKFRDQKALEKKIARALGRGDAHN ASRLERNASRPEKTGRAKYTLDHVIRERYPTFIDALRDLDDCLSMLFLFANLPSTDSV PAKMIARCEKLVLEFEHYLIVSHSLRKSFLSIKGIYYQATIQGQDILWLVPYKFNQRV TGDVDFRIMGTFVEFYQTLLGFVNYRLYTSLGLAYPPKFDKESDDQGAELGAFTLEGN SVGPIEQPKFGLTSEEQRNAKPDAKLQVEIDKLMAQLNVPEEKAKELASKADADMEDA DGATDALDKFVPVAPGGDILPQPSYSSTDPSTLFSNYTFFLSRETPRQPLEFILRAFG CKRIGWDAVLGAGAFTHNELDPSITHQVVDRPPRQVEDEDNQSAQTLVKGSRVPGRIY VQPQWVWDSINEEELKRADLYAPGAQLPPHLSPFVKKVRGAYDPSAPLDEQERENEEL EADTDDEEDEEDEEEEAEELTAAEVANIDIDASAAGMEVAGSDEEDDEEEVAKDATFG GFSDAEEDQESEDEATTAAVQRQKELEAEMTGVPLKETKVDPRTKAKADARKKLSKAR EEEDEELERGKMMLSRRKRKILEKMVYSNKEKEKEAEGLRAKRRKIEKSGKGGVRE VE01_03518 MDSDTEYTEYRTDVSETDIASPARPGRFKGPKSTWRTFNEDEIA LAESLVKLEAQDLSAHLYNAHVMKKSLYDPDLVIDAKPWASKERWRPAEVGDDGKNFV PPERWTAWPLEPEIVPRSERPKADEEFTLKRVEEEKPSREMEEVLTGVMLKFAKNTFE RRKWESDEALQISSDAESDSNSQEAQEENPKEDDHYPTDSEAPAQPSLSHPPPSKPII SADDDRSARLLRPTIRHTIARLDNLLTALQISRRACLRPDRSPSPTADPLFPTVEPED VPSTPDSPKRRQGRPRRVISDQPHPLAAASPPKKSNRGRKKKVHAPLPGETQTEMLVR IAREQHKSIPFAVDSPRSSTSRSTQSRSRSRSMSRSRSRSSSRGSRARLGTRDWSEVI GAAALVGWPEDVVERAGRRCATLFGEGMGVRVLTEGVMGGEVKEEMYVPEEVLDFGEM SEEEEEEETVEEAPAPRRSRSARPESRPRSRSRSRESRSRMDERSAVEKFGIYAAYCP LQECERYQRGFNSERAVRDHLRINHRMGKEEIQKMDEEEDMIGAVHRDGFGVMVKRRP GWRGVDEGLRKKRGRGGSGAVDESVAGDESEEEGMSDSLKTEYF VE01_03519 MSHEYPGINIDLRYYNNDGPIYPLGAHGNCFGADSELLPVREVF MMVLMDRLSDKVDWHKKVFNEEIVSKWRKEALEQHEDKLFTQVVEARVGDIKVSMPRA HIISAAAFDYCIKELREKAAHFERTGLIPTLDSDGNTIVKSDTIVTPELQKELRAAFD QLRADQASDVDWHPRSDEKVQDLVHPSMYPFIYGKSNFFQEEVVGVSDAIELWAGKGE PARAARKRDLPDPNVSYDQGGSGIPFEYWSDTYQWLPANLAFQEDGTVKFTSYINNLH PKKYPSIYRTIEKLIDTAIPAWDQFLSVHDYKQKTLPPVGRQKSRFSVPDSGDDEDEA LWEPYSAEVLAEENYELTESDWEQIREERRYNSRDEERQWGEERPEDAERDEEADDVR DFKWKQIRDPVLTEPDSEEKVNYLCQESIRQKFKESGLQVIVKMATIELTPEKPDFPM GGWHVEGQINERICATALFYLDSENVTPSHLSFRMQTTYDQDELQGIAGQNQFNWLER VYGTQLSQSSDGASSCLQHYGSAETRQGRLLAFPNVFHHRVSPFKLQDPTKPGHRRFI ALWLVDPHQRIISTGNVPPQQQDWWAEAVFGNGADANTGNMPPELLELIAERGPAKKS QKQEGGQTADRKLPTEIMEMVRENGLATQGLMTVEEARHHREELMNVRSRFHEKAEQE WEGVEYFFCEH VE01_03520 MRGLHIGQALAAVLSLSVGALASDNFTYIDMMRARNALMDGRGD CPPCFNCLLPAFTCGQFAECQQYDGKCSCPAGFGGEDCMQPVCGSLADGKERPMRQGD KCDCKEGWGGINCNVCTTNQACNALMPENTGGVCYQNGEVVKENYQMCEVTNKKIRDL LNEKVPQVTFTCDASDKKCDFQFWVQQRESFYCSLGGCISGSDNTYERNSTNYKCDNI QCKCIPDRMLCGENGSVDISDFLTEEIKGPATFECLQQSGGTNKCAFQEPAMNDLIQQ LFGDKSITLECQSGECLYETEVPGYERPIKKINTPLIAGVIAMSSLFVVGVILSVWYL GRRKFAYKPVSLDDSDDENLKLMADHKPASLYFENVSYTLNGKVILDRIQGVAHPGQI MAIMGASGAGKTTFLDILARKNKRGSVEGNFYVNGEKVSNSDYKNVIGFVDQEDTMLP TLTVHETIMTSALLRLPRDMGHAAKELRVYEVEKQLGISHIKDSLIGSEEGNGRGISG GEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVIECLVTLAKTYNRTVIFTIHQP RSNIVALFDQLVLLAKGKTVYSGEFALCQNYFDSIGYSCPPGFNIADYLVDLTMHVES PGTPSEEDDRELNSDDRSTGPSSTRAVKSIASVRESLDDGDSAGESLLRPNRHRRDSI KKKQERGLFTRRKTTETVPLTNVPSTVLEDHDLPPLSSGQNTALDLLVSSYAHSSIAG EIREDIQTTVSNAQAANGQSNGFSGGNGTASPNGNTMGKGYARIGYWRQFTILSQRTW RNLYRNPMLMLTHYAIAILLAVLSGFLFYGLTDDIPGFQNRLGLFFFLLALFGFSTLT SLTVFASERLLFVRERANGYYAPITYFLAKVVFDIIPLRIIPPIIMGSIVYPMTGLCP DMAHFLPFLLILVLFNLAAAAICLFIGIVCKDHGVANLIGSLVMLFSLLFAGLLLNHD AIPKAALWLQSLSIFHYGFESLIVNEVAMLTLRDMKFGLSIEVPGATILSSFGFDNMA LWSDVSKLGAFAAVFVVLAYVAMHVLLVEKR VE01_03521 MVKLEEFGVEQWMDKYETTPDVLNIAETCAASVSIDELVALCED KKAPGPLDTSVTLTYGAIRGSDKLRQRLADLYSARAASPMSLESILITPGAISANFLV LYKLIGPGDHVICMYPTYQQLYSVPESLGAEVSLWKLRREKNYVPDVAELEQLVKPNT KLIIINNPNNPTGAVIRKSALQAIISFARERDITILSDEVYRPLFHGISPVDDEYPPS LISMGYDKAIVTGSMSKAYALAGIRTGWIACRNPEIIEALASARDYTTISVSQLDDQV ASYALSANVIHALLSRNINLAKTNVALVEKFVNDHSSVCSWVKPLAGTTAFIQFKKNG EPVDDVAFCLDVLEKTKAMILPGSKGFGHERGFDYKGYVRIGYVCHTAVLKEALERMS KLAGGLLPSREVNITGEFRSLDLECYENFLRGYHQRSHSPRGHEPLGHGPTRHRPDGG WDRSSLASIATLYCRKILA VE01_03522 MSTDKMVSSAGVCWTLADDFAQWWAEGEVVTGKEKGVGSVGSVT PISPESTAAVAPTPGPMEQVTCPSLVEEASHAAVTAQTSGSSRDSGSPLFSIRPSPKG GMGAFALSDIAESTVIMKELALFLATDGKGHLAEELEKLSVEERTEFSKLACYELLDR DRDTAIFKTNRFRTGSSSGIFLVASRFNHSCNPVIRYSYDYSNRQLIFSTKRDVKEGE ELTIMYTPNSQYLSMDYGFLCDCGVCDPPLKVPVYYDRWADKVPHETDDW VE01_03523 MPPSLPSSSLGSLARGKQSLCFKSAARTFTSSSQCQAIGPESPK FIEIPLPRQRFAQKHVDVKGVLPVPRNIFPKRAGDKSSPEYIARATFEPTAAHQLTKT PSEYTAWKRRMAASRRENLREGLTKLYDRKVKKDAQVARKSSITQENNQRLVDAPQRE SDRLTSPTITAAMATFQHGALPDPNRAARIAASAEKVAAKIKAQEEARRDSLHSLYMH ARSFITTEKELDAEIDKIFTERPFAHVHGRENETNIWDAEGSPMTVFSMLSEVSNTQK KAVDYYQTPAKVTGKRMVKIAEELTGGKMD VE01_03524 MGTGKKEATRKVRQGKVGDGMENVKVKGENFYRTGKQAKVLKIF TGGKERRNAAGKITQSAVFQGREVPAARIEPNRKWFTNSRVISQDALSSFRDAMAERA ADPYTVLLKTNKLPMTLIRDGEGKNGIKQHQAKIAVEASPFSDTFGPKSQRKRVKLSV NSLADLADDSVKSHDTYLDRLEQAKLLSGNPEEKETVGEAAPEDYGYVSSAREAIFSK GQSKRIWNELYKVIDSSDVVIHVLDARDPLGTRCRSVEKYIKEEAPHKHLIFVLNKCD LVPTGVAAAWVRYLSKDYPTLAFHASITNSFGKGSLIQLLRQFSSLHSDRKQVSVGFI GYPNTGKSSIINTLRNKKVCTVAPIPGETKIWQYITLMKRIYLIDCPGVVPPSSSDTP QDILLRGVVRVENVENPEQYIPAVLAKTKPQHIERTYALRGYKDHIEFLELLARKGGR LLHGGEPDVDGVAKMVLNDFLRGKIPWFTPPPLLEGAEADVKGVEGRMGKLGEMGKKR KREDAESTVAESEAADTSMADVTGDDFEGFGSDGGADLSDDGMPDSDSEVEEGGAELE DDDEDNEDAEDVDEEEEEEDEEGLEDAIAQQIRETIKSNPKTRGTVKIPTPRKERRTK R VE01_03525 MSTEQTVAKKRGRPRKNPLPETAAPSSPAPAKTTTARKTAAPKT TPAATEPAKPRTRKAKTPTPETPSPEVAMPITPIQPKAAAPAPSPIPESVEPSNQTTS VPPPSPRPTASPTPQHRPSAILTAVRELSTKASPAPKPSPSNKPVSHPRTPSKTSTPK PPAKTAAKTPTPKPAPPVKPPAPKIPLRALNAQIVDNISKPAGARPLPGGQQQLPKGY KPVARKVTMTIVALPIAIVTSYVLWQRLVMGEEQKVLVRAPPAPATVVDVDTKETGSE SK VE01_03526 MSLSPPESVRRPSQAPASAQDTIQTTPLDWSSTAPSAPQGSTKS LLEKESNILSTDATTNVSTASDPTNALTSSDSALNEPTEQEIAENPWKYIGYPQFSRW VASDQAWFITRRFSTLNARVILLLQDRIVELEDKLNALDEVYSLPRNIIGDEDLTHNG SFRIDRESQRTTVLNDLSKALAQYNSFVNEYSQLASRPSVRMDDVKAVRQWLANHESA IDDLEAAYINENHNDDLIPVHPKPRSWFRMVLEKSSILRHRPLKRFLSREPSDPLIKK KDEGQTVWHNDRRVERLSAVVIGIVGLSMLIGPIWALYEVEPSNKRLGIITGFIVAFY ILVVIATTAKLFESLAAVAAYSAVLMVFMQIQKQ VE01_03527 MDSVGTIIAIIQVADRVVGLCKFYIETADGAPLDLRSILIEISS FKAILEFLQFISNLPDAKKSTNLNALFNTDGPIDHSHKLVLELEKLIPSQDRLQAADS KANQPMRNKAKRALITLAWPLKESKAAKLMSEIARCKTTISLTLTTESSQDIKMIKDT TTWIKEDLTDNQKRDISAWFQRTDPSPLHNVAYGHYVVGTGDWMLQSSDWKDWICGDN KFLWIHGIPGAGKTVLASYLIETISKHCRTVRKQYGCMYYYCSYTHNQNEAYPLLHWI VSQLLRKSNSVPITAYEQFKSGLQPSILDLLDILESCLAEFECVFVVIDAVDESMSPR QDLLKMLRDLATDPRFAKIRLLATSREYVDIETALENISCAVSMDNEDVTNDIRSYVQ VELQRNIRFLRWPQQLLEEVENTIPTKAKGMFRWAVCQIDRLQRMKPERLIIMEALHN LPKTLDETYERIFLEIPQEDWAVVRQVFEWTLFHSKLYGAKVPCGIVIQALEKNPLSD TYGNGNFYDETTIRELCGCLITISNGRPFGFIGVAETDDEDESEDDNEVSEDEDEYNE DEYDEGADEDYEGDDSEDEENDDDDEVENDDLVFRPPSISFAHYTVLEFLDSERISRS RASYFSFPKDHIPLDSVKAAILIALNPTTYRDGHGSDEEISYSADNFLQNDVDRSINQ DSCVIPSNCSDEIRETEDWNNRKLIENFAAYCAASAVLSINHWSEQISRREDLNALVF ALYNPSAPHYNPLFYFIRCLEDLHSFISTSTLLYDDKHRYDPGSTFWEIEWKSPPPAD VGAIFLLLLWTKNLVFTKQPDHGAQILQAWTTTHLAFEIVVNRQPGKDSARYVFSGQL LEILAQLGFFYPEAFGFFLSYRCKETDPSALLVSYIGSHIHEKNCRQSCPVEVLLQIG ADPTGMDYYVAPIQIAVVTSDYEGCRMLLEAGANSNDTGNNFGAKWEDDTYLGQFNAL HGDSPLQIFENSQGTPYGNNGNEGMPDGFKLGRIKALLLSHGATSFSIDGDTLM VE01_03528 MSERGSSLQYHRSEGSSSQSISEMSIDSDYTPEFSLGMRPETKQ LYRPDDRSTWDEWNPGNIHTDAEAGSFSQECALIVRREPHPSNNNQAALHSITVQSPL IKKVLEGTFEGFEGLNTQLKQLTFKAPFHPFYYRWHRFEKLRQDEQDQDTKNHLDLLY AILSKEILPHIEAMEDLTKNKVISFDYLWTIFSPGMEVYTKIDGHDRIVLLRDSRYGA NMSGEYFSLECRYIDCNGSRFGYVETSLEINSFDGVKKLVDLDAFPSHLHPDVEGLVS RLHARGDNLEQLNGFHHMSYSGFYTARSTRQVRKRHVESGRIIIDPHTFNIYGMPGPN IESIESDASTNSADDKLFGGIRNVIYKATSQAFQEYENALKRLGKDQKSTKTLSPKQR LLCTPIVRGYCLTSKSWAEFDIENVGPVRWSGNAFARLVLPHGYKDIIRAFVQEQLSR DDDFDDIISGKGLGFIMLLSGDPGVGKTLTAESVAEEMHQPLYSMSAGELGETAAEVE DSLELVLELTSKWNAILLLDECDMFLEARTTADIRRNRLISIFLKKLEYYRGVMFLTS NRISDFDPAFESRIHLTVHYPALDTASRLHIWKTFVRMGNSDSRLSDKDIAILAKNEI NGRQIKNIIKTGRLLSKQQKVPLGMEHVEMVLKVKRGDFR VE01_03529 MKKLEASERDAGTSDDGRVQGKQQTVESQPGVEHHDSSVEKLPI RDASPRSDSSPSLNEQIADVPYHEKYDGSNPSTSTTTHTGLNGETPITYHYLTFGTPL PFASSSPSSVSSPPPPCPNLSPFTDPLLWSPLRKRFAVYLGSVATFVAAYNAGSYAPP AAGMIREFASSEIAVLTGITSFCVGFAITPMVLAPLSEIQGRYPVFVGAGIVFEAFQI ACALTPSLAGMIVMRFLSGCGSSVFSSMIGGVISDLYSSNERNTPMALFAGAAIFGTG MGPLIASAIAQHLPWRWVFWVQAIMCGVVILAVILFLKESRGSVLLSKKAAALNKWYE AREAAGYVGFDMPDESGCGEVPQRIRWRVKADEERESLMKMIKISVWRPIHLLATEPV VFFFSLWVSFAWAVLYLTFASLPLIFRTTYSFNLQECGAVFAAMSIGGILASYLAIVQ DSHALALARFFSRLRPGHPPPTEDDPEIRLAIACLHSPLLPIGLFWLGWTANPGIHWF VPCIGVTIATMGIYAVYLATFNYLADVYHKYASSALAAQSFCRNMLGAVFPLVTAALF GGLGIGAACSMLGGIAILLALVPWVLILYGPAIRRRSKFASEIM VE01_03530 MTPTEGMEKTTSATMERPDAVHEHFESLDPVEFARREKALLRKI DYRLMPCLLGMIVLNYLDRNALPNARIQGIEDDLGLVGDQYNTAISVLFAGYIALQIP SNMLLTRVRPSIYLPVCMAIWGVVSACTALVHNFNGLVVARFFLGFMEAPYFPGALFL LSSWYTRKELATRTAVLYTGSLLSSGFGGLVGAGVEYGLHGKRGLESWRWLFIIEGTV TVAFALGCIFVLPDFPSTTKWLTPEERSLAAYRLREGSGAQDEERGSLVKGVWMAVTD YKVWLLAMIVITKTSAAAVTSFIPTLVATFKYNKVDSLLLTAPPYVFAAICAMSISMS SDRRLERCGHLVVPLTFGMIGFIIAAATTGLAPRYFSLFLMLGGVYGGFNVGLAWVSS TLPRPMEKRAAALAFVNMVGNFAQIYSPYLYKKTSGPQYLPAMVANTVFVFASIMIAL LLRFCLVRENKKLEAIETQQSIVENSDEKGVSHDEIVLEGVGGLLVLNPGFS VE01_03531 MASQALPPANLPETAAMHDRQELCLQLLQNVNASHILEILPSKI HDNGSGLFAKEAIPEGSEIFRSTPLVTCVADGRQHITCDYCFASMAPNFNGIDSMKPT FDAIAIPEITMCINCFTKSFEEYHKFECDALAQFPTAGFLTRMLYRMLAMHKLGAISD HEADALRHLWGHRGQNPYGVSSDIIVTTVTNVETLIQSELGDEKIQDLYCKVLSNGML IKPPGEESYGGMLDLVGSLINHSCDPNTVMVFECGQLRLRSLKPIRAGDEITQTYTDL KAGVLVRRQELSIRASFTCSCIRCEVEHMIISALTTNEGFSLNDFADTEMKLINLGNQ VFTYTAEQLEAALDAHTRAIFTTRPWPDTLKPIGTIRFCLARLSNNHLQAAMHALKGC LSTTNRSGPEWVDSLHVCLLYLEQFIITPGAPQFGIPDMRRFRYFFIGLLNELLMQAN KVYGIGTRYTTAIVTWYSNVINTMDQPRPGEQGFVRTFSLSQAPVLMWAGIEREMGVT LTIARAEAEAV VE01_03532 MSASEERAAPLRLGSIAPNFKAETTGGDIDFHEFIGDKWVIFFS HPEDYTPVCTTELGAFAKLEPEFTKRGAKLIGLSANTIESHGGWIKDIDEISGSKLTF PIIGDKQRQIAFAYDMLDHQDATNVDSKGIAFTIRSVFIIDPKKTIRTILSYPASTGR NTAEVLRILDSLQTGDKNRITTPINWIPGDDVIVHPSVTNDEAKTLFPQFRIVKPYLR FTPLPKEKTSANI VE01_03533 MDSATASGSSGDAYTRRREGESPYLGTANGHPSSSLPSRGFEDD GRGGGGDDAGGGHSSSTPRSAGSAASPAQPGSGGPSGGGGGGGTHLSGLMCNVHRTTG REPHALVGATTTILGDKLYVFGGKILSRTRAALTADLYELDLIRRHWTKLEPSGAAVP PRYFHSMCSVGDTKLVCYGGMSPATGANGQPLPPAPPQNGQEAQPEVVVMSDIHIYDA PTNTWTYVPTSDTPQGRYAHCATILPSAASFANASSAPLSALQHNPAGENPNQGVLGV HIDGTGGAEMVVVGGQDSANHYIEQISVFNLRSLSWTRTQLLGKSCGAYRSVVAPVSA TVASRIGKGNSKPDTTPGPDRDTASSMLIYSNYNFLDVKLELQLRGSDGSLVEKPMSG AFTPPGLRFPNGAVIANTFVVSGTYLTSSKQEYALWALDLPSLTWSRIDAGGNVFSTG SWNRGVLWSRRSAFVVLGSRKRALVDDYNHRRLNFSDVCVVELEAFGLYENPRRASPS SSYVSASAALPASRPGPWQPGGRTLSAAAEELGALAMAATEVADMDILAVGGERVPVN SRLLARRWGAYIETLEREGTAVQEGVDVATLRGGESGRRISGSTITGSGGGGRPRTLY MPHTVLTIQALVAYLYSGSLPRGCTPQVLCSLLQVARPYRVEGLLEAVVEGLHGVLDS RNAAAVFNAAAMGAGGGRGVGEGGAWEEERGGGGGGGGGGRDDRGWDGGDGGSEGGSG SEGGGWDRGGREEWRGGVSAVIGLQKRGLRGLMEGRRLRERGMSAGRQQQEVGLGIS VE01_03534 MTDPASPDVQNVAASAPVQISASPPKPSSPAKSSSPPKPSSPAS VGSAGKSRTPPLASSPGAPTAPAYAEIPQEPLPEDGLVEIDDEDSAYGGDDSQSETTS IASSMYRGYIENGRRYQTVREDKYWGPSDEQQFETFEAGHLVYQILDCQEENTLFRSP IPANAQHIIDLGTGDGTWAVQVADRFPGITVHGVDLYPPPVTWVPPNCIFEVDDITQD WTWNNKFDLIHLRLLLGAFKQDEWAKLYRQCYDNLQPGGWIEQVELDVRVMSDDGSLK PDSLLAGWGQTFLDCASDAGRPLNTQTTMRDSIAAAGFTEIREKLYKCPIGEWPKHPV YKDAGRVNAVHWKSGLEGWAMWLLTKHGRPTPWSADEVRVYVAKVRRELTEGAAVGLH IYHFTRRVWARKPLNAA VE01_03535 MTATNTDPLPSRILAGVSIPDTPLIAKALEFARAHSDDFAYNHI IRSMLLGFIISAKIPSIADRDLEVHAVAALLHDIGWDPTGELVSEDKRFEVDGANAAR DFLHREVPHWDKHRVQLVWDAIALHTIGSVVFYKEAEVQASSYGIWADFQGPDRVQGG LLTWEEYNKVVEEFPRLELMANLKKVMCHLCVTKPQTTYDNTVGEWGDKYVDTYDRKG KLTQDLLDTCDLDSR VE01_03536 MDSSYSIVESDLGSDSEQHQPGVTSTVTGSRGSDSVSDGRESQN QHESGTKSKIVVVGAGPVGALAAIYAAERGFEVEVYELRNDLRDPSTTPLNFTRSINL ALSERGINSLRASGRDGLLERIMADTIPMRGRMIHGKKASGSIYEEAQDYDIHGRTIF AVDRGGLNKHLLDELESMPNVKIFFNHKLVGANFADNKAWLETKSPAGETQEIEITFD LLIGADGAHSATRYHLMKYTRMDYRQEYIDTLWCEFTITPKANPTSTSKHAISPNHLH IWPGSDRMFIAIPSLDGSFTCTLFLPSGEFSCLATDPSTIPAFFNASFPGVTALIPPA SLIAQFEANPHLPLISLTCSPYHFRASAVILGDAAHAMVPFYGQGMNAGLEDVRVLFD LLPPSITPTPESLDRYTALRAPDAAAIGALALANYVEMREGVVSPLYKLRKRLEETLS QYFPALGWATQYSRVSFGNMRYSEVVEASRHQGNVILAAGALVVPVIGVAVAVWMAKG GVKCYTRAVWG VE01_03537 MTATTDQKRMCIGADCPNEAGTLQCPTCLKLDIKDSFFCSQDCF KKNWSTHKTAHKSSNFLRNLIVPGVVSKPDPITGLFNPFPTYPFAGPLRPVYPLSETR TLPASIPRPDYARDGIPRSERAQGRQKIEILDKAAQDGMRKVCRLAREVLDIAAAAAV PGVTTDHIDEIVHNACIERNSYPSPLNYCHFPKSVCTSLNEIICHGIPDKRVLVDGDI LNIDVTLYHGGYHGDLNETYYIGEKARNDPDSVRVVEAARTCLNDAIAMVKPGVLFRD FGTAIDKHAKSQKCDVVKAYVGHGINSLFHCAPNIPHYKNNKAVGQAKEGMCFTIEPM INLGSHRDKTWPDDWTSTTADGKRSAQFEHTLLVTADGVEVLTARLPNSPGGPVPMPV VEKVENGTKAE VE01_03538 MSGEAWLYLLAVIINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIIPEAAVHGFLTFLFLINGYWVALILNLPLLAYNVNKIVSNSHLLDATEIFRK LNVHKRESFSKLGFHLIMFFFYLYSMIVALIRDETH VE01_03539 MADLNAYPADMAPQNALVARQLSGPDHAVVPYSAENLSRPTQGP ANPFKDDVNSLKRKNVPTGFAQETYMSEHTFRSQQLAGVRGARGGMTGPELKAEAERI KAKRMKRGDALVVEGEGEYVGPWAGYKRAEYEERDAEDGGELGSGEEYEEVTDEEGVV ESGTVVKALPQAVAKRKEEEGQGGETTTFHGEEERDYQGRTYMHVPQDLDVDLRKEEG SIKNFVPKKLIHTWKGHTKPVVALRFFPSSGHVMLSASADSTVKLWDVYHQRELLRTF SGHTKALSDITFNTSGSQFLSASYDRMIKLWDTETGQCINRFTTGKTPHVVRFNPDPE NAHEFLAGMSDKKIVQFDTRTRAIVQEYDHHLAAVNTLTFVDEARRFISTSDDKSLRA WDYHIPVPIKYVAEPYMYPLVRSYAHPNGKAVLFQSADNQIVVYAAGDKFRQNRKKVY KGHNNAGYAIDVVVSPDGQFVASGDSGGYVCVWDWKTCKMWHKIKVAEGAVTALAWQP QESSRVAAAGLDGKIRYFD VE01_03540 MFIDQPRLSRREMKGTQLGQIIAGSIILALAASIIAYYSLRSFR RNHSKPTYLPTAYLKRKWIHWDVPQYRMSRTDATHLEPISSNSTAGPNRGQPPSTGDS SAILSAPFNFGVNSTSNPNAAPSSASAIDRNTSVRSIATLPEYAFYPKSTEQVLGRAG ERDGIDTVVKFPDIAEAAEEERREDEMEALYQVRLARRNEIAAREERRRLRREARDRG DLDALAELRTRGRAESNTNLDALRAEHSRLRERERAVSQVSYEGLGVAHLDGSRVRAN SEESERPLLGDAGDMGGGGRASMGSFSTFDNTTRYSERYSEDDPGRPPMYDEISLGAG RDVPPGYMPSAHASGGNSPRTRPDLSLGSHPVTELDLGLGISPAPIIERRASASPPVP FPDIEEGYDTDDAAELNRLNRLNNASGSGASSSGAAANASNSRRASATFIDPVAQRRL SPSPGRRVSPPRHIQLPRAASFPVVASSEGGLTPPSQSPSPTPHGAAPGGGASGGSSG SGSASGDPAPRRMSTLARRRISVNDLMGTVGRAAEDVIPQKTTYVLHTPPIPSTTPPL GERRRSSFMGLPRLGGGGGGNAGQVQGGLGVGPSIVVESATPVVGPGGRGRGALGWEN ERAGG VE01_03541 MAAHPGEEVTATLFADVHYFYGPPTAKPPHHRFDKGSYLYLYEN ASQRRARIEVANSAGTPDQDAFEGLLDNVLITYDHKHPTLLTITIDAFPPLPPPSPTD FRLPTYDPRNENKYLYRLHTIDIYLYTPADATLLLTTLQRLLPSNHLAIAGAVLPPPP HAQAMSPIVQQLENIAIQEHSPPFAGPPTTAPPASTSPQAAQSFAPLAYNPAAPAAPE AIAHREKTPPPPDAGTNPLHAATHDHQQQQQYQPTPPPGGAVYFPGPPSASGGQYAPT TSPPSAPHPGSAPAAAQPATAASGLAYANYSYAQGGQPAQGQAEYGVHQQVYRPTEGE AMGKVKPVEGEKSKLGQNAVRLEKGVTGFLKKFEKKYG VE01_03542 MSLVNLANVCSHLQNASRARLGLTSVPASNMILGLSLSLQQSGF LRSVTRGGAQPPPVDALSTYVPEPVTQENVASRRLWLGLKYWNNAPVLSQMAMVSKPT KRIYVDAVGIAKLVRGRDAGTVRGLRKPGECIFVSTDRGILEARECAERKIGGMLLCR VL VE01_03543 MDYNDWLYFYSQFFCPDCGSFHPPDDGGYGGYPGQQGGYPGQQG GVGGYPPQSNNTTPLPAGRGGPPIYGPSTETADGNTPVTSGLGSLSSPLPPLPPPGPG LLTPLDPSQTFFNNSLHGHLPGSRTHPADEGFPKRLYTRVPDVSSMTAEEQSARAFVL TALEMLNWRAFGEDMHSLLTLTPSPLPPALASQIRYLLYCTIPHLEDGGDVPPGDYCK IRAVLDRCAEVGEVWGGALTWGFGFGKGGGRARERGWWRTEREGWPDGGAVNSGDILG GGGEMGGWWWEEVR VE01_03544 MSLYENLCQLPLSSELFCQAIHPSEPIVAVGLSSGHVQAFRLPA EEEEDNAGSDDDDDDEGDTTTLSALSNGKSHIETQWRTRRHKGSCRSLGFSPDGEVLY SAGTDTLLKAFSPLTGIVTSKLRIPTHNDLPDHPTLLHALSPQTLLLATDSSALHLFD LRSSSAFGAAKPAATHRPHDDYISSLTPLKPSDASTSGFAKQWVTTGGGTLAVTDLRR GVLVKSEEQEEELLSSLSVDGFSTRAGGSGEKVVVGGGNGVITLWERGVWDDQGERVV VVPGGKAEGESLDALARVPGSREVVVGCGDGKVRIVDLTGRGVRHVLRHDEVESVIAV GYDCYGRLISGGGDVVKVWAEKEGEGGSSGSDSDSEEDSEKDSSDSEEEKPQKKRRKG GKGGAKGEGGTPNGVIGFQGMD VE01_03545 MLFGLFFAFWRFSQIFTLIPAVGMLSWFIHLYVTANALTPDAIL VLFIVVVLAVVWAIGTLFTYHRSKNNARFVALVDLAFVGAFIGGIYTLRGISGDNCTG LTSTTSYTASFALLGSVRFGGYGIPLSKTCAMLKASWALSIMNCLFFFFTSMLAFMHG GHKEEVVVVKRESHGSRHGHRSRRGSRGSRGSRRSGEGSRAYV VE01_03546 MATASTSTTTPTSPPTNPLLHLEPQTLYLLLSRQQVSLKWHWSL YIHVSLASGGYTQHITRADSLWILDARRTPDASYNADIVCALALTWVEAELEDALKER VRQVPLEDTERWGGLTCRTWVLRVLEELDAEGWISVRVGSTVEDVEAEAKDGAAAAAE GEGDRVLRSKHVIL VE01_03547 MADGTVDTAISAIETADLTAIEHKILVNFVKEAYEPSLAAQEVL DRIRGDDRPVEEALRVLKQDWHELVAVISHQTPFDASLRDLVARRDPARCCVTPPDRQ QLDEPEPTFIIPPALSELVDADGAESLLDAFMTPSRVARLQNMVSDRTDPGKLANALL LAPSVQHAFKNGHLQVRIHGAKSWDKLDEDTGKDDLTAKYFIFEIYPEPYDHILLADR SSFCGPGSPYTIALQTEDPKQLPLPSPFLLKTHFKFANALHQFHVEERISGGWGPLQS QCEFTSYFSRSTQLIVRTLWLLVPKFIRITCYRHLLEKGKSKYGHDISDVVQQLPFGL YAKRCTIAGDNEVHALRTLEREAPSILAPLLIDTFTDDSVDWFIMTRVPGTRLESVIH RTSYAERAQLAADLSNILAQMHKIKNTSPYRYSNVSGGSIYDNRLSDNAGPYNSEGDL NMRLLGRTEFMKYLTDEIPTAFSRSHDSVFTHGDLFFSNVLVDGGRLSGIVEGASFMP AYWEFTKAMRTAKSDEAVGIYRGVWGHEFDLELKTERWIWKVFPYGS VE01_03548 MKLSALAVASILGFAAATPAPLEKRATVQGFDISGYQPSVNFAA AYASGARFVIIKATEGTTFISSTFSSQYTGATNAGFIRGGYHFAHPGSSTGAAQANYF LAHGGGWSKDGITLPGMLDIEYNPSGATCYGLSAASMVAWIHDFVNTYHTKTGVYPMI YTTTDWWTQCTGNSAAFASTCPLVLARYASSPGTMPAGWPYQTIWQNSDAYAYGGDSD VFNGSLDQLKKIALG VE01_03549 MHIILTGATGLIGTATLHHILSAPKVTKVTILSRRLVPLAANNP KVVTILHEDYTSYPPALLADLKDAEACIWAQGVSINDVSKEEYEKITVDYPLAAAKAF AGLNDPFTFVYVSGEGAAVTPTRSTPNYGLVKGRAEAALLALQKVTPSLRHYSVRPGY VDPSGHPAQEFMPARKWWLKGLETVVAPPLRWFWSGMVSPAGELGEVLTDLAVGGGER FDEREKGVEEEGRVLGNVWLRKLSAMKAARGKGSE VE01_03550 MAPQFDVFNTHIPPLYALIPGCLAVLTILYASYYVIYNIYFHPL SSYPGPKSHAATRLTYVYYHLTGQLPYRCHQLHTTYGDVVRIAPDELSFTNADAWKDI YSHRQGHQPMNKDMSFYNTPSNGAHSLITANRADHSRQRRLIAHAFSDKALREQEPLI KGYVDLLIQRLHERSSTGPLDMVAWYNWTTFDLIGDLAFGESFGCLENCTYHPWISML FASIKAGAFMSSLKRYGIKWMMIVLVPKGLLKSRTDNMKLTKEKVMKRLEQGTSRPDF MSHILRHNDEKGMKVPEIITNSTLLIVAGSETTATLLSGATYHLLKNPRVMKKLQREI REAFKVEEDIDMAGVNGLEYMLAVLDESFRMYPPVPTGLPRRVPGDGDVINDRWVPGG TSVSVNNWSTYRSEANFREPNSFIPERFLDDPRFASDNKHALQPFSLGPRNCVGRNLA YAEMRLILAQVLWNFDMELAPESNNWASQKIFSLWQKGPL VE01_03551 MKLNILLLAAAAATGALAAPAADQSAPASSGLELQERGTCWNRS SCSFSWAGKCEDYCNPWKFDNMQKTDCGWGRKRCCCKKQKS VE01_03552 MFPAFVTSPVPLKMLDELLVESRKGTCAMLGDDEGIPGDCICIL DTKDLNSVEHGSRTPMQPFESPFIGWTDDECRSWMNEHRHPYFAEYNFIVLDEDTVKN KTCRVGYTYVNEPMGDKMLTTDFFTCMHNMPAIDVGMLLWYEIELLGTDEVDDRAEFE ESLREAYETYVRMESERSERDRRRFPHAPPHTPKGL VE01_03553 MRFLHLLPSLLLAGTALAAEKPWSFKDATVSVVGKGSQGTSKES LSPSKPLAKPLALGPAGTLKLSLTTTSTTPSRPHQAFLTLTDPTTGLSTSFALQTRTT GSATLELPYASLPSALLSSPSLSASLVLGSFGSAPASETQLFNIALSADETLAQEIEK PVRYGKLGEIHHIFAPEQSSPPRVISVFFALAVLATLPVVVGAWALAGGNAGHVGTAL AAAPVSHGLFFGSLVAMEGVFAMYYFSWRLFEVLPLAGVVGAVAFVSGSKALSEVQAR RVRGER VE01_03554 MATRTLRIGLIPGDGIGKEVIPAGRRLLEALPASLGLKFDFVDL KAGFETFEQTGTALPDKTVDVLKHECDGALFGAVQSPSTAVKGYSSPIVALRKRLDLY ANVRPVKSVMTAANPIDMVIVRENTEDLYVKEERTFTAPDGSLVAEAIKRISQRASHR IAVIAAEIAQSRQRIRDAGAPSIHSAPLVTITHKSNVLSQTDGLFRTTCREALALPQF KGVGVEEQIVDSMVYKLFRQPETYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGE GFAIGEPCHGSAPDIAGQDIANPIATLRSVALMLEFLKEEKAAALIYKAVDANLTEGK YLSPDLGGTAKTSEVVADILRRL VE01_03555 MSSQGRSASSGVRNLRAMFENKDDSTTSPPQHRGRSPAPSIGNN SASPRPLSKVRTSFVAVERNGQTGIQWGLRKETTTIVPPEDSTMSHRRGSFAENEATD PDVVIERKKSIQAEFKARKNSIDVSETIPEFALEMPSAAGSKQGTPTIEPKDPILPAA HTPTGNPDKPSAVEERNAKLLPADVKSAAVVSGGKALKGASGVGEDLKGVTTGKLKTT SSAKPSDKASKSSKPAPISTTKTSTLSKSSKSPALPKTPLSSTSTKSSTRTTKPATEK PTARPSTTTTTTTKPTAAPTTRTTNPATKKPALPSSTGFIKPRPKSPTKPAKLPSSLT APTSSSLSKLTDDARRTSAGSTRSPSRVSASQKSEQSRKAPSSSRPSLGPPPAAAGGL KKQASRQSLPKAVAPADEGFLARMMRPTTASAGKTHDKAGTPPKPKVVGAGGERPATR NGQNARGGSNGSPVAVVRPPVPMVGAVPTLKALGAKATAGKGGKKAEVPVAKKEVSAP VVAKAVEPVAAPVVEEKIEEPTVEAVSVPEPAAPVVQEQVLEPAVVEEAVVEEEVAAP VTTAGETAPAEKEVEIDEPAPAVDIEEVEEVAVEAAAPVEESTEETTEEATEEATEEP ASEIPTEDEPVITDKVDIEAVIENTGEAAVTDKVDVVAVVEETGKEDEATEAEVGGEE VPVVVETETETVAVAEETPAATVGEAETEAAEAAEVEVEDVAEPTASTTAVAETAEEE TAAVAAEEKTPATGDKTEKPEVEEVELADASSDVTTPAASVTPVVAL VE01_03556 MRYILTPLLEGLRSPFVGSKPPDPPVGDTKSKFPERKTSLVNLK SSFFGHKISIPFFPRFKSPFIRNKVPDPSLSKAKSAFPRPSIFKSLKLPFIRNKDPKV TTALVPVPVPIQIPPERQHIPPYHHLVDYEIGYYDNGDALDAFKTSLPNLPKGLRYRE RARVPGFGDPHLLGLPVNVRFRIYQFVTGPVRAKNKMIILSPDRTIDGFWPKKLFMEP RTVFDIIGGLSLSCFQLRHEIMTYYCSQFHFHVTIDYFCSPMVAPLMNKWLPLFANKM QFLTVEVDLTRLGGCYRNEKFALRHGGKRMQWFVKSLVKSLSNRSGTIRSLHFMCRRY KGYRSPSQRYIPEENIPDNTEPPKDRSPEDGTPEDGTAEDSTPEDGTPEDGAQDASED SSEDVSEDATSEARTSLNSSVMVDLLHEKETSNSDESHSDESEKDEPKKDTHYKHVPI KYCSSYTTTVLDFLPRRLGKKGIPVYHLRLSGFGKPYTKHILRQIGSVGNGPTRLITP KVPPWPRDYPEIHHSITTTDGDYLGTVVKFVNPKEEESVEKPRISRLTASKAFFKRAL SRITFSRTRKTDPETSPPFDYRVLFPDFYDSDEDDESDTASEDEDDPEGVGLGIQLPI LQRHPNNKYSLMRMYDIERHIEQKLEEPDFPDPCDEIIEIWAIDSVSKRFGDLFGVST ATRGLPKDRRPPIVVESTHRSIPTLFKGLKRFVSRTPVIPVRMATIPEVDDQLQEINA ASSPVNGLFNFPEQRISATAQNQIQMNGHWNLGQERHGLSHLAQHNSSTNSTFYTNAS ESTLDMAFSDPTIPAQLAPIHEQEVDSSSTLYIPDDGSDTPTMARIRSENLSHADEPW DHMDEQSNHSELELHTVYTSSTYDTDGTRSRSNTEGSVVLDWPLPNFTMPPAPTRPPP PVPEIGNQLHQIATLPPTRPPPPVPEIGNQLHRIATVSSRRTLRFANEDELHEIPTSS SRRAIRFCDEGQLHQIPTSSSRKTLRFDVPEETDAQQEARRARSDSFRKQRQDEERKH SRGMQSLDSLFNYGVEPVPREPKKPKEPKKQRSRSDSFWGKRKAKNDADDKPSKTPTL RAAKSFPSNFYGREAPDDSGLAPPPNLKEKRSMSRLFHRKKEGLKGAEEVEEMSNKIN HLFSDSAADTPADKGTIGPSSRAGGFWKRNKGEAQPDAEVESAPHPPLCSMRSFSFNP LRLRRRSSTINNSATELPDELPKEQPEELTDDLPNDLSNDLSNDLSNDLTNEPTNELP VPAAPAPTPEPRARSRGFFNSLRRKTSKLLNADEIDTMSSNLSLLFNGSSATIATNTN ESTGGRQSTTTGRESAASGRQSTTDGRESTTSGRDSAASGRQSAASGRQSATPSGGYS FSRSFGRRAAAAGSIFGPNGGRKDGRGSLANVRWGAGEGMVAPAGLREVREARERRER EEREEREEREIEEEMERDREIERRELEELRAREREIERRERETRGDRGTLGLVRGPRV AAVEEDDEEEGYVV VE01_03557 MSGPPAKFNAEEADNLEDIEKQFAVKAVQHMSTYWAILEKVKGS SLKLTKMDDDIYEHLKKDLPDFDPAVTINEDEMKSKLGKEKWRNFMMFYDKKIDDYNF GTMLRISPTEEYTQDGTIFVPRMQFYAVEIARNRNGLNDWIYEKAQNEKAVTEA VE01_03558 MADTSLRDEASARLENSTAGREDSDTKPAWKSMFAFAVRGHLAV MIPAWISSMTAGVIKPSMAIFMGFIFDDIAEYVAGSTNYSSMIRRISKWCIVLTGLGV GSCLANAGFFGLWLIFGESQANQARQKLFTGLLKREMEWYDLRKDGISPVLIRIQTQV RELQMATSQPMGFFVKDVAAAVMAIGIAFYYSWKLTLVLSLSVPTASLVLWLISRRLQ PAIEAQRRELNIASKYTNTAFHAIDTVKVFNGQNHEIRQYASAINAAGLSYMIQGQVR ASQMGTARFLVVVMFMAGFWYGLKLHKDGELTPGDVITTFYSFLMANQAVTSLLAQYV ILCKGKYAGATLGRIIGELNNTLPLSKVAGSRTLEVCDGDIEVTNLTFSYPSNPTQSS LIGVDLFFPAGETTFLFGRSGSGKSTLTNLLLRLYDTTSGTISIDGCPIETLDVNWLR NNVTLVQQQSVLFDDTLWNNVAFGCSDGKQPTEEDIHVACEMAMLTEVIHDLPHGLNT VIGTGGAAMSGGQKQRVAIARARLRDTPILILDEATNALDHVNKTLVMDAIREWRKDK TTIIITHDSSQIQDMDFVYVIDHGKVVEEGYRQLLAQNPFGNFASLADIKSDKEYMEE KRGQVSSIHQNRSSTQPHTSTEMINNMRVASANPYANGPFGEGSSETSEPLTKLQRLS TFLAYNPGSSQQAHHYNNRTSLNNRISWPLRSQEPLPNPPEPSYRRSHNRLSQYPPKN FSYNGLAAHSHKEMMYRVDTRETDDLDARIAESELNLEYINLVRGSTIQVSAEKTKMV SRRPGPATLKTILGTIWPSLTRRERLVLILGFVSAFVYAACTPVFSYVLAKLFNTFYE TKNQGLEARKWALSLLFIAVADGASSYTFYYSLDCCARAWVDSLRKEALTRILDQPRA WFDEAENSLSILNESLDRSGEEMRNLLGRFAGYTFAAACMISIGVVWAFIMCWKLTAV SLASVPIIYAITRGFEVVSGNLERKSDAKSNAIGEVFSETFINIRIVRALTLESHFQR KNSIAVQEAFRIGLRRGTFCGLFFGMSQSTTFFLTALILFYGAVLVGTRAASFYDVVA CITLLLFSIGNANDTLAVIPQIASARAGATRMLRLSSLPPGESSRLLHQRTLLTPLPI RMTNLSFAYPSQPQTPILQNLSLTLKAGTSTALVGSSGSGKTTLTSLLLGLYTPTSNL SSLTFAHHPLSNLALPDLRAHMALVPQFPTLFPASLAQNILYGLSPSSPLTSLANIER AVSAAGLNDFVARLPDGLETQIGEGGRALSGGETVRVAIARALVRRPKVLVMDEPTAG LDGEGVEKVGEVLERLGDGVAVVLVTHDVRMMRRAGWVVVVEGGRAVEQGRWEDLRWA GGAMERLVGGGELGGVKE VE01_03559 MALFRRRQLITVCLLLVFLHLWLGRPFLQASKPKYDEAYIRQKY PLAWEHIWKNSNSGKGGVWYIPDEWRKDSDPPTTTILEAARLASKRATELKHTIPHSK IPLIVHQTWMNTKIDEWAPDLVLGVERWLEYAKAEGSGSMAYFLWLDDGCDQLFADAT PDLVDTLNALPLPVERSDVFRVVVANSIGGIYGDIDTHPIRSPATWLEDRDMTPWTDP QSNTEYSPASGHSSKSTPQLARLLLGIEADNDPNTDTHWRMGYFFPIQLTQWALAAAP NHPVLNRFLANFKTRVAQLATPFGGNLTATAEAGVLAREDPLKLTGPEAITTAAMVQL EDDAGLRWEALTGLKDGGRSKAVGDTIIFPITGFSPGRSSYGNMGSKPLTDPDARLQH RAQGSWRKKDMTVEMGKLCRTVLGMCRDWSKVPQ VE01_03560 MQFQYVLVAILAATTQVLAVPATEAKPATELVAVAEGTRVTAAT PKADNEDATRADTPAEGIIAATHSPCVDTTLRPSIASAPTSRAGRVHGKGPIQHRALC YGLEN VE01_03561 MADGYARVTGRPQAILVHVDVSTQALGQGIHNASVGRVLIFIFA VSFFPAHGRWKVDSYTAVTQLVDYIKSDVSITTSLKDPKYEARGVVRAAVHKARVEKI VSQPRLDDGDGLDIHNVGSLLKTSVADSTTFVVGAVTLAKELYNQLQRDRPGSWINCG GTGIGWSNGAMLGVKMALADLERDEPHRSSLVCQVVGDESFMSAAPSSALWVASKYEI PVLTIVLNNGGWKAPQNSTQLVYPHDLNTNASDDEINTSFHPTPNYAALEEAAAGSNV GWKNTLDNSGTWVKGLRVGAVGEFREALQPANLRVAQEGKGMLIEVEVTK VE01_03562 MSILPQAKFWRSKHDAERLPSAAIAVPFAGRIGANQEFSLNKDN CAHLEILQKFPDAAPWIPLRDALSLRHILQPALWKAACVEAVGTCLLVYLTCFFAIGL SHTVNIFATGALVPSLIGGFTTMFVLPLFIFATGPVSGGHLNPTITLATFFGRLSTLP RCILYVAFQMFGGAMGGLLLRASFDTRDFVVPGCFIDTTKVSLGSAFAMEFTTDFALI FLAFSVGLDPRQRSVFGPALGPIFVGVILGVCTFMTGFARIGYTGFSGNPARCFGAMV GSHFVSYHWIHWIGPLAASMVHGVLYYCLPPYDRERLPAVTAESS VE01_03563 MSPPAYKRLDRDNCVFLFVDHQSGLVQLVRDFDPNEFHTNVLGL AKVAAYFKAPAILTTSFETGPNGPIVKELPEMLPNAPLIRRPGQINAMDNEDFANAVK ATGKKQVIISGVLTEVCVAFPALSLIEQGYDVFVVTDASGTFKEHTREAAHKRMVQAG CQLLNWAAVGAELHRDWRRDIEGFGAIWNDYVPGYRCLTQSYMATKDTSK VE01_03564 MSVNEAAWILEAKGDLRVQPTPYPVPEQNEIVIKNHAFAIQPLD ANIRAKAYMDMPYPFILGNGVAGVVDEIGPSVTKFKKGDRVVSDTPTYQLKKTKYGCW QKYVVGTEATTAKIPENTKFEDAAAIPFALLTAVAALHLELGMGKPKENRHGKALIWG ASGSVGGYAVQYASSVGYDVVATASPRKFDYVRGLGASEVLDYKDDQIVKKLQELGPY DFVMTASGDAVGVNAISDILQPAGGKFVCTRPKSEEMRLAANVSLHYGFFSMATQKVE NADFTAWWYGDYLPTALAGGVTPTPLEKRPGGLSEIQAACEDVLAGRSPKKLVLSP VE01_03565 MPVAPAGRGPELLATVGLFVALGTIAIVLRCYTRIFIVKSFGLD DYSAVIAWILFIFYSTFAISGVHNGTGQHVADIPQAVLPVGLKWWWACEPVYILTSMA LKFSIGVFLLRIAVARTHKIIIWTVIIVTEAYSTFFFFLFVLQCRPSAYFWTQYTGGE GTCIDPKITVYTFYAYSAISCVGDWTFGILPAFMVWNLQMNFRTKLSVAAILAVGCIA STATIVRIPFISGMAHINDFLYSTMDVAIWSTVEVGIGIVASAAATLRPLFRVFFGGS MGTGGGSSAPELSNHWPKSGYMRSRSTTEAFALRSDIGKTGGVTTVIEWDVHTKRGQT KKGSHDRNVDPGGWNNSDAQLRGNSSDDEPTWRGGIMKTTGMTQTTH VE01_03566 MSGAEALALIGIISSTLQLVSFSSQVLRRIKDYKDDTTSLPREF WALQDQLPLISHALHITWKQTQSDELEEEACKALVPVINACHQEVLKLKAILEKVAPS AGDAGWKRNWKALTSVFHDKDVEAVAAGLAQSLTVINHYHGAYTAATAGSILQKLTAM AEMPKKVEVEVEVPVKHFIAPTVWTDDFAGRKDTIEALEKLLAGEDKHRRISVIGLGG VGKTRLLLQYAYRFKDSDTHSVFWIHASSMSRMNKTCAEIARLVKIKGWENPMSNKIE LVKDYLESPKSGKWTLLLDNADDYDLFYGSGKLSQSIPRSDNGSILMSTRDGRVGMEF AKRNSISLGALSLEESITLLDTRLGVEDNTFNDLKELSEELCGIPLALVQASSFIKQN FLSISSYLEIYRSSDKDKIELLSEDFDDDYRDDEIRNPIATTWSLSFEFIQKRDPLAA EILSVMSMLDPQAIPESLIYNEKSTLKFSKAMGTLQAFSLITSRADGPIWEGRRDKSF DLHRLVRLAMRSWLAHHDRLEMFTAKALGIMAERYVHSDWDTRAKWAAYLPHAVVLLQ SDHLKEIENMANTKVSHNEKDGAVSHVPEGTVCPVCAGKLLAIVSMCRYTIGNPTASL QEAEKSYTLRLRFLGEDHPDTLETLDLVAKAAKDLYLFPKAMDACFRAIKGKTDTLGP DHPSTLRSMAYLGIVYRPMEKLQEAKEIGTHVLERRREVLGNEHPDTLISMSYAATAY RALGDYDSAAKLEAEKLKGWTKLYGPSHPQSLDALALIGWAYACQGKYVEAEKLMSHS LAVQLETRPTHWFTFWTMHSFSCLLGMRGKYFEAEELTEQALDFRTQYMGLSHPYRYI SLLYLAWLYNETGRYAEAEMIELEVLEFNQKFYEGDTHHEVLLTRSQLASTYSFMSRH TEAYAIKTAVYNIRLETKGEIHPATLRCRSSLGATLTSLSRLSEAEPLLTSAHDLQKI HLGHEHPETLTTLSRLATLYERQSRHHEAAGLFVDVLQASERVLGEKHPRTQARAKDV QRSEDSRRGLETGTAPNLGAKLVVKAFAQGKGGGQKMPSKLDTRITDDIMNFAVGGDG ERRAGSWTA VE01_03567 MQNATSSQVVQGVSAVLAVAGLLCYFRDGDSTSSNLTPKEIEEQ IGSWFSSRNGWTLFSAPALPLGPAISIQQAEKFCGANNLRLTRHPHDGMGTNGWATLL SFIRPDVSLSTSTTTKGTTGFDPVTAWSDVPLVPLSLQDGKLCVPISRATLMTLFALT NARCIFTYSDAAGHRAAYPSYAGQWAVTWPIREQCIVTFAAHDGMNAMKDVYPPSFPL RAGKCVEMLAGIVDDGMGWKAAFPGRAKKHGPWVLQERKKGFPGAHGSRHLYNMAGGK SAEVDFLALAPAGDLSASKEDTKLDVQCSAPDIETASIIVKKHEQELLRRALDCLPWT HLSWSMHRGMRDILLAYGSEVMNSYREELAGLIGDIVRDKKSALVEAGWSPEFLRTSM AEMASSSVMFGRGNSGDLVRIVAGLVDILVENSEGCESINKDQTSFWRSSERPAKLDL DGIVALTKFFVLEWSQELNYQLYHQLPATVYLS VE01_03568 MKLSNAFTLLLLPLAALANPVPEPEVVKGEVVKGGYTPRDIIAL RDEDAEVAGLVPRATVVCKIVNVVTTVSCRWNPWHAGWNGNGKSAVRTDFKPNTGHDF SCYTVGECIGGNCTWDWAPNWSCYVPGYYTDSKCTKAALGPCPWPDVPNSSPPAGLWD VE01_03569 MDGLSAAASGIAVVSLAIQLAESVKKLHDFWESVKDAPKHFCAI QTDLRLLSTILEKIDCEEDLSDPYIRDVLKNCEGQVASLVTTVSDIVPGFKAESRRTR AWATLKAAFKKEKVGEFKSCLQETMSTLRLALIMASHTSHTTQHQALKKEIETLQLQQ TLQLHQTTQAVTTLSKISDDLNNHKLEILAAVHQMMPGSSNPVYRHGVEFGLKQAFEQ ISSVTMSEVDAQPTPSTRRWRAKYKLLCKNCWSLSQQEVEQALEDARESEGPIEEIDS EDSSTESDAESEELSSDYDSPFLLSFG VE01_03570 MASSSSVPNGTSSPQDQNDTVGHGTRLLPQVLDGLASTDPNHVL AMSAKVDISEGFNSYTALQLSQAVNYTAHWLESQPSLSHTIAYIGTQDFRYWVMEIAA IKIGHPLLLPSPKNALPNTVSLLEATKCGMVFYSGNCKEQCIQLQSLVASLRIVEVPD LDEMINTPSKPYLYTKTWEEAKDDVVMIVHTSGSTGAPKPIYYTNKVLAFPDASYRNP SIPGRMSLSLYSMIAKNKPFLSSTPFFHLSGIFFGVYAIFCPAISVIGPPVCPLTGKM VVEIAKRIELDGIIMVPNMFDVVFSEYRDEIRPYLGSLRHICWLGGPLAFSTGEWIVN NTNADLWQVFGSTEVGAYFMMSPPKSHWQYMEFHPITGPSVEQTAPDSELYEVVSRRQ PDPNLAWSRMVFDVFPDIDEWRSKDLVSRCKDPGFEHLWKYEGRVDDIIGLSNALKVN PVHIETSLQSHPSLKGALVFGWDHTKCGILLEPKDPKIAADALIEEVWPALESANALV PEHARIPKNLVIVSDPEKPFHRASKGSVVRLLTTKEYASEIEDVYRAAA VE01_03571 MHCRHLPALIPRLLLLLLSAAGPSTAADDQKCYGVNGSLQSSDI KPCQADLPAGSHVACCNLTKDPPDICIGGGLCYSQDGNTIAQLLVAYGCTDPTGKDVA CQTYCKNTGALTYNLHSCEDANWCCSPDGDKCCSLPHITLNPRDVLPIAKAITRTVLG GGATTTLTGSAVVVTETETKTVKLDAAGQTTAVSETKSGTTAEECPKDNTAVVGASVG AVLGAALVASLIALGMVLRRQRRPGGVGVVGGDGKGKFYPAGTVPAEMDSRYVVELDS RAKHELAGQH VE01_03572 MQLSAALSLGLLALLTPASAKRPRLVPPAVPLPPAAAARISNST GNFVFTQLLDHDSPHGDTFGQRVWWNSEHWAGPGSPIIFFTPGETAAGGYGGYLTNAT LTGKFAQEVKGAVVMVEHRFWGESSPYDDLTGHNLKQLTLRNSIADFVRIAATVQLPF DPSHKSDAAHAPWIMMGGSYAGSLSAWTESVSPGTFWAYHSSSAPVEAIDDYWQYFVP VEKAMPRNCSSDVSKVVEYIDKVFAKGSQKEQVALKDKFGLGKLRNDDFSGVLEYGPW QEQSNNFYNPHPLTEFCDYVEGAHSNTTSAPTNHGVAGVGVVKALEGYAQWVKEVYLP ESCQIFGYKDPASIECYDTYNPDNKLFTDRTVGNAIDRQWQWMLCNEPFGWWQDGAPK NHKTIVSRNINAAYWQRQCALFFPPSQGSPNSAFGRTVDFTNHYTSGWSPRKSKRLLY VNGELDPWRTAGVSSEFRPGGPLKSTKEIPVEIIPGGFHCSDLILKNYIDPGVKKVVD KEIEILKGWVGEWYVGKPGRGAKRGVEGWEN VE01_03573 MESKKRKLPARTSSRVEAASKKRTSTPPREPARPPTPVPVVVVE KEPLPKSIVPGKPLPTVEQQQPDDLSSSEYQSIAESRVLAESLDRSRRKWLSEGIFEK YWTKPSKKKPKDGRPVEELKNPPKDSMTKIGQCTITIEPHVFDAIMYVVKDTTPKQPP TPQQLPQYRPIMQYGPPNGVVPQHPPPPPPQAPHQQFVPMHAAPPPQPPHPQHPPQSR PQDTPTRPSEPTHTGQPAGPPPLNGHPHPPPPLISQPHPQTPQTQPQPPPPLSQSQPP TPQSHPSHPSHPSHPPHIPSNPNHHPAPSPAPPAKTFDPVIQMLAERAAKSPDLKALM RIVADGKATPAELRRFQTHIDELTKALNARGGPPKSQPSPAPPPPLQTQQPPMPGQHQ VQPKWEHTGTQPLPPHAQRQGGPPPLLAQPRPYDKPLQHASPYGAPPQPQALRSKGPV STKPELSCVVFEFVGGNGDRYLFPKYSILEYVPGGQVIASFLIVRKGSAGEGGGYDPA LDYYQPVTMRLWTGQGRQLESLARVVRPQEEVRRWMDGVMEGMTRGEYVLLAMRLPRE RGVTDREREREKEVKKEEERERELKRETGEEAVLWNTSANQVNGGGARVKAPPKGLSE EERYQNFIRTVS VE01_03574 MPPSTSIFHTSLLVLAGTGAIYAICLGALTNPFIQRHALYMHKL HTAYFEDLNKPEQWGFANNQITPFNFTTPDGETLYAWHVIPPGLYAKHEEEILKQPSG LAEDITKTKAFALLTADPRARLIISFHGNGGTVAQGWRPEIYRTLSDGGTSIFHILAV DYRGYGYSSGVPTESGLITDGIATVNWALNVAKIPPSRIVIVGHSLGTAVTAATVEHF AEQGVSFAGVVVIAPFASLTTLLTRYSPGGLIPLFGPVGWVPGFDKWFFSLLVDKWNS AQRVANIASISKRLKLFIIHARNDWNIPYTHSVSWFAAAANAATPGASDVFVETKASN TVHVGDGGSISTWTAPGKFIQEILVPNGGHNVVMTLPPVALAVLKAFDIDEENVL VE01_03575 MAEESKLIDTTAPQEAPASSAPIAATEDASSGSEDDAPPSTSTD PNAAPKKKSKKKRSKKDRLKSAVGLASKDDKPGESSSAADHNPVSALSKSQLNELLLM NPALAREVGLKDGEEATGTAIEALKKLSLQDIMTGLAASGKNAKDIGSYKFWQTQPVR KFGDTGEIEEGPFKVIDPEKVPKEPATMMEGFEWVTMDLEKDEELQEVFDLLNGHYVE DEESTFRFNYSKSFLKWALQAPGWRAEWHVGVRASKSRKLVAFISGVPVALRVRQKVL KATEINFLCIHKKLRAKRLTPVLIKEITRRCYLLGIFQAIYTGGVVLPTPVSTCRYFH RSLDWMKLYEVGFSPLPAKSKPSYQVSKYRLPENTNTKGLRPMEARDIDAVLDLLKRY LARFDMAPVFTREEVEHWLLQKKLDTPTKDQVVWSYVVEDPQTKKITDYFSFYSLEST VIDHPQHEVVRAAYLFYYATETGLTIPFDKPALKVRLNALMNDCLILAKRYKFDVFNS LTLMDNVLFLEDQKFGKGDGQLHYYLYNYNANPIAGGVDRRNEIDEAGMSGIGVAML VE01_03576 MVLSYSDLEVVPGQPLDLRRSRLRSYLQPQAPESSRHQPDSSGL QSVAPDSSGLQLPGLSGLHDPDYTGLEAQHPKYYSGIEPYLEKNAPSPPPPPDRIICG LAPRTFWIFLTVIIAIVVVGAVAGGVGGSLAAKNSRDAINAESSSSSSVLAQTGDRAG SSPTVLPAVTSASEVLPTESKSTPSETSPPGSNVTPSQTSPTKSKSAPSSTTTPSPTS TTLSLTTTEIRGPSATLLSDCPSSNNTLYSVDIGSVMSFRKICGLSYPNDLGSTVVNV ATKSLDDCINLCAAYNVQIRADIKAGKAAVCNAVCWRNTFDNNEFPGQCFGYTSNRQA AGGEGFAVQKEPICDSAAWVNQDFF VE01_03577 MPRPSSSLLLALLGSVASSIACTIPTTPPGDTILDKFSIVVQNP SIPTVHNKVMRFRANGDDEHLVLPPVGVATNDVLYLQNGRLIYNTIHAVIDLEYNDQD DTTKMFMTAREYHPSAVFKGEYACDPDTDELQIRLKLVARLTDPPVLGGQIGIRDAVG TLEFRYSPPGNTKINNEFMPVEMVIFRNGISPTGTATPPTSTAPPPTSTVVPTTTAPP PTTTVAPPTNTGVPTTPTSPVTVGDYDFVYCWAEPAAGRSFAAKSTAADDMTNEKCAT FCAAYPYFGTQWSKECWCGTGPAGGGLAPLGECDYPCAGDASQLCGGSRRLSLYVNSA LTGPQQPTTVGNYEYTGCVTDLQSSRTLTGDMLRSDDMTLETCATFCNGYTYFGTEWS TECWCGNTITPGARAVEGTDCSMTCSGNDNQLCGNGDRLSFYKVKD VE01_03578 MFLQRLLILAFATSVWSLPVEPVVHSRAGIYHGRHLSNFNQDAF LGIKFAPEPVRFTPSVLNHDSPKTHFNASNYGTDCYGIGSDTDQLVSRGFTTLGEDCL NLNIVKPAGESKGLPVLLWIYGGGWTQGATSDPRYNLSYVVEQSVLNKKPVIGVSINY RLAAFGFLYSKEIAESHNENLGLRDQRVAFKWINRHISSFGGDPDKVTIWGESAGAYS VGDHINAYNGNNEGLFRAAILESGGSVGPPLNGTTWYQPMYDKIATATGCGNQTDSLA CLRKVPIEIIGPLAYQGLEWFHALDGTFIPRYGQESLVTGRFAKIPIIVGTNTDEGFG VNGVNTDSDAIWQLTHSKRYVLTEPEAQEVLKRYPNDPVVGSPYGWGNRTWPEYGLQF KRFQSMATDITMFAPRRLLAKQMSKFKSNVYSYRWDAPKYNTTTSIGINHFSEIPFVF GNPEQDLTPLGNSTENIELSRLVMRMWTSFAHDLDPNGHGVTSDEKHPIFVSEKRSEG DIFERAATTCSIVNVSSTVNCRSGPGTSYPVVGQTFNGEKFVFKCYKRGTCVSGNCTW EYMPEHGCYISGYYTSSACSIEDMVRQWQHSHNFFRFLTPVVTARDPGYDLFYTLVFG FYQKPFFDPTENYEESRQFSINDKTLFMQMEYCPAGGPMFEYGMRNSNSEAVIFIYHI SERQGFLGLPSVFERACNSFIPQAATPKPGQSAFEPGLVRRLLSRLHLRHLEARPPPP VMVLAVSDMDSKSPRTRQVTTEEGEAFSRAIGAIFLEVSCSRYTGIANPKVKDDAMRE LSKRVILKRACAEEFAKKARAKAK VE01_03579 MGPLYAIQKIFPEVNWPLEISPDRSFLVEAGTHLSNLVFSTIYG CGPDNDGVNFEAIFDHLVPSGVNNPEVLQINIVDPEEKESNDRYLKIVINLEEHRGTF IAVPRCCQVRVGSTERARVNDLP VE01_03580 MILSGLEIVTRQLVRNLRHVAQQQQPCGVDLTLRQVSKWTSAAT IDFDNSNRQAAQVSNLPFDSTNHTITLQPGAYLIDFNETVQIPRNCMASVFPRSSLWR SGVGISAGVVDAGYEGAMGALMEVKNPNGVVLYKDAKLAQIVFEEMGETVEGYSGIYQ SSTSSVGRDGINKM VE01_03581 MRQSFLSAALLAAVAVNSTATPDQHALNNTPLPDRETQRPNVVF ILTDDQDVHLDSLEYMPLLRKHLIEKGTQFTKHYCTTAVCCPSRVTLWTGKAAHNTNV TDVSPPYGGYPKFVSQGLNKNYLPVWLQQAGYNTYYTGKLFNAHTILNYFAPFPGGFT GTEFLLDPHTYDYMNSVFQRNKLPPVSHKGEYSTDVLTKKAYGLLAEAVKADKPFFLT LAPIGPHSNINVKDLLTPPFGGIVFDAPIPAKRHENLFPDVKVPRTANFNPDSPSGAN WVRELKQLNETNIEYIDHFYRSRLRALQAVDELVEGVIAKLEDYGILDNTYIVYSSDN GFHIGQHRLQPGKSCGYEEDINVPLIIRGPGISANLTTDVVSTHTDLAPTFFELLGIP LRDDFDGTPIPLTKEAIAQAKNSGTRREHVGVEYWGIAGGEGIYDSGLVGPRNNTYKS IRLYGEGYNLYYSTWCSNEHELYNLDDDPGQLNNLLFEEDGPKPSTKPLTLLGYPVSK IVARLDTLLFLLKSCKGQVCVKPWEKLHPEGDVHTLKDALSSNFDNFYEIEQTRVSFT TCQPGYIISAEGPQFDQDGLIFRDGLSWDQWT VE01_03582 MGIGTDTKIGFPQDNEESPLLVSNPSQSTTICGSSSGTDTEGDV ENAADEYSPKATKRSITVIITALLIGVFIANADGSLLLATNGWIASEFNDLENASWLV TSYVLTLCAAQPLYIKLSDIYGRRTMILIAYSIFGIGCAICALGQSLLIVVAGRIVSG IGGAGMDSLVNILITDLVPPRDVGSWRSYVNIVATTGRSLGGPVGGYLADTVGWRWSF LGQCPLTLLAIISTYLLVPKQTATRTGDVKEGSKLARIDFAGAFLLAATILFFLLPLE ITGEKIPWTHPFVLGLFASSITMGVLFVVVETHWAKEPIFPLNLLRSRHVVIPDILVF LQLAAQLGMMYTVPLYFQVTQDASTAVAGAHLFPAVTGVAVAGLLSGYLIKKTGRYKA LLTIASACASISYLLLILRWHGHTNWLESLYVVPGGFGSGMLNSAAFVALTATINRDQ IAMAASTFFLSANAGMAVGMASASAVIQSGLRSGLEARLGDIPNRALVIKKSMSSIDY IQSLEGDVKSIVVSVYTDSLQKSHYLNLGFAVTGIFVSLLVEEVQI VE01_03583 MWILRYVLTILAISSGGHTALGLLAPRNPALYSGTQPELLRRED TTDANRSETGQNFWKLSKKTEDIEAFRKLYNLTIVDSTPQDAAFMPSWPQALVQYILI NPPINCFSTSSHEINGQVVSSFSITPFNILQLLLSPFLFVAWVVAFGMTQASFKTGGW MSVLGWAMWFDLSQFYTVFAAIPLIFQYPASLALVIQRWHSDLGRVAYEVTNLNGCTP YQGLDYLQQGARFSQFRILQTVTFSISTLFGIMSLGNPSQMAPAMALPALAELIMTAI VATKGTPMVVSGNCLLVELNPNKGFLDSPISTRWKAFASFMGF VE01_03585 MKGLQCIAILGLGLFGLVSATSNDSIKERDIPFDLNGSKFVYPW PVKLYQFETQRKQRLEMAFMDVAPTGPPNGKTAVLLHGKNFCGPTWKETADKLTAAGY RVILPDQIGFCKSSKPKTYQFSLQQFAMNTLGLLKTLGIDKVTLIGHSMGGMLATRFS LMYPSNVTELVLTNPIGLEDWKALGVPYINTDISWANEQASTYNSIRGYEQATYYVGT WAPAYDTWVNMLVGIYSGSKGIDYAWNQALVVDMVLTQPVVYEFHLLKPKTLLLIGAK DNTAIGAQWSPPAVQAILGHYDVLGPQVAAMIPNGKLIAFPDLGHAPQIQAPDRYHEA LMGWLKTA VE01_03586 MVSGNAPGESHALQVQIPCIVFFVVTPIFIIIRIWTRIKLKSGL GYDDWTILFSFINSLAVSALMMASCAYGFGQHNANLSVYNRKMTFKLFYVAQAFYKIT INLTKASILLLYLRIFIQRPFRIMCYVMLGIILSYMVATFFSSVFQCTPISRAWDKSI HGSCISIPKNWYANAGFSIATDFIILVLPMPIIYKSYLPSNQKVALMFVFALGAFVMI TSIFRMQTLNFSSTSPDPTYDIASSLWTIVEENVGIICACLPSCRPILSMLFPTVFPS NSGSGPYGSSSGNPRSNTFENTDSAKSGWTPSRGDKDAMGVNLTTVKAHGLKGSTSEE SILRRHDGLGNDSDGNGIHKVTAYYVSWPFE VE01_03587 MALAAIYLLEGKNQLAVDILREVVRTIGTSSQYSTLDILWAQHE FARALSMDGKPKDAISLLQDIVKMQKATTEPENPSRLSSLQRLAIELSKDGSHEEAIS ILRDVVQIQISTKKAGHVSLLASQHELAVALSRAGEHKEAILLFEDIVQIHKTNMNHI NVNCLTSQHELAMALSRAGKHKHAVSLLQEVVQIQNTIFTPTHPILISSQQALAWVLL WGGDYNEALKIIQPVMIIAEREWDLSDWRRRNCEFLIQECPAKKGKDANGTAASASGY FGQENSTFDWWLQNCGLLEVATEALRRMWITWTFQPSLSISNTGRRPHMT VE01_03588 MQLLPVLLASASVVQAHYNFNALIYGGTTQATWQQVRKRSDSDS HGPVLDTSLLDIRCGKDASSAFAPGILSVAAGSTLSFVVDPSIQHPGPSLAYLAKVPA GKTAATWDGSGAVWFKVWEQGPTGWVGNGGDWPSSGLTTLGFTIPKATPSGDYLARIE HIGLHAASQANGAQFYLSCGQITVTGGGSGTPAPLVSFPGAYKATDPGILIQIYWPVP TSYTIPGPAVWRGFWGVFWIDASASSTIQRGYLDAANACQADTGSEIRNFATAKAFFD NVKHPYLFVLDNADNLELNLNPYIPTGVGATILITSRNNEMHYYGTSGAKTLTELEID DAISLLFKASNTPKSDRTEKQGDAEAVVKQLAQHALAVIQAGAYISQRYCTLKEYIER FQRQRDSLLRFGQIQASSRSGNVYATFEISAQFLEQSKSTNQAYANALELLGVLGHLY FTGVPQGMFTCASKYAQNIPEEPLNADDITGLSRWHVSRLPKFLHGLSLNDELDDLPT SLHDALGVLRSFAIITIQLETKEISMHPLAHAWAWDRLIEADRQDAWVCTMSLIALST CS VE01_03589 MALNIPAADSDLTAIWDEAIKKYNNTTGVVYKFEPGSEPATKAT ELQKKFLDFRHNDKWVDRIRTSLGKLSGVIQTLVGMAGNIASASFPPAPTISQALVFV LGACKNVSDKYDQIVGFYETVIIFCERLALLQERMPPQNAFRSQLVRLLGTILNMCAI AQTFTKAGRMLTFTKTLFQADDGLAGAYAEFNNQMSHFESAIITATLGISVQTGRGVE NLEEMSRETQSLLRTVLWSVSTLPRQDIVFGVMGNHRNDSEREYRGVRSQRNNSNDTT YENKLTDLGQRRFSGLEGTLKRLSTGAELSLRQHIAEMGYAFMQDTCLWLHETADFKK FKEGEITRLIIKGGAWSGKSMLLFYIFYSLIAQRPEAEERILTAYFSFADRSGKRFTV QDMICCCALQFAMQDNKYRKRLVEDLKEREDKKQDTCNCTDKHIKNHLCLELFLEKTE GVKNTRMFLVIDGANMEKIKVDDEAYTEMVKINDKLKEILLHPAISLASDNSEEMEQP DETRTAVIDLTFRSRRENVTESKNDLEIFAKSRLQTLQRLSMLPEYRKTIIIDEVCSK ADSFPYIEHTLHGLNNSTWLKVQWGELPESTDDIYKQLFGSRRSAKEYQQRRKILIWL AYAEHRFTLGAIRRLHEWIKEKGKHEDGEDIDIDDELDGPLSRILSLSPNFLTAIEHN YRDSKINEYDNTSFLAFSEPSLRTFFLRNSSEDRIPPIVVMFELVTMVLTMAKSDAYN STADVADAELSSYAAKSWSDYLLRLNTCVPHKTKYEYPGAESVIMMISNVLDVDEIRN DALAKIESQTKINRTNADKIAKSIWDWSNMYLEEDGYGPKRAKLKSFCRRMSNGCRNL SEGCQSRSECYRNVCKEIARAHAQNWRFSKFPVEAYTCFQLANQMLRYSGRKEFKAEI TADSIMEVSENLWGKSYSAQDYKCLAMALRYNGHYDEALSKANLGLELDPNDPPNDPW TKFELYDRIGRINFGDVEDSYPRKDDKGKLREALRNLDEAVKCGEKCSKDKEKGKEKV ERTKQLKLKIEIKLNSCLEEEKKIIGRISGYPEITFFDDIVRHIAERAERNRGHWDNM HLLLNGVSDTQLSDGCKKETHKFIQYSAQGNTSVLKRIKVLYDKAIETLEKQQNSQLL GSTLLWRAIFWRFYSLPGSDGKEEWAEQSVKDLLLVLNPTTNASFATKTFASWQLMDY YFEEFCRPLANEEVEDYLSRKEKAQGSMEHVIKWFSGIQDPDFEVSLSPLSIPLAIIY RRTKQEKKFFEEVDKTFHSCYKALTDNVIYNDKPSYVMLAKILALLGLEEQSRAAFKN WCLVSSDLNIDDGGQREKADRTRSRKVEKPNHKPSKINVECNGPCGTTMDNFDEDNPV RLCYYCTNTILCHRCWQKINKGSNLGVCRKGHDYIEVSEDTPKKIVMHELKKVWEEKW KEFFTVF VE01_03590 MSKRAKRKAKEITMSSAGSQSIQFYNKEGNMCENTSLDDLRAQN SELCSRCNGIDMDNALKVTNDQSSRFIMPLGNISEDMKYSLCPLCRLFSFTFVPLETK RYPTLRGHHLRVFMVDSTDINTINFRGHGVALGVCQGILEKKIAAKERLRCLAKGFIA PVFPRNPHPSALQLQLVSRGKVDFQQMQAWLQKCQDNHSGICGRKTGSRPIGFKCIDI NTRLTCYIKSDDEYYALSYVWATSNTGTSAKGVTDDASALPLSGVPQVIEDAIAVVRG LGGQYLWVDKYCINQKDQDQKQTQINAMDKIYEGAIATIIAASAKNSPPGLAGVSRPR ILQQPTELVGSHLLASTLSHISKAVAASTWVTRGWTYQEAVLSERCFFFTDEQVHFLC RCSTSCESIAASPKAVISSPIRARTRNDAWSVNETAAGQNLTGLWDFFDTLHHYKSRD LTYESDSLNAFQGLLAKSSFRNIWGVPIACDPDREEAALSIGFARGLWWENPGNNWSD VLKAEETFL VE01_03591 MTSYATQYSNGSKKRKLNDLESYIKTSIAYPPANAKISQVTYRV RGIPLEYKLRQVQELLRSVLGLDAARGTVQVKSIAISPNQKTKMATVNFQNPPPCLSS DRKEWSFEIPDVDNSDAESDDDDDIIPKAPTITIDSHFRGITIFRSFKNVAEHKIDCV AISGLGGHAFGSFKERAGPHMWLRDSLPSDLTGARVMIYGYDTQLHDSHTFQDLEALG SLLRSDLQTLTTQDSKHTKPKTVPLIFVAHSLGGLIVKEAIIQMKRDKNHHALLDSIY GALFFGVPSQGMEITSLIPLVKDQPNQGLLHSLGKESQILRNQCRDFPKAFGHQDSEI ICFFETEMSPTAAKDGEKWKMTGPLSILVDSSSARHGRPWENEAHHCLALKRSHSQLV KFSSNDEDYERVLAVLKRMMSVAVLVIPRVTFSTKEKEEMTSEDNDCLKSFAFPEITY RRQEADQAHLHTCEWILQHRSYTKWISKERELLWIKGKPGAGKSTLMAFLYQEFQASQ DNFLLNQRIGLEFFFHGRGAALQKTPIGMFRSLLYQIYTKIPLVRLPIQTAFKEKKSF GKAGTSWEWQCKELKDLFSNALIDSAKLWKITIFVDALDEAGSIVARDLAMYFHDLND KLAAMKGASRICISCRHYPIVAANNSLEICVEDENHNDITKYVKHRLNSGIQEMNMVG LSIDEFQELEGTIVTRASGVFQWARLVVPLVVDLNQQGESLQYIYKELSKVPTDLGDV YEHIFREVIDHRNRTRTFHLMQWICLAEVPLSVTELRFAIASDDLYIHPARESCKEAK DFVDTDARMERLIRSLSGGLVEVKHHMFKTTVQFIHQSVNDFLLSGGLKDLSSSMDDI IGQSQGRLSKSCINYLRLEEVLVGGSELADHKDFGYTDVSSRLENLPFLRYATKFWFL HAEKAESFGTSQQDLVQQLGSPPGPAFQTWVKIYGKIDFQGNAKCPELGSTLLHIASS SNLRSVVQILQRDNVNINEEDDAGNRALHYASRWGHKVLAEILIDAGADIGAKSRNDS TALECAAGNGHEEMLRLLLHRGADVNESTGASGNALQAAATKGNRILVNMLLKAGADV NAQGGHHGNALQATAYSGHLAVVTQLLEAGADVNAQGGHYGNALQATAYSGHLAVVTQ LLEAGADVNAQGGQYCNALQATAVLHGGHAAVVTQLLEAGADVNAQGGHHGNALQATA ISGHLAVVTQLLEAGADVNAQGGEHGNALQAAAYGGYAAVVTQLLKAGADVNAQGGHY GNALQATAYSGHLAVVTQLLEAGADVNAQGGEHGNALQAAAYRGHAAVVLQLLEAGAD VIVEGGHYGNALQAAAYGGHAAVVTQLLKAGANVNAQGGQYDNALQAAAYRGHAAVVT QLLEARADINLLNQFFTAIQHILSLNINAKAQVLLERGAQIKAFHEGVEAHNEYIVKL ALSNGMRTDVPCGWFKYALHTAAYNGDVAIVSLLLAQSHKELDFADCSGQTPFALAAR EGHVPVLATLFKLGVVNVNSQDLTGRTPLWWASANGHNEAVAWLLCKNADLHIPDNDG VMPLAKALMKGHNLIAETLEGHALSSTG VE01_03593 MSTLSTFHLFPSLPVEIRLKIWSLLLSIPRSVRCTQNIISHAAP RVIKVWDTDTPSPPLLHVNRESRYEALAVYAPYFATPSNPRPIYLSLPQDVVRFTDGL LPYIPDGPLNEIQHMITDTKDCAYFGYYHMGTLKSMKRLRELEIYAEKGLVYGGDDTD RFINLLVSEFEDAMEADPGWECPKVRIVDAQTGKDLRFIEGGAKIPGWVPEEIVYVYD DEY VE01_03594 MKLSFGLPLALLAGAIASPLASLGNSTFYNPVLPGWHSDPSCIQ VKGTFFCAISTFISFPGIPIYASKDLINWKLVSHVWNRESQLPGVSASTVGQQDGMYA PTIRYRNGEFYVICEYLGLPDGIIGVVFKTRDPFNEKSWSDPVTFKPTNIDPDLFWDD DGKVYSATHGILLQELNLETGELSQPPINIWNGTGGVWPEGPHIHKKDGWYYLLIAEG GTERDHSITIARSRKITGPYEANPSNPILTNRGTDEYFQTVGHGDLFQDTNGKWWGMC LATRTGPEFEVFPMGREAVLFSARWDKGEWPVLDPVRGEMSGWPLPPTSRDVPGDGPF NADPDVYDFPLGKAIPRNLVYWRVPRANAISVTAKGLQIVPSRNNLTGTPESLVTPEL TGQKGLAFIGRRQTDTLFTFTTDVSFSPRVVGQEAGVTLFLTQFNHIDLGIVLLAPDA STSKGNAKPQLSLRFRTIGTIESPEPTIIPVPVSWGSGQIRLEIQTANATHYNVAAMP AANPNARIILGTASARLVSGGRGSFVGSLVGAYATCNGAGTGLDCSAGGNAYFKRWRY TGAAQYISATEIVPEL VE01_03595 MGQTQSRLGFNTSSSNYGKVTEQTLASADIDVKTQVTTPGKDSW YYPVDIENDLQDVDLPPDAKAEVLNTAWEYVRCSAPQYTNWERYVAFMRTITICTIAE FKGKLVDVSASDNIMGYDVGATLATLFKGTPGHADMAREYRAFLLLTADKSSSRRDGE LFRRYVNALAQSPRHWFRMRDCDALVRYTIGCALVSNDHDDVWFTDEQFEILSEIYIT LYDAVAFFKHRSEGETHNTFAYMPEHLRVKAYQQSRQILWGLDTAWAHHHGRQIAINF IRLTSGPTHIMMRRYRFVEENLTIGKRETDEVIGHARTNAKLWNRIDGTNRGVNDTQR YKDLLAQSDDLMFPELAGFLESGGDGSCKDCRYRASYGAETPHEFGGVKLCGGCKETW QEYMESLPERAKKVFPEIASVKAR VE01_03596 MSPIGLLYGVAFLSTLVTGQALVGPAACATLKSSLSNVEVINSI LHPDFISSRYTYWNSRQSSYTPSCIFYPTSAQDISTAIKVIRTTGSRFAIKAGGHNPN DFFSSVDQGVLIDLKKMNGKSYDAETTLATYEPGNKFGDLYEFYAPLGRTVVGARLAG VGTGLALSGGLSFISPQYGMACDSFRSLEIVLPSGEIVTASPESNPDLFFASRGGGGN AYGVVTKYTVQSRPAGQFFAGNIFYLFEQTLDVLDAINNFAAYNTDPKASIIGTYEKL PTPDLTLNLDEFIIIFLVYDGPDAGDAFQNFTSIPSFLNTMSIKTYPEVTNMPAPMSG ELSRGANTFRVAVQRVHGTAVHDVYANWNAWAESHKGDYFLISLDFQPVAKSLTDASN AYEGGNAMQMPEGPWMWINYLITLPPLTTAANYKRIQASFKAMVEAIPNAKGLPLFIN DASFDQNPLETYGGYETLKIAKAKYDPEGFFSSKTGGWKFT VE01_03597 MRSFTFLAAASLAASAAAVPLDVSNDIAERQTKPYQIRGVQDPI YHLYLQSLPGDGTPVMGPEATSEYFTIGNTIQSTNTSLYLNIGEASTSYLPLTFDATA TTTAWGLEGDTIITTNGSPYGRRGEYQKMPDPAKSPQTDWEPLELNFLVCNGATAGYY DLFLQKGSDTPAGKTCSNYQTIHLPCLC VE01_03598 MRFIILLLLGLRISSVNATPASFLPKGFKSWFWPSSIVVGNWLY LHGGEIHHNDDGVMTYLPNSQTFAIDLSKSWSTSTVDAIISNHAEEFKPSRRPEIYHD TIHNVVYSYGGAYYSANFKDDHVTYEANVTPEVWGFTPPENGNVNWSRQFAKSISDSF PLTSSIEYALTTSSDKKHYSFGGTITYNVVPDGGEGVPIQMVMEDFVTYDYATQTYSN VSRTTPHSLAGEAQFVPQYGEEGVLLFFGGKNPVDRGAASLDLADLGSIDVYDIYTDT FYTQAATNAPTGRYSFCSVGASNANSSSYEIFIYGGDVGSSNSAAVATLSKVYILTLP AFHWLEVPTSASTWRNNHKCQKIGEKSISQHNQRQMLSVGGDQHPSGVDWSSYVDSWN SAMKIFDLTTLTWSDSYNPDAKAYTRPDMVNRFYSSNSAFPSTWGDAALNSIFNKSVT ATTPKTTSTPTPTPTPTHTPEPEKETRSKTNVGDIAGGVVGGVFAVALAGFLLWWFCW RKPKAINRNSGALAATDYQGNQGYQNVKEGDGGSEGAEVELSAGKDMPRGELPADDTH QEELDAIEYQHKYRMPEPQKLSNGTR VE01_03599 MAASLKACSEPSRRVISSSKPTKNIKQTTQRSKPPKVRETSAQL QRFLDEEDADYKSLAIPGSESHHKTAKEERRKAINEAVAREY VE01_03600 MKLSTVFYAFLASSTVSALPLESDITDISVRADSSLVGYLGVFF LGDAPNVYFYLSNGNNALSFKALKGGQAILDPSSGTGGVRDPSIINGGGSEAGKKWYI MGTDLDIAKTTWDASQRKGSLSIYIWESTDLINWGSERLIKVENDQAGMVWAPDAIWD ASKGEIPKPVLEGDGYIDTSPGQYLVHWASKFYSTSDSQHTGTPGASQIRYAYTSDFK TFTSPQTLIAASTPVIDLAILQLPQYGANSYARFLKDESASLVYMERSDNGLFGTWTR PGGASAWIHTQVEGPYAYLDNQVNGKVNLLLDYYGSDGYRPFTSTNLNANAWVDGDRT NFPTYLRHGSVIGINQARYDALNAKWGAHAPPDTAAKHPRLTAAEVTALPSYPSVTWK LQPTQEGKLKVAEGRGGPLNISWEVHGTGKTKVIAITGLGANKAAWQRQTLRFGHEQG DQYSFLIFDNRGIGRSDKPVMRYSTSEMAKDVVELADHLGWTQERELHVIGVSMGGMI AQELGQLIPERICSLSLFSTLARFQRTVPFLQNVRNRVSMFLPKSLDRTIIDVAYNMF PDSWLDAPDTLHLPSSTTPGCLPAAGHTDWESGAYGHFPTNFARIAAQDLEKRSDTDS FGPKGFILQAIAAGWHDMSPERLKELGDKVGRERILVVHGTEDRMLTFPHGEALIKQL EPGQSYVREGRGHVLLIEEQDWHDETIAKLWAKTASLSCDERRPSCVNCTTSERSCLY LDTGRKPPIVSPASPASSPALSNASHASFAGSPAATSATAVRVNGSFSPLGSSPVSSD RQSQFTPSTISPVIAGSTIGEALPHGVNGTVNMLHMELLYHALQEKSLYVGASQSYSQ TMTDMVIPPALTHPFLMYELLALSALHLAVVQPNRQQLLESVAAELQTVALSSFNQTC HQVTPTNCLPMFVFSSFIGNHVFYTTFKYHSDNFHSFLEEFVKYMQVHRGVRSVISNN WEMLRELSAKALMLEDESDLPQRGQPQGNECDSLRHLLEAADISPATRETYMQAVESL QWGFDAQRVRHVVGLAFAWPIQISTEYLDLLKQRRPEALAILAHFAVMLHSHRDAWLV GGSGRYIIESISGYLGTYWENWLAWPSAALAESSTPAE VE01_03601 MTELKSHNGYYLWHYLPSTPAAVIFCILFIIATVAHLWRLCKTR AWFCLAFTIGAFFEVIGFGARAAAHNRTDALMPYIIQNLFILLPPALFAASIYMTLGR IINAVNGGHLSIIRPTWLTKIFVGGDVFSFMVQGGGGGMMAGGDQSKVKLGQNMILGG LGIQLLMFGLFWLTAVLFHLKIRKNPTTESYTIDAKWEQYLGMLYMVSALIMIRSIFR VAEYVMGNDGYLLMNEWPLYVFDATLMFGVTVLFYWRHPGALTAAKIHDAERVQLESM SSKA VE01_03602 MEGNLVAPPVLSTPDAHLFEDPTPVAKSSFGKRLSDDDVRHVYE IVRTAGEIRAGGWRRVALQFPDNMLNDAPRVFEFLQKALKDLSPKAAEADAGVEDVAK AVQELDIAKQNIAKQPSEDRLFILADTSYGACCVDEIAAEHVDADVVVHYGRSCLSPT ARLPVIYVFTTHKLPIEPVIEAFQQTYTEKDNKIILMADIIYSDHIPTILEQVTALGY TDVFAPSIIHDPASPLPNRTVPSTVESGENKMQDYSLFHISEPPRSLLLTLSSRLKSM HIYPTDTANPQALEAQTSRLLMRRYATLTSLNTCSIFGILINTLSVKNYMPTVDAIKA RIAAAGKKSYTFVVGKVNAAKVANFSEIGGWVVIGCWESSLLDSTEFFRPIITPFELG LALMSDEERVWTGEWNGDFSDIINKPLVPRTVKKDADEPGDEDNEGSDEEEDSAPPEF DLRTGRYISHSRPMRPSKTTQSTSNDATGETGVPASSALMKRANGDLAMINGIASPGA EYLRSQRTWKGLGSDFEVAYDNDDGQLGAQVEQGRGGVARGYTVGGEGDRH VE01_03603 MQSKAILLSLLIAYAEARFGQEQEPISAISAVTSGGGPGVAATL AGGAISTLLGAANPCAKLQAADEIIAKLGTGADAVAAAIGLVAAEQNFNPFATDIPSI CGDPTLPATEVLRGVVPLVDPAVTNSATENANSAKSKTAPFNAKGLSVAQVMVNNGFT SLKAVDLSGATVDVKAGAAAGANAGTNTGANAGAGAAADAAVTTAAAAAAKTAVAAAD CPPATVFVTVTMGAAAAADTAAANAGNNNANNNGNAATGNGGAQASAGGFDFGTCTPT MKFVGGLGNRPATEFTFQAIDPVVALGQQEALNPNIITNRICDQLTNVCNAGASGKAA CLDAKAQILALGTRDASTAAKWNSLLGF VE01_03604 MSASSGASLTTLSRPRIAVVLVTVLASVYGIYYLHSSSDGTETT AEPIAPSGPGLHRTNAIRRRHRRPAPRTGDGAEDNPDTSHDESEDTDGESGPVVLRPL TDGETVVDEAAFDEFDDPSWNRQRAGQHMVQLLFRISEDATKRNAYVHRGTLCNACGV VPIRGIRYRCANCADYDLCESCESQGHHYRTHVFYKIRVPISNYAPRQLQSAFYPGDP DTAVKMLTRELTTRLTRETGLDRPEIEAYWEQWTYMANTEWRDDPDALFLAMDRRTFD RCLVPSDGNRYAAPNLIHDRMFAFYDQNNDDLISFPEYLQGLAFRKSKNKLRRVFDGY DINGDGFVDRKDFLRMFRSYYVLFKNMRKDMLDSMDEHAVNSVEAHQLVHSRQPLSSA FGRDGRFGRASNPRTPGEGKQPNPDGDLEIVDGKGIVNPSGDDHGDKADVFRDPLGRA MNDFPPDGTGNYWGVVSSPPATLETLQSRVLENILSTRSQQENTPPRNERSGNQELPD EIDVNWPPEIVEPCDVSEVLGMDVPFDQVPLSQRAKIIDEASRRLLRNAHLEQEAITN NRMHERWRRRQFYTDEEEGVEAPADWKVEEDVPLGETKSEEAPQIPAPSPRSRSSSKV RFAEDMDDYETRSNPSTSSRSVPERWGGMEIPEAEKDAGKDVLYQVAQQAFNELLDNL FKNAEDAAIASLSSKKTRALHRHLFTNVYFEWWAERVDMSYAEKDNKESDDADKKSLE AELARHMQREIRSWNEEPSATFIEIEETRQVPLDVLLATSGYSVEQGTEVTESSAIPN EDIAVHDASSPESQSLDTPVDEHHRDLLEVLRGPIPDQTEPPLPTDEDDFTDMLQSYR DPTLPQFRPSAVSPPASNPPPPEPQDIPLPTTETSEPLRREGSDRSNHERSRTSKRGS KAHRSRAKNSTESSSPKLEKTPLRDLQKELLTEMKADATVPTEVHRSLAKWDWMALYK LREMESTEANARTRGGWGRIGWVEFEDIVRLRDAAWRTEKRELKEAGKAEAGRRIMKR EQDVERMVEYLGSWIEMCIPG VE01_03605 MQFKILAFASFLAVAIAAPAVQSSGEVVVRAPLPAVLSETAAMS NANGEVVPFSSTEVHQPLKAAGK VE01_03606 MQPSAGLHRHSRVKEESAERACGDISKNLKVIIVKEANKRAQFL EAVSFATTKIIISADDQVYWGNNFLRYALLPFNDAHVGLVGTVKRVHRENLPLFSFTD ILNYIAVMYLERSNFDLTATSNIDGGVSTIFGRTALMRTDMVKNSNFAHAYLNETWLF GTCGPLLVDDDKFVARWC VE01_03607 MRPNVSKKPTTLPLLWIDPTRDLWTAVQGEDSVTKAAKRPTDCQ TPREGRTHEHACQRHVLSDEMSNPAANDASEMMQNAMTVARNGFQWTIILQDFAAKEE NPRSCV VE01_03608 MKTALLSGLAATGYLISSATAAVHVSIVKNHRVQADQLQAAQLR RRGTVTESLGNAQQFGLYYANVTAGTPAQDLSLQIDTGSSDVWLPSSTARLCRSRSGC EGGSFDSTASSTFQVVGQDEFNISYVDGTGSVGSYFTDTFGIGGKTIQNFEMGLGEDT TISIGILGIGYANSVANVFTGTGTSYANLPLALVNAGLINSPAYSLWLDDIDSSTGSI LFGGIDTAKYTGQLQSIKVYPSSKSGNVTSFTVAFTSLHATSSSGTDLLTSSTYAQPA ILDSGTTLTLLPDDIAALVFEELGAIDDTSLGAVVVPCSLASNSGSLKFGFGGASGPT INVPVSELVLPLTLTNGGTPKFENGADACQLGIQAAGDLPILFGDTFLRSAYVVYDLA NNQVGLAQTDFNATGSNVVEFASMGAAIPQATTASGQDQIGVSQTNTGIPRGGEATQT AVGSGVVQGSATPTGGLTAASGFATNAVEKESAGGRLEPLRWGMFSFLGVWMGIMMVG GGMITLA VE01_03609 MRAARAAGTAAAAGAAARQRMAQSAPTAGRFRCLFARESATVRV GGLRAGAVEMQRRAFSGSRGLRNEEAAAKPEVVVLGPSLEQIQIDMSPSEKGRLSKLR NIGIAAHIDSGKTTCTERVLFYTGRINAIHEVRGKDAVGAKMDSMDLEREKGITIQSA ATFCDWKKKNVDGVEEMFHFNLIDTPGHIDFTIEVERALRVLDGAVMVLCAVSGVQSQ TITVDRQMKRYNVPRISFVNKMDRMGANPFKAVDQINHKLKLNAAAIQVPIGGEDSFK GVVDLLRMKAIYFEGEQGTTLRETDEIPPDVLPIAQERKRMLIEAVADVDDEIAELFL DEKEPTTAQLKAAIRRTTIALKFTPVMMGSALANKGLQPVLDAVCDYLPDPSEVPNLA LDQRKAEAPVALVPYNTLPFVGLAFKLEESNFGQLTYIRVYQGTLKKAMNVFNARTDK KVKIPRIVRMHSNEMEEVPEVGAGEICAVFGVDCASGDTFTDGTLPYSMSSMFVPEPV ISLSITPKNKTDTNNFSKAMNRFQREDPTFRVHVDSDSHENIISGMGELHLEVYVERM RREYRVDCVTGQPRVAYRETVTKHVEFDHTHKKQTGGAGDYARVVGYIEPTGSLTSNK FEQQVTGGSIDEKFLFACDKGFQAACEKGPMLQQKVLGTSMVINDGATHMTDSSEMAF KVATQQAFRKAFLQAGPVVLEPLMKTTITSPNEFQGNIVGLLNKRNAVILDTEIGPED FTLTADCSLNAMFGFSSQLRAATQGKGEFGMEFSHYAPAPMQIQKELIAKHEKMLAEK RK VE01_03610 MRKEALRARTDMGEGNCCSECSRGASKDQKSILKLAPMLSSGEC KSIIFGPASQQGLPLRVNRIYGTVRFKATIPGSNGDSPQPVTCDIPVNGLFDEQNNPV VQKLFEIVDRHFVPKIVGQTQQDCGLIAYACRGFGLCKLLIMAQYAQFPCSDLQSDFI WIVVQQIDKQLQMSLLKVRSGSLIIDEESSSQLIIWYYAMSKLKRSMKCAKHGQSANL FGSRQLFEHVWCWVGHVWEELSNRWKTACRQQDDFYKGFKTAHEEIAKLVHNFTIYFE MSMWELSAEPSQPGDYNGFHRFGPPTDWALIQQQTMSPAREAYGEAPIAFSDYQNPAH DLATTPSCDIYGAGLNPLGLDGNYHPPFNAAAIW VE01_03611 MESSERLASFPPKAYGKLQTPHKFFKVGRVFQSDDELIYVVARE GYSSSTCCELQYVGQSSNIDCSLPNIAALCLLDCPIKSPSDNQYQLILECPRDEVLPI YHFDCSKWLVLNHQIEVYKIGRVDRKSIKRLEAMFAAFSTPSETITSTTVGSTELKST RMERTEIEITEMKRTEMERNEVKRTEMESTAMERTEMEKPGVESTEIEASTLKAAALF SAASYANKTSSPAETSYDMTSISKRRSNNTVSTDWSGWEYNKDMGYVASRINKSGKED PQYSGISSPPRMQTPPMESLWKDLRVALPLYENKVKGEEEISQEEGIKLAPGSHTEVS QDHTPSYNSYMAQYADLAFSHTPKPSISAHKYQEQIATGPQIQINALPRLKLWEEFKV FKIVWSEPEGETISRQRELISGYSRMAESKYGELVYTSIRRFIIMASYEGHSICLPIY TYGGRGTAKSGVVPDHHAIIYSMRRRTSDRDPPRKSNGEQELVNAPIRIEPKSPRDVL HEMSRLNYAKAYTVEHNVKVAFIGRVHEDSKLTLQKDYLKIQGTPFSDNTSEPSGSNL DPNDGDNEALNGDDGTVSDIDVARANGARSESNEEVPEPELQPEPQPW VE01_03612 MINDSRLEYIFIRTCIVFLHYLTPASIIYTVFLIASYIFGIPRP HTPLAINVIAVAESLFYLVVFLPYSAYLQRAAVHPPVPSRAERKALFEKCYKFIPDPD TYLDRWFLGAPQEEIKHDNVKEFIQWAFFNRGGEAGDDEEELHEYVAAYETLVGRKFE PGRGKAESLRLTLDPIDMLHRSLAWYMCVGFVDLLTYINLLRAGFHFHRTSLSRFFTL FPFRPQAVLTTKRSPAKYTTYWHRPHTSTTHLPVLFIHGIGIGLYPYVPFLSDINSAK DLPAGDGSGGQIGIIALEIHPVSFRLTHAPLSPAVLCAEIASILNQHGYSQVVLATHS YGSVIATHLLAHAETAPMIADMVLIDPVTILLHLPDVAYNFTRRQPQSASQHQLWYFA SMDMGVAHSLARHFFWSENVLWKEALEGRDVTVSLAGRDLIVNTEAVGRYLAEGSEDA DDERDVEIMPDVSEEGGLLLHEGWKHRPWRGKGIDILWFDDLDHAQVFDTPATRRPVL EAIRAYSANSGNSSGTATVVDENC VE01_03613 MQENSPTINIDVVSEIPLFQRPLGVSSLGSSLWASAYRVDSKND AGEDESYFMKVSIGEQGRAALYGEFESTSKIHSVVPDFIPKPILWGSFKEIQNAHYYI CKFYKLRPDLPEKFEFCAKVAELHSKSQSPNGKFGFHVITYNGNLPQENGYADTWEEC FVSGFRHMLTLNIDRGGPWEEMEKLKSAVIDKVIPRLLRPMESNGRFIKPCLVHGDLW YGNAAVDSETGCPLVYDPSSFYAHNEYELGNWRPGRNKFDRSYFLAYELNMKKSEPVD DFDDRNALYSIRFNLHAAALFPGELSYRELVIDEMKRLIAKYPNGYEEVEGISAASTA QALSTSFDVNDISIPAVGFGTFQGGDGNGQVKKAVLNALRAGYRHIDTALAYGNEKEV GEAIKESGIPRKEIFVTTKLAQTWHNPSDVEEALDQSLKTLQLDYVIDLELSRAYPQT WQAMEKLVDSGKTRLIGVSNFSILKTKRILEIARIRPAVNQVEIHPYFPQQELLDFCF AEGIHVTAHQPLGGRPVAPLTLSTIAEIAKSIGKSPAQVLLSWALQRGVSVVPITAQE DRMVENRALSRLADEDMTKINKIVKSTGAVRYLDPKGHIGFDIFMESVDEPVAAAE VE01_03614 MDRFRRHPAVAASPAKNPDHIGRSVIGALQRIGLSHKTRSKIEE ALDTPQDIKGPLGLNLIHDVPKPLIDFIFVHGLGGGSRKTWSMSPDPMHYWPKEWLPL DPNFRHVRIHSFGYKADWDERKESTLTVHDFARSLLGEVKCSPDIRGNKTKIVFVAHS MGGIVIKKAYLLAREDPQLRDLTRRIHSLYFLATPHRGSHLAKTLSNILQISIGPVSY GAKPFVAELNRTSETIISINDSFRHFAEDLQLWSFYETIPSTIIGVDTMIVDKSSATL GYAKEHSSLLNANHRGVSSQASSDVLELQRQQLIKFTGVAEPPIGDLSTLENIRVAGS GGWLLSNEVYISWRGDEDAKRRIFCLSGKPGSGKSVLSSQVITDLRDRELKCCFYFFR RGNATKSTISGCLRSLAYQMANNDNTILKRLCEFKQESTSWEQWDERTIWQKLFLGCI FKDHSSNIHFWVIDALDECRFLPLILSLFAEIPQHLRIFITSGKSPELDNCLANITHF TEHYQVKAVDTLKDLEIFIDSKIHLLPASDENGQRLLKQRILAKASGSFLWVSLVVKE LQQAYSEESAEDILSDLSTDMNEFYAGMLETVSAKGLRTTTLAKAVFMWALLSSRPLK VDELQLVIKLDINQTVHNLRKFISAICGQLLSVNQKDEVEAIHQTAKSFLLQQHNLPN LKLEQISSHTRIAQICLQILTEDMQQWQTKGGLKDVTRAQFLEYACEYFSDHINGCSS QDPTIWDALLKFLDNNLLLWIEHLAEKRKLGHITQTAHNLQAYLQRRIKCLPPLSPPT ERLEVWITDLIRLSAKFGPNIDISPSSIRDIIPQMCPVESLISKTYRSTQPGLCIKGE LDDIWDDCLVRIHYPTHTTTAVAYGKHYIAVSASDGTIFLYDKESNYEKYMVDHGERP KAIIFSSKDEYMASSGLRKVKVWDTAEKTQVWSFDTDHEALTLLFIDDNATLIAATKG NYIITWGLLDGTEIERWQWTDSIHSTAPFPNPCQVPRKALFSPDSTTLIVNYRGLPLY LFAPRTRKFIGCCSREDIKLLDSPKSFADYSVVDALAFNPNPEINNLVVSYGFGEIAV YDLHSADLCFQIPEVYAHYLACSPNGRTLVTGSACGTIKIFEISGTRGETLTSIYKIC PHEEGIQGIVFSSDGLGFADILRSQLRIWKPAVLSSSNMNESGQSEFSQASTLEPLTV TMPKHTLEAEVTAMCFHPDGDFVFCGKQNGVIAYFKTATATQRGILYCHSANVRIVCI AYIKENSLLITGDEAGRVLLTNIDVSQLECKTGSEIAEIRLKTPPLAILVAQSGSRIL FRSRISAEVWTTKAEKVGATIHHESDDKMNIVNHPVLTGNFIVIDHKSMRIYSWADSL QAKLSTDETAQALNFNVTRFSQPFQHPIQDWQNEQKYQQSSRFIAHLFNGSAHSTSYS DCTSLQVWPANNISVFDSFPSPISIPGFDKNSNKILQIISVAGNLILFLDKDFWVCSL DMSRITTNGHGVKRHFFLLSEWQRNYEGFIIEYLPSRYQFVVAVNGGIVIISKGLELE APWFS VE01_03615 MSFILPLLSYPGIPSTAKSEAITRILSDPGLPTTSPPATSSFWL SPLHPAFSTPPLPPSPDAEIVIIGSGITGTSIARHLVRSHRASLSKQDKKQNPIVLLD ARDICSGATGRNGGHVNEVGWEEYGDLVTRYGREEAKKITRFRMAHVDEFMRVVAEEG LGKECGMRVVESTCVFFGEKGWERGKAAVKGFKEDFGGEVDACKTTEGPEEMQSMGVP GAYGIIKGKAGAMWPYRFVSGVLANLKRDDEGFHVCAHTPATSISVSEDGFFIVSTPR GEIRAKHVIHASNAHIGHLVPGFRGRVYPLRGQMSAQVPPKGFSHQGEKRSWSFEYDV GFDYLTQLPKRETKAGEWDDGGEMMFGGGFVQAGFSGLVEMGVASDAELNENAAEHLR QGLGKAFENVGGDGFKVKSMWTGNMGFSVDMLPWVGKLPGSLTGRGSGQGAEWVSVGY SGEGMVNAWLCGKALAMMILGQEESIKEWFPQHMGISDERIRESVLERCVDIGTIGRS DLNMTPV VE01_03616 MMTILNSVLAGCALASLAFANPIIPENVAMGAREVAATNGMIYV GPITPGGENVTLNGSVEARSEIPFTQELIIDKNSFEQEIHEQIMVLNPAFNPDDFEVV RKFKASGLSRRTINYGCCGPNPGCTPAPYDSWATYSQTTKTEINNPFAGVDYLYNLPG DCNTGPGGVARVSCSYNDAIFFVNTVQATKYASCQYIGQVAQNIIAGCGHEWWEGIVH HWDVNGKECDEQGYCIIVAGSNC VE01_03617 MGARRRNVFVGLLLTLSSSASANYVDYKEVPLYSDLAPCAMSAV SYALEHLTLSACPSGITALQSCACTKDNNAAAVVTTISKSVSAQCGSTATEDFASASQ VFNEYCNSGAKVTAAATLPTPVSLSITDLADFSSLAPCAQSAVSYVVDYLTYRACPPG VAALQSCACTKGNIAASVHDDISSSVGSRCGTTASEDFVSASEIFNQYCNPGSKVTAK PANPNALAVYITDLPAYSYLAPCAQSAVSYAVQGMSDVKCPEGPSALNSCVCTKNQNV YVASSSIVSAVQNSCGSTHLADISSAQAVLAGYCKLGSGVTSFPVPSNAVGKMTYYIT DMPIYSSLAPCAKDAVHDALTTLTYYLCPADAGPLASCACMKDNNSLQVSKILTDSVK YECSETASEDIASAMDVYNAYCSAAKGLATPTGITASVPTSNYGTVPGPATGGNIAAP TPTPTNADGSPATPGPDGSTGGSSGDTTNPDGTPKTKKSNTAAIAGGVVGGIGALAIG AGALFFFLRRRKQRPVSGPLLAPASDDKPPGTSGTMGPGATELAGKDEWKGAGGTELV GSSPGQQHSELAGSGSPHGPGTEMYASPALTHSELHGDVSPGGQGYAGSQSPGSPYGS TVHEAGAGQARPELHGSTTGSVVSPVSGGGAGQSHEMHAYPMSATMNSPVYEMPAEYR SSKQMINRETGGSNSSKKKSVSSISVQAPSSRIYSYANQNTGAEEMQVIDRTGHGHGN CNTQQYAKRTSANEHYTIEQYEGKFCTRAPDYGNYSNQLYSAQVSAGAVSLGIEVSPA LQLSLAEQHGRLRAREPTYSTVGEGAQFLMDNQCGDFGNLEETSRHEPYVAFEYVNGG LNEDLAGVGSQFDSCGSYCKHL VE01_03618 MSTVAVTPTPPPSPIDDIWSSAFTLYKQQTNRDLSSSTLPPKLL TVDDVLSEVEASHTAFGSWRSKHARVWGKLSGCIGPLEVLGDGCEAVWGAGAVFGAVV YLLRACEEVEKSYELVETLFEEMEEFTVRLKEYAKVEVGEAMRKKVVATLACMLEIIG RSEELIRTKRFRHFIGVAWVGKDEKTRDALDRFHKLIDSEERLVIAVTYSSVQNTEHN VDTLLSAADENKLSQKEILGKVDHLSTVATDSKEEELLKNALWTEAVPKTNEIFAEFK ENILKGTCEWLHEEAPFLAWEKEEASLLWVFGGPGAGKSFLATKTILFLRDQHDQNLE QPSKAAVSYFYLKEDNQTLHDLNVILKTIAYQIVQKDGTYKKYVQSVCRSAEATNTAE KTWKALFLNFYTSTQWVESLAFIVIDALDEASLDTRRKFLDLLKVLVYPPPGKRTTAP RLKIAIFGRPDIKDDMEFPRQKFVDINAQKNRKDINDYILSRLPLVRVLKDMKPKART KFAKEIRATILERADGMFFWAKLVLDQICRKERKSDVQQALENAPRELDRMIRHVFER VAADPDVNIADLNKILSWVACAKRPLLLGELHTILMLPTGEPNLSLRRRLSGKFASFI NMSRYVGEDSDEEDGNEEGEEAVLGERVEETPLDFANLSDDSDGDGDDSDDDSDDDND DDGDSDGEGDMTLQKDEFATEFRTTEISFSHKRIKDYLVQEGGSNPVFIPPEFPNMPI GIDVNESELELALTCLAILVDRVTWTEDKFDLMQYAAENFMKHIGGIDRSKISKEDNL KLLEQITNLFYDDVGARKLLDPQSLGYRGSISRQELIFYLIWIGTNEYSKLLRECLGE IDNMGINNFTTGQLEWLKLSVASVKEFYRPLMIESSKMWLTKTGFDDFGYLSKSHLYV CMLHGYFMMNDDGSLKDGIKDFSPYSYNNNMSSIPLERIRTYAEWAGLEKTEHWHACL GWAMKKAGYFDAAIVEFKKVLEIDNTAWVAQEGLSRCYAGLDNIKLALEWMAEAIPNV PETFSFVTQAQLLPQVASWLGQIGESDRAIEAWKGVWGNNTQDTDNLGKYICELHKWD RHQDLVVVITEISSLVSRNEHCENLLVELLTSADNEVFDAIGTAFNITNAADVRATFL DACTIAITAADAIEAKKNKSTSPSLSRIKVAFFKYAYCNQTSEAIALWHQTIDLIDDF AASGGRWLIHERTWCTNAICEILFDDVVEAKGKGEDPASLVDSLKQCARIGAGELIYD EDGTPAYGTTYTSLKYGVWLCDYGGAEDTVWKTYLKAGVIPWVDLLADEDKDADDKLF GFFAIGLALLTVNDIPNATTAFAVAMKPLQESAKKRAAEAGTAINGDLEGETEEVTNG SASASQAAGDRDEGDGEPGCTQNVSKYPFLSSYGCMIICNGSCDTPNSEYTSLYSCSM CRYMTLCGPCLELFKGDGFVKRICNVKHEYFKIFPLPEGSEDLAVTIVGPQAIPREDW LTGLRERYGGIEVDAGERVGGRKVRGGRKGAKNEVTVEV VE01_03619 MGQSQSQADTPPATAEELSKQLAQKFATRCFTPLELYSFRDVFR SLADTSDGVSYLKEDTLTRFLQVPDALGVAPVLFQMASYLGAFPFSAGAPAVLGFEEM IVVVVMLTERYGKVLRGGGKGRNVLLYRSLTVFDRLDGKEVADKGEEGGDKEVVEGEG GEVNGNAKAHVGFAVDQPANDEEGDDDDDGLALSALESLDANDVFKHSDAPPISHCSI PVENFRKIIMLLLLIAPLEASQPFPDYSDRLSGDNLEQLQATADAILSAFVNTESNTG IKIHAWNTVIPASLPNLFNGFSALFSHFLFSTSLDLSKRKPSSPTTTPPISPPTSPPS SPALRRPTTPPPPLPLIPTADAEEAEILDVTLLSQLSFFLPPTTLFHRLRLLYSGGSA GFSMRSFETRVFNWRAPSLLLVSGSRLPAIPEGSNERAFADSLPPKRLPDSTATNPNR LVFGAYIPQTWQQTYKTCLSDPGTILFQLAPTHTIHRSTISTDQLSFTHPPLSHPGIS LGSPHPSSKSASGTANPAKLGPVSLHIDASFEFGVFTHDSRGGGAFEPASGPGGGDWQ ERFAIESLEVWGCGGEEEAEEQRRRWEWEEREAEARRRINFGKGDIEADRALLEMAGI IGGGRSGGSMN VE01_03620 MPKTSRASASQGQYRSIPVAIADQTAQQHYYPITTTATMQSNIG PQRMTHMHQQAPSYIPNPPMQASAPQYIPQSAPIPISTRPSSGAWSPEDDITLMTART QGQNWGQIQDAYFPSKTANACRKRHERLMDRRNSEDWDTLKFEALGKEYMAMRREIWS PLAERTGDKWAVVEAKVLGSGLKNLQSAARASTRRARLSASHDSQNAPASYTDDSAIG IEDLDGQDFSVSPDTDCGFDPTRQQQQMQMMGAQIHQQQQQQMMREQQQQQQQQQQHR QNRQYIGGGQVMQGRGHSHHNSLGSATSERNMGIPSIINRGPAGV VE01_03621 MIIRPLSLGAATPVALRSIGEQCLSALPRQQLRSAQSTQRAFTT ARVLRNALSKGPGQAQRLRTGYFLNNSFLPRTAIVSKARASTSTSFTASQPPIPARDA AAPVTETTPEVDLPHRRRQKARKDAQAASAEAGPEAAAEPVIPPDASSVLTTASSNLP INSFKRTLSTLLALTKPRLSFLIVLTTTSAYSIYPVPALLSPAITDTPSLSALTLLFL TFGTSLTCASANTLNMLYEPKWDAMMSRTKNRPLVRGLISPRGALLFAALSAAIGTGA LYYGVNPTVASLAAFNIFLYAGVYTPLKRVSVINTWVGALVGGIPPLMGWAAAAGQTA TGSGDWKELLLGYENMGGWLLAALLVAWQFPHFMALSWTIRHEYKNAGYKMLAWTNPA RNGRVALRYSLVMLPLCIGLCAVGVTEWSFAVASTPVNLWMVREAYRFWKYEGLKGSA RGLFWASVWHLPAVMVLAMVEKKGMWQRVWRAVGGAVEDDEWEEIEEDEIPGKTTVAS V VE01_03622 MSTSGYPPGWEADYDGETERWFYTHKPTGVRQYHFPKAGDEVEL AAAMNRTKAANKAKLKENNTAQSSKDIASTKITPGTKSQFLEQSPTIKRSVSERATPT SPQPIPNVFRQSFQAAQRTNAATAQQLVPQQTSPAALPLLNTQLHGTSGTPGQVASSA HPLRINTNALNQGGNASPVQSMSAGPWNQSTSKASGVSYSDRGASYVSQGSQRIPDSS TPVASEPPAVPPKVLESDRIPVAGSKHLRQTHSHSSSVPHNNAGEVICSRLGILYFSD TDKEDVITNLQNLARMYPDVTLSQLVDPHMELPRAKVPNQPSPSQIPSGQERQRNAPD TSPAPASAISADASLPIAFVAYSRVPQPTQMTYSSPIAGPNPGFGASSPSSAYDYQRR HHSSSNASITSTAIQTSHDRAHSSSINSKRQDSIGTQTYSPQTRSELAQTAWGLKPAS ASTEGPEMAVGIPARPATTAPVQAVESSPDVLGGRPSRQHSMGRKPLSSQSPIMNRPY RSMSWQPRDHSPNPQLPSSLVSEVPEEPSTPPPDVAQQGSSLVSTSSKVYSEEALRST ASPEQQPQEKSGPDQKELDRLNSIIQEQNKQLAALYQQNVQNLQDLQNQQNASVSHQR HSSVPVSQSTRSSVYTQSSLQNTHYPPSYPSVPVSPISRPESLVFSIQEEPRPLSLSE PAFDAIASKPGDIESIVENNSDPIDAFENNAHTASDAPQHFGNQPGQAPMFECSIAQN STEEEPRVDDKEVDSYQPADTTNQMSAAAASMGDRSESRGSNQTDSKRKSWSHVRTHS ATQQIADQTVHQLESADVSIRATSSASQTTGFLVTQEIPNQEPRQHSLQNNVTVQEIQ PAHIEHVPAQPPTQQPHHHVSNVPQSNNQHLPNHQRHSSQPRVELQTQQHYMAQARSA SQSQPHSAQSYQAPNTMSQSAVHEINLQKALEAQIQQHLEAVVSPQSAVSPPDPADTF YGVNSDSAVSDLSTPGLVHKEYFDPASVKHSQVTAIAPRRISIAEVVGPAQGHARKPS LPKVPISEGPKYYEEKQVFFPAHAPLKIGSGQNAGLQNEAFAEQEPPVPEKIAGISTG PSPSRPGIHGSPRPAAASDLPIQFVAFTRSKGTEAAPTAIARPESKLLAANPPSDGNA NISQTHTHSSRPRVSSWSEMEKSSYLPPQPTFTFGMPGDKQDDAAAAESLYDGGGYGD YDDYNDDGPAPPRFGTPQPHMLQVQRST VE01_03623 MSNSTTNSTSCSSITPTPFFDHSCALLAPPGSSLEKPSALYDCC QGTTFSYGDSNLIDCYAYCNATGVKEARDMESCLKDYFSSRSDTTTVWKCNTVDNGAA MQGRASGWGGLVVLGLVVSAITTMM VE01_03624 MDPEASPPKRVTRARAAAKKAPVDNGIKVATAAAKAKATRTMPP AMTKRKTRADEAANDDDNNEDELTAPEPEKPRATRGRPRKAAMVQAEPEPEIEKEAAV VVPKTRGRPKKAVEEKEVEAAPAVEAPRTRARGRKVAVADEQAPPPVSEAPKRIVRGR LATVTKPTAAPKKSVKFQDTAELDKENAIPTTKGKAPVATVTGLRAKPVRKPAAAPRV TRGRARATSESDQAKEKSPLSPKKVTQVAVAKDVSSDDELATMVKTPMKPLMKSPMKP PTNILSPAKRLDFTTSIVANRAVSAQQDLTSSMMASPARRIPQSPWRGTLGESPKRTG LGEAVRSPFKSSMPPSSSTKPSFKASLLQSPAKRPPSPTKISAGGSPTRTVSGNLFNA TPKPNTFSISRFTTPRTLTKSAFRPGRVPFSSAVKPATESRLLGKDQAPADRASIKPF PGRLSAVLPRHADPTMDEAEVPAGSTTPKSPVDNTEEDEFALNVLVEESTTMECDDDV AAMVVEELQSTTPSASPSSYSIGSFGLRPEQDDPFQDSDSEDELASASPLYSPSPISA LKMSSKDFAMLSTPKKLSFGEKTPVAKSSAQIGFTPLAKQLDSWMTPVDPQPEIVEEE YEEEPEPVVTPNVEEQAAEPSPVPTSFFEDEMIVREEAEVQSENDLEEQVVEAIELAP AEVESENEPKDEVIGVLEFAPAEPESEHDLEDTVMEAIEFAPAVLDDEDLALAAEADE LSLVEEEIANSNDVVDAVAYAVEDEAVSEASQEYGDENMVPIDPELLSLGQQIAPEQH QEPTVEHVTNVVPETEVEAEEEHPTPPATRAVQRSASPFTPARPTIGRSFHTVCKVPL KAEGAESPIKPSPRKRPASASRVTAHRTAPPPPTPTIVYHNGSSTPAKSTNWSTSATP TRTPRRDLDNRVLRGAVVYVDVHTSEGADASAVFVELLNQMGAKCVKSWGWNPSTMSL PGGVISPETAESAKVGITHVVFKDGGKRTLEKVRETNGVVLCVGVGWVLDCERMDEWL DESPYSIDTAIVPRGGNRRRKSMEPRALANMNGTLVSTPGRASSKEPTAPATARPARI GRRESTQWIRTPRSAEAATSNQDQDVEMNDYTMPELSPLPTTPSAEALSMYAEHILDN EGGVEETPYYSGREKEELVMRTVPANKMVVREQDGPSQMGSEALMQRLMMARRKSLQW APKVGSPLARQ VE01_03625 MPGVKRRKPVRKSAILSSNESARTDDVEAPESTAQADLLKQEVH CSIWGSEASESSTMNLHYGPSSTFVFLQQLHRFLLGSGAPRQSTISSWSTNYTSEAIS EFGYSGIFYGNGSDNNESPAGISQELPPFDLAATFLETYLATVHYVVLFCDQGTLRKL FYTMYSAPTSNQNSRDSTLVMIVLAIGAFLSSYPIWADSLHRGVTSRLDSWGDSVSLR SVQISMLLSEYEHSHGRPNSAMLGIGRAVHKAFAVGLHRDMPSQGGTRDSTESDRSRE RQATFWSLFAHDRNVSLSLGRPASINELDINILDPTWDVRLMAAVTLARITYKVNYNI YGRNRGSVSDFCQKVQAIYDEMICFHKSLPVDQKFPLFESELGQYSANLTASQMILAF HFFQTMIIALRPCLVLDAARRRSTKKSTIPNESVIWLNDAVDRCRNASIHIINVFARA IKVSSFICYMRHSRFFIEAACFALLFDVIRDPARDGCERNFEAIAQGLHCFTLLPTDR LLMISTTGVSRILQLTEEMVAKTKAEANQKVDNEPPSSMAFTNEPTGFDQGQALGVGL WDDAGISNNDIFDMSYYLWYEDPASLGAE VE01_03626 MGVQLNEKNEPLDSNGFSYRKWLEDNEAKKAIEKIEKKHLSLTW RHLSVTGVDSRTVLGHDVLSCVNPMDLIADARGSVGDITILHDLTGQVKAGEMMLVLG RPGSGCTSFLKTVANKRRSFKSVTGDVFYGSMSAEEAEQYRGTILYNSEDDIHFPTLT VAQTLGFAVKNRVSRQTRESDTGVEKYHEEMLHVIPEALGISHAKGTLVGNEFVRGVS GGERKRVSIGEVMAAQGAITCWDNATRGLDASTALEFAQTCRALVDKTKAVAVVTLYQ AGNGIFDLFDKVLVLDEGRQIYYGPANMAKAYFENMGFVCPPGANVADFLTSSTVETE RRFKAGYENSAPKTAQELEMTYRNSDLARQMQANIIPLEELEQETAIAKKALENDQPN HKSPLQSSYTIGFGYQVLACVQRDIQVMLGDKASFGMQQIAALIQSLCSGSLFYNLPD SSAGIFARSGAIFYPLVFFNLSSMAELTATFFGRPILSRHRDFSFYRPSAFVIAKMIT DIPNIILQVSIFSIVYYFLIGLQMDAGKFFTFWVITIISALMLTSLYRLIGCMFNSFD NAAKISGFYSMVMMVYAGFFIPFQTMHVWFRWIWYINPCGYAYEALLANEYSGLVLEC TGAQLIPNGDAYAGAQNRACTIPGASADGTQIVGEDYLQTAFSYSPAHIWRNFGICIA WWIAFTACTAIAMERSSSNSSSKSSVIFKRGKDNKSTSKSIRKPTDEEKQSVSVPIGS SSSSTTQVPEDDDLKLARNESIFTWDHLSYTVDVPGGKRKLLDDITGWVKPGQLGALM GSSGAGKTTLLDVLAQRKDTGVITGEVLVDGRPLPVSFQRSAGYCEQMDVHEGTSTVR EALIFSARLRQSMETPDQEKLNYVESIIKLLELEDIQDALIGVPGAGLTIEQRKRLTI GVELAAKPSILLFLDEPTSGLDGQSSFNIVRFLRRLTAAGQAVLCTIHQPSASLFESF DSLLLLAKGGQTVYFGETGENSSILLKYFSQNGAPCNPDVNPAEHIIDVVSGSAGGGR DWHSVWVESPEHSRMVEHMAEIKAEALSKPSTLKTDDRDFATPLWTQLKLVTARQKTA LWRNPTYVWNKVLIHVSCAIFAGFTFWKLGNSTSDLQLVLLSCFNFLFVAIGVIAQLQ PLFMHYRDIFEAREKKSKMYSWVAFVSAQLIAEMPYLIICGVLYFACWYFTIGLTVAG STSGQVFFQMILFEFLYTAIGQGIAAISPSPFFASLLNPTIIASFFINFAGVLQPYSQ LSVFWKYWMYYMNPYNYLIGGLVTQPLYDIDVVCSAKDIASFAPPNGSTCGDYMAKFF ETGFGYLVDPESKTNCEYCAFKTGGEFAKTLNYNERMYGWRDTGITALFCISTYGFVF LMMKLRSKKTKSAKLE VE01_03627 MKILSGIVSLATVAQLSYATAIVAPRDGAHLDYGAVALVAASEL LNRGLPDSPTNGYAPGNVSCPATRPTIRNAASLSTNETEWLPVRRNNTIEPMKDLLSR ANISGFDAAAYIEKFRDNATALPNIGIAISGGGYRALMYGAGFLAAADSRTVNATGKG GIGGLLQSATYLSGLSGGSWLVGSIYANNFSSVTTLRDGSPGSSVWQFGNSIFQGPKE DGISILNTAEYYKNIKGQVDSKKNAGYEVSITDYWGRALSYQLINATDGGPAYTFSSI ALQDNFKNGETPFPVIVADGRAPGDIIVSLNTTVYEFNPFEMGSWDPTTFGFAPTRYL GSKFDGGVVPTSASCVRGFDNAGYVFGTSSTLFNQFILNINGSSSSLLTEALASVLKS IGQDNNDIAQYSPNPFFHYNPATNRDADVTELSLVDGGEDLQNIPLHPLIQPVRHLDV IFAVDASADTEFFWPNGTALVATYERSLNATMANGTSFPSIPDVNTFVNLGLNNRPTF FGCDPKNTTSLTPLVVYVPHAPYITHSNVSTFDPAYDDAQRNLIIQNGYDVATMGNGT VDAEWLTCLGCAVLSRSWDRTETAVPDACTNCFKRYCWDGSLNSTVPANYNPEFKVAG VVVEESGSGKVVPGEVVAVVVGVVVSGLMMF VE01_03628 MGNTASKAARSTRKYPTTASPSISNRPTPSSRSTVKASGTRNAE ISRDAQDPDFSSPPTSAFAQRLRTLGAVKPMPTHSPSATTVQPPTNYPPTSTSTGSST SSFAPSTTASAPPTNPSLLIFHSRTRLAREAEAEFEAATRGGQQALRRFLDVGGVRGV VEMVGKGRGGEMGVDPVVVRALGRGVVGVSDVRRGGGQGI VE01_03629 MLTLPRSLTRLGARPLARAPTAVRPPLRAFSAAAPRHNNHGGLS KGGFAAATCRSSPLSSLTAAAAAAGRPSISTSRVVITAPLSTSRSSSTATAAKTTANP HVLPEFSLAGKIVVVSGAGRGLGLVQAEALLEAGATVYALDRLSEPSPEFARVQEKAL ALGTRLEYARVDVREVDVLRGVVDGIAEREGGIDGLIAAAGIQQETPAIEYKAEDANR MFEINVTGVFMTAQAVARHMIASGRGGSIVLIGSMSGTVANRGLICPAYNASKAAVLQ LARNLASEWGTHHIRVNSLSPGYIVTQMVTELFERFPERETDWPAQNMLGRLSSPEEY RGAAVFLLSDASSFMTGADLRMDGGHAAW VE01_03630 MKTPFAALLTFALLTTSNAAYQCLDSAPNLYCCQNHPFACQIRA SPFSTYLSPPFRQLQLTASSITVVRDPGQDPSKPPGASYQPADLNAFAAACTRLNGAS WPACCYVDAAITSCNRAFNTKNRRQNRKIANWGTLDGYATELPQGYQTQAPKY VE01_03631 MALWAKMELVIPLPSQIEYAQNNNCKCCLGHHFEDSHTLSHTII TFETPNIYSPYFGLGAMRLAHEYTCKPSMLMLALHWPDHMECTENCGHSNEVDQECRF SSPENRGSRIKEKGGLDKTFLAFLDLPREIRNDIYVRVLGSSKDHWAIMTASIPLPKT SVSNSNISRTRFKYSFGSKQLQLLCHQIRNEVLEVCQSHAQDIWLRELGVSDFDAALS TFKHKDVLRHSARSITLVYSSPILSRDEEDGGRHFDRCSFLTQIIEPFQSLIKLCPNV ESVFISLVAQNSSGRQIHLGLYIPCHDRNETTNVQVDYRWYKVANWECVIRKYFSTLR KVIGPEEKDNFAVWQYSCGCIAHADVEITVSDEEKRRARLGSRRINVTVKEIV VE01_03632 MSSSVSTHSLENEHKLVAEGLATPGSKTDVDSVHGSVQNEKLEE AGVAAPKVDAEKDVEGGEKPAEEEITDPNIVWWDGPDDPQNPMNWPRWKRAGNVTLVS MLTFVAPLASSMFAPGVPSLMKEFDSTSKTLASFVVSVYILGFGVGPLFLAPLSEIYG RVPVYHVTNALFVIFNVACALATDLNMLIGFRFLAGVAGSACLTIGGGTIADLIAQQR RGIAMSGFVLGPLLGPVIGPVAGGFAAESIGWRWIFYILAITTGVFSLMCLALLQESY APVLLARKTARLIKETGNTDLRSKLDTGLTPREVFARAIVRPMKLLVLSPIVLTLSVY MGVVYGYLYLLFTTFSVVFADQYHFSSGSVGLSFLGIGLGSLLGLVITGTTSDRILKS NARKDCPPGTEDKDLVYKPEYRLPLLFPASVLIPAGLFIYGWTAQYKVHYIVPILGTL LIGIGNLGVFMSIQMYMVDAFTVYAASALAANTVIRCILGAVLPLAGQDMYKALGLGW GNSLLAFVALAFIWVPWWISVWGEKLRIKYKVEL VE01_03633 MDKSFFRRQSDASRGSSSNALSSPSSHELNDLLPRPYSDHPSSR DRSLSSTGESRFPAYSSAPRSDRSRSQNKHVQLSIPPPITASVLLPAINLTSSVSSTQ TLTPRLSGRNIAPLIRRERALQAEIQELLDAQSAALLRVRASPKSQNGNTGGGGRTSK SPSKQAATPRTLHTARLGILRALHSLLELSQTKHSILLSTHTTLQATLTQLTHWQRKL ADLDSKIHALSPPPSISAPSATSPHRNHSLPPSNDRSLLPNDIPALDREINNLEARLH TLRTHRRLLSRALLEQRSRHEAQASSWVGAKREVESEISAWLRRPTEALGLDGEVAGE GGEMDAFLSLPPGRRTLGGAIDAIRAVMAEVRAQMESVEREGDAVEKGAGVWESVLER VLMFEKELRVWMKGGAGGAEEVLEKMGRVGGEIEGFAGVVEREGWTLLVCAVGAELEA WREGEGVLRGVVGGGVVAGVERGMSTSPHQGPGRGDEDVKGKGKRVENFNSSMGSEGT DIWGSALDTPNPLPDDDLNNASHPATYSPDDLTTSQHPPRSLSPTPSPSPTYPRPRST SPEADGDPDFNIELEGLKLSGGTPTIRSPAFGGGGGGSEIVTKPGKERYRDNDEEEDD EGPGEDLLTELPTEGYRDAEDEDDDEGPGDDLLVSPEEK VE01_03634 MVVSPILSLPSTPVTPASCGVFLNLLTFGLWGKFGRLTHYAFDA VLISTILAGMKRSTGLTFNTDKITDNKDVKKWIDTYLDVGEWVMDKSVTIAAASGWFK HVR VE01_03635 MATALEHLSNHTKIAWLSGLDTEFKPTKNYRRTSIICTIGPKTN SVDAINKLRKAGLNVVRMNFSHGSYEYHQSVIDNAREAEKVQPGRPIAIALDTKGPEI RTGNTIGDADLPISAGDEINITTDDKYAAASDTTNMYVDYKNITKVIAPGRIIYVDDG VLAFDVLEIIDDKTIRARARNNGKISSKKGVNLPNTDVDLPALSDKDKADLRFGVKNN VDMVFASFIRRGEDITAIREVLGEDGKHIQIIAKIENRQGLNNFAEILKETDGVMVAR GDLGIEIPAAEVFAAQKKMIAMCNMAGKPVICATQMLESMIYNPRPTRAEISDVGNAV TDGADCVMLSGETAKGSYPVAAVTEMHETCLKAENTIAYIAHFEEMCNLAVRPTAVVE SVAMAAVRTSLDINAGAILVLSTSGDSARYLSKYRPVCPIFMVTRNASASRFGHLYRG VYPFLFNEEKPDFSKVNWQEDVDRRIKWGIAEAFKLGVLTEGESVVVVQGWKGGMGHT NTIRALTVDDEVVKLAKLPKRSRGVSEAQLGGPPASGARVSVASSPKQRRK VE01_03636 MSGLSSIEVNEERSVVKVGAGAKWLSVYQFLDPLGVAVAGGRNG DVGVGGLLLGGGIAHFSPRVGWACDNVVNFEIVLGNGTLTNANLTSHPSLFRALKGGA NNFGIVTRVDLSTFPQGDIASSLVFNDIAQRGAVLKAFTDIADAAEFDVYASLVTSLV YTSSTKGWEIINIVAYTAPIAQPAVFKDLLSIPSTTNKTSLSITTLAALADESQTAPS NQIMATATFKPSLTLMLEFYHIANEFFTSFDANGGITWLLTFEPLVAAMVPLSRSSSS QGNVLGLGPEDKGFILLLSASWADTASTKAVQDATRHVMSLLESSAEEKGLLLKFQYL NYAAANQTPLESYGEENLKFLRGVSREYDPKGVFQKQVPGGFKLW VE01_03637 MHSLLPSVYLLLAAAAVATCRQWEDCKARMDGHLPYYTPPTFTF SGRVRRYYVAAEVEPWDYAPTGWDNWLGVPMNESFRAQTYGYIASDTSIGTKFDKAQY KGYTDASFRERSKQPEWLGLQGPIIRAEVGDMIEIMFVNKVDHFFSSMHSMGLYYTKE SEGSVYYNGTEAVTIGNAVPPGECFVYKWLVPSGSAPNPGHDSRIFSYHPYVSMYQDT DAGSYGPVIIYNPGRMEVVMKGNREFVLVYSDNQESNSFLALHNVQKYLPGMASQVAN LSFQYPTVTQGVGNYSIWYPQFINTPKTNVTTTMAPNFFPINGYIYANNPAFSMCVND PIIWYLYDMGFDTHVFHMHGENTVDAVTGATSATVVLNPGQMTSVLMTALNPGWWQLI CHFTTHLSKGMEANYIVYGGPYGDCPLRPLEK VE01_03638 MLSLVDTPTPHASAATWVDSIAATPQTANTSRSRRSLPQLAQLA KTSNTYLTSTVLHLRDGLTSNQRLAAQHRAENIHLVTQRLRDATRVEEWRAAALELDI LEGNEAWKTDNETSEFDAPLIQARLDLLNEARASGDVRRMLYLVRTSLSRGLGGMGNV ELYQHSHVGTKDLIESYIDATIETIQRLARTEDKALPPGLDTKDLMEQVVLARQAFGR SALLLSGGATLGMYHIGVLKALFEEKLLPRIISGASAGSIVCSVLCTRTDEEIPDVLR GFPHGNLAVFEEHDREEGALEHIARLLTDGAWIDIKHLTKVMQELLGDMTFQEAYNRT RRILNICVSPSSIYELPRLLNYITSPNVLIWSAVAASCSVPFVFSSAHILAKNPATGE HSPWNPTPLRWIDGSVDNDLPMTSLAEMFNVNHFIVSQVNPHIVPFLSSSAPSLSQPS AFKLNSHLAPLLAPLTRLAKSEALHRLHVLAQLGVLPNICNKARNMLSQKYSGDITIL PRVEYRDFVAILKNPTPGFMVRACEAGERATWPLLGRVRNHCSVELELDRAVAELRAR AVFGRVEGEGGAHGHGYGGRKGGRPVVDRTCTSVT VE01_03639 MPLFGGHHRSSSSTASSSAQHNAPGGITANLLQAAQVLGSVGTP SAAASTRTTAAATATAPAAPPPASPYRSSPTASSHTFNAAPAQPAAQPPNHSPPNPMM SNPAAPNNAQQHFETPRRQQAYARHPDELHMGDADSAAAAAQKGPLSPRDYGPGGAPR INLEQATPETSHYQSNLPGPLQSGGGASRPPTLSSNSTPAVPALQTTMPADPFATPLR SNQNLSHNYSRSSPSTNYDGQAYQPYTTTPGGGSELSQFSTPSSLKYAPGQQRNVSNT PLGLADIRPRADSTLSDAVLPGANPYSYDGANAVPTNSNYLAPWAIYAFDWCKWPSHN NDAGKVAVGSYLEDGHNFIQILETSIKPSSPEPYSQSAPKYGLEFSKIAEATHSYPVT RLLWEPPSSQKQSTDLLATSGDHLRLWSLPADISMSSHSNSITRSSNHGQSQPPSKLT PLALLSNSKTPEHTAPLTSLDWNTVSPSLIITSSIDTTCTIWDIPTLTAKTQLIAHDK EVFDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPTAKDDKDHNTPSGRISP TLAQQTMAYAPPLLRLAASPHDTHLLATFSQDSKLIRILDVRQPGQALLELHGHSAAI NCIEWSPSRRGTLASGADDSLVLVWDLLNANPTQQQQQGGVNGVAPGAGAGGGENIRS PTASWRCDYEVGNLSWAPNSALGKDGSETLGVSGGRGIWGVRF VE01_03640 MSTSSTPSLLLLPPPPQSLDRASLKAAYLPAFTAALADLASARV SPIAVLDIAVPWPALRGQAEKPRSHLFRESQHLLAELYSLISLVCAQKGIELDGPGGI DPRVLLVEYDPSQPPPSYQGSSNSPAAAAGGPIIDLQTLALTRRSWNLIFSVDGEQGQ QVFQRYSTLANTHSPPLRGHIRTVPGETTVVAKTASSSPPPYPSSKTHSVVAVGGTFD HLHAGHKLLLTATALMLQPPAISTSQPPHRRLIIGVTGDELLKNKKYAEQLESWKRRE EGVINFLLPILSFTTLPTPEDITRTHFDTPVVNGRGVSTHLKSANLTIECVEIQDPFG PTITDAVVSALVVSGETRDGGKAVNDKREEKGWAALEVFEIDVLDAGEEDEGGNGGGS KTEGFAAKISSTAIRRRRAEESGKASL VE01_03641 MAPRRQIGILDLPDEVLLVILRAVDTDPGPINIDHRAWMSVVSF KFESQLPREPEVVGNFRFTCRRFSEVGLQHQFKTLATRFNEAGLNRLRNIARYPHLAT AVKKFTYLVPRLFFNDQAHIERISDAIIANGKRVPDQLIAQAKEQVSIVESQIDRETL NGAFAAFTSLQHVSLLSLQSQIDTRFIAFMQHNVFEAELQPSRTAAYLHGVKTLGSAL LSSQSPASRLSFPMIDAQTAISLQSVPSARVQSISSRLECILARFVDPDEFQASFGQL SGVIESILTCATNLVTLHIGFPRGRPASIPLAEVFQNENLTRLRVISLESWRLDAVEI IGLVRRHRNMLGGLRLRGVLLKPGSRWRDVLIFLRQEAKLTWLSLSDADYAANFDLRA PTGFDVTDRDSTYSNVEDDFDEADMDYDQTSGSDASAEASEQEDNNEVTTDVDSTLDA PYIEYIEPTDIEPEYDLENGVHDSSELPSTDDTHDMWDSISQRSEHVAPPSIDNEEAD TNGHVSGDENDFPEPPPHVATPIPLSLCTCPTLKELDEEEGIDGPTTRVTAKQRAVWE EWVLRRCLKHGTSGIVVESERVRESSA VE01_03642 MVLKPTLSLTLPSLKDETVLDCRVYFPTTLYDPAASTDSSSGSW SGDDEKQRERERTRSQLNGEARASDSHRWTGKRKKAAIIAHPYAPLGGSCDDYIVQQT AGILLKQGFVVGLFNFRGAATSKGKTSWNGKPEVCDYHSMVGFMAAFMHHLDLEPVSP TSSLTDAGTYELSTIPSQLPANPVSARPTTSSSASTNRDSAAVDNTPLLLLAGYSYGS LITTMLPPLPALLTPFQSPLPGTPASEIRLRAQHLAEEQNTLHTERLKRASEAATRRQ HARNVSGGLRVGGDETSDVHHRTSLDSHHARRSLRLDRLEHSDWGRRSVERVRSLRKS LDGHRFSPPPSPSRHTSQTERRTNSSSATIDTPGGASVVVSPEEASEAVAATAAATPM PLLQFGEMDAVYLLISPIQGVVGGLATMFTTTSAKSLLPSLSFRKKDAHASTAALNGG DGKFVENKTLVVFGNKDSFSNADRMRRWTQKLEGARGSRFRAVEVNNGGHFWHEMEEL EVLKRSVERWARELG VE01_03643 MSTANFLDQHFSDSEDEGDFNPAPADLSDEEGADNDNSSPAPPK NRGSSVSNRHQEDSDEETSPVRKSKSRSRTPGDRGDNNNDEDAGQDEEDEEEDEDEEE EEVTGHRRKRRRERRNQFLDVEAEVDESEDENDDDDDELNELKDNFIADTHPDDAFDL PAGGDADDRRHRELDRRREMESSLDAEKQAEILRQRYAKTRSAKLGGDSAVVPKRLLL PSVDDPSIWAVKCKEGKEREVVFSITKRLEERFGTKDELSIISAFERANATAPIKGYI YVEAQRQAEIESALDGLMNVYPRTKMLLVEIKEMPDLLRVSKTAPLEPGAYVRLKRPA KYAGDLAQVVDVTENGLEVRVRFVPRLDYGLHEDMNAPGLDAQGKRKRANAGPRPPPR LFSDVEAKKRHARYLTGNPQTKIWNYMGDEYNNGYCEKDVKIQQIQVKDVNPTLEEVT RFASGADDGTENLDLNALAASLKASTANASYLPGDIIEVYEGEQKGVFGKAIAIQADI VTMAVTDGVLKGQTIEVPTKGLRKRFRQGDHVKVIGGSRFRDEVGMVVKIVQDRVTLL TDQGNNEVTVFSKDLRESSDSGGAGSLGQFELWDLVQLDPATVACIIKVDRESLTVLD QNEQTRTVMPSQIANKLERRKFAVATDRNGSEVRTDDVVKEIGDGGRQGKIMHIHRSF LFLHSAEQNENAGVFVVRTTNIATISATGGRIIASAGPDLSSMNPAMKLNPQGGNMDM PPPKFAGRDRSIGQTVTIKKGPYKGLLGIVKETTDTTARVELHTKSKTVNVPKDALAF KDARTGNTIDPNARGGYRGGGGGSRGGRGGFGGATPSGAWDGGRTPGGAGGSDRTPAW GRAAASNGGGRTPAWKAAADAGGRTPAWQDGSRTVAYGAGNRTPAWQAGGKTPAYGGF GSGSDGFAAGSKTPAYGSGGGDAWGTGSKTPAYSSNEPSNTSSWGANSYDAPTPGAQV TAPTPGGLNAPTPGAYSAPTPGASGAASAPTPAGWGGGGWGGAPENAPTPGALAAPTP GGWGAPTPGEWGADGGNEDGPRYTDE VE01_03644 MKALVVDKFVNNYDELKVTELGHHDVPYGHALVQVKAVGLTFVD LLYARGKHQNNRSLHTPPFTLGSEFSGVILTTNPPALPLSSFSPGARVYGSYLGAFAT HIYVPLSSFSKIPSSWSFQEAAGISSTLPVSYGALKRAGLERGQSVLVHAAAGGLGLM AVQVAKALGCTVVATASTASKLAVAKNFGADYSVNYSDEGWEKRILEATNGEGVDVVY DPVGLVDQSLRCLKHGGRILLIGFAGREGNMEKIAMNRVLLKQAVIIGYRYGETHRRN PEETRAIWETLEPMIANGDVKPTVYDGDFKGLDSIPRAMQDMADRKVWGKAVITLDDQ VENSRKKVDIRANI VE01_03645 MAHNKYTLRVTAGTSYDPSTHETVTVNTAEPISIKSEHTDVSLN IRIQVRTLIPSPLYPRSTCHKPSANQSLSQNYHGLPHSSPENSPYFSLPDYTKHQYSI SFSFTPTEAIPGDDLVFGNDFDHPIRDRLPPAFGSAFKIVKWAVDPGLEADVYSDKPW LFGKFLSSIDRLWLGSKNGDSSNSLGSKKCKENFDEKIGILVEEGGAADGLAYRKEKG IPDGAAARKKHFLVEGNRKGFEFEAGRPVFVDFGNPYLDFNKFALKLPGFHLPIMSYW DGQPLRYVLKNRVTGVVYLVVLFSLYLKEDVNEDGTIKEGVNLNQGKQVTVEEEDVEE SESSSEEEEEEEKPAAKNGVKTDDKKEQYGDTNADDVD VE01_03646 MDVDSMGSSSGGVADPHESSAQIHFDQMSYISQEHDDDERSILS QSGSPLLNLPAELLDFVLSCLSPRDLDAVVYSCRHLYIRGTNDRLWQPLVQENIPGCI LESSSPCSSYRGLYRAHDPHWFVPKMKIWFGDQHLFGRMMITYYNPYLGTIDGYRLVA ERAPTIEYTWEHDPNVVIISFKPNVRLHTDIPLLRLEALSQNGNSSPIYSSTSHRYDF EIPMSLSNLTDTIAQSTFMLARPAEPHPNSSMWPPATIPASQRVVSLGDDILAGHRHV SALVQMMTFNQTFTGAQKPRNRDEINEQAFRIRHWMHTVAGHRGEPLQISTYATLDPA LYTPTDTRPFRGIWVGDYSAHGCEFILLHQPDDDEPFDESTVVKRSDESDEQYLTRKK DAQIYRGRLEAIKLTGDPNIPRGEYTFMAEDIGDEGLVRIAKEDQFKGARIVKSKGQL ANRNFMNPEYFESQLILISPDKIAHYWKSLGIICFHERVKLDDFIVPNPKLYMAD VE01_03647 MPERTRPIKGPHPATVGIIFVAVAFVTILLISLYCSFFNKMRKQ TRLEQRVTRRERAFDRDDEDLEMQEAPFDDLKLPPKAITRK VE01_03648 MCLAQEICYQPCNHRYRQPLENCGPMTEHLQSTTNALELECANH YTIILEAVDFCNNCTKQMKVTATMALVALRDMSIAGTDETGGKAIGEKGKAISEKAKE RLKEKVKVKEGGSRKHAGYLALPVWNTKKIQKNRERARSMGPNDKHGRAKTARRKRRR NSLAIIGGQPDDIKAEILVPW VE01_03649 MCLKTEAYYMECSHRFPHSFSHCTKIIEERTTKGWWPFSLMNAD PYKECDDHRLEKKNSEGLCPTCDWEMKEKLKQAASRPSKPQQSLCATSNATVRPSPPK MCTKLKTHFWKCGHRVQTGIEVCSPWAERSQILDANGKCPNDCISVEKCDAKCWDCSM EVDRPTFEEALDKLRSDLRGRAAGVGMQGV VE01_03650 MKLRNLFSKKSKSDPTEDSKAESFDKAPLGTYVLHEGVDEIVAD IVFVHGLRGHAINTWSKGLVCWPRDFLKNDIPNARIITWGYDSSVANALKYASKESIF GHSETLLGDMERLRRDKALIKSAAYQSHGRHPSLGEIYHNTKGVIFLGTPHRGSDKTD FANIIANVAKVSFRQPNKQLVGILSPDSDVLENQREQFTTITQNTPIVCIREELPTAI GVIVPEYSASMDGFNVRKDSIPANHMDMTKFSRANDIGYRRVSGHIVSLVQVQATKEN KEIQKRMDDILEALHFETIDDREEKIERAHAETFNWILSDQSHCSTEVKGSSSIVPWL SKDTKPICWVSGKAGSGKSTLMKYIWTDERTRTHLSTWAGGKPLLLASFFFYERGGSF QKSREGLIRSLLHQILSQKRELIPIVFKDEFEIKEIKPPRFLSWPKLKNAFEILLTHT SDSFELCIFADGLDEYRIMDRMEDYTDDDFELFYDGKNDDESVWGRSHWIANGHREIA ELFKVAASTTNVKICLSSRELTPFQGAFEAFPHLRLHNLTATDITIFTKTNFHSGIKS IPTVDETDGMDRLAEEIVLKAQGVFLWVRLVVDILIAGFNNGDTVPELRAKLESIPPR LGGKRGLYIEMLKNIDPEYRLQASRYIRLLLHAWNDLDLVDLEFAAEGPFGRKSSSNA NLESLPDALIAVKAPFNLIPDERLEPRRKKMRLRLMSRCGGLLEAPKKHVRFMHQTVK EFFLREELWIHLLPQEPQSSFDPSLALLSACILRLKCLEELISKPYEGRYGEAYGRLY FGLDYIYTADSMHYAASSEGHGANKEAYFSLLDELDLTCTHLARAFFLRQQIQTGPIE ECHWSTLEPMIDCDKKVSKYDGFLPVAIQVGLTSYVESKLSGGNISVGAKLGKPPLLA YAVSLDHGLPALVHYSSDNNAAIGYSLPDISVVRLLLSLGADTNEQYDGTTVWSEAVE TGHKCFTRQRILFARGISRAGLIAQNKRRWIEVMKIMLRHGANPEEICHDAHGIAPLT AKEVIRDILKDSAEYSKDLVELEEIFKGRAVSVV VE01_03651 MAISVDLSWHAPISSNINSLSSAINGTGIYGFIFNSSTLPQGTP YGTYNWCNMPHVRRTEYPKVNSSYALEYVEVIHRHHKRTPYASNTFPVESYPWYCDDE GLFYAGAPLPTHGANQAANTYWSVYTSTSNPLAPRGFNGTCQFPQITREGLDDSWQHG KDLYDVYHDLLGFLPKKYDPKVVSFRVTNNVITSQVASMLVSGMFRGEEHENVPLLIQ PSNIDSLEPAYSCSSASNKFSSYGAGSSDPGWTAHLKAASDLYANLDAISGVSPTDSG FHMSFDHYFDNLSARLCHAKPLPCSISNPSLCVTQKMAEEVFRLGQYEYSYLYRDAGA RTLEYTAASYGIWIAELAQNLRDAMHATDSASNGSKKKSSGMKYRHNVAHDGSVSRLL SILQIEKMVWPGMGSEVVFELYKGKKDQQYYVRVLWGGQVLKSSNPTLGVLDMVRADI LIAYFDGLAGQGAKKIPSLCSV VE01_03652 MGWFWGATADDKKSSTDPLRDLDPSLQAFLKKESPVQYSPTTPP PTQPQAQQQPTEPTSTTSSSEPPADPAAPPTLFKDNRYAHLWKTYTPQSAIEAATKSD SEKIADVLEGYKYRKAEIGRAALENCALEQWEVNECFSNGGVKARLTMCRTENKSLER CVEMQQKFLKALGYLSTFDRGKDVDERIQMHADTLYHRMLAQEKAVQEAEKEGKEMPK FEPLLGKGGSSVTAITPAEAAAAKEQVPEIPKTSPLSKLPLDIQAQIKEKLEGLTGIE RELQEKALAAEVSAGQEVKANLKDIYKDSNAERQKRVEEGNETIVDRVSSILGGWK VE01_03653 MSSTRPKPNNLSLSATPAQPSASATITHDNGRVTATLPTGESVE VLLYGATVVSWKDKGEEKLWVSEAADLSGGSAVRGGVPLVFPVFGKPTDHPATSALPQ HGFARTSRWEFLGKSTTESDGKGGDGGVKLDFGLGPENLSAEAREAWKGEFGLIYSVA LTRGALRTSMLVRNDGGEAWEFQVLMHTYLRVKDITTTTVEGLAGAPYHDKVTASAAT APTSPSTLSITGETDRVYTPATVDTALTVSANGTPAFEVVRENFENVVVWNPWEEKAK GIKDFAPKDGWKEMICVEAGQVAGWTKLEGGDAWEGGN VE01_03654 MVLQAGAKRSLSLENLPPRPPTPPRDSEPVPAAEPRPRLLRRIL SMGLGTKTPPNASPKSSAESTTTPSISTPSNRSLKKVGWSIVDEEEGVLNLSSSRLSN QILRSLPPSSDQKPPKSILKPYNGATIPLNRTSLSFDNKSIEPITDVGFAIMLESVAK QLAGGDRMSRVDAYMSLSGVLKATGNLPDPRALRDKMGLLAQFVQRDMTAQLPSGAAD TVLINNALILLASLFWKTEPMPTDFSVFVLEHAIATFEDPQVSKDVAKHLMFVVGQQN FPPKIMTPDRAARVLKATHEIAEHVRGKSIVLGRLGIYRKLLKQAKPALVANNDWLKD MFEDMLVSVKEVRNPVIAFGFEAALQFGTQLKVSRAVVELFQAPHEKGGKYGNYYASR LNTAIQTKQDVPSVPQIWSVVTLSLRSRPSQLEHWEFMTPWFQTLQKCFNSGDPEVKR EANFAWNRLVFAIRPDEKTNPRFIKMLHQPFIGQLNRKNSSKQGKEMFQVTISSICNL LYYTLNPAASHAQLSLYWDSYVVPLVGKLATTTTEQGADKGTPGLDGVEQAIEILHSL LEPSTQSSATPWKQDRAMAAELVKPSELPSLDSKWVRKNCVPVLDVMEQLLEQRFADL GKAQSPATELWRSFLMTVAAAGAKEIKVSNETMECVARIFTMLRRFWQKGPQPATQRE TMDSAAYLDGMVYLITESVSILGMLPFTEKKLSITEQNAFLAVATPSSHRLPKTIKSP LQYLILLLVEAASDLQCSTTYKAAVRAILNPFYEARTSRTSRFEFCQDLVQCLPEAVR GSDVSPSETVWSVLADMLAGAITEGADDGHSHTPSSSANKPQEGDVLRGIVRVLEYGL TTVVLEGIPHLETLFRAASSYIRAEVGDGGHALCLVDPLARCLGDQLGRTDVRALAGM CAVLVGDACYPVDAQSLEAARRRLWGASTNSPKPATTDPYKYLYGTVNVYLEKCYEEC SKDAIGADALLTSVTVLIERCPGTNSLDLFAAIGSGGGCWIQDVNGAVKSRSSTGKAV TALWEAIRSQASTTISGADSGVDSTIPLSKLEALLSAGLNSKRKPIANSAITLWNATF GTQSTLTYPPDVAKALARLRPVADLKLPTFPAAYDEAATPSGPQFIDSDSDSQALSFR CSSRPASRGVTPYLESSPLASHRKAKSPHPSIELSKSASKKRFSTRASKLGEKKKRDT TPRLRHDDSQIQFAAIESSPTAEDSQLLTDHQREVKERQQNEAAAMFPDLRKSPRMKT RRSGERLALSSDLPVTEGTTWGTPSVSRVGEREDDFITSSPTPRRETSTEGLLGKEEE GDVPSSPPKGEAEGGPSASDEFWNVTSFGSIDSLLLEQHALGLPEVVGGEEDGRSDSE SVLMQALPLPHNVEPAEQGEQITHESTFSDALSNLDAIRERDDLTTDEVFVDAQSSPL LEAQADLPSTQSSGRRKRRRRNRTGSNGDVSRGTSASVSVADESVAPAVEQDETRAEA DAPPTTRSRFSLTPSTGFDHTAYTASPQPSPAPEPETRKTRKRRRAAAAAEKESTPVV QAPRSMRSMRSSDKLTRAAAVSEEPAVPEQQEEEVEEEGSIPARTRSRVRKREISSTI PETPTKATETRRRKSPHGEEEDEDVVMRSQSAKRQRVEEVGEQVEDSQLAAEPERDDA ELITVDTTPRSRRSRRERTSTAKWEESDLGQRRRRSRAVVEDEEETDSQVMRGKSVDL DLNLNLDLDTASGEMVEDSFVGTDVKGEEAEDVSMHGAGEGGVTEAQREGVASDDEAE AQIWDGMAEVRESSVSVAAAEPEVVIIEPEVAEDEADDDAAKKGFTGATAVAMFKELM EGLRTGTVSRGEAMQMEEMVWDFKAELYAAERRGRGSAA VE01_03655 MPRDPYTDYAPPRSAQRWDDADRFANERGDRPRDRDLERFDDRG GPARPSRRARDHSASSDEFVDRRGPPSRAAYEDDYVREREREVYRDEPPRDLPVRSRT TVEREVEREREYYRDPSPERERVRRPVRPVRPSMLRRQSSLDTFDRKPTMPRYMQREE AGPVAVRRDREVEEERAYPAERVREREVVRERRRSRSRSLSSTSSSEYSLASSVRTGK SQFPKRGKTRMPARMVSKRAIIELGYPFVEEDTTIIIQKALGREHIDEVLQLSEKYRR ETKTEHVDKIVEERHTETIYTPPPAPAPIPPPIERPVYSPPIPQPIYAAPPVHHHHHQ QVIHVPTPPPPAPIDYSQVPEIIQVDPPRSRYPPSTPSTDLVTTTTSATIYEERYGSP HHHHHYRSRSMGPTPRHIESSLALAPIPVFGSGHRHRHPRYEGEGRIVRVERIGDADY RRGEEGELVLYERGEVVVEPVAAVRRDRKGRMSLIVPKRYR VE01_03656 MRSSKSASAKAPPPLLTGAAKLAEVKRLLEKLSLDLQKICMLPH REQDVEVDVDSTRLTDIERDAALEQLKLYGRDPVDAEPIFTQEGIETLTRHAFNSPSF TTSRNALRCLANALLLRASSRAVFVDLHYEMKLCQRLSNDNREDEFLVSRIIFLTTYG GNMDLENLIDNHHLAENINQNISRHAKQYDEVQKIEKKESDRSSASSMSTKDREKREK KERKAKEKEMKKNAKNPESTEPDPMEDMSLAETLKLLFNTTHFCRERASAFVPAIPSI MRLLLKRAVAPTNPMEPPTAQLISALINLPLDLAESHLFPRSDPKIHVERLVNLLDLA TAAYPERDLEQQVSPLLSLLRKIYAVAPRPVKVHLRMLLLPTAEDRLKPLGATNTLSS RILKLSTSPLAPTAREELSHLLFEMSDKDAKNFVQNVGYGFASGFLFQNNVPIPENAL DAWSINDSASMGGRSSVGERSSSSSARTFGMVGGKAVNPITGQTLESEEPWEGPKMTR EEKEREAERLMVMFDRLQKNGIIKTENPMRTMQHEGRFEELSDDDSS VE01_03657 MTDPQPPTLTPGPTPSGDISEELPPASAEDRKAAAALSSLDTPR DDDSNTKQDVDVEAVRKAMDRLGGGGGAGGEGGKVGGKKEEEVKKVVKVEAGDVKVVI EELELSKPKATELLKANEGDLVKALRAYVSV VE01_03658 MVSIPQPPAAAQPTQEIKLAAASATNTAMPTTTSTTPSGTWSPS SWTTKPIKQDAIYASRPAVERVLANLSRLPPLVTPNEIENLKAKLRDVAQGNAFLLQG GDCAELFDYCQDGAIESKIKLLLQMSLVLISGCNKPVVRIARMAGQYAKPRSSPMEMV DGKEIPSFRGDILNGFDVGERDLDPERLKSAYFHSAATLNFVRASLASGFADLHRPLD WGLGHVQDPELQAKYTKIVDSITGTLRMMRAVGVGAESSSGLQTVDLYTSHEGLLLEY EQSLTRKLPIPSETTSTVPAPETDSSTTGSKKAYYNTSAHFLWVGDRTRQIDGAHIEY FRGIANPIGVKIGPTTSVTDLMALLRTLNPTCEVGKITLITRYGASKVSELLPAHIRA VESSEYKRCVVWQCDPMHGNTRSTPSGIKTRVFGDIFSELQKTLQVHKEEGSYLGGVH LELTGEAVTECMGGSEGLNEEDLGTNYTSYCDPRLNEKQALEMAFLIAGHYKGQEAL VE01_03659 MSSTNLPSSFDGDTEFYEENRWQKLTRRLKEEPLVPLGCALTCW ALYHASKSIRSGDQQRTNRMFRARIYAQGFTIVAMVAGSMYWKSDRQKRKEFDEVVAE RKAKEKNDLWIKELEARDEEEKEIRAEKERRSKRLSDRFGKGEQSKVIDEGKNPILAQ VRAAEGKDSEDASSVVDGKEKKQKSTLESVKEMIMGKK VE01_03660 METPNGVSDSPTIPRTPSVQALSLTEYATNPSPPREGRQEGKEK VTSAVPLDFILPTGYPDYLRLILTSRVYEVVNETPLTHATNLSNRLECQVLLKREDLQ PVFSFKLRGAYNKMAHLDPQTRWKGVVACSAGNHAQGVAYSARKLKIPATIVMPQGTP SIKHLNVTRLGGSVVLHGADFDAAKEECYRLEKLHGLTNISPFDDPYVIAGQGTIGME LLRQTNLQQLEAIFCCVGGGGLIAGIGAYVKRIAPHVKIIGVETYDANAMVQSLAKGE RVFLKEVGLFADGAAVKQVGEETFRICQEVVDEVIQVTTDETCAAIRDVFEDTRSIVE PAGALSIAGLKKYVAANPSPNTRRQLVAIASGANMNFDRLRFVAERAALGEGKEALLR VAMPETPGAFSELMKAIMPHAVTEFSYRYATEAMANVLVGISLTSPASQRAEELKNLL ERISSGGLTAVDLSGDELAKSHIRYLVGGRSDVPNERLYMFNFPERPGALERFLATLK PNYNVSLFQYRHAGSDIGKVLTGIQCPDDEVDQLHAFLNKIGYPWEDCTDSEVFKTFL RS VE01_03661 MAIKTFTVLENNPEVMNQLAYKLGLSQDLAFYDVYSLTNPDLLA IIPRPVFALLVIIPLSDAWHKDREEEDGPKQIYQGVGPDEPVVWFKQTINHACGSIGL LHSVLNSQAADYIKPGSDFDKILLDAIPLRWAERANMLSESEPFEVAHEAVAQLGDTH PPTAEAADKLGQHFVSFVKAKDGHLWELEGSRKGPLDRGALAEDEDALSPRALELGLG RLIDMERNSGGDLRFSCIALAPRQE VE01_03662 MKEQTKEQTKERTKRQTKEQTKNQTSEERRPSDLGRLVEETRLA EDDSTIQERKLSVARRWAERLSEDGGGPEDPGGGSPTDDDERPISLIDLEATELPRTT KKRHVTEEFSMTPDGMAEAEEELAEEERHVTEEFSVTTVGMLEAEEELAEEERHVTEE FSVTTVGMLEAEEDLANTETSWSIDWTVTSSELAELKKEEAERMAKEDREIAEEHTIQ LAMEDDYYNTTVRTYDLILQGYCNDILYAAVWREQEWPQGPLYSSILAPVRKENAMEA GVGNTDARDKKAIEAPADGGAAGVPVAAPAGNDKPLPMAGVLESDRRGAMDTGTVTIP TKLVPRTDYDKVLLGMEDVAFIQEVADACTENVERRMEGGKIYLAVKAAMGDECVGTY QCISGQMGLVITNFIICFVGAVLNPLQKEQHEIKLERKLASTQAWIKESGAALATLWP GDVLGPTAWPEDAQALTGGGLEVDDFGGLNDAQTPLPTLDTPDISQPSNRRRGTGRAS RSGKVMGRRRARGGVVEGFAEDLNNAEAPILPPVSKPLDPEASCNDGSAKRGGRPRGS RGRGKNRGRDVGRGGGCAETSTLPRLVDQSLMHNGPQLNKGNRPIAPKAMGGLTGGAV EAVAEDPILPQVLDKSQVRDGSQLGIKTRQSAPLAMENHLQTTEGVARGFCGTPLPPP ALDKSQVHDGSQLGIKSRKSVHWAMESHLQTTEGVSRGFHGSSISSPALDKSRMYDSS QLGVKTRQSATEGVVRGSAETRTLPPTVDKSRKSDSSKLGKRKRGSDSQIIGSRTTEG DTESSAKSPILPPTVDKPRLPNASQLGKRKRESDSEAVGSHLRTAEGAVEGSAEVSAE GSKDAETPLRSSSGADSRSDASHLDSLPVLSPQPVNSNKRSLRPVQLFSNHNLIVPID TMARKIKSENLDEGDGSYRSRASSPTTPKRTTRSSSKRPSDVAKLSERSPGSNKKQRK SVKPIPIQDDEDEDNESDDHGDTTGEHTEGAEDDDETMVEKQLVSVQKEQQRKANMLR NEELTRKQEDNRRRERERQLRMKEHRDELRRQEQQRQEQERQEQERQEQARQEQGRRE KERQKQERQEQERKKGLFQQQESIAMMHRVKQQMDQARLAKERKEAREERDRRLAEEQ EAQEQDAAAAKRLRGEEEESDDDYEDGDDEDESEEEGLKAGVKKTKSEEEIEDESEDD EDEDEDSEEEGQKPNEEDAKAEENRDGDSDEDSYDDEDGAYDEKEEKIDKNPVLGANV PKLAKPLVAGDGCACLRCLKNLAVSPVFSCDFTDNPLKCKRCSSLGGGCIPVPASVLD AARKLSMLQKFHDAADPKTREAIRIRVKADADAISDKIRLEETNRRQTRDDRYRAILV GQVGIKNKQDEILKLLRASLSSRQADGTGSVVPGRVGKGKKGAHKRAQKRAKKRS VE01_03663 MHGGPESIGEVTSASHHKASTDIKTSPSIHSGDDEKHELQEQVS APKLQRRLKARHLQMIAIGGTIGTGLFIGSGGAIAKSGPAGALIAYIFVGTVVYSVMM SLGELATHIPISGAFTAYASRFVDPSIGFAMGWIYFFSWAITFALELNVTGLIIQYWD PSLSIGIFIAVFWVFIVACNMMPVSFYGEFEFWFSMVKVITVIGFLIFGICIDAGAGK EGYLGFRYWKDPGAFASYLLPESNPVSKFVGFWAVLVQAGFSYQGTELVGIAAGETEN PRKNVPSAIRKTFWRILFFFVFTVFFIGLLVPYTNERLMSSASDATASPFVIAADLAG VKVLPGLINAVLLFVVLSAANSNVYSGSRILVGLAHDGSAPKFFARASARGVPYYAVI FTSVFGFLAFLNLSSGASTVFEWLLNISAVAGFITWASIGASHICFMRALKAQGVSRD TLPYRAPWQPWYAWYGLFFNVLICITQGFTAFMPWDVTQFFVAYVSLILFVVLFVGHK VITRSKWVRSAEADILTGSLACEDEEWESIAPTTLWGKFWEWVV VE01_03664 MKFSQSLIALAACFLPLIAAAPVEAQNAKIRSPGAQAIIPDSYI VVFNKGVNDADIESEFASVSRILSKRSSAHRGVGHKYSITGFKGYQIETDTASIGEIA ASPLVAWIEKDGKVQANALETRSGATWGLGRISHKAAGSNSYIYDSSAGSGSTVYVVD TGIYIEHSEFEGRAKWGANYISGSPDTDENGHGTHCAGTIAGATYGVASKANLVAVKV LDADGSGSNSGVIAGINFVGQNGGDGKSVLSMSLGGSYSAALNSAVESTISNGVTVVV AAGNDDADASNYSPASAKNAITVGAIDNTDTRADFSNYGAVLDVFAPGVDVKSAWIGS KSATNTISGTSMATPHVAGLAAYLIGLGGLSSPSAVAAKIASIGVQGSVKDPKGSINL IAYNGNGA VE01_03665 MRTRTPFIEAFRKQQEGKQSAPISSPVGDKAPIDTTPKRMSESF HKVTLPLARDPWLLDSYINATGHIRLGTIFMDLDALAGVVAYKHTGDSVMTVTAAVDR ITLKHPLTSICDLELSGQVTFATGRSSMEISLQVAKAPEEGKEAKEEDILLTCAFTMV SLDPATKKPVNISPLKLVTDEEHRLYKEGEENYNAKKELSKIALRKQTPNDEESDLIH ALWLKQSEYSDATSAMQKPANAHYMSSSLIQSVQIMQPQYRNRHNFMIFGGFLLKSTF ELAFTCTSAISHARPRFLALDPSTFANPVPVGSVLYMSAVVAYTEPGVGDSTRVQIRV DTHVRSVEHGEVRPTGQFNYTFEVPGELSIVPQTYGEFVIYLDARRRAMRVAGRAESG AEGAGQDGILG VE01_03666 MAFPYKHVLLIGATSGIGKAMAERLIAEGVKVTAVGRRKDALEE FENAHPGLARGAALDITQLDKIPGFAKHITEASPDIDCLFLNAGMQRPFDFSKPESVD LNVFNAETMVNYTSLVAVTHAFLPFLIAKEGPSGIIFTGTHISIVPAAQLPGYSASKS ALNVFTMCLRDQLRNTQIKVTEIVAPAVKTELHDYMGLEVGRNLGMPLDKFTEEAYQG LLSGEDTIAVGGIMIPGAKEAMADIVAKRRTIFTSLAELIRSR VE01_03667 MARDLEVGNAEAEDRRSIANNEKEVELDEYSNLVRYISTYRDTK GAAAVVDEQEDYDEKKRPWYAFWRKELGPGGAIDIPTEWLETDIATGLTSEEVERRRR KTGYNELADIKENMFLKFVGFFRGPVLYVMEVAVLIAAGLRAWIDFGVIIAILLLNAV VGWYQEKQAADVVASLKGDIAMKATVVRDGVEQEILAREIVPGDIVILEGGGHGTVVP GDCRLICAYDNKEDGFANYQTEMRAQGLAGKKGGNPEDEDEEGLPHGGHALVAIDQSS MTGESLAVEKYVTDVCYYTTGCKRGKAYAIVTCSAKGSFVGKTAMLVSGAQDSGHFKA IMNSIGGTLLVLVMAFILAAWIGGFYRHLKIATPEDSSNNLLHYALILLIVGVPVGLP VVTTTTLAVGAAYLAKEKAIVQKLTAIESLAGVDILCSDKTGTLTANQLSIREPYVAD GVDIDWMMAVAALASSHNTKHLDPIDKVTIVTLKRYPRAKEMLMEGWKTHSFTPFDPV SKRITTVCEKNGVIYTCAKGAPKAILAMSNCSKAVADEYRAKSLELAHRGFRSLGVAV KEGEGDWQLLGMLSLFDPPREDTAQTIADAQHLGLQVKMLTGDALAIAKETCRMLALG TKVYDSDRLVNGGLTGSTMHDLCEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGV NDAPSLKKSDCGIAVEGATEAAQAAADIVFLAPGLSTIVSAIKISRQIFQRMKAYIQY RIALCLHLEIYLVTSMIILKETIRAELIVFIALFADLATIAVAYDNAHYEKRPVEWQL PKIWVISVVLGSLLALGTWAIRGTLFLPNGGIIQRYGSVQEILFLEVSLTENWLIFIT RGFETLPSWQLVGAILGVDALATIFCIFGWLSGGLEESVSGDFSHFRGDGWTDVVTVV CVWLYSMAVTVVIAIVYYMLTNWSWLDNLGRKSRSVQDTQIENIISHLSKIELEHDKE RDTWNIKAKSENLDEDDE VE01_03668 MEVLSTLGVSVGGEGDGDGDGGRSRSRSRRNEEGRAKRERGRAK RTVHHGRTIARVPPAEKKKRTRREEREDAGRGRGRGSASGSASASARARASARARARG KSHE VE01_03669 MASADSDVHAALQDAVASFVAANPASEKVFNRASDHLPAGNTRS TLFSPPFPVAITIASGCSIRSADGDVYLDMVGEYTAGIYGHSHPDILQALEGALLNGV NFGATSPLEGELAARIKKRFQAGAGLEMVRFTNSGTEANILATTTAQVWTGRSKILTF AGSYHGSVLSFHTKGELDPMTMPGEYVVADYNSIESVDEELSRVPADSLAAILVEPMQ GAGGCLPASIKFLQHLRARATELKALLIFDEVMTSRLHHAGGLAGKYGIKPDLMTMGK YLGGGMSFGIFGGRREIMELFNPKSGGVVTTADGMQKPMSLMHSGTFNNNVLTMHAGI AGTKILTEAVLTQLNELGDYLRQAVLSILVEKGLVCAATEADMRDIATVPRGRIWISG VGSINAIHFGANDELVELRDLFYFHMIKNHIYVTRRGFVALTIVHTKDDIDRYVKCVA SFADRWGGA VE01_03670 MPPRLTRSASAARARSTQHKAGRAKTASSVATGSKRRREDDDVE AVLKRRRQGDKEPDIQFLLPSWRFLGQHGRVPRYPVDSSEDGYTREDPVEESPKDDPD ESTGTEPTPDGYEDSSSSEDESDEDSEEGEDPESDPDSSSDSS VE01_03671 METSVDENDQEEERRRNYEARLVEQGMASEVATGVANGDYELPL LDQPYDPLYPSGEESIIDAYEGIKEVDILADTQHVLRKRQILDTVVPIADAVAIYNEM YFPSTKAQSGPQATSDGKKGCQDDDGQHYIKPRMASSEIYCPPATISPHLPVHITAEQ FRDILIDEDFTRKYFTFNDTATIGDWMPPLYDPSLTADKNIANHLIMRALKWPLCMSG FETPETVAAAIEAQTMVDLSRRLFLWAYKAAADVIGEFVMDLIHQALLVPAMVVLRAA VADLKQPDPVPSRKGLATSVAALVLPVRVSLTKTTSALVVVQDQPRQSPSARIGNTSV LKSKPAPIIPSSSKTSRTLAAATSRPEPVVPSSTKDSVKASPLKTNLSTPGTPSSTKA DPKKPRPKPSTATPSLPPPSKAPAVASKLETQLGKTSPVDFRAEKSKYLKPGVLSTSK ALSVSSKPQRQIGLASTQKLPQKRHLSSSPSSSSSPIPSRSSSPSLPQPHARTVSKGK ETQILPRDMVAATAQRSLPSSPAKVKGEPLQSLGAVDSHVALPKTPTNRVDVVGGLKM KFEEVQSSLQKKKAFEMAPSEQIAALDTILTSLIEVLK VE01_03672 MRSLSLPLPVALSLLGSACAFQIPFLSTPDNHPGKHNTIFSTPT PATPVTSDELEQLINPDNLFRRAEQLFEIAKLSIEEYGHPTRVIGSKGHLGTVDYIYS TIADLGDYYSLSNQTFAAVTGNVFESRLVLGHEVPKSAAPMGLTPPTKNRGPVYGQLR LVSHYGCDEHDFESDGKGPWIALVSRGICPFGTKSANAGKAGAIAVIIYNNEKGGVSG TLGQPSKHHVATFGISDTDAAPYIEKLKGGHPVDSIAFIDAIVDTIRTTNIIAQTRGG DPENCVMLGGHSDSVAEGPGINDDGSGSISLLEVATQLTRFSVTNCVRFAWWAGEEEG LLGSDYYVAQLSAAENQRIRLFMDYDMMASPNFAFQIYNATDAVNPAGSQQLRELYAD YYEAQSLNHTLIPFDGRSDYDAFLRSGVPSGGIATGAEGIKTAAEAEMFGGSAGEWFD PCYHQLCDNLSNLDMVAWEVNTKLIAHSVATYARTLEDFPKRADNLAAKSMTAPRNEV YHGHKLIM VE01_03019 MPSRSISEIEGRLRLLEQSRTSSERPDSFEASQCSSNPLANALH ELRDEHVEVKQLGQETILVDQSIHGSSSNDSFINQVTAVMDTANKAQQEWPNTAQSRG AESSLPLQSRIAPELNFPFPSPEDSVALMECFWDNIQPIFPILHRPSVQRSYSLICQP CEADVGRDVLERDLLQATLNIIFALGCQYDNHLPFEQRTTSAAEFYERSRTFFSLDAI DVPTQSHVQLFLLTAIYLHSTAYANRCWDMVGAGLRLAQSLGLHQENNFLHSNESQLK REMRRRIWYCCVILDKMTATTFGRPVTLSRFWNVEPPQAIDDEFLQHVGKGCQPPGLH SKMDGFVYSISLFDILDNVLSTIYSSSNDKIEHPSQEKPHLTFTKRLVSTFTLNSQLD DLLKNIPTHLKLQEDFTNNASQMKRCFQVQTKTLNCRILYVRLLILRPWLLEGVHPAG GNIVSSRTMNSSTLGQNTTQEIRTLCVSTAQLIIDTLYEDELNSSQISYAAASILLAA AIRPDSSVDLESEPYKASWRKAIHTLKHNKVQLHASFRAVEVLEGCRERLRSAMKLTA DSLNGQDALPPGEVEFDWAVDMNSEIRELDLLSPNFFLDSW VE01_03020 MPDLHVNGAKLYYETFGSGPLLLLIPGADGRGAVFHDTAKYLSI HFTVACWDRRGFSQSLLIGAQDFADRLSVDADDACALIQHLSDQPAFVFGTSSGAIVA MQLLIRHPECVRALVAHEPPAFALLPEEYRAKAAGLVEHIYSLYRAKGVQAAMEVFSG GLSAGEDGVRMRYCMDTTRGDEIRANSMYWFEFELRQYTSAALDVEVIVAEKEKYIPA AGATSGDGPGVGPIALLAGKVGKEVVRLPGGHISYMVEPEIFADALWVLFEKVIKS VE01_03021 MLMPEIEVFLTLMKTIDSRISVVREETKNELKTDSLSKTIFHSL IRNQNLPEAEKSDKRLADQASVLLGGRTDTTASTLAYTTYHLLSNPRILKKLRDELIS AIPDPQDMPPLNKLEALPFLTAIVKLGIRLHPGASIRQERVALDEDLLYEDRKTGMKW LIPKGIPVGVTAPLLSRNEDIYPLPSVFRPERFLNNLRLDRYQLAFSRGSPRCLGMPL AYSELFTILASIFRKYDSYDGTGKQTGSTFELFETTKDDVEMVADHVTPY VE01_03022 MKQGSPKAQHPIIQAAQPNHNHLSMVHAPPRLTNATPILSDGYA GIEMDTQSLERLRRFHLRTVPTMGGPEAAEFYQSHALKMACSAPYVMHLVQALTSLHD RHFSGQPNKRQIAAESYHLSRAAAQFNRKLSEPLARADRDAVWAAGCLIGWIVFCSID AKQASEAWPLAPSKPSDLEWIRMNDAKALLWGITDPMRADSMFSIMSTEYAAGMHKTS AADIGIPPAFSRLYGLDDPESESGPYAVAVRALVALLPVECDCQNYVTFFLFQGHMQP AFRELLAQRDARALLLLAYWYAKICQSVWWLERRATLECQAICVYLERYHGGDTEIQE LLHYPRMRCGMLAQDGPQYKTGVAVDGHGTTYLMYP VE01_03023 MSQVAPQVDVGGLTLNGLAAFAPVLSALSADDVTPLAMVQMENL GSLFHINGQYALQVPDLLQRCKSTRLDRLGLLVGWRKGDSASLMAQSAGGQAISLLSM CILNLYDEFNTGRLLSGLSKAMLSQTVAISSPSQLVKVARTLSSKLEVLGFGNILASQ VVRVYDAYKHFGKPVPGNFLDKITPESMAELLHAITRAIREESIIVRIRGSQSMGLIL AIVTIMFPEDAFVTMENVIVFEGLRKSILVEFTDSDGFVNFQIESKLQIHKTVPILPI VEGIKTPPNEGYCYKWTGCMADMLQVMFMEDGMICPEPLRIACCATLEPLAKKLDGVD HRSDTTGFRRGHGAIKLLGPYPHERIDKICQKLWRIPPGWAKPPSSVESAFHDLVLAF DEATPTVSCSCITQTRCSTELGWQFHDHLSRNWSCSLYRLWSAVGMAISCGFACLFVD ADDCATIPNPIALTFRSAYLNIILDLLDQTQDFYAKNAENNKYDYCEVIHRYIMTTCT RTNRDFAGNYVAGSSNSSTVYMAALQTPQLPNKMNAPFILMEGQLVFNGRYHASLKAV GPVRPDAENNIHQGQQITPSSIGEHSSLEMTIVESISSLELTSTIRVGGEIAHLVLGH TIIASWAVRETEPCDHPPDTPLESQHEDAVFTTSVASPLASKGKLAIVQVSRNPVAQL FACCRGRPTLLQADCCLNCAYEEAAKRKCHMILVA VE01_03024 MVTTARRRDPRDGRTLRIVDESFWSRERDMLSLRLSLLHDFLSA KGLTSDIQLHAEECRYLEYQIQSIHASLARTGDDTEARDALDHRLQSEPSTDRTERFK DWLANNQEFKTLMLSHQTEGARDGDAVDGDGAAAVVVGGKYKCCELGCKHYVYGFETV EALRRHMGLHEDAEEARREDVVRRRTSGMSLEDVTSGGLGSREGSSEGERMGVNGRKG SVVGMGNGNGFGVMPPSPFSKRTSLLPTPEAEGRRRGGGRLSLPGGQGGVGGVRTAGP CLRCKVLKKKCDCQNPCKECPQQDVMAPDLWKALGCFHGPLTEMVRKCLHGFEQPSHP STGPPTTTDVFWAAELDYLLASHPGFVSLDDEFWDSRHKLSSLNSADIMDTTPDLTDA AYKRLLQEYGPAVGLLKTTVLDRAYLGRTAYNPFALLRVGRDAMDACNDPSSWHLYTL ATTLLLTSIRYRLALSSTPPTNPPSLTTPLTAFLLAFDTRFTNRKELPPSAWLAAFHS LCLFSITKTLLIDTPVPHQSSPHDAAARLATAHKILVSVFAWSAKLSAWCPKEPLELR DPLLRDWSRDANPAVQPPIRDALVATQRLVNRDGWAKSCIRHTKDFLLGLGAGNVEGG FNGFLAMRYGGAEAGYRGGDGFAPPAQRRRTDSGAVATDSPPVKSPLAEDVGPTTTTT TTTTSATPGRRAPLPPYPPPAASAAWRVASPPSSGKSEEERERELASYYRRPLAVGGV GEGGAGGGVVTVAARMPLPSVAARVGSSDDGRGAAGGSPASSVDKRPKRRELSKEQRE HAAAVRRLGACGECKARKVKCSPSHHRGSPGVGGGGRRSGSPGPTSPRTTGSGGSSSS PGRGGGGSVGPIVRKRKSESPAPVMHMGMSMLARRASPDAADDDDGVGGEVLVVAVEE VE01_03025 MSAFEQWLDKAATRGPDRVIPSSAAIAVTKDGIIYSHSAGTQSE DPASPLFDKPLSPDNSIWIASATKLMTSISILQLFEKGLVDLDADVSSVLPEFANPDV FIKFNDEGEPTYEKAIKKITIRMLLTHQSGMGYNFFHPYIQQIRDFKEKAGDAVPSKL TWESQLNPLLAQPSTQWTYGSSYELLGRLLERLTHTSLGSYMQTHIWHPLSMSPISFS PSDPAIASSLADATLRGPDNTFLPAPNHFYHEGAEFESGGAGIFAAPSAYGKLLAAIL RDDGALLRPETMAMLFEPQLSPEVQPYFNAAVYAEGAEVLSQSLPREARLTQALGGAV CQADVEGRRRKGTLMWAGLANCYWSVDRVRGVALFWGSQIMPTSDKGVLDGFRRFEEG VYGGLV VE01_03026 MVQDTEKQANDPSSPRHSLEKVDEIEDETPPVVLDKAAERRLVW KFDLRILPTLAVMYLFNTLDKTNLGNAKTAGLEKSLGMEGTNQYSLVLSIFFIPYVLT APFLAILGKKYGPNRVLPAMMVVFGTCTMCVAAAENFAGLMTIRWFLGMAESAFFPLV IYYQTTFYRRMELARRLAIFYAGQSIASAFGGLLAFGVFRIKSDVLESWRFLPLIEGA GTVLFSIFAYFYLPRNAATAHFLNDDEKILAEARMLLDSSASEDDDGVFNLRDAAVIF QHPTTWAILVIEICLGVPLQAVQLFLPQIIGRLGYDAVKTNLYTVAPNVSGAVMLLIL GFASDLTKNRWVFIALGFMFTFVGMVIYAGIDVEEKLNVAYFASFMMTWGTSAPSVIL DVWYNNNTPHPSRRLLLTSVAVPLANLMGIVASNIFREQDAPKYIPALATTAAFGGLG FVCTVLLGLWMAWDNKRRDSKEGIRRTVGGVGAVATERLREGPGAPEFRWFL VE01_03027 MADPSRPSTPPPASSNPPQRTPEAARRLEEQRLKAKSLYDRRRL AAASAGSDPSTTTTTTPSGFLASPLKRPAAAISTSAYASSVPSTSRDARADTAGEPRI EAARKFRKFVDHDFSTVVDTKGGFLAEEDGGVGSAGRGNMGGDGGDGGRPAHMTLAEW ERLQLKKSLQRRKEGPFEPGLSVLEGGERKKCRECGSWEIDWVWEEVFGTEVCARCKE KFPEKYSLLTKTEVKDDYLLTDPELKDPELLPHLSKPNPHKSHWHDMMLFLRYQVEEY ALGPSKWGSAEALDAEFAKREADKKKRKEEKFKSKLTELKRKTRAEHYRRAAREGGAG GTFGDKVGGGRHEHEWGATVEDAGRTVRACVECGMEVEEVEL VE01_03028 MGISPQISNLVIILGMMQVSKKVDFENPDVLNIVRGLYVASNVI IAMVYLYVQSQINKKKDLKTLKYVEPSAMGSTEEPKLVTTTVHAYDIQQLRALFKSQL MGVGMMAVMHLYMKYTNPLLIQSIIPLKGAFEGNLVKIHLFGQPAEGDLKRPFKAAAS FMSALQQGGEVASDKKAVEAAERAGRGGAKEE VE01_03029 MAWQGPQGLGGNGSSGDGTSQSNQPQGTEYTLQGVMRFLQTEWH RHERDRNGWEIERQEMRVRIAALEGNGRRSDVQQKGLRKYVKMLEKALAAERKKDKTA NGVAEEVKDGELAEASKLKPHTRTAPEKPTTSFAVQQPGDADKEEDPDRSGLKTYLDG CANEFTYLMVSPSNPQPPREPQAQHNLALEEIGQAEQAAQSQQALEEMYLPKQSMRDI ARQSQQANQQAPQQAQQNLPLRNNDAQAIPMVRDQYQQEPVREQYQNQFQQSQPVEED QAAQVNHTFDNYSRPAENETVVEPRKEAQTEADGWDFGETSEFPAPEVKVMPSRPDTD VFPIAPQELPKSPKRNAQRRRSSGSRRKSADGEQLSLGVAQKVDGNFKVRFGLRGHLD VVRSVIFTGGGSPGEPELCTAGDDGVVKRWIIPARYENGIHSGANDLDIQPYFTHRGH NGSVMCLTSYFPSANFASGGRAQGDGWVFSGGQDASIRVWERGRVDPKAVLDGHTDAV WAVCVLPTTCGAIFGQNTAYGPADRILLASGSADGTVKVWSVSAPPTLMSPSGSTGRR TGRQRGNSMSSGSAFPTSPQPSVASTTPFNYTLVHSISRENSTASPTSIASLGPRGES FCVSYSDAAVLVYDTRTGEEMAAMASLETYDGTTATGVNAVVATTTGLDSSLTFDASR GLSEEDVVVGGATGSQAGIEGTVISGHEDRYIRFFDANSGQCTYNMLAHPAAISCLSM SPDGRELVSGGHDASLRFWSLEKRACAQEMTSHRLMRGEGVCSVVWSQDGRWVVSGGG DGVVKVFAR VE01_03030 MASNGLSSPHHGLDDAKAEGVSPGHSSVYTDAEHTPTPLAYEEA DLDEVDIEDDGEGTQYAPLRPTATTATARERRQSGFVDSVRPTDRDELHRLASTLSRR DTYAELGPGDPALDPSSSNFDAYKWARVMVRLSTEKGIAQRKAGIVFKNLRVTGAGKG LMLQNTVGSTLAMPFRLGEVFGRGKEKVILNNFEGVVKPGELLVVLGRPGSGCSTLLK TLMGETKGLKVDSGSVIHYDGIPQNLMTKHFKGELCYNQEVDKHFPHLTVGETLTFAS RVRTSQAHVTDLSREERADHMARVMMAVFGLSHTYDTMVGNEYVRGVSGGERKRVSIA EMALSRAPIAAWDNSTRGLDAATALEFTRALRMSSNLTGAAHLLAIYQASQAIYDEFD KAVVLYEGRQIYFGACENAKQYFLDMGYECPPRQTTGDFLTSVTNPVERRARPGFEDR VPRTPEDFEKYWRGSAAYATLQAEIKQHEAAHPIGGPTLQEFYDSRKEMQSKHQRPKS PYTVSVPMQVKYCTKRAYQRLWNDKASTMTAIFGQTIMALIIGSIFYNTPNNTQSFFQ KGGVLFFAVLLNALMAVTEINKLYEQRPIVSKQASYAFYHPFAEAMAGVVSDLPVKFL ISTAFNVILYFLAGLRRTPGQFFIFFLFNFVAIFTMSMIFRTIAATTKTEAQAHAIAG VLVLAIVIYTGYVIPSPLMHPWFKWIMYLNPIQYTFEALLVNELHGQNFACSQLVPAY PGLSGPTFVCATAGAVAGETTVNGDSFLAAAYDYHISHLWRNFGILMAFTIFFFFTYM LATEFNSATESAAEVLVFRRGHAPRQIVEGEKGGNTDEEVQNGEALAVGRNDEAAERQ QDETVKVLEPQTDVFSWKDVCYDVPVKGGERRLLDHVSGWVKPGTLTALMGVSGAGKT TLLDVLAGRVTMGVITGDMLVSGKARDASFQRKTGYVQQQDLHLETSTVREALRFSAY LRQPKSVSNKEKEDFVEDVIKMLNMEDFAEAVVGVPGEGLNVEQRKLLTIGVELAAKP ALLLFLDEPTSGLDSQSSWAIIAFLRKLADNGQAVLATIHQPSAILFQEFDRLLFLAK GGKTVYFGNIGKNSETLLSYFERNGAPQCDPEENPAEYMLTMVGAGASGRATQDWHEV WKNSEESVGVQRELARIKTEMGSRPSHDAENSHGEFAMPFSTQLYHVTIRVFAQYWRT PGYVYSKFVLGVVSALFIGFSFFHADASIQGMQDIIFSIFMLTAILSSMVQQIMPRFI LQRDLYEVRERPSKAYSWVAFITANILVEIPYQILLGIMVFASYYYPIYTLGGFQSSE RQGLILLFCIQLFIFSSTYAHLLIAALPDAETAARISTLLFSLILTFNGVFQPPQALP GFWIFMYRVSPLTYLVSGIVSTGLHERKIVCSDNELAVMQPLPGTSCGAYLQQYATAA GGQIMNPSAMANCEYCPLTVADQQLSVNGIEWSQRWRNFGIVWAYIIFNIFGAVALYY LFRVRRSSGRTARLIEKVKGMVGGKKDKGEKVEQVKHIDAGVENGTKEVVAEPRA VE01_03031 MKISASFVAAGLVVLANAKANPDPNPGSAGVGVGVGVGVNVGGL GGLLGGIIGGIGGLLGLGGNHGGGGGGGGNPEPPCTTSTTKKPTTTTAAPTSTATSCV NLGCYADPIGLPSRVLTFNSQINEGAQTPESCRAICLAAGLKYAGLEYSFECWCGDVL HNVSPPVIDASKCTFPCSGDSSKTCGGQEYLDLWDCSAAIPTPPDVNGCKPLGCYTDS TSGRTLKTSVEGGDANQSHETCTAACIALGFRFAGTEYGQECWCADSLASTGVPAPDG NEQCDMPCAGNPSQKCGGKDRINVYDCQYPTPSGTAPPVVTVAPTSPPCPPRLVFDFH GCFGNRKTDSLAFCYDWLKVKQVNAITTTTTIKNRWAARSLGDKGPFGNYGGRLGNSR CQTYLPKWPKLKDFQDDEIERACRCHVGQWWQAPVVTKTVFH VE01_03032 MTSYSSGDDDDDDESAFQSHYNGSPSSSSPEPSPSPSPPLVHHN FFAPPFYNRPPTPLPPSPSLTSLLRPSRPTTPDASDNDDFEPVPRASPKVPTYEYYGF VLYLFSSLAFLMYILWSYLPSPFLHALGIYYYPNRWWSLAIPSWLVMCIVYIYVALAA YNTGYLTLPMSSIETIIDQAANVATLDGKGSLKRSNPEGKHAGVVEKGKEVRRKEKLE KKRMKSLRQEKDWKAVWSVGTDACLDVPLGGVCEVLYGEDRDMDPEDEWLDGGDIEGG G VE01_03033 MSSSNPDSLVSPKEFESQRASNIDLQAPKSPEMDITATSVTVVP CVGSEPEKDIQSHVVATSTANKHAVPWPHVEPWRRNVALAGIFVGVFFSTLDTTIIGT ALVSIVEELGSFWLSPWIVLAYLLTYMSFAMAIARLSDIYGRKTIMLVSWALFMVFSL ACALSHTIRQLIVFRAFQGLGGAGLYSMGMVMIAEVLNPSKFAAGAAWIGLTVGGSGV LGPILGGAISNQSTWRWIFYMNLPFSVIAMASIFFIWPASAKTSDSGKWRTFLSIDFV GVLFMMGGSVCLVYGLQRATTGGRSWGEAVIVTCLVLSGVFWVAFFSWEGFLGTRSWS NIEPIFPMHILKRRVMAAAFCSIFCTGFPLITATILLPERFQLVNGSSPLQAGLSLLP LAAATGLGSFVGGAISSGRNLTSPTLIGGSSLQLLALGLLTTIPDTLSIPHYLYGVEV LLGIGVGMCLSAGTLIVTLNSEVGEIAATQGATAQLRPLGGFIGLTIATALFNARSES ALGGFLSDAQMEALHRSPLGALTFEPDVLAMVRAVYAKVFRVQMTALTGVAGLGVLVA CASWERNAVGMERMKVHSGVETEVVEVKEERKERNVEV VE01_03034 MLAPSTVKTPVRAKVDLIAWDPDSPAHTERMVQHRIACGWKQDY IEGWRGLQREGKMGLQWVVLAEDDPEKETKLAQHLLKYPEDATPILDTATSLAGKPRL PSSRSFVPVGHISLDSESPNPGQADASQGLYCITTLYISRAIHGGGLGRAALDAVESQ AINEPLCAKILSLDTLANSSWNRTDIWEEMGQKKPEMSAEDWYERCGYKAWRYNEGHI QHRTDDGRVWPLDGVFMKKTVV VE01_03035 MKEVPLSSADDETEYYLASADDVRIALAKWKRRYYTLAALYIFT VLLVVAYIPMSDSLETGAVRSEAYFGNSVFSFIVDVPKEMVKFRLDEAFVNAGSGTPG NRSVWDMLFPLGAGFVEVQKPWNYGLRGGFPVPNTEVATEIYSISMFHQLYCLSILRD AFGRDRDVSENQAAENNLGHCFDYLRQAIMCTGDTTLETALVNADGDVIPGFDGWGDV HECRSYEAIFDFAAAHRVKDDTCLM VE01_03036 MANTRGILSAMKLYEYKSLETVEEIRLLTILPGQFEDDLQIEIH HALLQLPDAAPTQRLPINQLQETLLDGWRVFETIDCKYLFWGIRTGETSWHHPNPRVS RMLYAPLPNYPDDTFMPEYEVLSSTWGDKTQQAEITVVNAANALEEHSKIEMQFDLAD AIRQLRLTDRTRTLWVDMICIDHNNKSEKAMQAKCIGRVYALASVVIVWLSHRGDSAA LGLETLAKLGGEIQYTMDDFCFPTPQTTYPEWVKENTAPPFTIVEWIGVVDLLRLAWF QQRWSWQEIQLGNRNRVMICGESARSWATVRRAIMALYGLTRCFGGGMLEVHGLVCGS VESIFTPGWIPEDISRLSALLQMLRDLKPVAKRLQDCNIDLIDELVSILCDCFTKDRL PDDASVWDQQEVRNLLDAALGGGDSNGPFDVNLWTRLKVRNQGHSLIVSHNGLIGKVG DGREMVRAGDMITTVLGRNSSLILRPAGDDAYVVITGALVPSLCDSVSILSPLPDGWK IKLIDDRDHPQPQPHYTNNATNETTREDPRLGPLPPGWIRVQGEWQHSQPIFVEHFQN VMTGEVVHWDLRLDADALRDRGVAIKTFLLK VE01_03037 MKSFYTAVYLFTGITAAIATQKKNLIIETDLFSDVDDAGALLLA ATSLNINLLAVNINHPSTYSALAASAILAHYGHPKIPIGIRHPLTNATFFDSWYFALG EYTSKVAFHWSGGSLPWGHVEEAWDPVALYRKVLSEAEDGSVTIASIGFLDNLSGLLN STADSYSDLSGRDLIAHKVAELVIMGGGYPSGYSWNFWGSNGSLAAHVVNTWEGQMVF VGDDVGKDVKSGGELMSAGPKTDPVRMAYIYYSYYEPTSSWDPLATLYAIGGLGELFK YGNEYGYNHVNANGANRWVWDRKVQNQFFLRLKVSNETAAQELDRLFLKGALSVVEQH TAEAPRRKHVGSAHTEL VE01_03038 MHTSTLLAFGLLALAPLINGQDVASGGLARRHIGGGAVIARGVA IDLEARHHKGKKGKKAQDIQDRSPEPHHKGKKVQAVQDRSPEPHHKGKKVQAAARHEE ELEDRSPEPHHKGKKVQDIQDRSPEPHHKGKKVQDIQDRSPEPHHKGKKVQDIQDRSP EPHHKGKKVQAAARHEDELEDRSPEPHHKGNKAAAGNGAAAQDATAATTATNANVACA RDVQDIEARHHKGNNGAAAAATNANVACARDIEAREPHHKGNKAAAANGAAAQNGAAA TAATNANVACARDIETREPHHKGNKAAAAAPATAAANAAC VE01_03039 MASVKYYPVSLADEKESYSPSDTSSTTLLPYEEDHRITERRQLK SVLQSKWLLLAHALFFLFSLAIFLRGITFSAPTTAKFVKEFSEYSPAAHVIEYEDMKF NGSMYATSAYIGKGPEVDAAWDVISYNVGDQMISAAELRKIDKPTTVLKVTDPRTGIE GYRVGLEVFHQLHCLNLLRQATHIDYYGGRGGDFSEGIDGLRMHLDHCLEMLRMNLMC QSDVGLITFEMTDEGIWPDFSTWHTCRKFDNVLDWAMENAVANDDPM VE01_03040 MIPLSTVRASNAHLRTLPPITAVFAGATSGLGESALRALAGNST APRVYIIGRSEPRAAAIIADCLEICPEGTFVFLSADLSLLKNVDTVCAEILKREEGGK LDLLFMTHGYITFEGRRETPEGLDALMVLRYYSRIRLLTLLLPLLQRAPSPRAVSVLA PNHERGVYPDDLSLRAHHSVLNHLSHAIFMITFSFLHIAAENPSLSCLHVHPGLVKTP EFEHARFSPFVKWLFHWVVLPLLTPFLLDLKESGERNLFMSTSAMFRGRAGGDGEAGT MVPVVEEEVATGVNGLIGGRVYAVNWNGEVCKGSEKFYREWGLKGLGDKVWEHTMKAF ETIERGDVFVD VE01_03041 MVMMDSAHGNDVVMAESVVENGGNGFIDPRDMHIIEHGLTENGD GIGQLNFEEEEEDAADMSDISEHSVDSQALTFTGRKKHLPTGCCYDDRMKLHANADFS VDPPHPEDPRRIQSIMRAFKEAKLVYTGPEENLAGILKNSPTAYMYRIAARGATPAEI CTVHTPLHFKWVSDLSGMSSDELRAMSKALDTGRKSLYVGNYTYEAALIAAGGAIETC KNVVAGTVKNAIAVIRPPGHHAESDEALGFCMFNNVPVAARVCQADFPDTCRKVLILD WDVHHGNGIQNIFYDDPNVLYISLHVYKDGTFYPGFPDDPSVPDGGLGNVGAGPGSGR NVNIPWHAQGMGDGEYLGAFQKIVMPIAQEFNPDLVIVAAGFDAADGDELGSCFVSPA CYAHMTHMLMSLAGGKVAVCLEGGYNLKAISRSALAVAKTLMGEPPDRIELPPINKEA LKILHRVKEAHAPFWECMQPGKINVKEEEDADGARFNAVIRAYQYKVLREKYKMVDMY VTRDKLAKGLEYQVLITSEFPAAKKILLIVHDPPELLAISDPIDYSVEPHNSRMNDGV MAYIDWAYNNGYAVIDVNMPMHIEDTDANIEEEGFIERPTEMVHRERMKELMCYLWDN YLELHDSEHVVLMGVGDSYSAVRELLVNRESKHKVPLVVSFVSGSLRPVRSETDASLA HWYKKHSRVYVAADHLCWTDPELERKVTKSRFGRVVKSGEEGLNNMLRAHLPEVVGLL GSVGEEGEEE VE01_03042 MADEHAAHLNGELNIAIRRVEGFDPANGNGYAADGQGGGPHYAA IAKRDTPDLGLGTLIVAVVVVPVGLAALGVGWAQCVISRHMEARDRTRFETEQRMETR DRIRFEREMDEFNVAARTNRYRNLSFARETESSNSGADSLYTRAASVDVTEGERYVWE EVVLVQEGQEQVEEGPPTHA VE01_03043 MRLRVLIQDVTQLLFLGSIAFYGKYGVEASADTRLGATVRERTR LHSGWKFLHSPTTPDGVTYSLRPDNESEDLEELRDWVLPVANEFIADADQHYNRPDFE PKVDIEYVADGFDDRNWTSVTVPHDWAITGDFVGSSEVLGDMGSLPVRGVGWYRQKLS FTKNDKDKSIYLDVKGAMAFSMVWLNGHLVGGWPNGYLTYRLDLTPYVKFGKENHLAI RAENPQSKKFSRLYPGAGIYRDVWVIKVSKTHVAHYGTHISTKDVSAKSATVDFSITI DNKDTTQHQPVKVITKVYKYKDGKVGQKVGAFPIENLRLVPGESHASNTSITIKNPSL WGPPPTQEPNLYIAITELYDLENRLLDEYETTFGIRTLNFEANNSLFVNGEHTYIQGV N VE01_03044 MGRKLARLTATHDYNLRCIVGHAMLLDAIALEGERCSEEKTTAA VGAAEMPRRDIMSIHTDQVVDEKAAAISSSTNSSTGDKINAYDYGGNPLLHSHTGDSA RLPAFGGEFQPGLYRPTPNRQFANPAPLGLCAFALTTFVLSLINIKVRGVAAPNIIVS LAFGYGGFVQLCAGMWEMAVGNTFGATALSSYGGFWISFAIILTPGGFAIGSAYTNKD EFNHAIGFYLAGWFIFTTLLLICTVRSTLAFFMLFFTLDIAYLCLMIGHLRLVPNAAG TANIPQPGLIVAGGYFGLFAAFLAWYNALAGIADTSNSFFVVPVAHFPWSDKGREARV TKTERETV VE01_03045 MFPSMVGAVDIFRSNDPLPMQAAQLTLIWKTPGRILNPLAEPLT MQVGLGSSAINLNNIVIEGSFKPVSTNIAGTVNQGDIALVSCDSNNSSSMTQDAVVGS IIPNNPAAIVLYSLASAHGEVKDIGPYIHRVDLQPVGSNTDETPSPTDAEADETSAVR GGENAHYSRVQRILATRHAPIHERKAILRQLASEFDQQRQTEPSSTTAADEGPRNQHS LATRIFSSRTRRSRNAPEVSSAVAPATTTPTAGKASTSPTAPATNTATEEASPRP VE01_03046 MEHHKKDISEAVEDTCEWLCDEPDYKSWLAQSQSLLWIIGIPGS GKSTLMKYIYEQDTLQTETKVDKQGPPQRAQKKIILVSFFCYSAGAPLQKTRIGLFRS LLHQILRQIPTWPSDLTSEFDKRCELQGEPGIKWEWHERDLKEMLEDFMLHIAKRYPE EYILRVYIDALDEFGGNVPNGGEVPMELAEYFESLTSQDRFGISLNVCISRRDYPFLA NGGLKISIGNKNRQDIWTYVQNKIARINGKHYEARELIKWTVEMASGIFQWAVIVVKK AKDLIKGFAPLKHILEFLGDLPEGLDDLYDKSFQIMDIIYRSQSLRLLRWICFSRRPL HVNELYDAMAFDPSGLRTSNEIDVNMQDFDDWTLLMLAIGGQHEAVVELSLRRSDIQV NRRDAIGFPTLIYAIQIQHSKVNVNAKIKAKPRKAEGDTEKNWGLTALMCAARLGREG AVALLLKHSKIEVNAKDTFNRTALSYAAKSQHGPAAMLLQHSKIKANAMDVMANTAFF IAAKWGNEQVVRVLLETSKADIDIGARNCTNQSPLTIAIEKKYWNIAELLLKTADHEP RL VE01_03047 MSIVGEVGVIISLITGTIEIVKSTKSLHSAYKDAKGLPKEFRSI AAKFPLVLTILRNAEKKGQATKLDDEQTNEAENNIRACHEKVEALNKIFKAVLPDADA NRLERYKKAVAAVGKGKRVEELMGEIMEHIKLVTCDKLMGTATGDEIKKLEEAIQEML DMPPSIPEDSGSIIQHSSGSGNNIARRVTNNNIGEGTYTNSNTGKGPQLNNNGAGAFN YHSKDAP VE01_03048 MSSHITSSASSRGPSRGVALKDLRKSWNFTANLPADSAFPSPAI SHKTPRDDLGPRMVKGALFTWVRPEEAVDPELLGVSTAALRDLGIKPEEAETEEFRQL VAGNRLLGWNEDKQEGGYPWAQCYGGWQFGSWAGQLGDGRAISLFETTNPDTKTRYEL QLKGAGMTPYSRFADGKAVLRSSIREFVVSEALNALRIPTTRALSLTLLPHSKVRRER TEPGAIVTRFAQSWLRIGTFDLLRARGDRDLIRKLADYTAEHVFSGWSSLPARLPDDQ QDTAEPPSTPIEKDTIDGPSGLEENRYARLYREITRRNAKTVAAWQAYAFTNGVLNTD NTSLMGLSLDFGPFAFLDTFDPNYTPNHDDGMLRYSYRNQPTIIWWNLVRLGETLGEL IGAGAGVDAAEFVEKGVRQEDADELVSRAEGLITRTGEEYKAVFLEEYKRLMTARLGL KVHKPDDFETLFSELLDTMEALKLDFNQFFRRLSGVYIKEIETEEGRKEKAGLFFHKE GVVGDEAVARERVGVWLDKWRARVVEDWAAQEVTAEAEEERQAAMRAVNPNFIPRSWI LDEVIRRVEKEGEREVLGRVMKMALNPFEETWGGDREEEERWVGDVPREGRGMQCSCS S VE01_03049 MNSTTASYNPSHEGNTSADSPKEVQGVPILALNDGHKIPMLGYG TGTAQARVGNTGNDIDESLVATILMAIHAGYHHLDGAEYYGNERELGEAIKQSGLPRS TFFVTTKIPGTEPTDTEASITASLEKLGLDYVDLYLIHAPFFASTDAELQAKWAEFEA VKASGRARSIGVSNFLQSHLETILKTAKVVPAVNQIEYHPYLQHKGLVEFNQEHGIAT VAYSPLATLLHARPGPMDRYYSNLAAKYGVTEAEVALRWVVDQGMVVLTTSSKEDRLK GYLANIGRWKLTPREVDNIKEIGKEKHYRGYWKNKFAADDRS VE01_03050 MDPMEIDTTAPAAPASPALERSHTFPARPPPPSNRPPLAKRVSF DVPQRFDEPKRHRISPPPPVQLTDTTTSPATPATPTPPTISLLPSIFLPFHGVPTIPS SAPLTREATLVQTIENLTAQKKAAKRVQIHLALQERDRIIAAERGKVRAAAEGGVSEA SQDVTAEEMDAIISRLAARPDPKKTSREFEFRGGDWIRDPAEREKWKRPKGEELGRGA AEKVLALTAEAAAKMKAYELQSNLVVEGKKRALENERKRMGALVGTRVEGSGATR VE01_03051 MSTQTGSCCCGNIKVTVTGEPVLCHCLDCHKTSGSVFSHNALVL DKDFTLVSGTPKEFTKKGDSGGVITSHFCGDCGTTLWRSGDFFPGGKIVKTGIIDDLT WVGERQATGELFPERKTAWIPNLVKS VE01_03052 MFPTLDRVFVHALNTVKKIPRTGSARPPPADRLRLYGLYKQAME GDVDGVMDRPGGGGYTKDEDTIREQEKWDAWDAQKGVSRTEAKRRYIEALIETMHRYA STTSDSRELVAELEFVWDQIKHNSASSSNSSPPRGGSGRRFTAPMSGSEGPMKVLSPM SQDDEADREHVRRLVEGEDGSDEDIQDADKAKGTPWRTKVESTLVKMTAEVAALREQI ASGREWRDKRRRTIGAWLGWLLFAALKQVAFDAFFLMLVLIWMRKKKDRRLEDLVREF LRQGRAYIRQFLPPR VE01_03053 MAAAEVAPLSPDADEETQTITLSHHNTPQTLTLPLSATIADLSA AVTSTLSVPATNQKFMIPKLGLLKPPFKDPALPVSSFAAAKITLMGATTTELQSLNEA RAVAERTFTRPKPRQTVQAYKTHDWKREQEENQYTFATLRPLPYLPNPSRSLAFLQRL KDDAGIKASMRKHKFSVPLLTEMNPVEHTTASMDGVSRTLGLNRNRGEVIELRLRTDA YDGYRDYKTIRRTLCHELAHNVWGDHDRNFWNLCHEIEKEVEKADWKTGGNVVGNEEY YEGGGGGFGEEEAEDEGGWTGGEFVLGAATGTEGVGGPARGEAGLSRREVLARAAEER IKRQREQENGGEDLSRP VE01_03054 MDLMMLHHFPHHANPLSYAATTSAASHDIHTNKRLSSLIPGVDS LLHGDRSSFLGGGKRSHEARFQANQESDMQYLPLEIVQLIFGFLPDIESICALSATCH LFHRAYASSRKLIIVQNVLETQFGPLHDVTQLVTLNSSELPHQTRDPCFSLALAKQIA KCGRTANRWVALYPMLRWRVKPENRRFLLQEERHRLRRAIYRLWTYNSAYTMDFEASV GPSILRQYRTRELREIDELRAVFRDTLTHDCCLSNSAVQLHYNQGHPTRDLLYFGTYS SYGNDAMLAPDKLKGKSVRDAMAAESWGDDMSVGRKIMRLLYMTPDALLDFHDGCTCK TEREEFLEKIGYDISYGWYAGLYADSYPSDSCQVVEEERGEEPLSGIEQEWITEDEGV DEDRKFLVL VE01_03055 MASVYKSLSKPTNGKEEGASSIIKRNKQKVLILSSRGVTYRHRH LLNDLHSLLPHSRKDVKLDTKTKLYQLNELAELYNCNNVFFFEARKGKDLYLWMSKAP NGPTVKMHMQNLHTMEELHFTGNCLKGSRPVLSFDAKFDKEPHLRLLKEMFLHIFGVP KGARKVKPFVDHVMGFTLADGKVWIRNYQISESIPSKVKPGEEEDEAAEAAAKKAKKV KGSETEVSLVEIGPRFVLTPIVILEGSFGGPVIYENKEFVSPNQVRSDIRHKKAGRYN QRAEQSIEKLARHDELGLRSDGFQKPTDGLDAAALFA VE01_03056 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDAKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASDNGLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDSGDGAQANIGAGTNISLSKPADDGSAKGGKCC VE01_03057 MASSSPPPGSGSGSSSDSESDEDVYVVKRILSEGGRDEERVFLI EWEGYPLLESTWEPEENVLSKDTLKAWQEEKLRQREGLSEPFDTDEFDKLKEEYDKRK LRREKLGLPPQSKTSKSESKGDSSSDEAEEAYVEVPDAEGIPLSKKSQQARVKRRDTT LSDDDTVMNDAPTSEDRSRKEARPTKNGTETKAKVHKAPIIEAPSATGYQGTAHRGPV SHSADAPRERSGKGRPRTTGRGSHMAMSKPKARRTIENRILTETRSKEAKAPQLFTNK SQERRFELGARGLADRAPAQLPRLMNPAEYRIRKKTSVPVPGGAQSTQTPVSTPGDQQ PVPSSTAATAPMVSSPEEFIPQQEATAVGFGEEQPNHSPIATFHPPTAPMGSTSSIPI HGHPASSGAQDVANVLPSAPVRKVSLANYSAKQNGVAPKPVSMFNQAQGGLSETTLKS FFGDRQAQDDPLVLTFIDVSVHNMAWGAAAQQLRSKDLTFNYICRSTDVDNFKSEFNP TLLARGKVTAGTGDDQKVQDSISALGDYLRVNISGLLYNLESLNVVIYPTRCEEWKFI ESGAQLQHSGQLSFFSFTTPSKLVCPSYNREAESRMSKMKVNGCEDYQLLSRAIFGFS YQSLHQVDKKSPRDSFFLLFPNMTAPLADHTAAWLRSSNKECRIYTCQQAGSWRQFVK YTGNPEGGSLIIHHKMISLIPRYPELQKFLLNGLNNVWCIDEDFPKGKYLIRLFPHGG AVCLTSGFLSGEPEMALFFLNWFLSKRGGSRASSGTWKLLVCRDIYKFVSDQAIGAAK RRAKLLETLPAGLTDSQIQSIATGIGLSMKNCKDRFDLMDVVGRLVHPKNEKERDLDA VLQADDTRTLIVFADEEIKPSDDRGLIRYFAYWSVLHLKKFRKFVAIGSKTSPEEHEE AAAQPPKISNSSGTKPPSENTPQDRPPSKSPGTSKWKRSCFASVDGADDDDDYEPTIE PQPDTTQISETDDLNAGVAPEDLNLGVLKFIVETGVTYIVATDFMNRAKGNHERAMML YEFSKKAKKQAEEAAGAPVAAETPPVPPTPVTDANVAIPSTEAVTTEDVVMEDAPPVA PDAAPSTPQPTDTNSPAQNNVPDAQPSSQTSNSGIITSEAGLRFVPRSVRSSGTVRNE LNIRPGYVPPEDKEVYKVSRGRSGSVERASKSRSRSGSSGVEEGGIGSGAASASVSPG GVLTPVTPATPSEWRKDQIDRMEGVKGAEEGKGEGKLSTLEWYAEMKLKGVEWNHIAV VGWEDAFKLLRVEYKKK VE01_03058 MATTIQTVPQSKELRGMNLIAAHSHIRGLGVDTDTLEPRAASQG LVGQEKARKAAAIVLEMVKQGKIAGRALTGKTAIAMGMAQSLGPDVPFTMLASSEIFS LEMSKTEALTQSFRKSIGVRIKEESEMIEGEVVEIQIDRSVTGANKQGKLTIKTTDME TIYDMGTKMIDAMTKERVQAGDVISIDKSSGKISKLGRSWGRSREYDAMGADTKFIQC PEGELQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEVREQIDQKVAEWKE EGKAEIVPGVLFIDEVHMLDIECFSFINRALEDKLAPIVIMASNRGNSRIRGTTYKSP HGLPLDFLDRVVIVSTHSYNKEEIQQILSIRAQEEEVDVSPDALALLTKIGQEAGLRY ASNLITTSQLIMAKRRAKQVGIEDVQRSFQLFYDQTRSVKFVQDYEKRLIGEQGAVEM NITNGHGEAMELS VE01_03059 MKTEVGRTRTNESENGALLLRKTTGIIEGNIRLRNIVTVTETMA RLGTRASTDIVERYQNHKSRLLQPLLEEKNLRLRCERARQDCDEARYIFDSGKPTHSQ FPIEAERAKERYAKEKSALEALEAKLKEANSKISSTTTVVAQHYDSLISSKGGARSFQ LGTGIENGLSEADDKFLKLERDMKAENRKLQEEFDLRLKGAEDRIRSDSRDQVVAMNS SILSKTDNLLTLSRDVLELKKHSDYHKNQLSQQQDQLREYKTAIKKLGGAPVNGYSSD APSPGDEKTLMRIQSVETQLAAEHSSNLKLASRIETVEQVQTTNTLAMQQEIQLAVAN TSSLELVPRIDAIERSQAAMLQIQDNFEQLQHNVGGFDDDLSRHDQRIKALESSSDRP QLSDTTGPGNDTTPVASLVTQQINALKNYMSESIVEIKASQDASDGAHGSLIQGLLGN VSTMEARVAGLMNGVEALHAQQKATFDELRQLLRRVGSLESDFVHMKPVKAVAPLNGS ENAASASTTGFLQNGVHAPGIDWGPALNSLSSEVADINRRLAETKQFEAGINMGIRNL DTRMNNIFTDHLSKQILGQLQTVYPNLVQVETLVRDLNTRMGLVEGTFHNHTESIGYI RDSQDAVQGRLRTWESNYTTAIANLSTAVDSVRDSVHILQSPGVGFRTPGGSNEQDAI QGRQQAGDNNYTTTIANLSAAVDSIKGSVSILQSQADGSKAYLKEEMTVRMDSLFKDL KEHIEAVSKRLQRQIEDIEHLIEFDEDGKVQSVKEAIHVLTENYGKMMGEFDALDYQV QKLKAESASSREGSAVNQPQVGKVQETVPSASGPRAVSTALPSPASLISRQSGNKRSA PSSPSARSQVSGQKQTSKRRREAQGPFVFSDGDQE VE01_03060 MSKEMSTEPSNNEKLFQELDSYNWEKDGEFQAGLAAILGPNPSP SQLRDLTIRARTFYYARKTNQTIDFDAYKAHLASQSPEEFPAPKPSDAAGESTPHPPA SGTANADPNPSFPTSFADIVALINSGAPIPGIMDIPPTVLADKATQPAASRRKKPWET QEEPIIPEGEGTFGSDRDRIIPQEEL VE01_03061 MSEFIGSRISLVSRSDIRYVGTLHEINSETSTVALENVTSFGTE GRKGNPDEEIAASDSIYEYIVFRGSDVKDLRIEEAPAAKENKPPQVPNDPAILGSGSR PVQQQQQAPQQPPAPPGQGGRQFQQQPGPPQNQGQQNPAGPASQQQQQQYPPQFYPPP GPGQWGRGGPLPPGAGYPGMPYPPPPPGWYPPPGQGFPQPGPFPYGPYGPFPPGPPGG PPGPPGPPNQQFPQQKPAPIGPGGRQQTTPGPTDKSVEPKSLGGQAPAAPGPHAKGPK QQQAPVELKGSPVQAPAPKEAPAATTGPAAPAATKTAPTGPKGGRIPPAVPLASPSAA KAHAATTSKAPAPAVNVLARSAVEDATQAATAAVAAAMAKLPPVEGQHQNGNAIDNLT RKVNEMRTNDTIRAPRQPGVGGFGGRGGASRGRGGPRQEARKVEVPKDDFDFESSNAK FNKQDLVKEAIAGTSPAESPIEEAAPETAATGSYNKSSSFFDDLSSESKDRAEGDSTG RRPGGREWRGEEQKKNFETFGQGSVDNGYRGGFRGRGRGRGGMRGRGHFGGNGQPGAR GGRGAHRGGAQEGGL VE01_03062 MNLFRLLADFSHLLSILILLDKMIRTNSCSGISFKSQALYLIVY VTRYLDIFTTFTDSYYNSIFKILFISSSGYTLYLMMTTYKPTQSAPLDTFRVQYLLLG AFVLGALFPPEYSVREVLWSFSIWLESVAILPQLFMLQRTGEADTITVHYIFALGIYR ALYIPNWIWRYWSTGHLDKISVLAGLVQTVLYSDFFWIYYTKVMKGKKFALPV VE01_03063 MRSLLISLVFLALQYHNLVEASTTARPGQKLMQASSSNSTVGLL APILGLFKKRQEQVRASTCGFGEGRADHPRTADAGFDCRVDIQKQLWGFCPTTVIAAS DCGLAGACVDNHACSTGCGISGDSTVTTFHCTDAGNDFCSTALLTAGPDQTYAYIACA NTPKTDHLLASPTSFTTTPTPTKTPTPAEKSTTIPPTTSTTPPPSSSSTTSTSSSDSS DSASSSSSDHITSPPPTGAGISAPTKLVSDESPSSSSSDTAATPPSAPNNVGAIVGGA VGGAALICFVILGVMFLRKRQRDREPKQPSPSIGKPAISGPFHFPDMQTSISRDASMG STDKFDPYAKYEVYANPYHRMPPPPPRRYTPVELSAYRMSHSPYELGS VE01_03064 MSRKLRVLVSTICLSFAVVSILISATYLSGSSSSREFVKSWAGK LSPSLNSPSSNSTAPQDASGKPDNGLVPVLREGNATLLEMAPAYIKSIMTPEDNTIPR MECPATEGKRYDYLKAKSEKPFFRAVRPKYFFAIDLHQCIDLLPRLISSAVEAMRFLG PENCALSIVEGRSTDGTFEILKLLRAEIETMGASYYFNSSDLNPTSGARIEILAQLRN LAVQPLMAQPEKYDASTTVIFLNDVAICKEDILELIHQRLHQSADMVCAMDWTYVGEH PTFYDVWIARDMAGDTFFSIPPDGNWDSAWNLFWNNTLARERFFEHKPFQVFACWNGA TAFTAKPLLELAMGFRGPKQKECFQGEPEIFCKELWKAGYGKIAVVPSVNLEYSNERG KDIKALKGYASQWVAKDGDDPKDTASKIQWVKDPPKLVKCMPNYQEQTWVPWDQSLA VE01_03065 MFGYVHLALTTCFAISQALPGYPVSHVPSHTCSGPWARVSPEAG AVIVDNSVHPHLGSFSTIQEGVNALNHTTTRPQNLFIFPGTYVEQVYIPRLKSNLTVQ GYTCNSKGYEHNTATITYNLALINTTNDDLTATLRQWNPNTKVYNLNVVNTFGHIPKN GQNLAVSAETTGQGYYGCQLIGYQDTLLAETGSQLYAKSLIVGAVDFIFGQTALAWFE NIDIRTIATGSITASGRSSATNPSWYIISRSNITGINDTIPAGTNSLGRPWGSFARVV FQGSYLGNIIDPAGWKQWSTSTPNIGNVTFGEYGNYGPGSVREEGPRATFSEQLNASI PIRSILGENFQDEWWVDTTYLDPSDLHDEGCTPEDHKAPGNTKNTKSCTATTTASTTS THTSIPTTTLIAPSSASAASTSETLITSSANTLTTSASSGTPCVCTDYSQISAAVASC TNIVLSNIAAPNGSAINLSGLKTGTTVTFDGLTTFGFTNSSTFNPITIGGQSISITAN PGAIIDGNGQAYWDGLGSNGGVPKPDHFIVVNKVTGKSVIKNLHIQNWPVHLFTISGS SDVVFQDLVLNNTAGDAPNSRSNRLAAAHNSDGFDVSSSSNIVVQRSVVYNQDDCVAI TSGNNITVSNLECHGGHGLSIGSVGGKSNNNVTNILFTNSSVIDSQNGCRIKTNYNTT GYIANVTYSNIAISDTSTFGIDVQQDYLNGGATGNPSSGVLIQNVLFQNVTGTATDTA KDYYILCGNGSCSNFIFNNVAITGGRVASSCNYPASGCPA VE01_03066 MLLTQYIILTFMSLGLVQASPTAPHDSPQRLSFDDVVLLGNDGS SKVLKESEYNKLAARSNVTPNTLAPQMRQVLNRRGCEQSTEIEVISDTQFTNSDVAMS PVVNSAGSDSDSLAVSRGYSIANSVTVTAGADITLVKDILGLSFSIAYAQTWTTTDTQ TFTFSVPDNQYGLIVSQPLVRRILGQTISGCTDNPTRTDFTADSYSSKAFGGLDWVTG IIRLCNNPASAAGRLPTPVSEGTKTPTGTVTMANELIRSLLEAANVERNFEEKRLAKR PGRLTDGTVACSCSAAPGTPGTVAPEPSAKPLTKKEREKQDKGKQIIPR VE01_03067 MFKSGLLKAVRPSVAAASRNALRPAARTGLKATAARFASTDASS HGKIHQVIGAVVDVKFDTDKLPPILNALETQNGDQRLVLEVAQHLGENVVRCIAMDGT EGLVRGHRATDTGNPIMVPVGPETLGRIMNVTGDPIDERGPIKTAKRSSIHADAPPFT EQSTSAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSV FTGVGERTREGNDLYHEMQETKVINLEGESKVALVFGQMNEPPGARARVALTGLTVAE YFRDVEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGLMQERITTT TKGSITSVQAVYVPADDLTDPAPASTFAHLDSTTVLSRGISELGIYPAVDPLDSKSRM LDPRVIGQDHYDTATKVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKVQRFLS QPFAVAEVFTGIQGTLVDLPKTIDSFKRIMAGEGDNLPENAFYMVGDFESARAKGEKI LADLEKSD VE01_03068 MSAQPAGPPDGTGVIKLDPWLSPFKEALKQRFSKAQDWISAINE SEGGLEQFSRGFEKFGFNVDANNNIVYREWAPNATEAFLIDDWNREEMPMKKDAYGVW EITVPAKDGKPAIPHNSKVKISLVLPSGEKVDRIPAWIKYVTQDLSISPVYEARFWNP PESERYVFKHPRPKKPQSVRVYEAHVGISSPELRVSTYKEFTKNMLPRIKHLGYNVIQ LMAIMEHAYYASFGYQVNSFFAASSRYGTPEDLKELIDTAHGLGIVVLLDVVHSHASK NVLDGLNELDGSDHLYFHSGAKGRHELWDSRLFNYASHEVLRFLLSNLRFWMDEYNFD GFRFDGVTSMIYSHHGIGTGFSGGYHEYFGPGVDEDGLVYLMIANEMLHNLYPECITI AEDVSGMPALCLSLSLGGIGFDYRLAMAIPDMWIKILKEKKDIDWDMANICFTLTNRR HGEKTIAYAESHDQALVGDKSLMMHLCDAELYTNMSTLTELTPTIERGMALHKMIRLI THSLGGEGYLNFEGNEFGHPEWLDFPREGNDNSFWYARRQLNLTEDKLLRYGFLNEFD ARMQHTEEKYGWLHSEQAYISLKNEDDKVIVFERAGLLFIFNFHPEKSYVDYRVGVQK AGTYKVVLNTDSTDLGGFERIDAGSRFFTTPFEWNDRKNFTQVYIPTRTAIVLALEET L VE01_03069 MPPVILGDLSFTAGTQVRISYIALSNLKRSTTKQPLRAAFSTSK KASKPPFDRNDLQGQPFTGVYEPVGPTRGPLGQASSHGAPRITPRRLKEHLDKYVIGQ ERAKKMLSVAVYNHYQRVHELQRQEEEEQFRLDREARKRSVREHEVYHSDDIFLPAKR TIKFSPTPEEEPVPKVARRISSLRNEPFRNEFIRNESIRSEFTRNEPIRNEPPPLHDP LADRPGITIEKSNVMVIGPTGVGKTHILKTLARALEVPFSMSDCTPFTQAGYIGEDAD VCVQRLLAAADYDVARAEHGIICLDEVDKLAVSRSMNGRDVGGEGVQQALLKIVEGTT LTITAKHDQKSNRAPGGSPANVNFTANSAQPTGNGQKPESYTINTDNILFIFTGAFVG LQKMIMNRLSKGSLGFGASVRNTPTSPHQMTMNSEDARTYFKNLPFYTDIPTATSATT DATATTVPEQTYNPLDLATPQDLQTFGLIPEFLGRIPVTVALAPLSLPNLVSILTEPR DAITAQYTAMFATFGTELRFTTAALYAIAQTGLELGTGARGLRTAVEMLLGEAMYEVP GSSVRYVLVDARAARREGRVGYWSRGKGGEFVRAWEMEEKEWADKEKREKGREDSSVS FEALRESAGSGM VE01_03070 MLRTPITRAVGAAARSSVIARPVQSRAAHAISNPTLANIERRWE QMPPQEQADVWMALRDRMKTNWAELTLQEKKAAYWIAFGAHGPRAVAPPGEGWKVLGY TLAGIVVSFGLFAGVRSFARGPPATMTKEYQEASNEYLLAQNSDPISGLSSEGYKGPG MVQSPPAAKQ VE01_03071 MAPTKVGINGFGRIGRIVFRNAVELDNIDVVAVNDPFIEPTYAA YMLKYDTTHGQFKGDIKVDGKDLIVNGKTVRFYTERDPAAIPWSETGAYYVVESTGVF TTTEKASAHLKGGAKKVVISAPSADAPMFVMGVNEETYKSDLNVISNASCTTNCLAPL AKVINDNFTIIEGLMTTIHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVG KVIPVLNGKLTGMSMRVPTANVSVVDLTVRIEKSANYEEIKAVIKKASETTMKGILAY TEDEVVSSDMNGHNASSIFDAKAGISLNDNFVKLVSWYDNEWGYSRRVLDLLAYIAKV DGAAQ VE01_03072 MLRQTITRSALRRGAQSVNAARTFSTSGRRQAEVELTIDGKKIS IEAGSALIQACEKAGSVVPRYCYHEKLMIAGNCRMCLVEVEKAPKPVASCAWPVQAGM VVKTNSPLTHKAREGVMEFLLANHPLDCPVCDQAGECDLQDQSMRYGSDRGRFHEIGG KRATEDKNIGPLIKTSMNRCIHCTRCIRFANDIAGAPELGSTGRGNDMQIGTYLEKNL DSELSGNIIDLCPVGALTSKPYAFRARPWELKHTESIDVLNGLGSNIRVDSRGLEVMR ILPRLNDDVNEEWIDDKTRFACDGLKTQRLTTPLIRNGDKFVPATWEQALTEIGAAYR DFAPKGNEFKFIAGELTEVESMVAAKDLANKLGSDNLALDQPNGSQPIAHGVDVRSNY LFNSKIWGIEDADAILIIGCNPRHEAAVLNARIRKQWLRSDLEVAVVGESFESTFEFE HLGADAAALKKALAGPFGKKLQAAKNPMVIVGSGVTDHADAKAIYETVGTFVEKNANF LTEEWNGYNVLQRAASRAGAFEVGFTTPSAEVGNTKPKFVWLLGADEINAADIPKDAF VVYQGHHGDKGAQLADVVLPGAAYTEKSGTYVNTEGRVQMTRAATSLPGASRTDWKIL RAASEFLGAPLPYDDVAALRDRMVEISPALASYDVVEPVSMGKLSKVQLVDQNKGSKA TNEPLKKVIDNFYFTDVISRSSPTMARCSAAKETGNPNTNFMAAGEQPPPQITYGEAQ A VE01_03073 MLQLKPRQVVEEAVENWDDDDLDIGGDDFTFPSRTVSIATSAGL SHHRESISSRLSIRSDFDSNFGDEERQVQVPGDDERSRHDAIAAATRAGIPLPQNVPA SALMGGTIKRLGGKKVKKVIQQDDWDDDLELPSIGGKGFQIKKHDPSEFPDALRQVSG QSGSATNEFSSPEFSKFDEFDKYATIRPKTNSGHLNLDQFRDGDDDDDFFGGGGGTIK VTKKRLVKPVTVVVPPTPVKPGSKEDDDFESDFQLPSDGKPLRLSIGKDIPKTPASSQ DEFDEWGEGSLGTRFGGTRRSDGRSNRSSSASALSPSVSSSITIESEDEGLDGLVLPT GPFNFEDILKKRQETQSPEHQEAQTPAAKPESTRPAAARLSSKDDFLFGLEIGDGDVF NSGRLTHNRNVKVKTSRPMSPQRPKTAVSLKFTDKPSPPVGSSSRLPRPLGHERHASN LDPVSESGGPMSAQVKRTHSRLGHSSQSSVSSIPTPATPTSVQSLPPLTPRRRDLTQK PSLNALRNEPTTTNAQLLKLKRSMPIIRHTNSPAKPAIHRYDRPPSRTDSNSRPNSII RPKTPVDRDRSGADSSMSHARRNPVPFLPAGNSTAQSHHVTIKTSRHFRQNDSTSSIT SDYRSSSRAMSRTTVRSPSPNRARLRNPEALAREAASKRQVTKPSKQRHFGDGRELDG FDDLPTSQTIEQKYIKQPIGRGPPKALMRNKVYQNTIPDRSVSTTPLPGPYSPARYEN NRYENLPRYTRDTNASRMAREQVLSQRAPSSQGGPLSTLTNQWKAQIAAKSNLQATRP PQSLRTKKSKPVQQRPHLIKPLSDTSKTTKSVKGMTYNPTTYLWEGNENDLSPFDAPI DSPTPSTIPPHLFREKESSTPRPALITNINASQGVQVVGGMVFDPQRMCWLKVPHQKR GKSLSRNASEGGDTMDGFDALDDEEEDVFKDVPDLEDKPSASSPSRDAHESGAGGRSR KSGGTDGGGLKDDWLVGEEFDVGPEFVRRQREEEERWKRKVEKWIGKDREVVDRESNW RWRIRDVVNQL VE01_03074 MSDYEDGMDVDAVDLEDNAVTFSSKQASQGKRSAANLPVEAEDT LPWVEKYRPDTLEDVSGHQDIIATINRFVETNRLPHLLLYGPPGTGKTSTVLALARRI YGVKNMRQMVLELNASDDRGIDVVREQIKTFASTRQIFSSAPSEAGGKSMATYKLIIL DEADAMTSTAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKERDI RVLVDRVIEEETVNITREATEALTKLSKGDMRRALNVLQACHASSTPLHIKGQPIPKE SEIVRDKITTTTIYECIASPEPADISLIVDTLLKTSDVSSCLSLINTLKANKGLALAD IIASIAEELTKLETPAQTMITWMDGLAEVEYRLSGGGNEVVQTGAVVGVVRQGVELMG VE01_03075 MGVLRIPRQDNQEGFVLVHIVPLAQKASAALDVKILATEGSAPF ALTLKQNQIQKLKSKKAPCTDDEWEAILEVILLQQESNPEKAAVAEGIEVEATIEEDV AVELVFKKSTSGITQRLGSLRLTHDEDQEIELFDWCGASIQHSHSLTRTLKSAQSALV KEQAKSRKLAEQLEDLLKAKGENEHVLLEKFALLLNEKKAKIRELQGGRGVGEVEMPS RHKKEEEEHVVEEKAGKSRRGKRKAETVEPEEPEEMDVDEEQGKEEGATRDTSDEQET EDEDEEPVAAKRGGGRGGKVEAEAMDEDGSATESEDDGL VE01_03076 MSSTVAATGSPTSRRTFTSRSQSQENAGFEDEKPQMRSDPTNTL KKSTSALSSSKLVNQVPKKAKMNQDHTSFNELNPMDRLLAKLSEQQATINKQHEVLQT GDDTHRTHVSSAVEYATTSATTSNSAEGTVSGNSTDAVDTVEEKNTPQPSKDEVLRLK IELEKAKGKIARMDQELTQTRITKHTIEQAIGSNSEADFPMDQLNGPGRSVYLPDGNW IGQDDNHSDNSDALSVGGFNRAGGIWGNSGRAPFTNYQGGMQDLQPASGFSGNPWAAG RGFNAPFSDPSGVLGQTVPGFRSDRLVPDNDTSVGLAGDRRNANRGRFMNRTPGAFPY ASSNSSYDGLTPTTSYGSVTGLGGGATGTMGGSIGLNTGGALSYQTQPLGTPLSPFAP EFNSLNGQWKNDNTIAVEGQTFLPTTEPLNYRRLLDRTVNCNWKYIVDKIVCNNDQQA SIFLQQKLKVGTTEQKYDIVEAIVAQAYPLMINRFGNFLVQRCFEHGTPEQVIKIAEA IRGNTLNLSMDAFGCHVVQKAFDSVPEDYKAIMVHELLRRIPETVIHRYACHVWQKLF ELRWTESPPQIMKFVNEALRGMWHEVALGETGSLVVQNIFENCLEEDKRPCIEEVLAS IDIVAHGQFGNWCIQHICEHGAPVDRSRAIDHVIRYASEYSMDQFASKVVEKCLKIGG VEFLGRYLDRVCEGRNDRPRIPLIDIASDQYGNYLIQYILTHSNPQHREVVACHIRKH MVSLRGSKFGSRVGMLCTNPAIATRPGPGVGPALGANRMPQGNPRFGGAYR VE01_03077 MSVARSRVVDLMKTQCKIFSTTFNPEGVRTGNKILRQRLKGPAL AAYYPRRVVTLNDLQKAYPELKTWNEKEEERLESVAITKARGKGAPKKKRTAAESKKF KGKKKVTTEEA VE01_03078 MTKRSHSDFVEPGSGKTTQGHESSKLARGIQKDGSKKVDASSNE AQAPAVNENADLVANLSFALNAVLKSEETILSSGTLNRIGLARCKALQLELPKPKKAP SKAKIATQAEEALPKKTSAEVTPPQNIAPWTGASIPGSLPTLPPILSPALEKSAFTHS GALPTNAGPQDSYERLEWVGDAYIYLLTTLLISKTFPALQPGRCAQLRELCLKNETLA SYARQYGFDKRYQIPKDFAARTPQTKILGDVFEAYVAAVIYSDPKNGVEKASNWLKAL WAGTLSKEILEQDEVNKTLQTTGPPVVSTTSAKQELATQIVSRGIKLLYKDADTPGKD PVTGFPLYTVGVYLEGWGEKNKLLGSGTALGKKEAGAKAAEEALKRDLYVYREKKRIF DEMNKAKKEAAEAAKNAL VE01_03079 MAATSEAERARAMMAIQSVFDRFHLISADAPVKYFDDQVMRDAK VIDGSPDGRASFEIRITPYYGNINGVLHGGAAGVIFDMLTTAALAPLSRPDYWDFLGG VTRCLNISFLRGVPIDTVVRVNSKVTQVGRTMAMIQSEMVSLDGKITYCTAENHKVSV ATPAHHLSEKYEVEWDRVMKAEGKMPNGLWTPKKVKGKL VE01_03080 MKHSWLPLLFIPTNASPIFIFLFFVCTYFLNRPCVYCSFLLLIL FASSCNWADQCFFDFSSNWFEARPLPAPMGADGNLTCTAFNNTSIPGNTFEQAMIIAG SMNSTAKTLGGVAFQELQKRLPERPEWTGIGVGWLRSLLGRREWTLPCVDVNVRL VE01_03081 MAGYTNNPGGGFDSPEGGGMESLIAQLRQRNASSGSTPTPQAPG SSFLTQIASQQLASQSNPYYAQSQASHLHGYHHPSVSSAMPTPPIYNNQSPHHSSSVM SPVSETGPSRPMAILNDASASNADRTANLLNLLKFSQPAASSPANAKPISPPPVSLHQ KQPAAENRGTVDLLATLMGSVGKQENKRVPSAPQTQFTREPTSASEIPDTPADTQAYL LQLLNRPKPAQSDNKPVITPAKVRGSFGENIVAEKAAPKDVIETTAATKDVFVEAVSP TSQPQVLQEPIKNTKTLFNYVNPFEQLAASSPRNRTPAPAAAAQQAPTKAPVQILKPP RHTTGETLDQKRKEHDQSPSVPSPAHAKRKLGPTETVPTAPGPSDVQKFGIGSAKQPT ETVSQALNEAGNQADKEVSEALARAVNSASESLGPDDSVAAKASAAADDSVDKLASLI EKQDFLKDGSTKAQDAVKSTTVKSVVSNTVQDSVVDSWESADADAITLNPADQKDSGK NIKIYNFPLKPWVAITLQSDSKVDRPTFDPESAMAIARLRKVFDQNDRTLVTATNNFI VFAMSKNGGIRIIRQDSGRDRKIFDETRDQVFNVSASTSNRDGSEAVIGTGCSGTVYW AAVKDTGGDKIEEFNAKTSFALPPIQSPGDENPGGVLKTRARKSSVHPEFFAVGRGKS IHIIFPYLITGGGFIQPGPDRFVDIEKYLSQRTLKIDTGKAAKDFTFSQDDTTVVSLD KAGRVKFWDVRGLTSENQPKNQSIETKEPLMTLITTPATEKSWPTSVIFVDKVRPYVK GCALRYLIVGMKQNHTLQLWDLALGKPVQELHLPHDKESDAACSVVYHAPTGVIVVGH PTRNSIYFIHVSAPKYNLPKSLSQSEFIEKLVKKDTTLPSPESTAVMSGIREYLFSES LETKEGSDKRARGDLRSLDILQPTATLNPAKPDTTLFELYAMHSTGVTCISVKPETLG WDSNNRAVNPASAVDEGLITVSELKPIESPAPREPSVSSVSTATTPSVPITPKAPTMA KETGRKAAAINNHAEQPAAVPGAPAKKAEQAQNLTNGGQETSGTEKVEKKKKKKAAAA AARQLDAVSESSSAASKAIANGTSDAVVGVVSQDQINKALKTVDASISDMARQLNSSI DKIYQSFDTDKTAQAAAAEEKQVALLRLVSSTLGENVDKTLGSIVETNTKKILLPSIT DSVSKAVSKNVHDHLSAKLGVLVQASVSKEVQAVLPGTLGKALEKPDFLRNLSRSMTD SISSTLAVSLTESISSAVVQKVAFKVEENFAKVMKNEIVPSFNALAVRTAQKSAVDIQ RTVSERINNLERQRQSDSDEISKLSKVIEGLTEMISTMASAQEGFQAAVLRGSNSAPQ SNIEQTVESQRSIPEATITSRSQGSPVSNLEQFDSDSQLYEHSLQSIGHLMSDGEFET AMMTWIKLNNGQVMQDIFDNYFSKYDPGFMREVSPILSLSTAATISQRFDSPSLMERI QWLETILQIYQSFSPEEIDPDVVKPCATLMELLKDRFEHLFMRVSQASAHDPLLKRLH TLVTVTTRIMENMQRNF VE01_03082 METVPAWVAGEEAMTPMIPLVQMRQQAMISVSEEDWVGITDPVE RRKRQNRINQRLYRRRHQTNSSRGKVSETKTNDVGNQTPKSKPDDDDFKADSPGTNLV VTKLPQEDPALEIVKQHDPPDFQWPTSVCNFTSSEAHLLIHRFESWAGQIRRAAHPTP SHLPMLIKFNVWRALVSNTFTLGLTMEQTADDDALSPFTTNSPLIHSHLPAALIPTAL QKRIPHHPWIDLLPFPRMRENLIRAGDAWDEEGLCGDMMGFFHQGTGREGVIVWGEPW DPRGWEASEEFLEYWGWAIEGCCEIVESTNYWRASRGEKPVRVLGVGSKRVNEILD VE01_03083 MVPQPEFNGWLGHDASAAEGNMTWGPYTPKPFTESDIDIQITHC GICGSDIHTLRSGWGETPYPCVVGHEIIGTAIRVGSQAAKERGIKIGDRVGVGAQSGS CLRDDCEYCAAGEETHCPNMVMTYGSRFADGSKSYGGYADFSRTPGHFVVKIPEGLES EDAAPMMCGGVTVYAPLKRNGCGTTAKRVGIVGVGGLGHFGLLFAKALGAEKVVAISR TSAKKADAEKMGATGFIATEDENWAKKNAGTLDLIISTVSSPNMPLSEYLSLLAPKGQ FIQVGAPEDVLPPISAFSLIVKGIKLGGSLIGTPKDIEDMMQLAVEKKVKPWIQTVPM TEANKAVVEFEEGKPRYRFCLVNEKNIEKLANRAAI VE01_03084 MADTSPASYKDVLPDTIKPTNYAISLSNIAPGGAFTYQGTVSIS AKILKPTKSITLNSIELKVHSAEVIVNNNKTQQTIPNIDATYDVPKQRVTLDFAGDLP ASNDAVIVIKFEGILNDNMAGFYRSKYNPVVPAAASVVRDGDNHYMFSTQFESCDARR AFPCFDEPNLKATFDVEIELPEDQVVLSNMPEKSVTKSKTEGLKVVSFERTPIMSTYL LAWAVGDFEYVEAFTDRKYNGKNLPVRVYTTKGLKEQGNYALEHAHQIIDYFSEIFGI DYPLPKADLLAVHEFSHGAMENWGLVTYRTTAVLFDEKTSDAKYKNRVAYVVAHELAH QWFGNLVTMDWWSELWLNEGFATWVGWLATDKLHPEWNVWSQFVQEGMQTAFGLDSIR SSHPIEVPVKDALDVDQIFDHISYLKGSSVIRMLASHLGQDKFLAGVGNYLKAHAYGN ATTNDLWSALSEVSGQDVPKLMDPWIRDIGYPVVTVTEEPGQISVTQSRCLSTGDVKP EDDKTTWWVPLGLKSKSGSKAISFNTKKATIPDIDDSFYKLNDEYAGFYRTNYPASRL ATLSKQLDLLSINDKINLIGDAGALARSGDAQTAPLLSLIEGFSAETNYLVWSQVISS LATVKSVFSEDENISNALKKFTLKLIKPTVTKLGWTFAPNEDHLTGQLRALLINAAGL NGDEDVIKEAQRQFYAYAVGDASAIHNSLRSAVFQINVKYGGRAAYNAVKAEWANTTS IDGKETSLRALGRIEDIKNAEDEDPLAPNLLKDLLDFMASGVPTQDVHTPAATLGVNP KTRLGLWTYIKENWEPLRERLGKNMVVLDRFLKLSLQNFSDLETEADIAAFFAEKDNR GYDRTLGVVSDSIKGRASYRLRDAGPLLEWLKANQYA VE01_03085 MAAGQKLYPRATLKKIVKAHSRKNVSKNADVLVFLDYALFLQTL MKEAGINAKQAGDRGITAKNVKKSTLHKFKG VE01_03086 MATSAIQNPDVRSESKSAKKKKAKADAAAVASVTHVETATPAES TNGDGSYESPYIKELYKSIRNVNKKIANASKVDNVLEQNPGKTLDELVATRKINADQK AQILKKPSLHASLAQLEEQIAQYKKFDQEYKARAQAEKSAIEKELTDKSSKELADAVA AVKAEAIADALIEKHQNLLLLSKFLRLAAARRAADVDQTLDENQALEGALVEVYTGDE TAVVAMEKLIKGSEEQALSINGEKVNTTYAQIRQAAIDFLATPTDYETEQPTEDSTVA TEYPIGTDPTIANAGLTEIDAVPTADLTTNGDSKPVSPADFPTNSGFGDGANAAAESN WDNQNNSANDLSASSEWVDVRLPRDAVETETGIDATLAAPTNTQSWADEQPEAPAPAA APAAAPAGDGFHEVQRNRGNRDGQGRGGRGQRGGEGYRGRGGYRGRGGSGEGGRGGRG APRFGGNRGGPRPQEAS VE01_03087 MSSDVIAGVVAPVVVVDDDSAAKTAAGLFSPPDSKKRMVFEDSD SELSELDEEGYSIGARPGMGEVKEEREGGGGKDEAGAVGEERKPEEEEAAEEKEPEVD VDDIGEVVPDHYADEGRVPVFKPTMHQFKDFQVYMDRINKYGMQSGIVKVVPPQEWLD NQPAPDEIIKTIRVREPIKQDIMGTNGTYRQMNLLHQRAYNLPEWRQLCDQTEHQPPA RRGEVRATQEKTRSSGRVKKESTPAGERVAAQSRKKNGRASRKSLAQKDADKDQKERL PTPTSPPIKPDDDAEAPNLELLEEDEPPRKRMGGGGGGRGGKATTVSSRRKYGRRETA GKIDEAAFENFEYKMDISDYTPERCEELERAYWKTLTYAPPLYGADMLGSLFDERTTT WNLGNLPNLLDVMGTKIPGVNTAYLYLGMWKATFAWHLEDVDLYSINYLHFGAPKHWY SISQRDARKFEAAMKSIWPTDAKECDQFLRHKTFLISPSTLLKNYGIKANKITHFPGE FMITFPYGYHSGFNMGYNCAEAVNFAMPSWLEMGRIAKKCDCAQAQDSVWIDVAQIER KMRGEETDYEETDEEEEEEEDEGPTDLPTPPESSGDAKLKQPRRKRKRVVSDKDGVDR TKRIRIRMKISSEPCCLCPNDIKTQPLIPTEDGRKVHRLCAEYTPETDIDEGIVYNVS AIGKDRLDLKCMFCHSRKGAKIQCSQRKCTRSYHATCAAAAGMFVETGEIPVFGEDGT EYKQQGIEFSCRFHRAKRDKKLDEYALEEDEDISRAAMAVKEGEICQFQFLRKEIFAG VVVENRTSEEVLLVDILPSGDRFEVQYKYILLPDPTDFHLPKPSDKAIPMPRSRHAKD ALVTTKRHADDLPRKDDAFVEGATWAEFNSFNTPRNPAQVKVDLSKERQVMYYMGKTS TEAKAQYTADWTKPVYDTRCNFLETIPKPPPAPRASYPASYPRPSGRAINPSRPAPPR PPTTSYKPILPPSYSQPQQVSVKSDKPYVYKPKAEQYYADRQVNNSQLSYTQDPSQQY RQQSQPYPYGTDSRWRPADNRAQSPYVAPAQPRGPVAQNHYNNFSAPNPLQQGNNHTP LAPHSHSHPQAMQAKQTHNESSVQKPTAKSHGHRSSSSSAFKFNDVFQKYPYLQKQHN KAPGTYRSPYRQDMLGFCNGYEGDFRKHMEAQMRKDPRLLSQHMMQASSGQLSSQPKT TTATAETPRKTYPAILPPAREPYSSPAASYYPNGAAAAAATAATSNALKQQQMLQPQI TKPTMAGGQWEKKEAGGLHPAIRAEYANGGGVVQGYQGGGSAVGMVRRESPILPPGYQ RPQVQPQTPQLQPQQQAESPAAGQWNAHLHQPQQQMTHVATPPPPPPQQQQQSGSVHM SPAAQYYSRPPSRPQSSPLTQLALQPQVNAAAVENVPPPVQNFSQSYSKPVKSFYSDT YHAVGVVGRKGGVAAVATPVAMAHGGPVLSLPPQQVVETKSNSFTGAPVALETKIPDS AAAGAMDGVQMGEIPEMDTDSAGMMETLMRNLQRAARQGQV VE01_03088 MAAPMVDDFMINKKPLVKRHVLKSEIRRVDFHPLEEEPEVESSD HSTMADFPQRDETGFMNDFDYDVEDRTVKQIIALGCDVSVSKKVVADTLDRIESLGYH PDQPGRTARVEVFYFVSHVMQSHSRQMLIQQASNPFKNPRYMATVVTRLLEIYLAGNP DIRFLIITYPMHHLSLMLSLRDHIGSDVFKVVTIVPAAPFAQRSSSLGEGGEDFTHGR QSPTIYDFDRTTSEPVNLFFTPDEPSESIGKPDFVLYTTSPFDTVERVPIRMDLLIQE IEEFVNPPEDPFPITGPRPAVAWTSEPTGLPHPLANITRAGIDTPLEPIDLDAAVRYQ TSKLMAKYQYQRLKRYPGAPPRESEVVNAQWEHTYKKVYKAFRKIKLGKDKPDLAGAS INPSDDEKADDEGDPENSEDESDDESITSIPITPIKDNTSIKSIPITPTKDDTSVTEV NEDPFQDGPEEDDASTGTVERHPIHSEEELFSAEGSSPQGSLKKKVSFSPLSMSYSFE KKSSDRSSGFWAQYAEDHL VE01_03089 MSRSTDPGHFFQSEASEAIRARRAAKSGNKNGDPIKLTSKILDV VVDPLSPTCLFVAESAGCIRKINVESKDTKIVYRGPTAPLTSVAIGGHNGQTVFAGCW DKDVWSWDRETTAVGKRYKGHSDFVKTVVCVNISGKDCLISGGADKKIIVWDTATGQR LYTIRDKMDTLLAIQHIAVDPIDSTADEIIFATSSSDPKIRRWRATLTEGEQILEDAD KDAPVVSKTDGINEHETSVYRLLFDSDYGDLWSASADGNAKCLSRSRAWATEETFEQG DYVRSVALTPDWVITAGRNEDVKVWDRNTGKLHHIYDGHFEEVTGLAMMKDGREVISV SIDGTVRTWPLDKASLQTAIKEKEEKDKGVVKEVEPVVAKGLMTADEEAELAELMDDS D VE01_03090 MATAGQIKLRGRPAHTEGPTYCTYTPDGSKLVTVGSNNTIRIYT YMSDGEPTNIDDCQEQNLGVTAAKDFFIAGSEDGTVSMYSMLTNAFDKFLVRCTLPIR DVKLSPDQSWVAVASDELTVKIVKVSDPNTCIYLRDQGKPVKHLSYHPSGKYIGLSCT DGVVYIYKLSTEEPELVTKIDGVIRNVDTDSEISSRVAWHPNGTAFAAPTATRDIQIV STSDWKVQGTFTNGHMGDVTALAWSPNGSILATAGKDRTILLWNTKTQKVIARHDYAN VMDLAWHPRHNLLSFSNTDGEIYIHYDIVPEEHAGLLELGLQPSPGERTGAPRLGEIS ANIQRQNGHSKTDMPLRPFRGGTPDTVDDILGSVMGGDDDFIEDDDGAGYASGLNYNG KRPAGILDDDAHWDKRQAISSIWQPRTHAAFQPGATPWQGDRKYLCLNLLGFVWTVNQ DTHHTVTVEFYDRDFQRDFHFTDTFLYDKACLSTHGTLFSAQPHDDVDATLFYRPHET WTERSDWRTTLPKGESITAISLSESFITVTTSANYIRVFTLFLTPYRVYRQKSSPAVT CASWRDYVLTMGNGPVGADGSTTLLYSIDNVKRDETCQSEDVVALPPGATVKSVFFSD SGDPCIYDSTGTLLTLVHWRTPSQGRWVPLLDTKLLARLASGRKTESYWPVAVADGKF HCIILKGQDKHPYFPKPLLSEFDFAIPISSRVVNEEDEEVANGQTEKDKLEEAFVRNN LLSSLLGDLLEATHSTHSQRTELARRDVEVDKTLLQLLAVECREGEERGMKALELVGL MKDQTGRMMEAAVKVAERYERRVLGEKIREVAEKRLRGDDDEDM VE01_03091 MATTARPWAYRPQHTEADVDPSRYDYLFKLLLIGDSGVGKSCLL LRFADDTYTESYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHG ICVVYDVTDMDSFNNVKQWLQEIDRYATEGVNKLLVGNKSDMSDKKVVEYTVAKEFAD SLGIPFLETSAKNASNVEQAFLTMARQIKERMGTTTANNKPTVQVTGGQGVQSGSAGG CC VE01_03092 MSSTRVVLRRNGPALRNLQLLRPSSLSAQQVCARNYAAVVTPSI TPTTPFSGSYPLPQPEGGGKDYKPPDERTLKLGKTLRTLQPLLPSLLLTPLPTAILSP QITLHLFPSTHPHLPAVHGRVAYIAAVWTSPIAWGRVPIIGNVRLEILSERMVKSPSP AGFDPTSVAPREQLVVRWRTIGKKIGGGDKVATRAEDEFTGLFIFQFDEEGRIVKHVI EHVQEGGNWEKGVGARVVGLTDWLLGGRNKEPEGSLAPCCSTVGN VE01_03093 MAAPMHNNYPRSPMQSSYDSSSVSSAASPQAQQYGGGLAGPSPR LSGQPPHHIILPPANFHAPYQNSYHPNAASPGPQGMDSIASTGSSSGTPGLPSAQINA NFQAQKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRNVKCQFTKETNRRMSS IKQVQDLEKQISQVKRENSHLRSLMNIREGQTDVDQDELTPTALLLPEVGSHPKSRKQ APPPPDLSRVRTNLRNYGRGIFKPPAPYRQIGSQAHFNPERPPLPARHVADHLLESYY ASVHTVIPLLHWPTFRQEYEDAYRIGTLERTPTAWVSLFFSVLAVGVVFSTEPSIQRP HKGKEYIEMSRMLTDLWNDEFTIDHAKGALLTSIFLTEVNLKSAAWTWLGVATRIAQD IGLHMNTGPWPLIEGEMRRRVWWSIYAWDRLLSVEMGRPLLIEDADCDVALPAAIDDH YIRDDGMHAPNGANPHTHLLLPTIHVVRSISQLRKTIKSPTIALTTLATFDTHFRACL SAFPAPFSLESREPLDPCSLSPIIYLMNTRIILHRHNLSTSCAPDIRTNAIEQCLRIA LDTTHLLARASAPTRSPNPPYHTQPSLLGATASTMLTTHIWRCTLVLLFCAHFDAALT CVRISASIGRNRDANIACGRNLVFFLTTLLERRRAGARPRDMADEEIVAYVSGDIQAT TDGGWVWQGSETGMALNSRATAGFPGDDREGVFNGTMKGSLTDSEMNDWGGWERVEYL VGILARDDDERDRFPPQAGTGAAYTSPAGPRRDEREVQRTTTDRISIANII VE01_03094 MCNSKLHTFLAGLPKVELHLHIEGTLSPELLFKLAATNKIELPK SDPAFASPEALQARYDRFTSLSDFLHYYYIGMSVLLTEADFTFLAYEYFSRAHADKCV HAEIFFDPQAHTSRGVAYDTVVKGIAEAQRKAKEDFGITSKLIMCFLRDMAVTSANDH FTLAANHSYFADGTIAGIGLDSAEVGFPPELFRDVYAQAKEAGVHRTAHAGEEGGPDY LSGALDNLNVERIDHGVRLAEDAELMKRVATEKKLLTLCPISNVKLQVVKAVSELPIR KFLDAGVQICFNSDDPAYFGGYLLDNFCAVEEAFGLSIEEWKGTAEAAVRGSWADEER KEEILSQIEVYVTNYN VE01_03095 MNTIRPSIPRFSALLRKKPFSVPSPGPPLPPGILVDEEISPVYD SKYFYPAKPGEVLADRYQALVKVGWGVSSTVWLARDLQGHIDVPEGIVALKIANNNAS SAGHECEVEEHISTADPSHCGRSLIRTLLDSFEVNSIEGSYSCLVYPPMREPLSMYQR RFDGGKMPLPLIKTYIRALLTGLDYLHRECRTVHTDLKLENIMVSFEDPTVLAHFIDS QLKNPMAFKIDSAGRPVYQSRSDFGPLKSLRSIPQLVDFGLATTLEEDDDWGIWPIQP DHYRAPEVILGNGWQMPADIWNLGVLLWDMIEGKELFQHIHDQEGRYDAKLHIAEMIA LLGPPPPEIIQRYQYMREYSWPNPIRRDDGRVCETAEEYFYGPFFDEKGITEFPIANE LFSDSRNILGRFLHEDLIPKRKLDDTASFLGREEKEAFLDLAKGMLAWHPDARKTAGE LAGHSFLQPKPNLC VE01_03096 MAAVPDKTKSYFPLAGGANDGWTKDDSATATCFCGAVQLAFPTE APGLTSTFICHCSDCRKITASMFASNFTVLDSHLTHLRGRENLKSYGQSKTIASGKMM TNYFCSTCGTLMYRVGEAFPGRSILRIGTVDDFHLHETKLKPSREIFTKDRVCWLSEV EGTQQLEAQRPKI VE01_03097 MGYNTFHTEEGMEVDVGMDVTDHSGPSGKVKQAVVENVALADAL VKDGVTSWTSPSLLKLYPIIMLITLNTAMNGYDGSLMSSMNAMPAFHKYFNVGKQGPA TGLLFAIYTVGQLVGSLFAAPAADRLGRRFGMCSGAFFIVIGTILQATSKNIDQFMGG RFLIGFGVTVGCTSGPIYVVEISPPSMRGLFGGLYYAFGYCAGALVASWTCYGTGKMS GDWSWRIPVVIQAFPAAIIFCTVWLLPESPRWQIANDQREKARSFFVEYHGNGNEDSA IVNLQMEEIERETNYDKELSNNRWWDYRPLFSTRDRCFRIYLLILVGVFNKFVGGAVI SYYLPTILDNIGIKSPDKQLLINALNVVFSSVASVFGCFYVDKFGRRNLYLWGALLTG LCYIPMNVIAALADGHVATGTGYAFVAFIYLYGVFFSFCWMPLQTLYPAEILPNDIRA QGFAFQELMNGLASFINTYATPVALERIGWKTYTIFLIFHFIYLGMLWWSIVETKGRS LEELEEIFADPHPVNKSLEKHKVLLATGQGVKADIDP VE01_03098 MGFSVLAVAALAASALAINIPTAPTWPSGRCTDKSLTIPSWILS EYEVSGGVTTFKVDNRAADPTGIYAIVECKGEGLCGGSSGTSQMTVNYSKGPDGPVIS MSHFWVCGDEGDKVVFTASGSTTITQCAGSDCVSPIPYLIHGILSLPVPLTPTQPSPP PGYDAESCATVGDKQWTVTDVSYQSYTKGQCLLWYWEDRICLDNNASSDFVTRGIHLN LNVTNNAINHEVSCSFTPTYDEFAPPYPFRCTGGEFNEITLDITLSGTVPNLDIKVEE VWYCLENPSTNVNPTVISATGSASLQLTCASSTGITGTEDDIITKCTSSVPSTAVDGT QADKQTLQEFALVTAYPVHGGCTFDSVVSPTWYFRAMQFKTTEFPADDPNSAMLAGFT TGLTGPGFADYFFYYFDTKNIISGSGLDTVYDCYGLGNGQPIHWKCNYSFNPFTRVIR LDKVWQCSDKNEAHPIYFEGSGTFDWSIYPYARCTTSDTYLDCYYSGDLAILQPGIPY DIPKVTTSLTNAPQSRIETSRVNAKWAVAN VE01_03099 MLAITALASLAGLAASMPLANTGLSLASNNVAVYWGQNSHGQGT GPLAQQRLSYYCANTDINIIPLAFLTTLADLSLNLANAGDNCTAISGSNLFYCSQLEA DIAECQSQYGKTILLSLGGFTYTEGGFPSQDAAVTAANNVWASFGPKAAGSSSPRPFG NAAVDGFDLDFETVVSNMPPFANQLRSLMDQDSSSTGKKWLLTAAPQCPYPDAADDPM LNGTVFFDAIWIQFYNNYCGLQSFVVGAETQNNFNFNTWDNWAKTVSKNPNVKVFVGA PGSPTAAGGGYVDGSTLSAIISYCKTFSSFGGVMIWDMSQIYANDGFLANVRSNL VE01_03100 MLSWTKKHLALLLAIPAVFAAPTHPTSHTNLTSALLQPIAGRDV SGFRNAAYFVNWAIYGRGYLPQELPASELTHVLYSFANIRPSGTVYLSDEWADLQIHW AGDSWSDTGNNVYGCIKQLYLHKKKNRAMKTLLSIGGWTYSINFPVPASTDAGRKEFA SSAVKLVADLGFDGIDIDWEYPANDVEATNMVLLLKACREALDAYAAQYAPGYHFLIT IASPAGPDNYNKMHMREMDAYLDAWHLMAYDYAGSWDSVAGHQANLYPSTNNPASTPY STDRAVTDYISAGVTAKKIVMGMPIYGRSFEATEGAGKPFTGVGSGTWENGVWDFSGL PRSGATEFHDSQAVAAYSYDAATKEFISYDTPVEINTKSEYVKSKGLGGAMFWETSSD KTGGNSLITTAANKLGSLEQSQNLLNYPASVYDNMKAGMPGQ VE01_03101 MASQNSKLFTNHWTATLPSQPHIILRHATPSSISTRLAIIRNPL NRTHITSQPTLWDDSAAATWTTAQQARFDKSTRDFDNLDVLVEVDGVVVGVSAIATIE GDLKDGERLLNVGVMLEEVVRGKGVGKAVTGCLVEIALMMGGRVGVRTMKANVGMRGV MRGLGVEERVEDVMMEGRGLVAEIMYMVEKEKWEELDICLEFGEEV VE01_03102 MVHLTGIPTDSSLQPSSAVDAAGKEPESGPVKHLSDRLAKVVLS AREQSAQQAGEQFMTSVYASRYAAQDLPKHEMPEGGMPKEVAYRMIKDETSLDGNPML NLASFVTTFMEKEVEDLMTESLAKNFIDYEEYPRTAEIQNRCVSMIGRLFNAPVHDDA ASIGTSTVGSSEAVMLATLAMKKRWQNKRKAEGKPFDKPNMIMSSAVQVVWEKAMRYF EVEEKYVYCTRERYVLDPKETVDLVDENTIGICVILGTTYTGQYEDAKAINDLLDERG LDTPINIDAASGGFVAPFVVPELEWDFRLSHVVSINVSGHKYGLVYPGVGWVIWRDPE FLPKELVFNVNYLGADQASFTLNFSKGASQIIGQYYQLIRLGKNGYRAIMLNLTRVAD LLAAAVKDMGFLIMSDGEGRGLPLVAFRLDPAKEKRYDEFAIAHQLRERGWIVPAYTM APHTEEMKMLRVVIREDFSRSRCEQLISDMKLSLGLLDEMDKKMLKEYSDYIGRQRAH AQKKPEGQSQHDVYKDEEHSLQGTTGKTHGIC VE01_03103 MASSAVAAVKAETPEKLSGAALYSRFAIAGALCCSITHGALTPV DVVKTRIQLDPATYNRGLIGGFRQVIAKEGAGALLTGLGPTFAGYFLQGAFKFGGYEF FKQQSIQLVGYETAANNRTAVYLASAACAEFFADVALCPLEATRIRLVAEPTYANGLI GGFSKMLKTEGVGSFYAGFGPLLFKQVPYTMAKFVVYEKVVEAVYKQVDRSTLSDGAQ TGVNLGSGLIAGFAAALISQPADTMLSKINKTKGAPGEGTTSRLIKIAKDLGLRGSYT GIGARLFMVGTLTAGQFAIYGDVKKALGAVGGVEIAVK VE01_03104 MEMQGSNAKIFTEWFREYTAARGNDLSIDSNIVKAVDSDELDAK FVEQRIKESALNVLIVNRFCAKCQSLFDHWPTLGDSSTREHDSGPSPDGGWEHAVARP CSTFELESSTRSGCRFCAFLLQNLKDRNLLDTFRKVEARLYHLDENALSSLSIQSWGS NPIQLLWLNLPGKVCTSCNSGLAVNMTIGSCFLPESADCFDDQLDVFDIANNWLSKCT ENHDLCKNNGNDTLPTRLISIAGESPRLVLTSECHNKPRYATLSHSWGSYDVIKLTSD DLDTYVEALPVERFPKTFKDGIEITQRLGLDYLWIDSLCIIQDSVEDWQKESALMSSI FGGSTITIAACSARDGRQGCFTKQPYFSGGLRARITDGELRRVQDFHSSKIYDLSTFE THLGTRAWALQEKMLPPRTIHVGDRGAFWECRTTIASEYLPDGLPRKLQRNLVRRNGK LQDHWLDIVRLYSAANLTFGKDKLPALSGIARLGHEETGDLYLAGLWRGELEEQLCWS RARYPPIMMRPRPPMQPRPPWRAPTWSWASIDGEVTWHSRHKGGLDTAYVQVLDAYTT KIGYDPFGQVTIGVIRLACSTMAAGYLVYPSESNYPEPEADATIVLYAGDRELNFSVQ IDCQDDNNTSSGKLCYLLPILSGKTGTGTRRKGKNMIYESMIEGIVLQATGITKGEFC RIGSFKFYKDRSKYSDGDEEESYERFLPVLKRHGKATAKASCKEVISNRKHIDKRYVI TLV VE01_03105 MPQTWQSIGAKKRAEQDRAIPSEWKLKSLPPTSQLDVRSIPSTC GILTADEISITEDHDAYSLLNGLHGQSLTALEVTTAFCKRAAIAHQLTSCLTEIFFDR ALARAKELDDHMERTGRAFGPLHGLPISLKDTFNIAGMDSSLGIAAMAFHPAPANCPL VDILLAAGAVLYVKTNIPQTLMALDSINNVFGRVLNPANRALTAGGSSGGEGALLALR GSPLGVGTDIGGSIRVPAMCNGLYGFKPSSGRIPYVGPMGRLTTTRGRLGLQTSAGPI ATSLRDVEVFMEVVARARPWETAADALPGAWEEQGRAGDEWEKPVFGVIRSDHSVTPL PPVANVVEETVVALKEAGLEVVEIDSKALAECQMLAYGFFDIGDNAMLDLIEETKEPL IPWLEGKVGRKAPKSVEEVSELHAQRLEVMKKMLKVFKTPDGRKIDAVILPVAPHPVP EIDRWNTVGYTSSFVLLDWPAGTVPIRMVNEKDLEGEVQGKSLGTLDNRTRMLWDRKT VDRRVYLDSALSVQVVAPRLQERRLWQAMDIVDRVMKRKASTQETTKAKL VE01_03106 MPQISQFSAFFPPAPKFTDKNLPSLKGKVYIVTGGASGVGYELA KILYVAGGTVYIAARSTPRCEGAIKKIKLETGASANKNGELKSMVVDLADMTTLKPAA EKFMRVESRLDVLVHNAAVMTPPAGSKNKHGHDLEFGTNCLAPYVLTILLEPIISRTA TASATQPLSVRIVWVVSLLQGGTLPGAMKFEKDGTPVILEKPFMGNYLQSKAGAAWLA DEFSNRLGSKGVLSISVHPGLMKTELQRNWGPFTRVAMSLLFRSPPVFGAYSELYAGF SPEIKAEHNGGHMMAWGRIAELPKDIIQGLKSKAEGGTGAKEKFMKYCDREVKDFL VE01_03107 MQNRLKHLQSLLKDVMAGQAPAGQPTPPSEGHGDGIASNIDPAL SGDIHNDFSQTISPDVKDGLQPSAGQVLLGTNQTTYVGATHWAAILDDVEEMHSYFEE TEEADYIVDDSTRPDMSLLFRPGSRVTKLELIAALPQKGVVDRLVSRYFNSNSPAIHI IHRPTFLKEYNRFWADPSSTPVSWLGLLYALMCLATLAALGAGEGNTDTRGTPTEMIR TYRGCSAQCLVLSNYSQPGAYTLEALLIYIEGEFVLSNDDVGMPYLLIGIAVRLALRM GLHRDPANVGGSLTPYQGEMRRRLWHILIQVDLLCSFLLGLPVMTQAIESDTAYPRNL KDEDFDENSTELPPSRPETEITPLSYTICKSRICEVFGKVGVLANRMSLPSYDEVMAL DTVLQEAEAGVPEFMRLKPLELSITDPAAIIIQRYSIALLLQKSRCMLHRKYIATESY SKKVAVDAAMELLSYQSSIHQAALPGGPLALNRWFLSSLSVHDFLLAGMIVYSSLTQL AKESPSSEYNSSLDESQPSRDEMVAALQKSHAVWIDTESMSANAEKGSRILGAMMKNA NLAPAGCVLNNANAPVDGMERASAMPDRPYSISELSLNDSMASVLPTATDGNQPWNFD PSNNMMQASEYGLQSNLGSVSTPSEPLQTILDSPGNFDWETFDSHVWPGLPQNASDQV WPDLDFNYQM VE01_03108 MHPSTLLIALLAATATALPTLDARANTSVNPDSVTFTTCTDPGV SIDSHDINVAILSICGTIAGTIQKCQGSPASTTGASGTAVLKLNVVNQGSTINVSKGR WEACMRAARAVCGNSPFKSECVGGTAGTSGGNIAFELTAA VE01_03109 MEIQLSEVLWTVLFVWLGFGATHLLYNVFFHPLKAYPGPFAAGA TIWWKIYIEVIKQESMTDVLFRLHKQFGDIVRIGPNELHFSNPAAYHDIYNSSARWDK ERMLYEGFGEDHSSFGMLTYAQSRPRKEVLLPLFSRRAILTMQGLVREKVDHFASILA KNNANGNSSDLLLGFRCFTIDTITTFCFAQSVDAIDEPDFAAPIVEAMDNTLPAFHAF KYFPLLRKSILGIPPWLSLKISPQMAGLSRLQMLLGKQVRDVIANPDSLKDAPHPIIY NRLLDPDAQKGNPIPDATALYEEAQSLVFAGGVTVADTIMTGHFHILSQPTLYAQLQS EVLNAWPDIDNPPRYEVLETLPLLTATIKESLRHSPGVTSSLLRIVPASGATISGCAI PAGTIVGMTSAIVHKSPSIFADPEAFIPERWLGKDATGLDRYLISFSKGPRSCTGVNL AWCELYIAYATMLRRFDMELDGTTEEDLVFRDCFTPYYPGRHLRAWCRPKET VE01_03110 MADAQKQNVAESTSSDQHLEKGAGLGSSSGMGGTDHDEHEMRML GRTQQLNRNFRFISTLGFACTLMSTWEIALMTSAFALINGGTAGLIWGYFIVWMGYML VFATIAEMASMAPTSGGQYHWVSEFAPRKWQRFVSYTVGWTSVLGWQTGLASLTFLTG TMIQGLLVLNRPDYVPENWHGTLFVIAITAFCIIFNTFLAKKLPMVEGMVLIIHILGF FAVLIPLWVLAPRSSPADVFTTFSNFGGWKTTGLAFMVGLLSPIYTLIGADSAVHMSE EIKDASIVLPKAIMWAAVMNGSLGFVMVITFCFTLGNILDIIDSPTGYPFIQVFFNAT QSYAGTSIMTSILIVNITSACISTVATVSRQTWSFARDKGLPFSNFISHVKPGWNIPL NAVLVTFLITTLLSLINIGSHVAFNAIGSLAVSALLATYMISFVCLIIRRLTGDPLPP RRWSLGRYGIFINIGAVLYLSVVWVFVFFPIQIPVTPETMNWNAVMFGSTMIFAVGYY FAVGRKVYTAPVDKVKRNV VE01_03111 MASTAPVLAPGQSPPLTVISPTNQGGVILIITALGMVFALVSIL IRLYIRLQIRHAYERDDTAIAAAMVFSIIQSSLVFVEVSKGYGKTIGNISASGIIELQ KASYASDLLYIITLWFTKFSVALLLFRLSSDKRHNWMSRAILLAAAILGAISIFIVAL RCDVSRPWVFINEHCSDLLVRWQVVLAFDIITELSLVGNSIYLVQDLQVPLGKKIVVV LAFALRLPILAPAALRLYYLNIEFSSSDPTLTGVLASVCAQIETSYAIISATIPCLRP FMSSLNTHYGAPAKPKSSTGTGSGGSNPRTPLESLTKAGRSLGTKKGEARGEMWDKPG NLTCVTRGEKNSIDSHDSKQMIITKDTEWVVEFERQSGMQTPRTADRPVSDA VE01_03112 MAQIALRRWWALALLLLINAVPYIQGQQSPSWAQYIISPQSLTV LPSAILEDRTVGDVTNPSALLTSGGDVTTLKRAAPVAPPSWPAGTTADASSFHPENQN NGQTRTYNPSNAIDGNEATFWNDDTVGAYPDILTLTIPTATTLSGITILSSSDGVPVK FTVEALQGGSWGAVATVSDNAAVLIQVPFAAPVNAKGIRITVTQAQATGQGEYTRIAE VWPGIVAGQLAPAVVLDFGKVVVGKLSIKFAGASTNNPGIRLAFSETTQYLSDLSDFS RSNNGDTITPGSDQIAVKSDPYTWTDNHGCEDGTKVCADGLHGFRYVKIYLDALAADA PNTEASGSVSIDSVSLAFSAYLGTEDTYSGNFECSDAALNEFWYAAVYTNDLCTDTFR LEDTEPRNAGSPTLVGKEVLFDGAKRDRDPYVGDLAVAARTLYLTHNFSIAAENVLAD LADHQRSDGWIPPASINNYQLQLLDYPLHWVTCTYDLIVYTSSDAYAAKYYPTILKVL DNFYPSMTDSATGLINKPDDSPYGDYAFLNRHGLITYYNALYVQALRNAASIATFYNH PDDAKRWTERAQTVSDAINAHLWDASVGAYFDSSKTTNHGQDGNGLAVLNGIADSTRS ASALKYWASLALPYGNPFFDSDVIGGGFSKRVYAFISYFELQARFASGAGDSAIEEIK RLYGWMATHDPKSTFWEGIGTDGSMYEAGFTSATHGWSTGIVPLMSNYVLGILPTAPA FTEWTVKPMLVGGITWAKGQVDTPHGPLVVDWTTENANSQFQITVTVPKGTKGTVSVP VSSEKVMVAVNSKLVYAVGRRAFNPKYKDGHVTVQLDDGKHVITASK VE01_03113 MVSFSSLILASSAVAGVISSVIPDKRSGLPMTARSTPSSTGTHD GFYYSFWTDGAGDVTYTNKAGGEYSVTWSGNAGNFVGGKGWNPGSARTISYSGSFNPN GNGYLSVYGWTKSPLIEYYIVESYGSYDPSSAATLKGTVTTDGGTYDILETTRTNQPS IEGTSTFQQYWSVRQSHRTSGSVNTAAHFNAWAALGMNLGSHDYQIVASEGYQSSGSA DITVS VE01_03114 MSRTPFKPYWRPVGGPILGDPTEPYSWAFFAVAQIGGRHRPVAV VSSLVDNSNEDETVLRGYPLTAACHRVITIFSDQANHRAIRAELTLASGYYVRDGNRE YSPELVELPDFNRRDFNPSGRRRSWYHTSVREFPFISACLLQGVAFDTEQELARPAFP EPLGTVYRDTSIEWGMVVIDITNLDAISYGIVGFRVGPMTFIGSRNHENHPPDYDGIG FHFVRGTLRVMDEVRPRRAMSATEYMTEFDHTSSTTNNIDRLIAKVPLINVSAMDLVW PPSIEEDIEMLLGILLDANKSVLDQYQATTNLIQRVLELKEPDISILKQVRTMPHFQE IFSRALLQDPARLLTMHSSNRLITMAFLDGEHLSLEQLSNLPVEAISAILSAPNMAKV TSISICIDRVQGKPAQLAHALSQVERLRKLYFLQSPIRDSDALSEQLFVELATYPQIL QRTTVMFAGAYSAAQRMRSWLPSSPTANGVQVAPLDIFPVQQILVLDQMYETKDRIYV HLGDALLKPERFAAGFLIYIQSLLTSTDEYTIQPLNLFSFSSAPSFLNDDPETAAEIS PILAESFSVPESMPQGKNSNNPYRRRWAQMRDLDPKGWTVIVSLETHSSSRALRAPYA CHCIRYAFIRALQQPIVVNQPRLISPGPKELEAVGLKDFLDAMGAEVAHDVIDRRIQQ VGERIARKPYEGPLFLKDRISVLPQDDAAEILLGFLEDTVEVRNILSAAMEEDSEDER RA VE01_03115 MLWFTKRRHKPPSTEESLHAVAPTRGFLEHASSRIVEAPTQVLY TDPNFAAPPPLNYSLRTRKWSLAIFWFLIFLDCICMPLALYFGLWYGTHLSHNAVFSI STGAIGIVSVIEYFIRFRRLWKKGSTCRVIGARRWYLDWFHWNLSLAWIAVMIELIIG TIPREPPIRLLAMPVPSMVFAFGLELALVDGLRLLGIPTPVRISSVPAGVPLRPGVYS FIEDVCAVDGSGGTEFRQRLNLRYMASKPFRKMLHRLTLFWATGALAIATASTAMIFT LSRNAAYVIGWTVPFGWAAVWTLITTNTKPKYDHVLTRHHIAVCPRQVVGTVAAAIAD HALRRSKGNLAPGNTTLDASMTDLSSILPAFPTAPYAHLLPSLERQSVSTTDLLTLDP AALAKRANIPVEDVTRLCTDVLKAIQRDLGLTGDDLETSHSSLRGRGNEISKKWDAIS TLDPNLDSLLSGGFPAGYITEVTGESGSGKTQLLLLLLLTVQLPSPHGLNRSAIYITT ESSLPTTRLAQLRAAHPILADTSLSRVLTIPARDLETQDHILRFQLPLAIRRHNVGLV VIDSVAANFRAEFERGDGGGAAHGANMARRTAELVGLGALLRGIARSESVAVVVSNQV ADRFAPLSVGEGPPRGS VE01_03116 MATSAPSIPLTNIGKNDEETQVPTEVQRGITNAGGPVNPGDRER WVEAARTKVYDACYNGCDASPSCASEACAKTAALNVTGVVCDANLLWDQRDRHKKLEK ARQNLAIQPQRIPCYRPPPPLPTTSGTRTGNTTTPLQKKVSPPPKAPKKMAPRSPSLD QLSLETLVNDAATPTPATTPSSASTLSTSTEPPLV VE01_03117 MTKPTPRPDDGYSLYVSDPTPSFPLERIRKQDEEIQIPPTSPTP RRSRPDLTLKILSIRLAILIGCAITGGSTYALARDVQRSIETSRGAMNSRDYKHWMHI ARTTVYDACYHGCRNCNNPNYAYDACARTAEVNVTGVICDGNVMWNWKDRYPAACLKA VGEICKKDMFRSARRDHLKKFAYIVLTVLADVVFGFLTYGIFWCWIGQYRKHRAVKAR QRSAVWPRENGYGYDQPPPPPSTMWESGTEKTPTPSKTGVAPPLKASKKTTGRRSLSW GQLSIAALATLPGKTAAYPCTGYDDVANQYFVDANQSTFGVVSGWMSNCYKYRRRSGK HWPTHTRRNVAPLDYVNEILPSVVGCGFELVEAVEGDTNLRIANPLIEKEWRVMIRVN GYNLTSSTETDQSIQCLHDISKSK VE01_03118 MCFGLRSSGAKADIQNGTDIDIPARKISSPQPQQNLNGDGSEMS MMSGKPEKVEKAEKPKKKKWNDDEYGDSVSLALAAKTRARIKELYPNGIPEGEQDAIS RTQMSSGPMGGPYGGGAMMGL VE01_03119 MVHLPLLTLLLATTLTPTNGFKWTPPKATPAAAPLPSIVPRFKP PKPTLAPDAPYDLLNKHGVGRRAESSTGAITAYAAPDATCGYFDGRPGAPLHCGTADT CFMFTSAGGNGYIGCCPIDGPFEQCGFRTNCLDAADIKNGTLCDSGCLHDTYTLKCTE STAPYCAALTWPMNGVADYFCDNDRITTTQTLYTSYRGQTDKTWFTTTISSSSLTASS HSSTFTDGNNGFNDALGPTSDSSSDSSANPTGGTDSGANGSSDHSTSKSKKTPVGPIV GGVVGGVAALAIIGLLIFFLLRRKRAAAAASAASAPTVAPLPQGGAPGPQQQGPQGPP AYIADANKPPLNPNTNSYYGPPAPQAGFAGQYGGPEMQQQQQQYAVSPEMQQQQYPQQ PPQQAGGYFDPNTGTYYSPVPQSHGSPNPTSPTTTAVSGQTNDVINSAGGVRDSTATG STAVGAGAYGYSSPRPGFSEMSANMAGGPFPRDQHEGTFEVEGSGPVVGGGVGGGGGG GGQGVIREVGGIGELEGGGTGR VE01_03120 MPRKPSPSTTKRPRASKPKVKTGCLTCKIRHVKCDESKPHCTRC LSTGRVCDGYSPPRLLTHIITHSPSHTITHFPTPLPSPAITNAPTPLLPGTPSEQDSF HTFRTLTTAHLSGLFTSPFWSRHVLQASLHEPSIFHAAVALGALHSNSIHTRTWGDGD GDGEFAVRQYVKAIRGLTRPAGERRVDVTLIACLLFTIFESLRGYHAAALTHLDNGVN LLLELQSQSHSDSHSHSHSPSHANKQRPAYPSLPYTPLPALRELVMRLDTQATQLLPT RRTRLRPFSAPCTDYAFTSLEEARARGDELWNYSLYTLQPSASSASSSPPSCSSANND DYCLSPRGQAHRHTSRIYLADLQARYSTALSTYLATHPPLTPRERQAGMLLKLHDAVA TLSLSPASLAGCEEVWSSYTPQFAGIVALARSIIEMEDALGDDETGISGGRGGKVGMD TGVLGPLYLVALRCRDPVIRSEAVRLLAGAEGRREGLWDSNLLARVAGRVVVIEGVGG EGKGRKRVEDVDLVFDPEEGCKAGVRFLLEDGIDDRTISKQEEVGGWVLAS VE01_03121 MSQNLSKEKFKKAMLISRLLLTLTSTPPTDVRTMTRYDNWFVRF WRKVYNPLGFKKGYNFPLFFIFGGAMLGFSLARISYLNISGDAPSSFKTGAVTGEWFW YQSGIHRVGITLHLTTIVPAGVLMVFQFVPIIRYKAILFHRINGYLIIILATLGNIGA IMIARRAFGGTLATQSAVGYLVIATTIFMALAYYNIKRLQIDQHRAWMLRAMFALGTI ITLRIIMALASGIISSIGSYSTVINCGEIEFIYANSPAALQSRYPTCVGGNNTDVVVK ADINSPFGEEQKASMVLTFGMAWWVAIAMHAVGVEVYLRLTAAEGERLRGVSCEMQRK AGMRSPGSAGLTGDRLGDVGKWEAPRETA VE01_03122 MDALSTTAALATTDLPVDMPALTVTPMAAPTNPTAAQVSTIDCH ICVCMNRRKPAWKQAGPSKMCVLCVRQYCTVHAAPVDKVGGEDVCEINHETYYWKHEA RWGEGVHATLYDRKCDKWASC VE01_03123 MVARLGRNRIVLQHHARPLCFQFDKDNLIETISTARSSTSTVYP STDSNSALFDGLNLILQYNPSYASQTLLANGAPFMVSAPGKVIVFGEHAAVYGKPAIA AAISFRSYLLVTTL VE01_03124 MALSNSGLDSFIRIEGSPSLANPPATVRRDKQGIPIPPSEFELN DIRPSPSTTLPFARDVPPNDVESSTPPTPTTPTGVDLLQTFTNPPMNKYRMASSTFMN FANGVNDSAPGALIPYLEKAYSVNYAVVSLIFISNALGFIVAAPLTHAIETRLGRART HQVALSILAVAYVALLCAPPFPVIVISFFFLGFGMAVSLSLNNVFCVGLSNATAALGF LHGAYGVGGTIGPLIATALASNGVKWSYFYSIPLFFALSNITAATFAYRNYEADAPAA QLMTALEQTASRQQTNTPTRMQTLKLAARNKTTILGSLFIFAYQGAEVSIASWLVSFL ISYRHGEAGQVGYVSSGFWLGITLGRIFLSPQAARLGEKTSVILLVACSLVLQVVFWS VPNIIGNAVAISIVGLLLGPVYPCAAAVFSKLLPRDLQVPSFSLISAMGSSGGAFAPF ITGLLAQKLGTVVLNPVTIILYCSMVVAWLCLPRIVKRTE VE01_03125 MATTDSPEVSGEDGELDEDLLDDILSRAQECEKSRQWEEYNELR LQSIDMFSQHFGPDHRIPLDYKMNLAYNYRTQAKFPQAESVARDVVERRRRVLGDHAD TASAMNSLSISIKAQERVEEGLRLDEETLEMLQRVQGDGEDATQAAMQNLGNSYFNVG RHEEAAELHQKVVDFRVQKLGKNNRESIITMDMLARDFTALDQWDKAKQLQEEVNGLA KVNFGYGDNTTITCILNLLKTYRHLGEPDKALRMMEDALASFRQLGRDHTEPSASLMK QLAIVYSDEDRFEEAEPLFEEFYEWIKGVLGPDHEVTEEARLDLTDNLVAQGKLVWTS PYGALE VE01_03126 MPIATPGVRHFTMPKPLNERLAEGQPPAVPVKSDAPRQYTEEQR KPLASHSPNIEQGITFAAQDSLPKLPIPELENSIAKYLAALKPLQPPREHAETQQAAE EFLKGDGPELNEKLKKYANGKTSYIEQFWYDSYLNYDNPVVLNLNPFFLLEDDPTPAR NNQVTRAASLVVSALSFVRAVRREELPPDTIKGQPLCMYQYSRLFGTARIPTDHGCHI GQDPDSQHIVVLCHGQFYWFDVLDENSDHVMSEKDIAINLQTIVDDAQQTPIQEAAKS AIGVLSTENRKVWAGLREVLTRDEGSNNADCLSIVDSALFIVCLDYAAPSNAPDLCGN MLCGTSKIEKGIQIGTCTNRWYDKLQIIVCANGSAGINFEHTGVDGHTVLRFASDVYT DTILRFARTINGQAPSLWASTSPDPSKRDPKSFGPVSTTPRKLEWVMTSELSIAVRFA ETRLADLIQQNEFQTLDFAAYGKNFMTSMGFSPDAFVQMAFQAAYYGLYGRSECTYEP AMTKVYLHGRTEAIRSVTPESLAFVQEFWSELEPARKVEALRRACQAHTAQTRECAKA QGCDRHLYALFSVWQRGLDEDGAEAASSNGDGYSSRGASSPDPLSSPSLSAQSDDGLP QRIRSSSSPSPSPTRLQALPSLFADHGWDRLNSTILSTSNCGNPSLRHFGFGPTSGDG FGIGYIIKDEGISICASSKHRQTKRFVDALESYLVEIRRMLRAVGGRDGSPASSRARE RDRGVGEGKMKRGRPIRSEGVPKAASVDSRVGSDDDDLGGYGFFDAGMLLQALQARTG SLENTVLAAVEKAIPRRREVGKKLRLSEY VE01_03127 MHLPLPHLLLPLLPLTLALHLRVLLPPTASLLTPSLLPPSTTAS LTTQGHVYTAPLGASSTFDFRNVSAGSYLLDVVGSTHVFAPLRVDISEGNEGGAEVVK AWGTWRGNEWENKGEVVEVGVWGREGRVVEVKAVGAKEYLIERTGFSPLSILKNPMIL MAGVAMLMMFGMPKLMENMDPETRAEFEERQKSSPMNGLLNGQAAQGGAASFDAAAWL AGAPAKKQAAVEKGVTR VE01_03128 MADFEAPPGPPPPKVPEGWKAQWNDQYKEWFYVNIYTKKSQWDK PTAPVYPPDSSAPPIGAPPSYGGATQPTSDAKVNPYDTPDATKSTVDEDARLAAQLQA EEDQRTRGHQNPNYGGGPPGGGYPSNTGSPFPEEQARGEKKKGGFLGKLMSAASSKTS GGGSHGYPQQQQGYGQQQMYPQQGYGQQQQMGYPPQGYGQQPMGYGQQPMYGQQPMYG GGGYGGYPQQQQQRRQGGGGGMGMAGGAALGLGGGLIGGMLIADAMQDHDQEQYDQGY EDGGGGDDGGE VE01_03129 MEQEVKLLVVLWTFLGLTTVTVGLRCYVRLAIAKSFGVDDWFMV IGYGLFASLVAVLVVSIHYGLGKHALDLESYQQINAAKYLIIGELIYIIDSGFIKISV GLLLLRLAVVPIHRYILHASIYILALWTTVTFLIVAFQCRPLSLAWNPASGTGTCMAA ISITRLGYAFSALDIGSDFLYAFLPVAMLWNIQMTWKLKFSICIVLSFGIFASVATIV RLKYLIALTDEADVLFAICITQMWTIIEVGIGMVAGSIATLRPLLRKMNITGLGSSDQ YTRESNYKSQELGYIKQSKLNTQSSTTKVAANLGQDNDSQELIWGEDRDIHKRVDVSI SRTQ VE01_03130 MAFANSISGAILAVPATIGTLASLLLLAILISCGYILYNVYLHP LSKYPGPFWSAATHIPRVRVLLSGQLSYHVLELHDKYGDVVRIGPNELSYNNANAWKD IYGFKQGHAQLPKDPNFYTAPPGGAHSIITTDVAGHSRMRRLVAHAFSEKALREQEPL MKGYMDLFIQRLRENCDDGKNKLDIVSWYNWTTFDIIGDLMFGEPFDCLENVSYHPWV SILFDSIKAATIFSTTSLFPGAQTVIMALAPKKLIETRDYHQNLVVEKVKTRLERVTD RSDFMTYILRHNDERGMSIPEIEATANAIMIAGSETTATLLSGVTYHLLKNPETLKKL VGEIRGAFATEEEITLIGVGKLKYLLAVLDEGLRMYPPVPVGLPRNIPAEGAVINGKY VPPKTLVSVNQWASYHTEKNFREPNSFIPERWLGDSRFASDAKDVLQPFSVGPRNCIG KSLAYAEMRLILVRLLWNFDLQLVPDSKSWEGQEMYILWQKDPLYITLTPRK VE01_03131 MFSEYASRFLAQSQSRISSFVQPPESDAGSVAPSRSRRSSRPLA STGRAYAGRSALGNPYQGGGGGQLSGFPFASRLSAAPDAPLFHSALDEFREEDDEEER ERDLADFYALQRSRRVVGARMEESEETEREGGSTVELSREEERGGGRGRGIKSSWHGG RGSVRERGQEPETLREVPEGIRYEESQRRTNSDASSNERGRMVDIGLESAIDDNVPPD DLTYEAADDSPPAFQQFRTPESGPGRMPDSKIFHGNRDLEAAMMDSTRPPSVVSTVPA TMGPMAMGELPKHDIFWGSLYLIGLAALFSTFFLVFLHTSTPSSKVPLGDTIYTALRA SSHLLAVDTVVAVIISLLWLALLRSFVRPLVYILVVAVPVILFSFSLYPLISSYKGVE HGTSIQDRAMRWLSFVPLLTAIIWSYTVFQGRHSLHKAVGILEFSSRILAANSALLTL GFATLASVILWTWLWLAMFTRVFLGGHLVSSIFIIDFATWWLGAFFVLMYLWTLSVLS GLQRVATAATVSQWYFHRNAAPAPSSRDIVLAGLTHASTTQFGTICLATLLALAIRLP VLLLPRRLLACASLFAYNLIPSPVASLTNPLTLTYAGIQSQPLAPSARALSHMTYLGL QGPTSTLAPRSFGARNQGNAPLLPYRLAKLLLHATRCIMAVSLGFGGWVATAQQLEVA GSKGVRGSVYAYVVGMVAGFIGWGVLGAMEGVLAGIVDGAVVCWGIERGTVGGGRYCL EAGWLFGDGREEERE VE01_03132 MATFHPFPRLAPELRICIWGFATEDRVLRVRKTWSDKQGYWSPN PVPAVTRACRESCDHCSYRKAFIIGWSPRYIWANFDCDVVQMRSGLLSEESLLENDEI RHLRIELVNEQGLDESESFYYYHVRNLRYFPKLENFNLLVSDGLCPWTDFFKETYWGA CPSGNIRIVNAKTGEWIDEETCGVYQDYIDTNRGMHDDYTRVVDDEDEDEKEARMEAV KRLQIPLPRMAI VE01_03133 MTTPEAGDSNCLFAIPNVDGSGPYPVRFKSCDPLEDDSLWQFVP DSQGHYFIYNKGWGSSGHRLDINCPEPSMCIMWMGPSGEIYNNQRWFLGGSNGQFKIS SLGLPGSSLSSALVDNNYVGVMLDTTTIGDDSKNWKLSDNIPASSSSTTPASSTTPAS TVAPAPSITPSPSVDLSRLDNHIVSSTPAQTTEGPSSTLTSNMPDMSLTVTQHEPSTT LASDNPEFSNTGVSSTTSQIGGLSPSDTPKPNNSGTDKHVDLTVGLAAGLGGGALLLF CLWCLWFFWWKSRPQPHLGKTTELAVLPVAEPVRVTPVSEPETVPPVSEPETVPPVSE PETVPPVSEPVGALPTRKRMGMSAMSEPGSAPVRRPSNVGE VE01_03134 MKPSLVVAALAATSAATSAASSTVSQWTKGQRHSVNYNKAPPNL STLANSSLFETWRPRAHVLPPSGQIGDPCMHYTDPSTGLFHVGWLHGGASGATTDDLV TYRDLNPDGRPFIVAGGVNDPIAVFDGSVIPAGIDGKPTLLYTSVSYLPIQWTIPYTR GSETQSLAVSYDSGRNFTKLRQGPVIPSAPFAVNVTGFRDPFVFQNAKLDSLLESSPQ TWYTVISGGVHGDGPSQFLYRQHDPDFQYWEYLGEWWHEAANSTWGNGDWAGQWGFNF EVANIFSLDKNGYNAEGEVFTTIGAEWSFEPIVPEVSDSRQMLWAAGNVTIQDGALKF TPTMAGFLDWGASAYAAAGKELPADTQASMKSKSPDRFITYVWLTGDFYGTHNFPTLQ QNWTGALLLPRELSVGTISNVVDNELSRETGSWRISSSNLGVLELVTLKQEIVRETMS QLTSGVSIIEPGKTLGSSGPVAFQHRPKSKFYILTASLSFPASARGSDLKAGFEVLSS EFETTRIYYQFSNESIIIDRTNSSAASSTTAGIDARNESGKLRLFDVIENGEEKIETL ELTIVVDNSIVEVHANSRFVISTWARSWYKASKDIRFIHDGEGEVKFGNIMIHEGLFD AWPERSR VE01_03135 MTQHVDVLICGSGSAGLCAAAWLARCGLRCKVLESQPGPLKIGK ADGVQCRTVEVFESFGIAEEMLREAYHVLEVNFWGSNAEGNLVWTGRTADTAPGLSHQ PHLILNQARVNGLLLEVMERFNEQRVDYGYEVKKVQVDSGEAANPEAYPVTVVTVKEG VEEIFKAKYALACDGAHSAVRRSLGYKMVGDSSDVVWGVMDVFPRTNFPDIRKKATLQ SNSGSLLIIPREGGSMVRFYIELPSGTAAKDVQLSDLHSTASRIFHPYQIEIAETFWW SAYAIGQRQADFFSKDNRVFLTGDACHTHSPKAGQGMNTSLQDGYNIGWKLAQVLKGQ SPPALLETYVQERQQVASDLINFDRAFVKAFSSKAAKEDGSTEFKEHFIKAGQYTAGL TAKYGDSKITNAKDSMQSLATNLIVGMRFPSAQVVRFCDAKAMQLVKALPSDGRWRIV IFAGDINEPSSATRLEELGAYLYSSGGPVKSHTPASADIDSFIEPIVVLSGKRINTVQ EQIPDCFWPITGKWKMRDLHKVYFDDESYNSGHGKAYEFYGIEPSKGTVAIVRPDHYI SMVTMIENHESIGDFFKGFALQAS VE01_03136 MNEGPSHEPPALPAGWIAQWDAESGKYYFVELSTRQTRWDVPTA SSSSTPHEERSNSGFGQHQQPGEGERGMGGDGPEGERGLGSMAMKYAMGSGSGKQSSG SSGLVGMAGSLLGGGKQSSSSGSGGLAGLAGSLLGGGKQSSSSSSGGSGGLAGMASSF LGGGKQSSQSQSQSQSQGQYGGSSQQQSGNYSSDQTGNYSGAHQQQGSSGGGGLMGMV GGLMGGTHGQQGQSGYGYSGSDQQGSYQGQAPPPAYNPGGSSSTSTYGGAQGQDSKYG SDNKYSSDNKYGSDNKYNSSQQQQQPPYGGPPPNTNYNSQQQQYPPPPQQQPYGQAPP PQQQPYGQEQHQYGAPPPVPGAYGQQPPHQQYGQHTPGGFPGAQQGGTAPGNLPKPEG KHHFGRSHEGGLYGGQQGGKKHDGGHQGQQGGQGQYPPQGGYPPPPGGGGYQQQGGYP PPPQYPPQY VE01_03137 MAVDQQHEYNGQLVPFEAEQTVRRPITSDDIGMKCLFTPDPTAF TEVIDIIAIHGIAAHPDETWCKGGVNWLSDESMLRSAVPNARILRYGYQSTWYGTSQE VISTNTSRVAARFLSALTVLQKEVPYRPIILIAHCFGGLVALKALLSARDDNGSNGLP RWGRIFDSITGIIFLGTPFRGSDMKINEMLNFVRSEQKVVQGEVLRVLQGDDEYLKDL VDRFGMTRRLPNSAKVTCFYEMKQSNVGAIVRDPNEQMKIVVNESSGCLDSTEKYSLN RDHFEMNKFDKFDDEDFSFVCNEVTDMMKRAPGIFLARRRSKKPQDRGFTHPGKLPDG AKYFKEAHPERFFWQYLTSASHGLYEAIYNACVFEGGNGGENIDCRYFYQTCTLNL VE01_03138 MDALSIATGVVTLIGAVSKTIQAVKVVYGGPAELQVLFNEVSDL TAILNDVAAILGRRVSAGENVEDVNQGQNTKIDVPGGIYQMRKRRWLVARRKVITFRK QLSVMREEMIAVIHLGNLSIGSRVELRLANVITFSENAMRMLTEQSQRMRGLVQDIEG IKQSVGQEISKRRRSKCNLFGNPRLVAAEIAIAPATMSATIVVPNFSTDSWGCSFGPT PAWQLLNRTVILQLVSAMRHSQYRSPIFSLPGGPQVSLRTSRIVDQSASIFHFTHIGD LDAIKSLYSQGLASSLDVSHKKWNTPLHRDVTLIDFFIATEADEDVLDLAHRSPASLA WDIILSRSKSNTVIEHLLGSFGDSRYIEDYRFSHLHEVVLDIKHSSIEEALSRDPSWI NCTDTHQNTPLLWASRRGNNVHVQTLLHCGADSSIKNDVGEDALIESVYFGRLDCMLS LLRAHAPISEAADGKKNLHHACKLRDDLAYIKSIIQRGTDINRKDCLIRTPFALASSM NHFRTIKYLLDHGAEIDARDAYGSTPLMRAVRYGALEAATLLFSYGADITATDVDNLT ILHKVALSTDTSMMKLLQTQDLRGLYSDTRDISGKTAYQMLVEHKPCVETLIVFTELL DIIRRGAEVGEVVEQVFEMELELEPETEHTSMESSLYESEDALESF VE01_03139 MPWTPDFRLVTTPHKEVHLIKQDREDWQEACVKAIDDLLDLARE KKTFPGLGKKRDEQFPIVGASFDIGIERSASSLFGIVGRGAHMTVYTRTSSGMKFWIP RRNAKKSTWPNMLDNTVAGGVARGEMPFECLVREAGEEAALSEELVRRDTVAAGAVTW FNISDEKAGGELGLMNPGVLYVYDLEVGEHVTFKPVDNDVQSFHLLGVDEVKEAMRNG EFKPSCATVMIDFFVRHGFITAENEKDYTEIVSRLHRKLPFKTSPGF VE01_03140 MLVSNALFFLGLALPGALGAPSTSSFNWKISKGNGVNLGGWLIQ EAVIDPTWWSQHSGGALDEWGLCAHLGTQCGPVLEQRYATYITPSTIDKLAAAGVNVL RIPTTYAAWVKVPGTQLYTGNQKQFLKNIATYAINKYNMHIIVDVHSLPGGVNGMGFG EAEGHFGWFNNQTALTYSYKAIDAVIAFVQSSGFPQSFTIEPINEPVDNPNMMYFGTP AALSDAGAAWTLEYIKGVIQRVVATNPNIPVMFQGSFRGEAYWSPQFPANTNLVFDVH NYYFAGRPTDSDTVSANICSDAKASAGDGKFPVWIGEWSIQTASNNKLANRRKNLNTG LYAFNKYTQGSAYWTARFYGNVPVEGEGVQGDYWNYESFIDLRYINASEGTPYCI VE01_03141 MSKYETVLEGYEPLKVLVAGGGIGGLSAAIFLRRAGHQVEIFES SRFASEAGAAIHIPSNVNGLLRRMGMIPEDHGANQTEWVSEYRPNGEMVFQKDVRPLS SVFPYPWQLIHRVDLHNALKSIAVSPEGIGHPVVLNLRSKVVSINIDTPSLTLEDGFT YTGDLLIGADGVHSKLRSSIAKDCPLPTPSGSSAFRFLIPADVIRADPKTAHFLERKG EMRLLYGTDRRIVIYPCRNNTLINFVALHPDEETEADGDNWDQAASKDSMLSCFSSYP EDVRLLLAKASAETIKLWKLLDHEELGKDNWVRGKACLLGDAAHAFLPHQGQGGAQAI EDGAALGALFPLGTQASDVPRRLQLYVQARYDRATLVQDFTRQAAFKTTHGKHGGKVI DPMQFTEINFRHDAYDHARGILLRELARNATYRRAAFSFGPSPGPRQDHMGRLRNLGE HSYKTSYLTFKTHKSYISTLLPSEELSIDTNGSWATATLAVTRLANLSWLGGRGYSFF ALYIHNVTSDNKTATGALTDNELATGGAKKGDFIPVMFENKADPIITGREELGFSKVF ATLEDTSTASSYSLSAGWEGTEFCTLTLEGLVEVPSAEPTTQAPSLHWNAITSSKESG HNYRGTVTVYPPFPTSEGQREWKAGVAKVNFTDLGGQQLVQAFPTLSHIIEGLRGIQI VEVLKSGVLASRDM VE01_03142 MSPRNDFSQCTAGDPKVGKTSNAPCFWPAYIRHLALANICIMVF LANMYAAGITTGFAALAMEFHLSNAQLVDVISYPVLALGVGNVFWTPTAVCLGKRATI IVALFVFLAATIWSIRAATFQSLVASRILACFAGGSIDSLGPAIVADLYMERYFATAM AIFSIFLAGGSQIGPMIAGFIITAKGWRWFFILCAILIGVNLVLILLFLPETNYRRVM YDGETAQEADKVAVQMIEYKNEAVNAPVVTTESTGSQALNLNPPYAGSYWRDLISFKN RGTEPRGLVEWPRQFSLPFRFILVPQVFFATISYGVFLGGAVIIATVAPQLLSPPPYL FKASGIGLFALSSFLGIVIAYPIAGPFTDYMSRFLRQRSHGETHIPEYRMPALLMPFL IAPPGLLLFAYTLAHGGSAYAAAAGYAMQVSALVFVPSVVLSVVVDGWPATGSEALVL INAGKNTVAFGVTLSIPIWLAREGLIKMFWEMAAIQWAILVLAVPLYVLGPWARKKTF WLV VE01_03143 MSVTTTANPITYTLQSTLQDYEVHISGNDTNEANIDASLNPSST TTLVQNPPGWPRDHNRIPNYRPLNRQLNFEERPNGSNGIERAFLFAMFTGVALNAGMA QTWGSTGGKVFTKVFRYAIGGEW VE01_03144 MSSLSGLEQLRAAAIDGRTENVRHRQNEIQKLHLCLRENAATIL SSITKDSDSGSSNTSLESEAEFWLTMNAVEQQYDGLDFEASIKQEYLIVTGANNQGRR IGKGVVVIRPTTHTRFYSIIVPLVTAIAAGSCTALELADTMLNVDSVLKDILPKSLDP DTFCIINATLYSQEHVFLVDQTAQSNVSSVDRLCSNYSARAIAIVDRDADIEFAAKTI VKARFSFQGTSPYSPDLIVVNEYIKDEFTEACSRYAGKFFPSMSKSITVRNNNFLETK KALKAAEEKGEVTTSGTTLFKIVDIHDRSCPMTKMKISGYCLSIISSSSLVDSVSISK LSPDLLALYTFSDPATAKFLSQHVNAHTSFANSIPSHILVGPAAPITPVPAPPHHKYS TNMFSSPRPQYIALPAPSSNLALIDDMLARFYEPAGQSSALVKLRGKVVGKDGKAAKL LKPKKVQPEGSQLGFFEQGILLGAGLVLTVIISTAIGGIWVVKRSIKEQRPL VE01_03145 MAKSQLTPVHFFSHGSTMMLGEESASATYWKQCGDEALANKVEH VVMMGAHWATSGDEIEVAAKPTPAKSPVAYVDPAKYMDYELVPDLPMASRCVDLLKTS GLKAKLNDKFDWIHDTYLILIRMFPGGSPPTTIISMNARYDPHYHVKVGSALRPLRKE NVLFIGTGGAVHNLYRNNWFQMLQFRDNFALETPPDREMLDFRQEFEDAITKKSGPEL RRAMTMLMKVPKYRDAHGTDDHFMAAMFVAGLCGSFEDIGLSATVGAEDWELRNMCNS QFTLGKWPTGGAKS VE01_03146 MPDAPTTQNPAGPRSTPTGSNAEGMGRENDELDNTEAGGGRSNR KRQRQHPKAPVTHQCGICQRTYERADRLSRHLMTHENARRYLCQRCQKSFNRADLLTR HMTTHNRNNDGSGLDHGTINRTDRVGQACLACAAAKARCENNKPCRRCQSKDITCEVP NLTSKNALWQMSNNGASQSRSPDILDRDSGITATQPLPSRRRQQQPRLQQRHRPQQQP QGEEEEEGEGEDGDEEEGHQYEDNGQELYQQPQIQHSVNGAFAIDEQPTGLFNASQSS NIRANQLQINNGTTNHEVAPQTWVETATDQVETYVMHSMSVGENQLVFDNIMNEVQFM PYIVDFNNQNLDTELFNFTFQETQLGTFPAPPIIEDNNNESVDDETSGRSPRLTRDVR AGYAAFKRSPWLWTPRQLDRALQDGENLTLDEDSMSSALTPNSSGLAPNVPSCGFPTI KSGIRDKMFYLVATMDKYTNRIPDFPSVDVINHVVEAFFVRQTYQVDNWIHIPSVLEF IPELGLAMVVAGSAVIAVPAIWKMGLVLQDVIRVKLGEMWERQNSATRSLQPLQAWML SLDAGLWSGFQRQMELAESFEQTLITMMRRGGMFGSTADTQSLIPHESDEGKVLETKW KKWIQLESFKRLSIHLFLHDTRASIALQKNPLISITEITISLPTARIFYLAGSATEWK SCFLEQRVPPLAQASIQLIDVMHDMSVLDNLHSEIDVALCYTAATHGFWGQIWAFRES WKFYNVDVDKDSVHRLWLMTQQRELYQQVKIFEEALVAKPIPQCELLVIIELLLMILH VSAEELTRFAGKYGEEAASRAFVSLDKWSATEQARKAVWHAGQVLRWAAVMPPAELRD FYAIAVYFASLALWAFGHVSASKDHTNDPVNRHTPASLDSTSKSTFVVLNSDETSNAR LFIAGRQITPVLAAVVFNWHSKRPEAQDGALIQLDDPNAVLQMARDLYRNNFPFKGEP LPPLVENIGNLMRDLGSLPQNRFSRCVSPME VE01_03147 MSTAMFASHFSVCNIPFGIGSSEDHPRPSAVTRLENTVIYLDEL AKHDLLSSLPNEALHAFSQVVLNDFAALPRSIHQQARAALQTLFKEPLTSFPAGSTAE LKDVTMHLPVSSRDFTDFSCSKDHVLNAGEAILKKRYLPPAFLHFPIGYSGRTSSIIV SGTSFVRPKGQFRDGQGGIKYRPTEQLDYELELACIVGKPTKLGETVTSKDADDHIFG YVLMNDWSARDIQGLEMTPLGPLNGKSFATSMSPWIITLDALQASAIIGQPRELEVAS YLVDPNPINSYDIALQADIITSGTSTTICKSNLNAMYWTFRDLVVHQSSNGCCLNTGD ILGTGTISGSTDESHGCLLELTKGGQDSFEIGDGKSRVYIEDGDEIRISALASNGDQK YLSESRIQEILVGSLVPFTIASVTVVARFFTRIFLTRNWGTDDSWIAVAWIFETILIF LNCLLTRYGAGRHQDTITEEQYQKTLLLGFFTRLIYLLVLGITKIAICALFLRIFRSH KRGRYAVYGFMAFVGSYTTSVMFTSIFQCRPISKAWQVKSLGQCSNYLITLWVTAICN ILCDVVLLLFIIPHIMSLKIDRGQKAALLAIVSLASLVIVAAIQ VE01_03148 MASYVKPSPLPPINRYITTNSETGTALLDATISNTASWTSAGVA NFFLGYCTSANPVSFKADADIKAYSKYLAEPPGLVVPNGTVLRVVDMMPGELSPMHRT TSLDYGVVLEGEVELILDGGEKRVMKRGDICVQRGTNHAWRNCSNTEWSRMLYVLTEA SPVELEDGNKLEEDLGGMVGVRKSA VE01_03149 MSMLKELLSLSLGSILPLGAALCVVFSLVSWLIDPLRSVPGPPL ARFTRLWYLYKIYQGDFERTNVDLHKKYGPVVRIAPNEYSIDDVEAAKIIYGHGNAFV KAPWYWAWMPPDPDKASLFADLNPHRHGVQRRKFASAYSMSSLVGYEPFVDNCSCLFV LRFHEIAQTGRKVNFGLWFQCYAFDVIGEITFGKRFGFLDMGVDKEGVFGAIDSRGSY STYVGIFPKLHNILFPLLPSTGGHGYVAGYTKSQIASREALLKDPKSQDRDGPPDFVS KFLALRAEDPEKMTPSDLFTICQSNIGAGSDTTAITLSSVLYHLLKHPATYKRLQNEI DAGIAAGAISDPITFKEATQLPFLQAVIKEGLRLHSATGLPLSRVVPPCGATLAGQKF PAGCTVGINAWVAHRNTSVYGADADTWRPERWLEIKEHNNGANVERYFFAFGMGSRTC IGKNLSLLEVSKLIPEVVRRFEFVLDDETTVFNFAEMSITNNIRDLLTITEDRENNLV FEKNVSVPLKDSPLPVRCNVYRPLSQSADEKFPVLVTYGPYGKDIHYDNFFAKSFSEV NPEHKSKYSAWETPDPVFWTSKGYVVVRCDERGLGQSPGLLDTMSRSTSECFFDVVEW ASEQPWSSGKVGLLGISYYAGSQWRVAARRPKGLAAIVPWEGMTDYYRDRCRHGGILS NDFIKFWWNRQVVTNQYGKPGRAASKWGEDTAEGDLPEDVLMQNRNDQNIDNEKNKFL DDTYYASKEFNLGDIEVPVLSVANWGGILLHLRGNINGYKWAGSKLKYLRFITGRHDL PFYCKEEVEVQRSFLDAFLKGDDRVGWSTPGKVAPVSIILRKGNVGFNNAESEKVYER REEPEWPLEGTQYTKFYLTPENTLSTTVPFVGSSTISYEALGNLSSPQLVQFISAPFE ADTEITGHITAHLNVSLTPDSTATASQKDIDLFVTIRYIDPSGKEVHYTGTAGDPIPL AKGWLRVSLRKVATDHPRHSEYQPYREYRSIDVQEVKPNAIYAVDVEVWPTNVIAEKG GRIVFEISSGDTQGSGIFTHTNVKDRSKNIFSGTNNLHFGEGIDNYVTLPIIPKR VE01_03150 MPSLSSVTSAADVLVIGGGNAGFTAAIQAAEAGAGRVVIIDKCP EEWAGGNSFFTAGAFRTVHNGTADLLPIVNNVTAEMAKLIDLEPYTPAEFRKDIDRMT SGRTDPELSQALIEESNAAVKWLAKQGIRFQLSFNRQAYKVNGRFKFWGGMSLKTDEG GKGLIQDHQNAARRHGIEVYYSTAAKRIITDQATGAVSGVVVEVQGIDKIIKTKAVIL AAGGFEANPRMRSQYLGPGWDLARVRGTPYNTGDALEFAMRDVNAKQAGNWSGCHSTC WDANAPANSGDRAISNEFTKSGYPLGLMLNNGGERFVDEGVDMRNFTYARFGRAIHGQ PDGVAFQVWDQRMIPWLRDEEYRDTVVEKIWGSTLEELAENCAQRGLNEPATFISTIK EYNSAVYASQKEKPSLIWDPAVKDGLSTQSSTVKLVLPKSNWALPVDKGPFMAVKVCC GITFTFGGLSVNPVSTAVISQSGREVEGLFCVGEMLGGLFYGNYPGGSGLTSGAVFGR RAGVAAARVAGAKGVVATEVGFSSRL VE01_03151 MEASTVTSTVTSTHEDISGKLLPIPADTPSSQNTVADNGSSFFE EVHFLGDKAGKVTIRSPPRFDNIEDQRKYQKQHLAVAFRVFARQGFDEGVAGHISLRD PLNPEYFWINPLSTHFSQIRVSDLVLVNEQGEVQPEGAQAAINGPAFAIHSEIHKARP DLNAACHAHSVYGKAFSCFGRPIEMIYQDALRFYNDLAVYPRYGGTVISTEEGARIAK SLGNCRSIILQNHGMITCGATVDEAAFLFIALDRCCHAQLLANAASGPGWEKIIIGKE EAEMTHKKSGNSSKMWLAFQPYYDQVVKNEPDVLT VE01_03152 MTEPKILARACRTCRHRKTKCDIQKPRPSNMLMNALLEEKLTLE RCLVQLKLATPEERDKRLGAIIVTNGKVDLSSCAVPEAQGGQADVEQPHNPHSKGSKD NEGHRETVGSEDDSYQPSNYLSVDERGEVKVYGPTSALHGLSPDNPMHRRSSQTNEPL RHQLIANSLLERQKEYRLLQMTTIGDEPAELAMHLLDLHWNRQHHTFLLTYRPAIMRD LVTGGPHCSPFLLNAIFACVSKFSDRLEVRDIVSDPETIGRRFFTRCENLLASESLLG TSSIPTIVGLLLLGSTFNARGMASKGWLYTGYALRMVYDLGLHLDCKAVGGNAEDVEI RRRVFWGAFICDKLQSLYLGRPVTFQPCDAHVPRDLLDTMEENELWTPYVDPKSPNNP SMPPVVPTPIHSISTFQQLCLLSKIMAKIINLFYVVGATADNARAHLQGVDDALSGWY ASLPPHLVFEPWAKDPPSSSPSPSPNIMILLTTYNSLVILLHRPFVFGHLRQSSIPAM SWKRCTTAARNITSIASTYQEKYTMRRAPYLLSYTVYVACTIHTRNTIASEGTRSEEN LSALFASLRCLDELTVPNSGVLSPVGNIRRLMAANGIPNILDNTWDVQTPNSQELEAL CQMFPPMSSNAAENVMGQTGNMYLPHGYDDFNGLMDMYMPSFNGVLLDAENSGRHEAA G VE01_03153 MKAVGIKNGRGNADAFFIDDNVPDPIVSSGRILVAIKAFGLNRM DIMQREDKYPYPLLPESGKILGVEFSGLVEEVGPDCTSNFKVGDKVFGLAYGGAYAQK ISVSEKMIMHIPDTMSFETAAGIPETYFTAIQAIHLVGGLEPGQTVLIHAGASGVGQA AIQVAKLGGASKVIVTAGTDAKCDLCRSLGADVAVNYRTEKFADVVERETAGAGINLI IDLVGQNYWHANTASAAKEGKIVLVAAMSGSIIEAFDLRALLNKRLWVLATTLRTRDS TYQGKLRDKFVEVALDNLRLGRMRITVDKVFPWSEIAEAHKRMEANTNAGKLICVVE VE01_03154 MPRSPLVPAGAWDTHTHVFDPVNFPYPTSRSYTPKAAQITEYPS KFTGCTCVVVVHASVQGTSPAALIDTLSKAQTAGLTLRGLATIDVNTTTDAELDALHA AGVRGARLHEMSWGHGEQAGGSQIGKKVAALAERFGRLGWAIGVFCDVRTWASMAEQI RAMDPRVKMIADHFGGTFPGEEKTPEFATFLELVKEGRLTVKVSGFERLYNGHGSGRE GMKAIEPIVKAVIAAGPDQIVFGSDWPHTQLGVARKGRTDQQRLDDIEGFREVPDDVH IEVLREWITDDAVWQKLFVTNSEKLFR VE01_03155 MTETFTSVGVVSIGEMGLGVASLLIDHGYQVFTYAADRSESTQA RARKAGVNLAPSIESLVSSCSAIISIVPPRDAKETAERIVSALSPAPGSRKSPLYYVD MNAISPNSAIEINSLLSVNPNVHHVDGGIIGGVPYPKERGADGKPNWHCPSFILSGPH KIPDLALSAALNVQHLDLKIGSATGLKMCFACTTKGFVALAIQSFTTAQELGVLEELR EYLQKYNPSTLTLAEKGLVTMAPKAYRWVHEMMEIADTMAENGGFEKGLFQEVAGVYR TVAEDSELGKEQPDARVRGKTVNDVTELLAQGMKAKKLKSE VE01_03156 MSVQPTETSVLPEQVDKKKERNSPLRSVLAGSTAGAVEIAITYP AEFAKTRAQLNQRLVQGQKLPWPKFGGEWYAGCTTLIIGNSIKAGVRFVVFDQIKELL QDANGNLSGPRTVVAGLGAGVFESVLAVTPFESIKTTLIDDRKAAKPRLRGLFHAVPI IARERGIAGFYQGLVPTTARQSLNSATRFGSYGAFKQMALSYKEKGEKLGSVVTFAIG GAAGVVTVYVTQPVDTIKTRMQSIEAKTLYKSSLHCTKKLVQEDGVFKLWSGAVPRLA RLIFSGGIVFSMYEKSMAMFEKVDPEGVYI VE01_03157 MANVNQLTGPAEHSVKRDDTFVEDIDIDKNDISGSGYVMSTYHS YTPEYSSEVEKKLLRRIDLRIMPLVVIIYIFSYLDRNSITQARLYGLQEDTNVTGAVY NTAIAIFSAGYVIMQLPSTVIMTKVRPSIYLPCCMIAWAIVSGSTAAASSPAGLLVAR FMLGLIEAPFYPGAVYFLSCWYTKKELGIRMAFLISGLLLSNAFAGLISSGVLSGMAG VGNLAAWRWLFILEGLATIAIALVALVFLPDYPATTKWLSEEETIVAQGRLAKDAGSD DVLDEEKVPMLQGIKWALQDPRTWMFACLQMCASAAISYSHFFPTLISQLGFTNNTTT LLLTSPPYLFAFLWSLGLALNADKMQTRSPHAAISMLVGMVGTILLIAVPIHYKYPRY AFTFLVASGSFGVYSSTYTWLSSTIVRPPVKRAAAIGIANTISNTAALFGGYFWLDIY SPAFRESWGCELAFMVLGLACILTLRLVLQRANKAFDKLAAEIDPNDSVAMAALDDDS RRAVQNGFRYII VE01_03158 MANSKPTSGDFTKTPTWLDWYEGPTTPAFKLPPGAVDSHCHVFG PGSEFPYAPERKYTPCDASKAQLFAVRDHLGFSRNIVVQATCHGADNSALIDACSSSG GRARGIATVKRSVSDAELAQLHEAGVRGVRFNFVKRLVDFTPKDELMEIATRIERLGW HVVIYFEAQDLPELWDFFTALPTTIVVDHMGRPDVSKPIDGPEFSLFCRFMREHPNVW TKVSCPERLTVNGPPALDGERSAYRDVVPFARALVEEFPDRVLWGTDFPHPNLKSHMP DDGLLVDFIPWVAVTPESRQKLLVDNPMRLYWPEEI VE01_03159 MSDTKISTITADGVDVFYRSAGSPSDQVILLLHGFPSSSHMFRN LIPLLSKQYHVIAPDLPGFGFTNVPADKKYEYTFANLTLTIEAFLDALKVQNFAVYIF DYGAPVAFRLALNRPKAITAIVTQNGNAYEEGLGKDFWAPIQAYWKSGAKEDRDKIDS AVLNFDMTKWQYTFGSPHPDSIFPESYYLDSALLERLGNHGIQLDLLYDYRTNVALYP RFHKYLETSGVPVLAVWGKNDPAFIAPGAEAYKNHVKDIEIHYLDAGHFALETNEVEV SKLMADFLKKHNI VE01_03160 MAALKLHIKELKYRGAYMGNDDESSRRARLSSLLAFFKPKPTPQ SDLDIPKVRLLWLVGKSARHFEKPWQKDPTTSSSHYRIAEFYGRLAEATVDYHFDPGT DYVDLAQYVNHQAFLSLIMDGASGFLRLGLCSML VE01_03161 MLGPGPLVVPHKTPGESDGRGIQLYIISIITIILASITVGARIS TRLIRGAAFQLWWDDYSILFGLIFSFILAITEIEAVVNGYGEHAGDLGPDQAAVALKW FYLAQIFYKLVVTFNKLSLLLFYLRIFPSKTFRALTWIGLAVVGATGITFIAGTIWQC HPLPYFWDKRIKGGHCIRSAPWWQSYSAIQIATDVFILVVPIPSLASLPLKLRHKLGL IGVFALGGFVCISTIVRLTTLASSAGKDPTYDPIPATNWSVIEANIGLICACLPSLKP FLDKIVRTCLGQPPRNNTPNPYSRSNLSTARGYALGSISPTQGLAHRWDWGERGDGVA RKEGATDSQVSIMMRGHIESLWGIQKQIDVVIHRSEGVVVGHERSYSSFQ VE01_03162 MVQITFLIQLLLACSSMLHVSLALEVGAPIKTTSGIATRRPARN RSDVSEYLGIRYAHPATGKRRFQPPLAFRSDKAVDAFEYSPACPFTPSPLLAYPNKSA TFDSIYGRFLGRGKKTFSEDCLSLNIWTKGPNPAKLKPVLFFIHGGRFATGTAKITHY EGQYIADTGEVVMVSINYRLHVLGFSGAPGLTQNTGILDQRMALEWVRDNIKAFGGDP KRIMLYGQLVGGLSADYHAYAWPDDPIASSIFSVSGTAASVQAQTLDLSSQYCYNLSK IVGCPAAGNAVPCMQKQPWQTLLAGIGKLSYAPTLAAVQPQFQETADEVTVFSDYADR GHAGKFAKVPYLVGNTDYEAGFYKLAAFATKRSLTEKQWDIFNLQGFTCASRIAAENR HHNGITTYRYRYFGDWSNLRLYPDSGAYHVADLSMWHGVGQDVSEEPNTETEDKTSSY MMKAMIAFATDPEDGLSKMGWPKYADPSSDQLVQELYSKSPLLLSSLSESSPKTLVRL GFNNETEASFSSPYVHDLPCLAFNGDTKYAQGAM VE01_03163 MDSSDQERSSSEDLEAADHDIPKRITFGPEGQRDRSKNRRGSLR RSVSRDSISSVRDRTRAVQGVPIEFRTLSFQVSESQAIGEVAPSKKLLEALKKKKKAD EIEEKDYFEHLDYHKRNGDDVCSALNVHCDKGLSASEAATRLSQNGKNAFPHRRENYV KKILFYIFGGFCSVLWIGVIVFFICWRPLGDPNPAPYNLGLAILIMIVIFLQASFSAF QDWSTAKTMKSILNLLPGDTLAIRDGQPLKVASSDVVVGDIIKISIGNKVPADIRLLS TSGDVRFDRSMLTGESDEIEGAIDMTDTNFLETRNIALMGTMVTNGSAIGVVVLTGGN AVMGRIAKATNAVKDEPTLIQREIHRFVLIIVAFTVVLATAIILTWVGWLRVKHAAFM SVISMLNNAMGCVVAFIPEGMPVGVALTMMIIARRMKSSDILPKGLSTVETLGCVNVM CSDKTGTLTENKMVVATVGFLDGRMTAGEAFSMLSEGNSAPLKELHRASALCNDATFD PLTKNQPVMDRAIQGNATDGAVLKFAEAARKGFIESINDESPRVFQIPFNSKSKWMLT LHKTDKTGVESQFLMLMKGAPDVLQPHCTSYWSYESKTIKPFDQASQAQFLALQENLS RSGQRVILLCQRHITPRETLGSNAFMNEIQDSCINNLTIIGVLGITDPPRKETAATVA ACRRAGIRFFMVTGDFGLTASAIARDIGIFTNAADPDTFDNFANRSTDLVEKRQPEYW TKTSLLLEGPSISKLSREDWDLVCKYEEIVFARTSPEQKLRIVKEFKQRDNIVAVTGD GVNDAPALRAADVGIAVVTGSDVALEAADLVLLDKFDSIVDAIRLGRLVFQNLQKIIS YLLPAGSWSEIWPVLMNVYFGFPLPLSTFLMIIICVFTDLFLSLALIMEKEEFDLLEL PPRKAKKDHLINVKIYIQSYLFIGVMETICAHSMFFLYMYKHAGIPFHSLALAFESYS DGFHGYTTDELVHFNTVGQSVYFVTLVILQWGNILSIRNKRLSILQADPIRKKRRNPW LLAGMAMSLAIAIFVTEQPGIQRIFGTAKVPLEFWFIPLPLALGILVMDEIRKLIDSW LKVVELYTSWAQTTDKLEKSVANVFGGNPNVASMRSRILLLIVISIIVLLVLFSARDS NLPSASQIYHSSTGKTSPSSKKVAALMETRATPNLVPLILHFSSVLGPTWPIKIFTTQ AAMVNLSTSAAFERKIADKSISFVLLPETETFKEHSSVSAFFSKPWFWQQLAPAERVL MFQSDSIICANSRRTVDDFLEYDFIGAPVREGLGAGYNGGLSIRNVPLILDIVGKESW AEDRKEKNGKYKDGPNVDYEDQWFYAKMNERNAHFPTQEVASQFAVETIWAEKPLGYH QANVWQAGSMDDILKWCPEYKMCTSETYTSH VE01_03164 MATKAAYQTTFEAEQVIQPIYTGGSVALDHTGRLLATTLGEDAL ITDLNTGKQLARIEGDGEEISTLTLTPSATHLIICSRSLSMRIYALKPSLSSSDTITT ELLRTLKPHTTPVVVLAVDRTSTLLATGAADGVVKVWDIRGGYVTHTFRGPNILISAL HFFELATGNSGEETGISARNRKNRLGDNGADEASNGDNALARGFRLASGSQDGKIRVW DLYKRNCASTLDSHVSDVRALDYSSEENLLLSASRDKTVMWWDAKTWKVRKVTPVLEE VEAAGFIGDGKLSYTAGANGRLRVWQTESGREATTAQTPGDEGDAVVGAVYFQGLPFI LTINTDHVIILHSVSGLEYVEGKTMPALPELKRISGTHDEIIDLGYLLPDRSLLALAT NSEEIRIVSLAQSDSTYFGADVAQLNGHEDIIICLDIDWSGHWIATGAKDNTARLWRV DPANNSFTCYATLSGHAESIGAVALSRTVPPLDSPAYNDPLNHPPKFLLTGSQDQTVK RWDIAALHAAPQKAPRALYTRKAHDKDINAIDINHNGQVFASASQDRTVKIWSVEEGE TMGILRGHRRGVWSVKFAPKNTPIIVGENGSAGGKGLILTGSGDKTLKLWSLSDYSCL RTFEGHTNSVLKVAWLNIPKQEANNKRHVQVASAGGDGLVKVWDTSSGEVECTLDNHE DRVWALAVHPETNTIVSGSGDSTVSFWTDTTTATQAASTAAATQFVEQEQELQNYIHA GSYREAITLALQLNHPGRLLSLFTAVVTGAKDDGSLSGLHAVDEVIGSLSDEQIFLLL LRLRDWNTNARTAPVAQTILWTLVKSYPASRFTNMKVKGGQGKSLKEVLDALKAYTER HYRRMEELVDESYLVEYTLREMDEVAFAGNGDGEMGQDVVMV VE01_03165 MTRLEPLIVSALGRSPYNDELIVNDDQGQGDGTVRIGQQYDDRG RPINPETTQRTKNLVRASNEVLQAAGIIEPNADYRARDEALKAAREEDFEKAFRRRTI GGVLLTAGVWGTSGLRRRTLLYREYSNHPLLDIIRLERVDRSVPRILGAGLPALLLNR AFGNFALVYEQIFDIKNQSTKRIIEYCFYHVMFHYQYFATLQQLNLFNPRQLVPTLLF MVPFSSQSPLYLPAFPATVSTPNLLSWVSSVGLVLAPCILLAAWKRTTQLLSNLIFDP LYEFLPHPSNPRPQSPDLLGTINELRQTIQEHDYDDLSNPPRLPDPNSVSNASDYSSG STESDLSPETHRHGPPFTASPVTPTSDPRTLRALEGEPRSPLPQEAEQELDYSPSRRR DSLATTTDEEAIAQTTFISFDVEATDEPAEAIGSYSAELRNANYADEPRSDAKIYNLT ATSLLPAHLAADALSVLAAAVFTMPLEAMAVRAIGQSWRVKMGLPVGDMFWIFLGGGS GLTWNGVASVATLFVTEVLITGVVWGVYSGGMTLWDWKSGEAEAKKAKDSK VE01_03166 MLRGDAGSRRESQPERSCGTEMIPLSEETGRLQEIPVNTMDHAS EEAQLPSRIVSQRNPVPDITPAMASQRRTQQMLIVISSLMLTFTGCGLNFAFGVYQEL YETMDGPFAGASAAEIDLIGTLAVSLMTIGAPFASAWTKSYSPRSVSLIGGILFAVAN IAASFGQKLWHFVLTQGLLLGCATCLSYIPAVTVAPGWFNGRRGLAMGIVLSGTGIGG VAWAPLLRYLNATIGFRNALRTTGVMAFVMISASALVLKWEPAAEAQRVQEAQGRRQG LNIPRANWPIVKSRPFMAHASAAVMQAAAYYTPVYFFSSYAKTLGYSQTAGANFIALS NACNFGGKIVLGALADRYGRLNALFLTTLVSAIATLVFWLPSTMFFPLAVRRALFISF TMLYSVTASAYVALFPTAIAEQFGIQNFASINGLLYMLRGIGTLFGTPIAGALIRDSG LDGATRNFEKTTIMVGVFLVCATVAAGWARAESAFTTGWKLRA VE01_03167 MATEAVESLSSSLSTTTISPGRRPYTGSCHCGRTKYITYLTLPP PIISANPPSPSSTIRIRKCNCSTCQKMSYFHVRLMDEPNDFLLLSPLNPTDGGLSDYT CFKGAIHWYFCSKCGVRCFAFAGEGVVREVEVEGKVQEVWTADPEKWGKGKVAYLSVN AATLDNNQEGLDLTEWTEKGWISYIDWKNNADEARMGKPHEGGMY VE01_03168 MLISTLRLVSGLSLATVALAAPQRCVPKQEHSAARAAAVKEAFE FAWEGYYKYAFPHDELRPVTNGFTNSRNGWGASAADALSTALVMEIPEIVNQIVDYIP TVDFTKTDDQVSLFETTIRYLGGMISGYDLLKGPLAGLVPDNKNIESLLAQSKNLADQ MKFAFDTPSGVPANNLNLAAQTTDGGDTNGIATIGTLVLEWTRLSDLTGDDEYAKLSQ KGESHLLAPKPEKNVPFPGLVGTNVNLTTGEFVDARGGWGGGDDSFYEYLIKMYIYDP SRFETYKDRWILAADSTIKHLTSHPEPRPELTFVANYDGTTLQQNSGHLTCFDGGNFL LGGSVLKRKDYIDFGLSLVDGCRATYTATKTQIGPEGFSWDASKVPTNQIDFFKKNGF YITSPDYVLRPEVIESYYYAYRMTGDQKYQEWAWDAFVAINATTRVGSGFSSISDVNA EGGGQKTDFQESFLFAEVLKYAYLIHAEDNVWQVDGKGKNAFVFNTEAHPFQIYKGAS K VE01_03169 MWNKLAVAGFMVATATASISDSCPDYLDYAKEYHAPYSAGKYNL SSQRPALSCRTFGSQDVEDTIDRMNKTMRDPDLFQLFQNAFPNTLDTAIKWHGTAEGS DEELTFVITGDINAMWLRDSANQMQSYLPLLKPATSSNSIASLYRGVINLQARYLLTS PYCNSFQPPVESGIPPAENGASTDDRVFPTYTNQTVFECKYELDSLAAFLEVSSNYYK ATKDINFFKKYKWVDAINAVLDVATTMMEPTYNQNGSVNTSPYTFTRQTNRATETFAN DGLGNPSAPNTGLIRSGFRPSDDATIYQFLIPSNMMFASYLASTAEIMGALPGHKSLG NRMAQMAVSLRESIDKHGIVNDPVHGRIYAFEVDGYGSRNIMDDSNVPSLLSAPFIGY VDRKNKVYQNTRKVILSTANPYFMKGPVFNSIGGPHVGPGFGWPMASIIRILTSDDDA EIKEALRELLSTTDGLGLMHEGINSFDSSKWTRQWFSWVNGLFGQMILDLEERKPYIL KTNFQ VE01_03170 MATESNSSEAKQPRRSRKAYRKRLLCRDDVLSMPERAGEEPMNP PDYSTSFTKDSTCHLTWGVLNFGPTFAISKPRRYQGLKARKETARLKREAKSNPALVE KPAYPLLSNLPMELREIVYGHLLISNAPIILHPDWCEVQRNAGLDLGILRVCKKINEE ATNFLYQRNTFHALVRDNSAISRFDQCLYPSYVYLFRNVVIEHTKETSSLAWMQDTAN SIRTLIASDVALDSITLAFAPRAPSKDPAASDTEDPMTFANFFTEGSRVIRLLAQLRC RVINIVVKLEGMTRVVVSLDVRHLDRDYSKSPFANDPAARAGRLMRTEKAKMALSGLK LAVDKIASNWEEAVELGVCRVMEEGEDLSDEAALKRV VE01_03171 MAKRHAVDSLEDIAGTDSPVSKKYRGDSHPGFQNGGLPSRTMVQ EGLETSERIEEEGAPGDFNDIESDEEQVDTTVYRQEAPIEGYDDLYLDTIDRHVLDFD FEKLCSVTLSNINVYACLVCGRYYQGRGPKSHAYFHALDVDHHVYINMQTQKIYVLPE GYEVKNKSLDDIKFVSDPRYTKQEVMALDRAPKEAWALSGKQYTPGFVGMNNIKDNDY FNVVIQALSHVPPLRNYFMLDDFSTKPELAKRLSILVRKIWNPRAFKAHVSPHELLQE VSLRSNKKFALTQQADPVEFLSWFLNNLHVALGGSKTKPGSSIIQQIFQGKLKIESQA ITAKADAGDRLRFEEAAEVKADVSRFLFLTLDLPAAPLFQDELERNIIPQVPLTSILS KYDGLKAQEQLNHRRRYRLLHPLPPYLLFHIKRFSQNKFVSERNPTIVTFDARNLNMG PYVEPNPKYAPPGEPIWYDLVANVVHEAVRGREDSVEGEAERRAWKVQLRRKEDWVQI QDLFVEQTQRELLYLGESYLQIWEKRRG VE01_03172 MSSFFTVPAAQKKRKRPSSSEVPKKRVAGSAARKETSAPKQRKQ QRDESISGSDSESDDGPRGNGVDLDEASSDSGSGGEEETAAERRLRLAERYLENIRDE VDEVGFDAEEIDRDLIAERLQEDVAETKGRIYRSLAKQLSFSAASHTLFRSNTETVTS IATCHPYAYTVSKDISLVKWKLQDLPANQWLPKDGKKKSKKPPPPPKRRPERIAIAKG NKNEAKNNAYKGHVGAILCVAASQDGKFVATGGRDRRIVIWDAATLEPLRVFSQHRDS VTGLTFRRGTNQLYSASSDRTIKIWSLDELAYIETLFGHQDEVVDVASLAQERCISVG ARDRTARLWKVVEETQLVFRGGGGGDGKRARGSSTPKAMEGSMDRVAMIDEEMFVTGS DNGSISLWVIHKKKPIHTVSLAHGFDPALALDETSAEVNPDPSKAPQPQPRWITALAT IPYSDVILSGSWDGQLRAWKVSDDRKKIEALGVVGTAGLPHGAVKTPLAAIKEDVAME DSSAEAEAAEETAVAISHPKKNPEPVVSGVINDISVFERGDRGKDGACIVVAIGKEHR MGRWAKVAGKNGAMVFEVARES VE01_03173 MRGATILKASDDKTRALNQHTLMAFSGEAGDTIQFAEYIQANAQ LYSMRNQIDLSPSAIANFVRGQLASSLRSRKPYNVNLLLGGVDPITGKPSLYWLDYLA SLAQLPYAAHGYAQYYCLSILDKHHHPDIDFEQGMKILRLCTDELKRRLPVDFKGMLV KVITKDGIREEEYDDDAVVKSA VE01_03174 MADQLNMNGLSLGEQRSYIPPHMRGKMGGAPPAGIPQVDGPGPA PAAATNGGGMGASAWAQPAPSNGFAADGPRPGGDWAAAPAFAPGARGGAPPARGGGRW QDSRSGGGGSFDPNAYGNPAAGGGGGAVSARGGGDGQWRDGKHISGPANPRVERELFG IADDPTKQQTGINFEKYDDIPVEASGHDVPEPVLKFTNPPLDDHLLRNIDLAHYKVPT PVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQAFINGPSPAPAGAGGNFGRQR KAYPTSLILAPTRELVSQIYEESRKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLV ATPGRLVDLIERGRISLCNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPGVQGRQTL MFSATFPRDIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDMDKRSVLLDILHT HGAGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEMFRNGRCPILV ATAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGISTAFFNRGNRGVVR DLLELLKEANQEVPAFLENIAREGAGFGGSSRGGRTGGRGRGSGANRDFRKLGGSAVP FSGGFAGGAPAAYGGGGGGYNAAPQAAYGGGGGGGSYGGGGGGQGYGGGSYGNPSGPG GQSSWW VE01_03175 MHFSVIVASAIFATRAMAVGVVGTPEGFGKGTTGGGSATPQYPA DIAQLKTWLTDSTARVIVLNKEYNFIGSEGSVTETGCRPASNTCPGNGGQDAINQASW CTNGNAGAGATSISVTYDKAGISGINVGSNKSIIGIGSSGVIKGKGLRIANGAKNVII QNIHITNLNPQYIWGGDAITLAGTDLVWVDHVKVSLVGRQMFVAGESASNRVTLSNSE FDGNTSWSATCDGHHYWTIYLTGSNDLITMKGNYIHHTSGRAPKVGSNTLLHAVNNYW YAVSGHAFDISSGNVVAEGNVFQNVVKPLNANTGNLFGSPDSNTNTQCAAYLGHNCQL NAFGSSGTLGGTSVNALVNFAGKNVASSVSSSGLASSIAANAGIGKI VE01_03176 MRESRAVGEFKLYHYSPSKAAAIVMIVLFAISTLFHTYQLFRKR TWYFIPFVMGGIFETIGYVGRALSANETPNWSLIPYVMQSLLILLAPTLFAASIYMVL GRIIRLVDGEKHSLIRVSRLTKIFVGGDVLSFLAQSGGGGILSNAKTTSSVNLGQNVI TAGLGIQVLFFGLFVLVAMVFHLRIQKSPTTRSSSATIPWERHLIVLYTACLLILVRS VFRIIEYVMGQDGVLLSHEIYVYIFDATLMFLAMIVFNVCHPGAIITKDTMGSIGDVD VYGDNFEELQPVTTQYKG VE01_03177 MAHWDSIIRFTAVEGGEHWAALSLDETAASGLKVKGYASIEALE SDGVFAEVTVKNLLAPVPTTGIPIICVGLNYRNHANEASIPIPEDPPMWYKPAAALAD HNTSISFPPQAHRSFPDFEGELTVVLRDSIRSVPASKAMSYILGYTIGNDLTARVFQR TSGGQYTYAKGFDKFGPLGPRLVHPSVFSPDQNRRITTRVNGKVVQDSPFDFIFPVDE LLSFLSQGTTIPAGTAIMTGTPAGVGWFQNPKCPLKDGDVVEVEATSNGRHAQGAERV VIPANTRVKRDGLVLLKLEDHLEGLLQGLHGQLASGQNAIPGYYTQDSEMQPLAYHNP LAVDFLQGYEQQNFTYPILGPNSNGPLQAVTNMESLLQFSPSLEGNPVRTEDSPPFSV EQPVMSPVISASTLFSASTLAEDSKQLLICLYFDHIQPIFPMFRKPMFHQRFHENQIP ESLLNAMFAMSSRFVPTSDVFRVFGKFSTPWENFAKLAHQQSHNRLEENSAICLDDIK TACLLAIHEYTNYPGRKAWMYVGNAVRLSLASRLHQIDIKGNSCGLSDADREEWRFVW WAIWKLDSTINVTAVMPFGIDCHTIGSALVSTTVADFTNGITKQSTGTLLDTDSAKSW RAVREMQSLDPGDGFNIHLLAVSLLRGVSQCQQRLYANSTPEEIARMMALRNTFSCMR LALPAWYFNAAKRPSEQFHSHRHRLETIIMFHTAHLIINEPVRTLGTEQAHRITGDSL SCWQSSITYAEDLAGVFHHWESEYFAVADPVISCAIWHAHCGLTIHKMSFTDDGNSEV ATRIDNALDLLSISLENFARWWQIARILQDSLKVFQMWSWVHLDVSKITEVVAQIRRA INPDSVEPGVVDVSLICSSIPDDTLDGNEENRVWTTDILE VE01_03178 MLPGRIPPVINSPDKIQLNRLSHVYLAHPDLEKFDQFAKDFGFE EAGRDKDIIYYRGWGKDSCAYVAIKSKDGQKRFEGAAFIAQTEEDFIKSSKLKGASEV RPNLGPGGGKIVTIESPSSSKIHVLWGNGERPAPTKVATSTEIHKGAYNTTLQKSRKG EFQRFKLGPAMVHKLGHYGFITSMFDEDIAFYTLNFNFVPSDILYEEVNGEEIDTLTF MHLDHAKEYSDHHTLFLFRAPANFPDKHRLHHCSFEVEDFDTQLLGHDFLLSKGYTPV WGVGRHILGSQIFDYWRDPTGFTIEHYADGDVVNIDNVTGRTKSEGAASMYIWGPVRP EKGVAE VE01_03179 MEEATILIIGAGPSGLALGTLLARMNLKVVILEKDIEICEDPRG IVINGDAVRISYQIGIGEGLTTRIGKDIGVLHFHSGNFRQRTFMNYDLTIDWAEQGVS NNIAQFQPNFEREIRSVIKDLPCCDLRPGCEVISRDEGKDTTVVEYITQDGSKKSIKT SWLVGADGKRGVVRKKFLEPEGIKQIDRLWTYVGTWVAANLKITTPTPKTHPDFPLWQ LGYTPEQVHDIFWPSGFHFCNNPKRPAVSGRFGPAGAQFWRHEFSIEPNDNLDNIEDN FWKQFGTWMIVPGSKFSQKLGDTTIEFPRDCIDLIRCRPFQFASKVVNRWYCRKTMLI GDAAHVFPPFGGQGIATGIRDAQALSWRLAIMSNLQVSRETRERILTGWSQERLHAWD AATLSTKLNGSIVNQRSLIKGLFYRFFMRILWSVPGFAHFRTKAAFRDKLIYNTQSCP GGFFLESAGGGRKVAQIWVRRPGPGHKPTLSDRVFLRNLSHLSILVLVRKPEDIDPAG IEEVLQVSKLSEQVLTMQDITYLYLNSTQQPLYENDEHIYYPCKVDELTVEGITPIRG YHETALQNRLHKSAKYILLRPDFFIHSVTPDLGGLLANLKKVREYFD VE01_03180 MSVDNDVVIVGAGPVGLTSALLLQKMGHRVRVYERHAQQYAQPR AVFLTHQAIRMFRALGVLDRMMETQAVQDLHGRVSDVGTFVDAEGRTLSEQQLNSSHS KSGTAGAFAIYQPGVEEVLEETCQERGVQVHRSTEVRDIVDLGRYMEISIAKLGNDKA TPTSTNTVTAFFVVGCDGAKSIVRGSSKMGWFEYEAASSRWLVVDIIPTVPGAMSQWK DNSAPKQILDPKRPRSSVPSQLTRRRFEFMVLPNESTEIAMTDEFVWEMVGLFDCRSD TAAIERRTVYSICGGWAESFAKGRVALAGDAAHLAPQFLGQGLNSGLRDAKSMAWRLD FALRHPENNWSRMMSDYSTEQLGITKQFVIAAKAIEHLIAVSDPEEAEKRDAMFRGGL QLPRPNLERVGTAGMHLGEVDTAYPPSCEPGTLFIQDKIEKDGKIGFFDNVVGDGWVL IANETIDLAAAMTIPTREAFSKLLGGHLVNFSAEGGYGDVSGRYREWFSDSNVVAVLV RPDYYIYGTANTQRDIEAVVRRAIDYIS VE01_03181 MAAMGARSIPILDPEWVKLVEPTLPEAHRHTAISIDAARRGMAE LDAAKVLALDKEMPELKKGLEISNIMVAMRDGEDLEMRIFKPENGESLPVYLGYHGGG WSAGSNDSEELLNRNVSKTLKVIVFSLAYRLAPEHPFPVPFNDAEDGLKWVYENASRF GGDVKKGFVCGGTSSGGHLAALATFRAQEHGIPVSGCFSRAPMVLNRSVAKESWKETL DILPKDVYTPLLNWETCERFYEYMSIPLEELTNPANFPIFANSFEKFPRTYMQVPGID PLSGEGVLYEKVLRENGVETKIDLYPNMPHPFHNFPQLTTARKSIADAMTGLRWLFRF VE01_03182 MAVHKTQHDRVQITRPAYVSYGHPNLDEASAFALDFGFVEVSRT EGPDETRFYRGYGDQPVVYIVTKTPSPVFLGVTYEVESALDLDRAELVPGAANREILA KQPGGGERVRINGPDGIPFYVIYGQTLVERQEPSLQFGPLNYPAENDNNSVRKPRQGK GQRPELGPAPVHKLGHCGFRVTDVGMAPSKPEQQFFVFLHIDKGESYTDHHSFFITSI REEPNDKLYPAGVHHAAFEVHDFDVQHVAHDFLKNAGYKLQWGVGRHLLGSQIFDYWY DKSGFVLEHYSDGDVVNKDTPFREYTMFDNLSTWGPQTPQN VE01_03183 MGTQREADSRSIGSAEHIESSPRTADMIESIPGTHMIEPTGLHH GHNKANPHGTTLIPRPSANPADPLNWSKNRKLIVVLTQGAYVLFNILPILATAPLYPV LTAEWHKGIQTVTIVTGITTATYGTANFIVVPCASIFGRRPTLLVSSVISLASNIWLA LSKSYDSFLGARVLAGIGCAANESIMAIVIADVFFLHERGSWMGFYFYCYVGGVLIGP IIAGAFQQHVNWRMFFWFCAIMQGVAMLALFLFAPETRRSGEFLSDAEITTPSTDSEA VDPGFEKGASQQPAAQESMTTADPKFCRGRPNRSQLLPWQKVDRKAVRELWLHLITPF IILFYPITFWATMTANLASNTLLFLIMCQSQALAAPPYLWSAQSVGFSNFSPLIGAVV VLAIGGTLSDWVAMKATVRNKGIREPEMRLPTLLPFLAANVIGMIMIGFGFQYGWRWE APVIVGYSLVGLSSSVIQTIVITYAVDCYKPISGAIMNLATVLKNMFALGMSYYINNW IISSGYLPPMFMMMGLTVGFGLIGVVVFMKWGKTMRTWTRNSKVHQM VE01_03184 MVKINQKFAKELISKLIEAANSATKLNVHDPDEIAKYALSTLAL LAGLIPEIGSTVSSVITLAGQAFLPSGSEPERLWNMLRERIEELIGSKISDYHFKIMK AKIEGFQINMNAFSKVCKEYDEAKNENEKRKAANTVKTSHIAFLFVIRGSIPEFQAKD YEVMTLPLFALAATMHLMLLADGIKNGKDWGYSETNISGMRDEFKKLTSPGTVAKFDR QSLSDERYALQDAIKKGTEWGVPAKVLDTWHEAYSDRFGPKTNIDEIIRDIEAKVTHG PSDYVSYVWKYYEEGRKKVVPYKPHINEPENRGITAGARLRAYADYDSRMAMTVLNYA ALWPFLAGEKVTERGMMFLSREIFYGPFGRCTTVGWNESTPPKPSICSSRITSVYVIG GADIECTCMKYDNTWGHSYGKSCGGKPYQLDLERDEYVKSVETKYGHKLGCLKFVTNK DRFLKCGDSRHADKGGSAAPAGYELTSVYITQFESHEPGGCEGIVLGFRPLLTSVLQD VE01_03185 MPHHTGLTGFTDNPFATRADCIAATHALLAQLKPYTSPLGARVR LHAATGTHFDEVAAQVEGFARPLWAVGALFASEASDANSPSSTDPRLRSWINGLIAGT DPANASGVDGEYWGEFHDTDQRMVELEIVAYALLSAPAVFTPPLPLDPLNPSAADEEN LRARRNLITYMRSINGKAMPVNNWRFFRILTNLALVKVLRVPLAEVKGAMDEDHAVLE GFYRSGGWASDGIWSGDGDRQADYYSGSFAIQFSQLLYVKYASDIDPKRCEVFRSRAG EFASGFWRYFDVNGAAIPFGRSLTYRFSFAAFWSALALLDISLPAPLSPGVVKGLLLR HLRWWAKQPDIFNIDGTLNIGYCYPNMYMSEDYNSPQSPYWCFKSFCAIALPKDHNFW TCEELPHPLAESVMPTLLSFSEEPKQQHVSILALDAPKHILISSRNHHFLLSSGQFAS WPMKATEAKYGKFAYSSAFGFSVPTGPLLEQLAPDSTLAISEDHGDSWRVRWKSAETI IGAANVHFANGHSTTVSTLVNCWSPSKMSGLAVETTLIPPSDRWPDWHIRIHRITRAA VRAGSGAAHTVLTAEGGFAIAGRRKRDAGTLPKLFPEDLRDIRDSAMEEGVLEDGAAA LIVSYAGASGIAHLRPSSSARGTVLKPDANTNLITQRTLIPTVRHELKFDAGAEGGEE VAVLIVGVFAVDTKGVEDLGDMWRRWNDKPMVMFKGEGREVAEECIVLE VE01_03186 MTSQAQAPENEATTVEPLVIGSTVNAAPVRSAWTAWMYVLDWYP NHYSREERKMLKKLDFFLLSFCSIMFFLKYLDQSNINNAYVSGMKEDLQLNGNQYSLF STFYNVGYLVFQIPSMLILSRPGYARWFVPTCEVLWGILTFGQSRLSGASTIYATRFL LGVLETPVASGSLYILSSWYRPDELFKRAGVWYVSNNIGTMFGGYLQAAAYTNLNGVA GMAGWRWLFIIDGAITVPIALMGYFMFPGLPSSGKPYWLTPEQHAIARKRMEDEGVEV SKKFEKATVKAMLKRTLTHWHFYVAVLCYTFFLSSQYPNGQMAIWLKAQARAGYSWTI PQINTIPTAVSAVSVISCLIATSLCMLYPLWAIMSVIQAVTMFGIVCLLAWYIPDWLK FIAYFCTGFTAAVTPILVPWVNILMKDDAQARALTSGAMLTFGWAINAFYPIAVFPII EGPQWTKGYAVEVVFVFMVWFLFMLGQYLQRREIQKAELTHRMDDEEAKADIDVQVET ER VE01_03187 MKYLKEADTNMEQSEIASQVQMSRNVVMLIATDRMSLHNIQALI IVAFHDIGSGNISRAWPIIGSLTRIVEYLQLTVEADETLHYSLLTPLVLLDKPLDWTE SENRRRIFWNVFLLDRYCSITTGWNTSFTSDDVRRRLPCDGGLWQHREEAVVTPFFGI WNKSAATIGNSIAYMPVHYSSPDHPVDHRSPNGISDFGPVDRSKLGAFAYHIEATESL SQVTTFFLQQKVDFRNRQEAGSWLTRFKELDLRLVHWKMFLPQKWKDSNISRDKAFIN MDPNLTLAHITHNTSMILLHQHIAYPPLEWAELIRLPSSCSAGTCESAAIETASISEK YLKYTDIGVVSSQFALCAFVAARVLLVHWQYYQTALHPEFFGLLASIENMSARWRGIY PEVDMAGQYVLYLQTLHRQCTDSPDFRMDANLSKLLPPMVLQSSPGSSVPSPSLAEGL APKYRFQRQNNSVQSQAQPDSAQRTQNPQSQTQPQSSYYANQTFYTSSDMHAMSPGSA ALKAQSTSLPFQDQLQFQSQLQSQPHATDNFSPSPQYPAVSAYFSTAPRASASNLSLL HNTTALNERMRHSDNSNSIIAIPNGISLVVADDNKSIDEHEIDSLTEMSKFLQGQQFL EMDRVITLEETDFTVEMDLEAWGGS VE01_03188 MAATIQEVGPTETATEVFSSEKPPFTTEFEISHAESVPSQHDSS GAGAESTPSSSPSSAPSLGNENEDHKPGSLSLHDPSLDERLGLGLEPNVELAELFAEN VVAKIWKVAVRELEKQNPPTTYPEFVTTSGPLANTYTMTEADFWTCGFFPGSLYALLE RAMKYPSALPIPTALRRDFTAQLTSLSRCWAEPLHAMAQRTDTHDMSFIIQPALRMDW ELTGNPRSLASVLTAATSLASRFCEKVGAIRSWDRTENARFTFDDMEEDFLVIIDSMC NLDLLYYAGAQTGNQRLIDIATTHAHTVLRAIVRDDWSTYHLIDFDPKTGTVKQQLTN QGYRDWSTWSRGQAWAILGFAQTYTWTHDPVFLAAAISLADYFLARLSLATASGLYIH PYVPLWDFDVPASPTPPRDTSAGMIAANGMLILHQTLLGAGRATVAARFLAGVRCIVA DTLALSLAAKSAFAVPIPDAPVDAEAYPVVVEVDDGEKGGEAFDAILKNATANNNEFS YKIYSDHGLVYADYYLLELGNKLLRMGLV VE01_03189 MKPTQIISAITFSTLAASVSALAVFKVNYEDGSVSSGYPTTGSH RVLVPGNEPNLATAKDAVYVVAGGAHNTAFAVANKVVLDDPAYVGGGYPRSEFGFAGF PGAIYKDGDHGEYSFSMLFKDLVPSVKGTRDAPAEDVVWQFKHHGGGHDLHLALIGTN LVLGWGGNVYKQVIIDDVMPYVNQWMDFRFDILWKSDNTGYFTFDMKLPRERKLGHRL GHRLGHTVAMKNLQTYVTASPDGTPWTGTGSIQYGVYRHSANSTAGDTKTLIVYHDEV TATNFNATADPSN VE01_03190 MSLVRRLLLGATYLALTGSSSATVLSQNQACIPNPTVITVEIIQ VSVVCPVLLSAFISESTKITLAPGCTIVVSDAPTSLSTLVTITTLSESTITHSSSSPA NTVSHSSSHRSSSSTGYPIIPSPSPTTSGVQHQYNTTSSHPEISPPYPTTSEDHHHHY SKSTRYVTTTTFLPPNGTAFTSTKPGNWRRPGTVVVGYVESLVTETISGSVATPYTVP PSGTVPGTVVVESQPWQTATTYLDNGESAYTSTAGFNVTVGISVDTVTTTTFLNPTAT GFTTTIPAMGPTSGTVLVGYVQSVVTETITGATAATTTIAPSGTVTGTVIITIPTPDV SCNNQGIQWAVFDSFLPNDGIGFSAFNPTVFKTKTPLYNSVTSSIGGFDIGGNSAFSI YGSTQRFGPSYFALNHRGYLFAPSTGVYTFLVTNPDDIAIIWGGADAYEGYTRANSNS IATYPLTDDQQRSFEVELVAGEYYPIRVIFGQGVGPAIFYTSVTAPDGTVLLNSDSGY SPYLVQFSCDGIAAPAYPAWGHES VE01_03191 MTQIHRKLHVDTDFDYSQRITEDLMEQHALGEQNAIAVTNSSPR ETVDETELDDELAEIGQQQLDADEIYSLPVVVNGERDPPNLSSKLEYGANALEVKKKQ HARQEGDDEEEELTRLQVEMAM VE01_03192 MSSTDINPIIPGFAPDPSIVLVDDTFFLVNSSFHMFPGLPIYTS KDLTSWKHIGNAINRQSQLSLTVSRTRLCPRDEHGDVMLSNGGLYAPTIRYQNGTFYI VCTNIIHDCSSDDGKPENFIVSSSNIWSNEWSDAIYFDFNGIDPSIFFDDNRRAYIQG SAGPGPMTKIHLFEIDLKTGKKLSEEKKIWDGTGGIYPEGPHIYKKDGLYYLVISEGG TYEDHMITVARSKDIWGPYEQFENNPILTARGTDEYIQYTGHSDMFQDPQGRWWAVCL GVRKDESRLIMGRETFITTGEWPEGQWPSLNLVKSNPKFPGGTEVVRAEGTLPLTAEL MVDYLYIRDAVLGDHRFSNGGKTITLTASQADMSQWEKPVTFVGKRQRLLKGNAMVTM HKPLISSGAHLMAGIAYYKDEHRYMKLFYDYSASEMVFEVVNNAKKISRTVRHDVQLG SVVALRIEYTERSFQLSYRNGPDSAAWIGFDGLDTLEMSGHDFVGPVIGIFAIATAQD AHVQFDDLEIDG VE01_03193 MGFLPKLGAPRSSQAFVPIRPPKGHYFFAGRTFRRIDWWKHRNL RTLYIYVVILILTNTANGFDGSMMNGLQTLSYWREYFDNPKGPILGLFNASMSLGSLI GLFFVPYLVDRWGRKCGVTIGCIVMLIAVGLQAGAQNFGMFIAARMLIGFGDCIVLGA APLLITEIAHPQDRAILVTLSGASYHSGAFIASWTTYGTLTIQNDWSWRLPSLLQSIC SLIILCMMWWIPESPRWLLNKDQDEKAMQVLSYYHGENNPQDEFVQLEFTEIRTAIAL DKEAAKNSWLDLVRTKGNRKRMAVITAIGFFSQWSGNGLISYYLYQVMNNVGITSAST QLGINGGLKSWGLFVNIFMSFFVDRIGRRKIYLISTIGTLFAFTIWTIISARYAIEAE PNKGLGIGFVIMIFVYGSFYDFKSGLMASYTTEILPYGIRAKGFTWLNFCVTAALFFN QYVNAIALEALAWKYYLVYCVFLVLEVGVIYFLIIETRYTPLEEIAKFFDGDDAVDVA EIANAEMKVNNMNEANMVLEKQEVKVEPAYFCIDADTVKMLLNATTAISTPAILLVPY GEHHVLRYHGWMKSPDLQELTASEPLTLEEEYAMQLSWQTDYDKLTFIACHPLSGPAS QDSERGSNDGIVSSQGYDAPEEMIGDVNLFLRLAYELDGEGEEMLNRPYVRGEIELMI ASPSDQGRGFGKATLLAFLQYIKNHTVDILDQYKSHSPDGIVNRVEQQDVKMGGLVLV SKINKTNVKSRSLFESVGFGQVGKEDYFGEIELKLSGGVDGLKPVDGWCEVGYQKSGV SQQ VE01_03194 MSNRPTMLPILDGLTCGESYCLIALAALVTGCTFFFTKRCRKVP SFSTKNGLTAREKRGLGDQNATSKPQTFLGPVDTLVGFDWKSTEPLKHRPFKPKYHLT MALEKTDANNLIVMDKNYLDRVTLRQRCISEYPNTVIGHLEGSRPAVKELYEFLMDGL LPVRWPQMFQRSNGQLNNLVTGHSLPISCPESSTEALAQIGSNLDEDFLILLPSDDGD GYTLKAFATCFPSGFDASKKLGLKLRDIHGPVPKYMDILNKSMDRYFAALEVGKFKRR FNWSVMTNSKLFTPFEVTHFHDGKHTNADHPAEVTVENTYQRTEMQSLFRLPQSGAIV FVIKTYMYPIQEVKDDGYGEELAAAIEGLQTGNVPEMFFYKR VE01_03195 MAKFSIISAFMALLAVGASATAAPAPVDGLIPGPGFPSLESLGL TRKDLEAMGRVAIPESYNAHKIRGALLSGKRASAASIPTCEDNYTLPRNGAQACVNYL AALGSKSCAVSGRFVQQMCTDNGASIMGANIKNLASVASSCSDVAIAAQWIYDNCAID TTNMNAGAHFAHGNGDLLISIVPA VE01_03197 MAEIKETDAGSLLRAPSDTIPKSIERLPCEYKPLYTFDLEKDKQ YKQQYGDMYFLRLAKLKPAAEKVAAEAWAGFEIGGEEATKVERVLDVRQGELCWVTGT IYMDMALKPNILDDISKDHWTSAVPPRQKYKSSDGSDSTMLEDESGRVRLIGARLADE MMVTGCIVSVMGTENANGDFEVVDIRTPDLAPQPARWEYSDSSKTATESGRKVKKQKM EDEDEEMTESRPGSGGKIAIVSGLDISGSNTGHTLQLNLLLEYLLGEALDPASQQQAS QISRLIIAGNSIVVDDSVPGGSLGNTRKATHKKYGYDSSAYNPAPTAHLDDFLAALLP SVPVTLMPGASDPANASLPQQPVHSAMFPQARAYGSGPPIDGEKKIGWFDAVTNPWEG EVEGWRVLGTGGQNVDDVFKYVEGEDRLGMMEATCRWRCCAPTAPDTLWSYPFQDDDP FVIKDCPHLYIVGSQPKFDTSVIEGSDGQMVRLIAVPRFSETGELLLVDSETLEVERV VIDVFNGDL VE01_03198 MDNSIRSTDGPDTIGPDLHPRKTFRQYLQRVRNSLTTREGLIGN YDYAFFFRPNLPFMAKTGTSAPFFGLNDPMPTLLALVLGLQHALAMLGGIVTPPIIIA SAIYLSAEQTQYLVSTTLIVCGLLSLIQITRFHIYGTPYYIGSGILSLAGVSFTIITV STSAFDQMYKNGFCPSAEDGTPLPCPDAYGALVGTSACCALILVLISFMPAKMIQNVF PPIVTGPTVMLIGVSLIQSGFNGWLGGAGMCMSRPESGLFMYCPTVNAPHALPWGSAE FVGLGFSVFVTILICERLGSPIMKSSSVIIGLLVGCIIAAACGYFDRSGIDAAPVASF IWVHTFKLQVYGPLVLPLLAVYIITACEAVGDITATCDVSQLEVQGKTFDSRIQGGLL ADGFNSVLAALMTVTPMTTFAQNNGVIALTRCANRKAGYFCCFFLLIAGIFAKFAAAI VAIPSAVLGGMTTFLFGSVAVSGLAIVSRVPMNRRNRFILTAAMALGYGATLTPTWFS YVFGATDNKSLRGFLEAIELVLETGFAVTAFVAMLLNLIMPAEVEDVRDSASATVSSI NKVE VE01_03199 MLYTHATIITVDPSRRIITDGAIRVHGDLIADLGKTEELKRRYA DDEEYDLTGRIIIPGLISTHVHTAQTLLRGAADDLELVSWLCERIWVLQGNFTEADGY AAARLSIGEMLKTGTTCFLESMFADRYGFDGLCRAVEESGIRGCLGKIVMDIAKYAQD DAWAMHPGLVEDREMSLLGTVKMWEKWNGKADDRIRVWFGARTPGGVSDNLYKEMTEI SRTKGIPITMHCAEVRADRDFFASVSHTPMSYCDSVGLLSPSTVLVHMVHLDDSDIKL LSESGTHVAHCPTSNAKLASGICRVPDLQKAGVNIGLGTDGAPCNNSCDLIQEMKLAA IIHKSISYDPRAVPAESVLEMATINGAKALGLQDRIGSLEIGKKADFVAIDVRGIHSQ PWFNPVSAVVYTATGRDVDVVVVDGKMVVKGGELLTMNEKEIIEEAVMRSREVVERAG LTEKVKGRWPQE VE01_03200 MSDLFRETAAGRIIRFISRRKLLQYPEELPGFQFQYLTPQSLEK IALEKEKRSIDAVDIPDLEKSEPKSDSSAATDHATPKDEFVLVDWYSEDDQANPQNWS TKKKVFVAFEICLYTFTIYIGSAIYAPSMEGVMKEFGVSEIVASLGLALYVISYGLGA LLWSPLSEIPILGRTSIYIVTFFIFVILLIPTALVQNIGGLLFLRFLLGWFGSPCLAT GAAGFSDMFTFLKLPYCLAFWAASGTMAPAMGPIVAGFSVPVKGWRWSMWENLWLSAL VFILLLFCLPETSSDNILLRRAARLRKVTGNANIRSQSEITQQHMSAKEMAFEALVKP WEMNILDPAVLFTTLYASICYSIFYTFFEVFPLVFPAMYTFSSGESGLAFLSVPIGVG LTIPVCLAHYAYYVEPGLAKNGAPEPEVWLKPGLIGNFLVPIGLFIFAWTARPSVHWI GPVIGVAICQSGSYIVLNVLFTYIPNIYPKYAASLFAANDAARSTLAGAAILFGRPLF INLGVGGGVSFLAGLALLCLVGFYALYYFGENLRKRSRFAIE VE01_03201 MAPGNDHPPTNRPTFQTRTAVDASGELNRRFSVFVDDSAPSQEA QLGSDGPAGSNELPGPGTSKGGGSILSSPFNFTKRVLNIHNGSSATETTPLLRKRTTA NGQKSQASPTRADLHTDQRNPDGDDKRTKPGLGPRPVGGSDKLGTFSGVFVPTCLNVL SILMFLRFGFILGQAGVLGILGMLVAAYSIDLITIFSLSAIASNGTVRGGGAYYLISR SLGPEFGGAIGLVFYMGQVFNTGLNAVALVDAIQNNIGAATGDWARILPESQGYNYLW ATVVLLVCTGICLAGSGVFARASNGLLIILLISTMSIPFSALVMAPFESRQLGIQFTG MSLATFKGNLFPRFTKGAAGSQSKGRESFQGLFGILFPATSGIFAGASMSGDLKHPSK AIPKGTLWALALTFVSYTLVILSLACTVTRASFVADINIIQDTNVSAVLVLAGEFATT FFSTLMGVIGCAKLMQALARDELYPGLSIFGQGTKKGDEPVYAICFTYLAAQIVMLFD INQIASLITMAYLMTFLVMNLATFLLKIGSAPNFRPSFHFFSWQTAFLGAVFSGTAMI FVDGVYASVCVAILIVLFLLVHYTSRPRSWGDVSQSLIYHQVRKYLLRLKPEHVKFWR PQILLFVNDPRRQYKLIQFCNSMKKGSLYILGHVVVSDDFGRAVPEARRQQVAWSKYI DFSNVKAFVNIAISPTLEWGVRNLSLSAGLGGMRPNIAVIGFYNMDDLNKSKSTTLSS PKDTAGTQTPSDAYGQDAPKDGPSDDTSGLKLAAQLPTDSCQTESTTSIMSYVTVLED LLLRLQINVAVARGFNGLEIPNPKGHNKKKYIDLWPIQMSAEIAADGPMKANLLTTNF DTYTLILQLGCILNTVPSWKKSYKLRVAVFVEYETDVEEERIRVNSLLENLRIQAEIK VFWLASGSLPTYEIIVNGNSPGPKAEAEVDECLKDQEWWEEMQNIRGKRGQPLGSGLG LNVENLLGSSAWPDASFQQGPRHEKVERFLGLRNLLHKSKRRRTMSGFSKLGVNLGMR AQRLPAQLTHRASSERDYSDSESSSDDSDEDNDDDQSIASEADADDREFDSDDDLRSP AKLVRRKSHGDAMLGPRSARNLIAPKESTLKNKSKSNTVSNTTTPARSLKDFDTSSGT PSIKEAQEDANTTTATSSNMEPPPPRKLEERFSALRQSPSKSQLSPTTPSHASGARER PILSRHASSQKFSSKPVPVSQVATQDGAGPSIMFIDTPSPPTKRNRLQSAYRSSQDNN DDATSSNNPSSSPPKSLGSTYSTQSLPLSFNDLPCRAQHLILNELMRQNSKDTAVMFT TLPSPSPGTHQDEEACVAYISDLEVLGRGCPPCLMVHSNSMTVTMSL VE01_03202 MDHSASNPLLGTSPGSSRLGTSAPRATSTARLASPVPSSHLSGT PTLRQIPVSGQAGTAGDSLTPLAGGPTEHSSLTGPGVSALAAAFSSSIGNSPPRFGTP PLRPLSPATAGANLQAADPQTNYGSFTRSIQGAHTSGYQGAAGLEDPDIVQRHLVQSS EVQESDGASNGGSDTHRDTSKTKTDFDSIAPGLGDDEFSSLQLQGGDITRPIYKWTEE AQARALGRGRGRSHSFNLSRPHTDDEVLDINSIKVPGGMRRNYLRRVAASPSPERDVE EGESSGSARTPRHAKLFTNNFMEFLTIYGHFAGEELEEDDEALGPNEYFASDSYDEFY DEGEGSEGERMENSALLTPGARKKRRRKDRGGSGQNDSWGALLLLLKSFVGTGVLFLP KAYLNGGMLFSNVILLFVAIISYYCFVLLVNTRLKIEGSFGDMGGILYGKWLRTAILA SIVISQIGFVAAYIVFTSENLQAFILAVSDCKTNIEIKYLILMQMAIFLPFSLMRDIS KLAFTALVADALILLGLAYLYYFDILTIATQGVADIVNFNPKDWTLFIGTAIFTFEGI GLIIPIQESMREPQKFPRVLGVVMVIITVIFLSMGALSYAAFGSKTETVVILNMPQDD KFVNAVQFMYSVAILLSTPLQIFPAIRITETELFTRSGKYNPYIKWQKNAFRFFVVML CAAIAWGGAADLDKFVAIVGSFACVPLVYIYPPMLHYRAVATTRFRKFSDIFLGVCGA IVMVYTTSMTIINWAGDGKAVPVPKFCDGKRGV VE01_03203 MADQDAQNGEEVTRSGGQVQVHFVTEEKEFELEESKRVLLVPTD IRRYNLSQILNSPAMLDLPTPTPFDILINGTFLRTTLAEYLVSAGLSAETTLTLQFLR SSIPPTFTAAFEHDDWVAAVDVLSATSPHSSAYEKDPRILSGSYDGLLRMWSPSGTVI ATSPGASNGGHTSSIKAAKFLSPTTIASAGLDRTVRIWSYADATGPDAQGKLTPTLAL YGHTLPIDSLAAHTPSNRLLSASADGSIGLWTPNKSAPAAPAALLPGSAPSAKRRKMN AAVDVPARGATLMMAAHTAPATGVVFHPDDATVAYSTSLDHTLKTLDLATGNVVDTRA TGHALLCLTTLAPGGSGHVVAVGSAARHITLIDPRAGSVVMTLRGHKNKIASLARDPS SAFGLVSGAHDGTVRVWDVRSSREGNLDEGGRVGEAVFVVGRDGAGKSKVGGEGEKVF GVVWDQTVGIVSAGEDRRVQINAPARLEKS VE01_03204 MRLCYHGVSPSSRLLAKSQTSMSSFTGTTSLANDAMRSLAALRG KPPATAAPARPYSLGRLWSPAQGIVFGNGETGLRGSSPRAFSTTTLSTLETSTATIEN TYTLSSKENLTPARESDQGTQSIPSSSSSWAPSAPDTAGLQTDPSQTEVSQQQEAITE KPKPTEEEEEVHTPLSFVMPAEVLQKAKDATPDTPDSFWTHRLYTGPDDAKVKVHYCK SRHTTESVLQKHFLGKPILGFDIEWKIDATRFSSPKKNVSLIQLACEDRIILSHLALF PKDGIDDLVAPTLKAILEDPNVSKCGVAIKADCTRVRKFLKVDTRGIFELSHLHRLIE GSAARNPTLINKKLVSLTSQASEHLALPIFKGEVRGSDWSEALSMEQILYAASDAYAG FILYDVLEAKRKALRPTPPRPYHAELGLPIRLASGIELVTVDDSLDDATAALEPRKTS RSAPQDPSLSIPLETDEDSSPQPSTKSPKRPAKKAERPADPRVDAAAAFAVSYRAAHP STTAAPAALRAYHIWSSHEDLSVEDIAGLLRDPPLKIGTVRGYILEALRLEGRGVLGS GAGLRRS VE01_03205 MSIDMEKTDVPAPTSPFAPPASTLKERIKARIISWGHDLDAHLR DFFHVSGGPYYYQVAKQEKVKRNGKKKKLRKKNPGSKYIFINGVPYPKPSAYYPAPRL PSISPISTISITSVTSVQTQLTITQACTTSSASKKRLRLSFEVNATYSLSIRTVPTGL VE01_03206 MTSTQLLPLELIDRCVGSKIWVVMKTDKEFTGTLTGFDDYVNMV LEDVTEFDYTGATTKMEKILLNGNNICMLIPGGEGPLASAP VE01_03207 MAYSYDDPVIQTAHLINVPNRLEVELANLKSVYNRPPNTMASFN PDSHLLDRFEHMQRVGTEVNITDRFELFLLGDGEKKITEAVDTRTPNSSIFTILKEDH TLANLLRAHLLKDPHVTFAGYRVPHPLFATIELRVQTDGTLTPKEAVIGIAKSLVAEL AQLSREFTKEFELRKMVTGAAADTNQGQ VE01_03208 MASNPASSTSKTLVQQYPGTTSPLIVCAPMRLISSPALVHAVSQ SGGLGFLGFGIPGTDTSIADIKILIDEANALFTSSPSSGPAPFGIGFLLITDPSPAET VTLLASLPPAQVPAAVWLFPAASPDTIAQWTTSLRSLPAPPKIWLQVGTKAQALSYAK LCQPDVLVLQGADAGGHGLAASASIVALVPETTDALREAGLNIPVIAAGGIADGRGVA AATVLGADGVAMGTRFLACPEAKIARGYQDAVLVASDGGVNTVRTRLYDTLRGSKTWP EGYNGRGVVNKSYVESEALGVEENVKKYLKAMEEGDKAWGVEGRATTYAGSAVGLVGE VKGAGKIVEEVRGDAIKLLGGGRA VE01_03209 MAATYDGAGDENDVDPLQVAESIDRTSMSSASTAHESSGYSTPA TSAVTTPAQVKDEPSTRNLRRRIASSLAHEVSSATATVVHRAKALRNSEFALNPRSSS KRSHEVLDSEDDDDDEFEDNSRDAQLARALQKEENERSELVSTERQTSLGTSRRGRPR RSVLPMTNFVDEFSEGEDAYNPPSKRAKLSDGKGKARATVPDSDEQSEFTDGSSSADE NDFPGFLNLTDDEETQPPRRPVQARRRFGNNPSQRRSTFQQPSIPAMEPADYTAPIQQ PDSAGEEGSNDDSEIPDFATMTPAQRREYKLESRARAARQRLETHHPELLVMWKELRD LPKIPPTKAEQPTNISRELKPFQLEGLNWMKMMEKTKWGGGLLGDEMGMGKTIQAVSL IMSDYPAKNPSLVLIPPVALMQWQQEIAQYTDGTLKTFVYHGTNSAAKGVTVATLRKY DVILMSYNSLESLYRFQEKGRKRKDEVAFQKSPVHQIQFHRVILDEAHNIKQRTTGSA KACFALKADHKWCLSGTPLQNRIGEFFSLIRFLDVRPFASYFCKQCPCSQLEWAMDER NRCTKCSHNGMQHVSVFNQELLNPIQKYGNYGPGKDAFAKLRLLTDRFMLRRVKTDHS AAMELPAKEIYVDRKFFGDEENDFAGSIMNSGTRKFETYVSQGVLLNNYANIFGLIMQ MRQVADHPDLILKKDGAGGQNILCCCICDEPAEDAIRSACKHDFCRTCVKNYIASSEE STGTPDCPRCHLLLAIDLEQPEMVQDESAVKKTSIINRIKMENWTSSSKIEALLYDLH LLRSKNSSTKSIIFSGFTTMLQLVEWRLRRAGITTVMLDGSMTPAQRQASINAFMTDP TIECFLVSLKAGGVALNLTEASHVFIVDPWWNPAAEWQSADRCHRIGQCRPCSITRLC IEDSVESRMVMLQEKKSNMIRSTINNDEAAMESLTAEDMQFLFRGT VE01_03210 MGVPKRQWIDGNLKTLCAGQKNGVVEVEVGRILHHLLLPVAPIR VLLSFVNDESRDRTTSALTDFLASHNIDANRIRRDAEARRAAAQASWDAENSREQSEE AGLEEETPLEEKPLTKAQLARNKATQKAAAKAKASKTFQKAQRKGMDFSDSDDVDEDL YGASSLPKIGQFENCQICYIRFSVTPYSRTGPGGGLLCMKCTKDLDDEEGGPRKKRKT AARYNRRNIESERLEGTSARGARDLVSQCVSTLANNVHQAEDFGDLPPVLVDKLAQLL SKRRMLDSRTLDLFLKPGVANITAYDGAKLKSDDYIRIFQVAPTIKHLRLRNAIQFKE KVMDYLTASTVELESLSLHGANLIDDEHWTSFLMAKGTHLRSLKVYHTDVSFGDEAMR TIKDLCPNLTRLKICHNQKVTSAGLYHIAALKNLQHLSLELYKPTITEPYVEIITSLG ANLKTLSLVGIPDLDDTLLNAIHTHCTSLSKLRLKRNETFTDAAFAALFTSWRNPALR IVDLGECRHVDSLTLDNPDRIGLCSAGFEALMAHSGRHLGSLNILSCRHIERAALERA FGEGKMYPELETVDASFCGDMDDFVVGCLWRSCPRVKNLKVFGCFGVKDVRVPRGRNL LGNPNARGMMIEGEED VE01_03212 MAAPKALGQSIANFARDGEFPGDEEISKSYVEGEALALALQAVT AARSALEDEIRKTSRDSAPEVDTWITNAHALQEDIENSKNLAEDIARDAEGGDALQRA AREDAEHVEFLEKEVQYNNQLKEALGGIQDVQRMLDGAEGAGVEGRLLEALNILAQSW EKMENIPAHEITRPLSVLNERAFLIKESIHEQFGHIWNTLVHFDEEMTSLTVDNTIIG PTDIPQSVLIMKAYKEDDTRIKKFCDDFETAIVHPRVLIGYGPLKSISVEGKTIRASE EVPASLGIRELFLDLEKMIRFLSESFPPDFAQPLSSVLMPKLTTLIRETWLDSAVPVS LDRMTDFRNSIALVHEFAETITALGWGGADNLNDWVESSPRLWVAKRRETALDSTRRQ LSKGIGRTKGVERTETQTITEFIDEELPGGAANEGKETAKREDDWDVEWSDDEDKGNE DSAPGGDKGKAKERNAGGESDGEENTRSSSSLGRDSPKESNWTAEVLKEAAPDDDDGA DAWGWGDDDEEEAPAETQEEPAPMPEKPEISEPQARREVQREVTLTEKYTVSSMPEPV FDTIRHIIEDAVTLTRENHDTNPVSAAAVGLFGLPTLVLAMYRASAPVYYNLAPGGNM YCYNDAMHLSDLLKDFSATWSQRTDLGPRAKGKVRLDGEVQSLLKFSRAAYGREMSTQ RTIITDLLGGAQSFLNQGDDAATDQVAVESVVAHIRALAAEWRSILSESAWSQAVGAL LSTVARKMIRDVTDLTVLGADEAFRVASLIALVTKLDDLFTPEGADEDPENPPVPTTS HYAAFWLKLHFLSEVLQSNLRDLMFLWSKSDLSLYFGAEEVVDLIELSFETNRRTKEA IAEIRSEPFPRGVAPEEM VE01_03213 MSSSNGPPGPFTMKKFSLASKPSSSTPSSRPSSTKPPSSLGKRT RPPLHHASDSDSDREPEPVAVTAFGASGAETRERHTRSAPIIEKLPNRDWRAEARQRR GGKNLLPPEVQAQREGARKAAEGRGVEEVQGGEEIKWGLTLRSKEEKEKDVVEGVEPP RSAPPEPTEALVQKVKTDDDRALDALLGREDKVKRPDLVIASKEDTTYEAPISDGDAY QRAIASAPDVSTLQDYEDMPVEDFGAALLRGMGWKGEKVATPKEGKRRLNLLGLGARE LKGAEELGAWVQKSDVKRLNTKAKKERREKPGEYREREDTKRRERDERYGGRDDRERR EYRDRDRERRR VE01_03214 MHLFRGQPVAIALLSTLLVSSTAYAQDQKSDTTADSGKTDASKT DATKASETNNNTAKQTTAPTKGTTDQPSETGNNNTPTPTPTSDTGAVITGDSTGTNDV KVPQSTITDASASSDNAVGPTDLPQIPGGYKIPVAQVPPTLNAPYMQASKLPAGTVFI VVGAILGFLALAVVLWRGLTVWALKRSVKRAAKGNNVQDTKALLNFRPPAAPVYNRYS DRDSTLSLANLRKSPKPTRPTTSSGAAPGQSLFFSPTAGGSMLNPGNRNSGYLPSGYY AAGASAPGNGSGMAHLGGSGLTAREAISLSNLGPQAKGYARAQSLGTTPPDSPRVGPA LSPGPQHGMHMSTSTLDLTQRPGGRAPSAYLEDLFDEAGAAPGNYPVNHPNYQGPAR VE01_03215 MGVAKKTRKFGQVKRLIGQRDARLKKNVDAAAEGQKKKEVAVVR QIPQVSSALFFQYNTALVPPYSVLVDTNFLSHTVQRKLPLLESMMDTLYAKCTPIITS CVMAELEKLGPKYRIALRIARDERWERLQCDHKGVYADDCIVDRVMKNKVYIVATNDL DLKRRIRKIPGVPIMSVARGKYVIERLPDAPEK VE01_03216 MRFSTAGTIAACIAAASAFKDTSPFLLFSNQDIWASQEVGQTER LSDLNQIDTASSVTTSLVNSLVCLPVETLLIIVQPDANAADYTSKTAAPHLRKALKTS VDNGSVYVGLNVAEVVGAVDVDGLQTAVEASCGFGFQKVEAYGEDGVSKIDFTPVSGK ESATVGIRVDLRPLSDEPAQRVRQVAYHDVILQELVAQFKGRSYAVLFATTPVNSKAP APVVHGSVSDVPNYESEFQEPLHSEIKRQTGAMRRADSKVDRRPLFEKYQFFTPGIFM GLMVTLLLVAILSVGIRGIAGLEVSYAAFEKEMGPAAQKKVQQ VE01_03217 MSSEHFVVPRPKKSTLPPQPKKRKRNAAIEEISFDFDARADYLT GFHKRKVERAKRAQAENDKKAKEERILQRKQLREERTQELKAHVAAVDAILAESKSPF LDHEESGEDGEWDGIKEEAPAEPIDHEEEYIDEDRFTTVTVEEVGVSKDGLHTAAEDE ELELEGKKKAAEAAKAAKFAKANPKKQWPKKEKKKPFRYESKIERKIGRAKQKSSNKK QASARRGED VE01_03218 MDRIKEKMNSLRIEADEATAKAQELQEKVKTLEQENLAKEQEVT SLQHKNGLLEAEVEKLETHNKEIKATAEESTQHGHQNETLQRRLQLLEEEAEEADKNL RETNDKLRQTDVKAGHFERKVKALENDRDSWEAKYEEMAEKYAKVQKELKDFQDEIGQ I VE01_03219 MLAARDQENLVHGQQALAASKPLNQGTRAAPPKTPGNRYPKTPL KIPLHDENAPTGFGKKSVLQTKGKGNENLVTGGKKGAGLDKNAFITPMGPRTRAPLGM KTTNAKAKAFQTPAGPEMDKGPEKTQIRPTSTRPLRQKISHVDTVKLEIHGDEPDPLG EREVEYCPPKSKDLPYENEDFPNGYLDYSIVKRENQRKDFHTRYYNPVDANGVSKRER EFEEELARSLKATDDKILKAVEEDPCIVHDVPETFPKVQRKKPTEERRAVSAQLPAKA SALPSRGPATLTSRRAASALALAPRPTTTSTKPSRPLPLTKKPAPFLLRGSNPTPPAI QPSTMRNASASAASRSTMGYKKGRSASTVLSNGTVSAVPSAPVRSLSNLSAISDKTIT PARYGAKREEESSRLGFLGAFDINDDDLGFGPDSGLPECMRGGADEEEEEFVMTLNL VE01_03220 MAKRKRNQNLTAAAAPVEEPIVAPKKTKTVNAPKQSKPSKAGAK SSASGSNVTIQIITGSYDRILHGITATLPATEAATFSDTFLFTAHTSAIRALALSPPS APTPNQPQKILLATGSTDSRINLYHISAHPPRKHTVPALPGLSSRAVVENPANRELGS LMHHAAAVTGLHFPTRGKLLSSAEDCTMAVTRTRDWSLLSSIKAPVPKAQGRPSGDTA PVGGAPSGVNAFAVHPSLKLMLSVGRGERCMRLWNLVTGKKAGVLNFSRGMLGEVHEG RFGSGEGRRVAWGNTTGGEEFAVAFEWGVLVFGMDCKVRCKVLPDRRTKIHEVRYVKR DEEGEEMVLAISTEDGRIVFFSTDPTDLVAPAAKEGDDALPAAKILAQLGGKDAGVTN RIKDFAVLPLEESGSVIIVTGSSDGAVKLWRLKMADVLGGAGGLVGEMVGDYETQNRI TCLVAFVMLDLPEGASDDVLEEDEDEEEEEESSDEE VE01_03221 MKVLTLNFLTCAVKACKSSSASFPLHPKDAELVSEDLPTNAKLL ANLLPRLDWEALSTISAELGLPALPPTAPAPEDLATDEQLLKDLHVLLMETQISAGKL VCANCGHEYVVREGVANFLLPDHLV VE01_03222 MSRASTAGFSDFFPSAPRAAKNRAKERERAKSKPLDSPLLEPVN ADRDAHIPSRSRDESGRGSISGAAGEEGARDTNILAPTDEGELQQGDLLNCVRSTSSH TSTASSVFSTTAQNTGAAAASNLISLTPLTNDDSSPIGQVASPRGHKAGLKTPSSLEH VESSSSKHVLQDTPPPTQDMAEPQRAQVRDRDKPKGQKCVFDPQLDKSGIKPKPKVKY KDISWEDDAPPEDPRLLGGDRKIDIDYTMHAKARLRHEPMLLRPYHYDPKISVGPGPP TQVMVTGFDPLMPLQLVLNVFASYGQIQESSNKMHPETGTPLGIATFRYADSDKSGRK MSAINAAKQAVRKGNGEKVGGGNVKVEFDRDGVRSKRLVTKVVADQKKKALKPVASKP QAEVKGGDGEKTFGPPPTAPKGPASSFAARPPPRGPGHAPAFVPRPPRDSELIELTPI KPQIKTQPYIFVGHDSVPVLGSSVKHMKNKLNTFELENLRLDKTGYFILFPDSVKGRL DAQRCLRQANGTSLFTYTMVMEIFIFGTDGKNEDHKPHAEWGTGQIAPADPEPTRSSY RRHPSPSRRVESHRERDDAAQRKRDDEIDLEEEKRQRAKNFDPAKEATDVVVRELTEK LIKDLKIRVAAPALYSYLDPDNHVAKRRRLNIADPADSKPPPAFLDDDDEDTTPVGTP NSRAEIVDKRLLKAGKLDITALPRIRKAQGRVSKKAVLLAEARAKEKKRGKLRKRETV IQPGRHPHRLHDFHTDRDDWDEDNEDRTSARDTEEPESRPRSRVSSDDEDEQSEDDSF LPRSKLRESHEALVDFDDSMTEASVAASDSAPTKKRKLVLKADKVTKRQKKSGDAIFE VSAHKISSEDPSADDIAMTDGDETPLLDENSETPLPDAAAALLKKKGLKPIKKRKTKK QLFEEREALKKQQEELEELVEEEDEEEAVDSDADADSDIDVIYEVDPVVDIDLEWGTP TEVPLSTVNDDPKLVLDLAGLKHLALDDEDEEALRSALGDGPKAEIGSAPTWAWQQME TRAAKAGGYRGAITSPLSINGYYVPNETGAARTEGTTKILNSEKSKYLPHRIKVQRDR EERQARAKRDGKEDPSEDAEATQASADKLVSKDSSRANRVNNRRFVADLNDQKKTLGS EADALRFNQLKKRKKPVKFARSAIHNWGLYAMENIAMNDMIIEYVGEKLRQSVADLRE RIYLKSGIGSSYLFRIDENTVVDATKRGGIARFINHSCMPNCTAKIIKVEGTRRIVIY ALRDIKLNEELTYDYKFEREIGSDDRIPCLCGTVACKGFLN VE01_03223 MSYESPPIVRPVPRRPFDINLTTATPPSESEASTPSVRPSSPNH LDAKSGNGYANGGSDTPSLLPTRSVLNLTSSTLFGIYSPSFTEKMADNELGTPFGTGA MTPLSPAGSSLPSRPRARSPHVVPVTPLHLRIVGLTLRSTLLFLLGTAYGLLVTHLHN EQRLAPFGAQGLIQPSYDKKYLLFWGVAGVAMGSALPWFDGMWEEYFGEEVTSSAIGG KVADGANEEEGVAAQWTPVVRSIGAFFGIAFAIRKLAWTSTLQASLTLALVNPFLWYL LDRSKPGFVLSVTVGLIGTTGLLMFDSSMVQSPLAAHSSYNSTAGSAHAGFYAQGDNN ELFESAVWVMSVLFCSVLCFGHIGRRLALLRRKSRAAALQNN VE01_03224 MAPPRHLKLTLPRAPPSSYTASTSASSSSSSSALDCDVPVPSIE HVDAVDRIDRTENWVVQSSGSRAGSPTPPPRLSGSPAFTETSVPVRESSASRSLSLSD VPRPSPSASPIRAASNPDGERGIKREAPGGGIWSASPRPLARRSSVSGPAKPAPSQSP SISGSGALYPASLGSKRSRDVEDDGAGERSSQRRRRSTSPGFGPRPSPASLPGDTGKP APSQPPSVSGSRVLGSTSSGCKRSRDVEDDGAGDRSPQRRWTGSILAADPASASGSRV LRPTSSGGRRSRIVEVARPSFLEVVLATPERPSFLDVVLATPVTAVAKPVVAPSGSAV DAAGTTDRAGLVDFVAAPAQPVALVVVAPVNIVDIEPAVGPAAAVAVPVPAVANPGPL PDRAEVRLRARIDALFASSRGRPRAQRSAMYRSLESLVSREERILDLQAWEHA VE01_03225 MNLNTLTSSLRRSFRPRAKVLWTSDWVCKSCRINSVAVRPRARF SSTKTPMEKPYYITTPIFYVNAAPHVGHLYTMVLTDILKRFQQLKGRPAILCTGTDEH GMKIQQAASLSDEDPKEFCDRVSETFKQLAARADLSYDHFIRTTDPDHKEAVQHFWQL LQERGYIYEKKHEGWYSVSDETFYPSSAIEKRLDPATGRTFMAAQETGKEVEWTAETN YHFRLSALKEPLLAFYKQNPNFVVPATRMRDIERWVTEGLEDLSVSRPINRLTWGIRV PNDETQTIYVWLDALVNYITKAGFPSASFFDGVAGWPADVHVIGKDIMRFHCIYWPAF LLALDLPLPKQVLTHAHWTLGHSKMAKSTGNVVNPFFAMDRFGVDAMRFYLAHDGGIT DDADYANANIVERYRKCLSGGLGNLLQRVTGTKVWSVSEAVEAAAKGEFEVGENPIEA VHGVGGVVDGHFKELNPRAGLHEIMRVIYDTNKYLQTTAPWLIAKSGTPSSEAEVRRI IFHASEALRVSAILLQPYMPTKAAQILDTLGVERGRRGFGDAVVGGDLGYGRVKVVEG EREGGVGKKKGKGAYGTIFPPLAVEE VE01_03226 MGSITPPVSPSYQKLWRETPCIESRALSQVAGCRIFLKLDHLQP SGSFKSRGIGHLISLASTRTSNPHFYCSSGGNAGLACATACLTLGHPCTIVVPAATMS FMVAKLRALGATVHQAGRNWKEADNFLRESVMGRGSHSGEEVYVPPFDHPDIWEGASS LVPELERDMKSVGGYDGVVCSVGGGGLLVGIADGLRQAGRTKQVGILAVETEGAASLA TSLEAGKVVTLPGISSIATSLGCVKVADRAYEVACQEGVQVAVLSDAQAAMGSVRLAD LERIMAEVACGVSVAACFDGSLRRGLGRGCGDEEWKEKKVVVVVCGGSIVSTGLLEEY RAMYEGVVERELVGGRDRMVRKVLEAMPVEGKDLEKGGFEHLGVQKVDQGFQWAVRA VE01_03227 MSNEVVLAGRKQLTTSRENDIDLIERSRKRKLRELYAVATCDGP IPLPAFLDLNAPISEATPAERAFLTLNDIKEGLILKEHTIPSRRRLRSDAPRASVGID KSSLVSRASAPLDYAQRDGRESLQERPKTDASYNTGPLYAKAPATVERPLSRTTPPRG LQDGKAAGPRRKSIPREEALRIDRAVTTKVQTPTALLDAAVDETLGDAIYNANNPPAP TKDDAPTREMLEKDHVVKKAEATPDTPGVDPNVAIPKSAEDAAQLPDLIVNGANDPTH KPSTVHLPPQEAQEAAIKEREDQRHAVREERSVDTAAARLALEVPAQHERQVQADVAS SPGSTVGPLSATTSAHHHETSADTSPDNEAHHYDQEVAEEKVVPETPPELAPTQEEVR EKDAHDRILKSQIDVAREHILGSSPTAADTQLLEEQAAAASTIPGKEGAAARPAKAEV DGRQPEVRELAGAPETANELMDDEKEDQDEVVGVPTPDEKVPEPMPAAVEALKKETVP QPAEEVPEPMDVDVPEPAQAKGKEVEQAAPAPEPMDVTEPATTAPAKPEEPKEPSAER ATSTAVSTPSIERMTTRVASGAMRHKSVSEILGETPRPSSLNTPERTPGRALAETDSN LPSGVSTPKSTTSRMRSLTERAREKERSKLSTVVFAKQPVTKDTKTSALISANAKPQG TEYPDYFMPLINAQSYTAIRGYQTLEALLASAHKTITTTNAALPFQEAQAQKIIRRIH SLQNHDKWSLRQPKRSPEPIRPTTHWDELLKEARWMRTDFREERKWKTALARRAAWEC AMWVNGDQEVREGLVRKVKVGVLESVEGGEEMVVDAEGEVAGEANAEDEVAADAMVDT DAEVSHPTPDLVPSSDNDTPLDEFDDEPRISLLETVAPTAIFSLDNDDLVFGLSRSAA SDKLLQELPMYGAPLKVHQSDLPTSEVDPDASWRKPYLPLSKYIEGKIRFTDEPPPRK KSRYEYAEESDDEDEVVFGERTQRKPQEPLQVDVALFNPENKHIRDRIHAGHQFRPPS EFPMPLQSFFENRHPSQWTWAEDDELKQLVREYQYNWSLISSMLTSKGVYYTAAERRT PWECFERWIHLEGLPADMQKTHYFRAYNSRLEAAQRSLLLQIQAQAAQPPPAVGSAPP RQIRRSTTSVRVERRRNQKHLTLVDSMRKVAKKRETTAQKQQQAQSLANMRKANDTPV QPINKHTPAQFSKIKHDREQAILEQKARLVQQQEAHRRAQVIALRNQQPNMQMGYPAG QNQQQRGNPNMNAPPPPNMGGNNNPQNRPANLSVPGQHHPQQQQQQQQGMQQLPNGMG APGMPMPNLHPGMGGPMPLKGVPQQAQMGMQGMPMGPAHRLPAPNATPDLSLVMQAQR ISQQQRAAVQMQQHQGGGGVQTMHSSPPNAIRGLPNMGGVPGQQQQGFMPTNGMSPNP MQQQQQQQHFAGSPPNSAAGVAGLQGSPRLNPQYAQLEASYRAKFPTATPEQVRKMVA DALGNAQRQAAMHAAAGGGVQGMQGSSPVQYAQMLRAQQERQAAAVAAQGMQNQGQGG QQGQGQGQGQGQQGQGQQGQQGQGQGQQQGGMQGQQQGMGQQQQGGGGLQPQQMQQQR SGSATSAGTK VE01_03228 MSTTTAPKSHFETLLQFDADYAPTRLTQYKSTRTGMQVVVVDRK GPKVQGYFTLATEIFDDSGAPHTLEHLVFMGSKSYKYKGVLDLLANRAYSNTNAWTAT DHTAYTLDTAGWEGFAQILPVYLEHVIVPTLTDEGCYTEVHHVDGDGNDAGVVYSEMQ GVQNNGPELMDLAARRALYPEGVGFRYETGGMMEQLRVLTAERIREFHREMYQPKNLC LVIVGEVEHENMLEILDAFEESILGDIPKPDAPFRRPWMESPQTPELKETVLQTVEFP EEDESAGDILVGFLGPDCNDVIQTGALNVLLTYLSGSSISILENTMVEREELASSIST WWDARPNSVIWLQPTSVATEKLAAVEKRLFEVLREVADKPLDMKYMVACVRRERRQVM FQAESNAEFFSTNVINDFLFGKRDGSTLRDLASIREYDVLEKWTDDEWRAFLRRWISD AKHVSILGKPSKAMSDKLKAEEITRVAAQKDVLGVDGLKALAKKLEDAKAKNDAPIPQ AILEQWPVPGVSSIHFIETETARAGPARSLGVKDVGAQKVIDKAKDASLFLQFEHVPS NFVLVSVLLGTTGIPVELRPLLPLFIDNFFNTPVMQNGEKIPFEAVVTDLEKDTISYA IGGGSRLGHSEGVGIVFEVEKDKYEKTIHWIRTMMFDAVFDVTRLRAALTKILADIPE AKRSGNSMAYAVDAMIHLDSKSTVKARNTLVKAVYLKRIRKLLTTDPDAVIAKLETLR KSLFTFSNVRVLVVTDVNKLPNPTAPWSHLSDALGAPTDLLPIEMQHQRLSPAGLSPG SLGTVVVPMPTIDSSFAISSAPGPTSYTDPRIPALLVAVAYLDAVEGPLWRAVRGTGL AYGTSFSRDVDGGFMQFRVYRSPDAHKAFARSREVVAAFASGKEPLERSLLEGAVSAI VVSLADEQATMGMAAQMNFVNGVVRGVAEGYNEELLRKVREVGEAEVRKAMGEVLVRC FEPGRANVVVTCAPVVAEAIVKGFEADGFKTEVRALGDFKDDYGLVGDEDEREEDEDE DGESGSESGSGEESE VE01_03229 MARTPIDKALNSRNTFAAFAGIVTAAAVWSIWGSDMFPAQPDPT GNPDDWTEQEIRQWLKNRNLQPSITATRAELLERVKANLRVPRA VE01_03230 MPREISDIKNFIEICRRKDASSARIKRSKKTSAIKFKVRCQRHL YTLVLKDSEKADKLKQSLPPSLTIADTPKKNQKGKRVA VE01_03231 MAPLTNPRSRENGVDQQMADVDISNKGYCPSSIVEYLERMTTLP EPKFQEPPSKRQRLDSDSNLDYMVVKRSSLTLTFRQSPRKNARPPLTYQSETAGTRVE GSGDEVMLRITDNGGRDWNILDGTFHADNVPIRDINIAGLIPLHAHHKHNPTAHGRLW AETTFSLSVEGGFEVLLLTWTVKWNLCPSLAYISATLPKRRDLESVLEAYFPDPAQSN NKGARILPQDFYNSVHVPEKDDTAAALIEIPELKTELYPFQKRSVRWLLEREGVQWSA EDKRLKSTVKPEKTPCSFYEVVDEDGRTCWVSSLLQAATRNLSAYKAAEDELIGGLLV EEMGLGKTLEITALITLHKGRPDNLQTIVDPYTSQEAIPIKTTLIITPPSILQQWQSE LARHAPSLKVMHYQGKTKHKRLSDEQLVDMIAEHDVVLTTYRILAGEIHHATPGPGRV SRHLGGPQQNMSIFTHFRWWRCVLDEAQMIEGSVTNAAIMAQMVPRVNSWGVTGTPVK KDVEDLFGLLKFIRYEPFGILRWTWTAILHNPPAFQSLFQRIALRHTKSIVRNELQLP PQKRYVITMPFTPVEEQHYQSLFQKMCAECGVDLEGGPLADDWDPTRIRTLERMRVWL SRLRQSALHPEIGVKNRRALGRKDGPLRTVEEVLETMLEQTELSIRGDQRTLHLTRLK RGQTLENSPRVKEALEIWKRVLADANAAVSLCREQLQSEIDSPKVNMALDGGPLLDDD EISSGDNSDIDDLEPGAESTLRVGALRNRLNAALEIQHMAVFFCANAYFQIKSNEEMT KPDSEEFLELEKLEAEGYQQAKMIRQEILKEIFRKNTKPMERLAKKASSEDFLTIPEF HIKTQKGGIESTILLQQLDGLAAALDAQANQLDEWRETTIQNLLQPLVDEEGVIEMTG EEYLQSTELQQEIVIYVLALRAVIEDRHDALTGQENQLVKHEVKVAMKQAKDDIEQAE AEEAEAIVDQAEAQEAEAVVHQAEADAEADIELAEAGKWSLPRRTLELLQARAELKPP KAMGSVKGILSELRTLSSQLRMDATKGSSRAANELAIIERNMAIVRPHLDKQLKAVMA LRQEIDEFTSLMNLRVEYYRQLQQISDMVLPLGEDSPPDLAITLLETEQKLAAKIATT SSKLRYLVHLKEESEEDAEGKDCLICTVPFENGSLTVCGHIFCKECMGLWFRGHKNCP ACKRELTINDLHDITYKPRELKMAEEAPITPHNQDRALVSPSKKLGIYSQISKDTLAQ IKNIDLVGPSFTTKVDTLCRHLLWLREADPFAKSIIFSQFSDFLVILGRAFTHHRIGY STIDKPGGIEKFKNDAATECFLLHAKSQSSGLNLVNASHVFLCEPLINTALELQAIAR VDRIGQQQSTTVWLYLIDGTVEEAIYDISVRRRMEHMGGASTAPSKESTPELADRKIE VANSLELQQAPLANLLAKGKESGEFVGNDDLWECLFGNRKRAVEGAAAAEERLDREVA RHFGAEAAEARIANGE VE01_03232 MPSARHGPGAGAPPRGAMMASLKSAQMVQNRAALPAEILATVLD YLPVSDLMRCARTSKRMKEMVYDDTRWVQRLQSMGCWNEAEARARFEEVMRKKWEAQK AKHDEEAKRNGTGVNGTGEGGKTATTIFDAGIEEERQKQRAPAIHGPNSLADGFETLA IAPPTSKPTTTNPRPSLEDPIALLTVLSKVKSVRGYARPEYARVYGALGPFYQDFARS KSHTDPVIFRVYRDPEQQAQMLAQLQKFAKSDWAQGWRQREEKLNTMVGIFESAVLRE FEQGYEAWDVEGRMHRYAHVLGILNGGQAGVEMFVQKHPVFTDHAQFGNPMDCLNQAG AGAIDLKPSLAFFETLLKVINEQAETIDKIFPPRLNVLEVFLERIGEDVIGDWVTPLF DESHERNTQSYLKAVSGIFEQCVQFSLQIKPPSAASPEEFRRKVHGIIVRVFEPHVDL YLQDELDFFKEEAEEQVSGWERKLSEEDASTESFFMANVNRQADKKDFLTSFRKVVMM PVNVLPSFSPFATSKSSATLQVTSAATSGAGASITPTSSRSHSPAGVPGLPSPSAEAP TDELAAKAAIMANKLEGIRSLFSIEIALTLVHSAKTSLERAAAFVQLGGQTGEEAREQ CETIFVFLLQTLGSRHVKSGFDKAVDHLSKYNPREVSEHSKKGVAPLVTFLELVNVGD LISQMIDVFYEQQLAATKLVDKNDFLDPAVKAKKKFEQMLDERVAAGLNKGIDVLMDE IEYVCATTQPPSDYNPPLTASGIPDSTFDIGPTKCAQEVVDIVSSHTDMLTGSTDKNM LDVFNQEVGLRLFTVLCKHLKRQRISIDGSIKLISDMNLYFTYIQRLRNADLLQYFRA LRELSQIYLIGPKHAKEMAAVIADGERFSGIFRAEEVYEFAERRADWFVVKRDVERAM YGFGCAVM VE01_03233 MLFTPLKVAVAIYAIGTTATELTCWPKNDFKGPISGTYNLNSGW QNARNCQSARWSTGTNNPNCIWIKGSSGQKSWSARTNNFPTDIFKDIGYDSQVREAAC VE01_03234 MRYSNAVLVRAKAALLKFAPIAVFIGIILYFLTSFYPSTRTFSC PTSAASTPVNPIPNRVHFVHIMPDGPDSDIKFKFKHFVAIYSASFYFGPDLIYIHTDA SYASIERAQSGPIDKAPSKWAHKILNLPAVVVRRVNAPDVATGTGIPITLLEHKSDFV RAQAVYEHGGIYLDWDAHALRDVKPLRESGFANVVGRQKGGQVNSGVWMSRPRTLLMK LWVEQQHQVYSGAWTAHSNDLLTSLSEKHGDSSLLTMIAERLMPENKEVLILERVAFA PMSWKLSDASKLFMPHLDDNIGQMERDVEKPDWEIDYSTSYILHAFKPDKSEHIENFD CDNGITVKYVLARQSNFALAVYPAVKHAIDAGVISADD VE01_03235 MPGFADSFWSADYAGGKYSRLGVLFGKLQQGVLENQQVLTIARM RAEAEDLYGMRLGDIGPATDKMTGGFTRDDGASLKKAYDGVRSEMEEASKNHRRIASS IRELVVNPFSRWCDAHENRVQSSQDDLQSRIKYHDKQAESVRKLRSNYFNKCRLVEDI EEENKLAFQDPSGPEGSPKGKQNIPEIKVEENDEDDEPLEIGDEIYQPEQVKKMLEHA LNTVALGDTKVPILGTYQNTTSGAGIVEYLQKHLGATTISHAERVGQDLVDHGFLRLI GNVGNTFANSSKMFYQWRPRAFQYAGVPEKKQTLNRTFSLAPNSDFAESPVVGAVGEY LSGWSLVNQHPNETPAQRLRREAAEADERYKASVRKLDLLRCQLEEAIIDHLKFMERC ELDRLKAIKSVVLDFSGAISNAIPSLQSTVDKMMLYQETVQPLGDLRYLLENYRTGGF APKVQIYDNYYNSADEQTFGVDLEARARADKKRVPNAITSILTFLDNHYPDLEGDEAR RGIWLVDVPLQITHRLRDEINNAKPVPMETLQRYDIPVVASVLKLYLLELPDSLVSSH VYEIVKTIYNTPASESTDSARISVLQNTLIQLRLSNIATLDALMTHFTRLIELTSADE AYTAALAQTLAPCILRPRAENSLTLEEKHSYRLIRDLFVHKSQIFSELKRASSLSHSA NNANNNRPRAISTDESNRKANMEARTRAILAAGGSRSRATSPAPSPRGHRRDRSSGGP ETRFPIQTSPTLTSETRNSRGSLGSTVNRASLDIPGSIDSISTGPDSHSASSALQQAT NGVSDFNSFGAGAADVGADEVGVEKRNSLGRPSHPVVGRLATARKPPASSALNRLSVG GGGKLEQPAGVSLTDKAMDD VE01_03236 MESRPLLPKVESYADDQRQQLVVVDGGSPWRRSKCIVAYGALLL TGSVLLFSKPTAAFGWPFWGQPPTIEERASKILTHTPLIDGHNDLAILIRERYNNHIN DEKFQKMFLEGGMPAHVDLPRLKEGKVGGAFCTTALSHFRAHQLISPLAIEGLHQIGN SASTLRTYHTLGVRYATLTHNCHNIYADAAVTELPGGNVEKSTPLWGGVSPAGRKIIK EMNRLGVLVDLAHVSRDTMLDVLGGGSSDWTGSAAPPIFSHSSAYALCPHPRNVPDEV LHLVKARGSVVMINFMPDFVSCVAADPPNDSGIPDFDEAGSTLGRVADHVVYIGELIG FEHVGIGSDFDGIGKTPRGLEDVTKFPDLVGELLRRGVSDGDVAKVVGGNILRVWAEA DKVALRMQEEGEMPLEDDLPSVEW VE01_03673 MRSKLIAAVLIAPALIGALPVANPDAKIVLNLDTFSPPQLVGTP MPAKHTSPHHFADGVTDEGLKRMMGARDNKIATPKEEIIVHPYRPFADPTNGKEREHV ELFDGDRKVHSRGFRQEVRNADNTERPNPNDHYLGPKIGTPINARAIDNTGSLPHPNG HLLPPKIGTPIDARYIDNTENRLHPDDHHPGLAPKIGTPIDARAIDYTEILPRPNGHL LTPKIGTPIDVRAIGNTERPYSHDHHLAPKIGTPINARAIDYAENRPRPDGHLLPPKI GTPISARAIDNADRLPHPDDHHLGPKIGTPIDAKSEEPKESEGLEIPVDLPLPSNYDY EEFDDQEEIPVELPISSDHYPDKSDEVEEIPVELPIPSDYYPGKSDDVEEMPVELPIP SDYDYEEFDDQEEMPVDLPILSDYNPESSDYEDSRYIPATPGDRNSRRPGRLAGPPFP CAGPLQFNGTGFNTTINGTTFNNTTNGTAVNITRCFEEDTSDFNEDNSNFKEDTSDFE EATSDLEEPSEPTLRRRESKDAAMPELVQAFETRRKENAEKKAAKDKASKEKADKERA KEAAKVEAAKARQAEEKASREEFEKQKAEGRYTKDFEAWKKQIWERKSKEADAKAKNP HVPVFANPKAKSKAVSNIEEYAPEFFDYLKDPFKTPSPFEQDKAWSEDLIKKIGKPRH PLYWAQRGVENIEPPKREPGHEWPEARHPVDVIDKDKPETGFSQGDGWKTDYFQNGVW GQPRVPKERKPYWTADGNPVY VE01_03674 MESIRSVFFKPDPQAQVRKCNQLIRANTRKLDRDIAQLKTVENK TKDLIRQASRRAQRNPAQAKQASAETRVFARELIRARKTTSRLITSKAQLQSVSMQVS EAFATKKIEGSLRASTGIMKDVNHLIRLPELMGTMQELSRELVKAGVIEEMSADMLPE LSLDEEDEEEAESEVDKVLGEILKDKMGKVGATPVEAAPSVPAEEEEEEEDAEEMLSQ MRGRLEALKS VE01_03675 MSATTVTPEVLWAQRSNATEPEKNYIYLTISVPDCKKEDLKLDL KPTGLTFSGKSDSLKKSYHVELELFAEIDVDNSKINHTSKNIELVLRKKEAKEEFWPR LLKDSKKVHYLKTDFDKWVDEDEQEEAPEEDLGGMGGMPPGGMGGMGGAGGMGGMDMA SMMGGMGGAGGDFGGIDFSKLGAMGGMGGMGGMGDMDDEGEDDDELPALEEQEGGDKA KSSDKIEEIN VE01_03676 MEQPRQYIIQLSGLPGSGKSTIAHRLARSIDGIVINHDLLKSFF LDTSASTSTSTATSLDQAAKLAYTLDWILAEDLLKQGRSVIIDSVCNYTTLIEKGVEL AEKFGCEYRFVECRVQDVEVLDRRLKGRVAMRSQRTGIDAPPVDAEGVGEGSVDHREV LRRKLENPVRPGSGAIVVDSSGSTEECVEEILRRIVL VE01_03677 MYFPYPQFFTSSSATSSASSSAASSPTSSAPSTPRSHPTSYHAT SPYQSSTTSTSPISISSPCAYPSWPTRPSLQSSSRHENAPFAHTAASSYLSDDDLSPL DEEEEELLALQRAMPMRALVDTRAIREALVEEGARKKARKVKVAGRRGSGGSERGERR VKLSTIVEGSYVG VE01_03678 MSDSDFPTSLNNAESKSTKQKSLHRNTLESKQYRLSSRLPRDVL DGRNVIIQFRIEALDVEPFFHARNLLGDIVPMIEATHDLELVEAGVWPFYMTRIPGKP WMEYEDIWNETQRATCSESLGRVFARCFVEGNAGEVVDSDIIPNLHKIRALALERDDV KPFSAFISKLIEEAPALKKLPLFFGHLDLNEMNVLVGENAEVTGVIDWELSPPPQPFG VACYCIQFLAGEIIDIVFRQRPEFEAIDWGFWDGLLEDTPAEIRDSLEANWEAVQTAV MIGTIFKVMSIEGDEVFVSKIRLDALPMLMRYRIPALRGASRAYSD VE01_03679 MELGTGLALVAFADQCIKYGTKLVKRCKSYRHAVDEARAFLVTI EHNWRKTESQIKFLKSIATTLDEDYLEMQSILLSELEGQLKTATLTLDQLIEKSKRGK SRTESNIIDRMKSLVVMTPGQKIKYAFEKGTLQDLVNGLEKWQGRFDPCWMLIMRIES RSIDNELSRETQKPPAQQIPFIMAAKEMRDAVHATLVENPAVEVPIWLDADSYHSSND VIEFSPISIHLSKSTGNSLMIETMRCNIRSDIDRTTKDARDLAQILHKINSPNFGLLK CQGIIKESETVTDQFGHPKALPTFSFVFEIPKDFRNPRTLRGILQEAAPFPLNERLDL ARQLTYSVLYIHSARFVHKSIRPETILVFENETSSIGAPFLVGFEKFRPADGHTYLIG DESWEKNLYRHPTRQGILPEEQYKMQHDVYSIGVVLLEIGLWTSLVTYPQISSPNTEG NIPVASEYVNLVSPGKEKDPRLRAFRDKEMLENLAERELPHRLGRKYTDMVLLCLRSL DNLETGIPGGVDKDGIGIGRG VE01_03680 MSSHLSHLSQFDHTKINAQVIREANLVVETHLVSDLVSGQRRVP KQKVWRVERIIGKGAFGEIRLEVLMEGSEQRAVKRLWTSGSTLKREYQRELEALVEFS KLKYKEAAVFVEFLGWFEDSDSVYLAMEYIPLGDLEHNVPSRPGQLVEPEIRLITFQI LEGLKIMHSESFVHRDLKPKNVLVCRTGPQWWVKLADFGLTKRRTEETAYRTQTGTES YMAPEILDYVPREDPHKSAYTTAVDLWALGCIVYRLGSGVVPFPPGPSLYKFCENPSG FLYQSLQLDQSGIEFIQSLLAPIPRDRLNAQQALDHPWMQIGLNLNSTSGLVPFSDYG QRTTWESTLNTEGYDTVTHAGLQSISATQSISSHGSPSSLRPIPLHTTKETSQPSLAA GIATSNHLDGTNIDESLDNSTQRIVADLAPPLYADGYVQSDKSTFKTFTRDMPVKEGQ VDEHISSPKFAESIEKVWKESERFLQENSPRQTWANVAATGLTPPQMSERPNSNLGQP RTPSIPASVIHQTPQAKAVAPRSPFQRTTPRSAAIIIRNPEGDIVKLPSAKPLGDAGH GLNRPDIYRSATSTHASEAPVNTVSGGLIVKPSALIAPTVSQVTSHGRSNSYGAPEKS NAGIEDAPLSKSAKRKAKKAKELYENSTAKLPVVEVNPFTVLGPVSDLPTKDTPERNE NPLGTADTGAKTKASLTAFEKFKLHIKQEAEATRLEKARKAREVKLGDLIAFSKSFNL HAPIPGDLLPILTKDPAKQRQIQAKALKDTKPLLASYEPTLEDAKRSVG VE01_03681 MAPKVAIVFYSMYGHVHTLAVAEQEGLKKAGIVADLYQVPETLP QEVLTKMYAPAKPNVPIITPEILATYDAFLIGIPTRYGNFPGQWKSFWDATGGLWASG ALWGKYAGVFVSTAGPGGGQESTVISAMSTLAHHGIVYVPLGYKTAFEQISRLTEVHG GSPWGAGTFAASDGSRQPTPLELEIATIQGESFGNTLNKVNFA VE01_03682 MDPTEVNVPDVKDMNIDNITQNTILINSQCESERVKYLFERLVT HLHDFARETRLSTQEWKAALDFLVTVGQISSDDRHEFILLSDILGLSLLVDAIDHPKP PSSTEGSVLGPFHSHKAEVVPSGSDISSDPKGRPCLVLCTIKDPSGAPIEGVKIDIWE TDSSGHYDVQYAEHDRPDGRCVMTSDEEGRFWFNAIVPVSYPIPHDGPVGKLLKLLKR HPWRPAHMHFMFEKEGWDHLITALYIHPDPYLTSDAVFGVKDSLIESLGSVSAEQAKA YGVREGTALISRDFVLVSDDETQRLRDSNALAAMADLFPGKKIKLLDHLPVPDLD VE01_03683 MSYNGKDFGHSVDEKLTNHVETVVDLDKLGELEGYVLNPELGHV DTGHLKTSSDGRTTLVPQPSDDPNDPLNWSQTKKNVILFVISCTAFLPDFGSAVGAVT LLPQAAAWGMTPDEINHSQVGNVFMLGAGGVVAVALSAYFGRYPVLFYFIALAVGTAA WCAAATTFPSFMAARILNGFFSTVAQGGGLMFIKDMYFFHEHARKINIWSAFIILSPY FGPMFTAFIIDTVKWQWAFGLYTIMTGLCLILIMIFADETYYDRRIPIDAQPARGSHV SRMLGIAQWKGRRHRNTITQALLRPFIAISKLPVFLTVVYYMLTFAWVVGINTTLSIF LTPLYSFGPKQIGFFYFTPVVAALLGELAGHWLHDFVARLSMKRNHGIFEPEARLNVI WVSTPFIIAGLVLLGFCLEEKYHYMVTSVGWGLYVFGVMIATVGVNAYNLDSYPEASG EVASWVNFARTAGGFIISYFQVTWANEQGTRKSFGTQAGICAAGFIIILILQRYGKEL RAWAGQPHFKTS VE01_03684 MPVFTETSSSWRDLRILPSFAPPLPRLTPPLVRNVVEEAERYEV VVIGAGPAGLFLTTLLARYGLSDESMICFDSKPGTLKAGQADGLQPRTLEVFQSLNLA TEILTESCHMTEVAFWNPKSNSADAPTNGSNGAKATESGSRGIERTSFVPDVVVDARF KHEITIHQGRIERILDENLHRYSKRGIIRSTDFEHFEIDAASDPEYPVKITLSSPIDG ANKGSNAAGRTVRSKYLVGADGAHSRVRKCMGLKLEGETTDHIWGVLDFVCDTDFPDV RKRCAIHSDAGSIMIIPRERIATGEYLTRLYVQIKEQIPTDVNENVEAEEAHRASAKQ RRSAITYQSILSQAEKVMYPYKIGVKAGTEPDWFAAYQIGQRMTPEFTMKDAEGIERV FIVGDACHTHSPKAGQGMNVSMMDSYNLSWKLVHSINGLSPKTVNQSDPVLSTFGEER LTTARHLIDFDTKFSSMFSGQISEVGEATVAGGLTHDDFLKIFLDGSGFTSGCGIEYQ ESRLVRSPCMTEAGKTLIAGTDFLGGTLKAGRRVNDSIVTRFADANPRHLQDELSSIG RYRILVFTARDLACTGSSSADCLVQLCDSIMHQYPAGVLELLILYPPRENRFEWVDLP KCVKRDAEMRTFGANEEVYNKYGVDTTRGAVVVVRPDGYVGTICAIEDAHGWTCIYEK KNRGSLSAHGTKRSQGQKAALATDSETPDLAVLQEVSGAPRAQSLLSKSATFSTAESG ESIFYEDNTPPVSYTSLRDREKERDGKALSSLGEDLETVSGENSQESEPLRDVNQHTT GREYYGRSSNFALLGQLFAHARSKLSASRNSVPCQQSELLATAATSEGPIRNSGLDPH RPAGESQTTNKSPLGADRLSIVNLLYDDETSVSEPNSKLLVATHKANVRPDTAAYVHS HPQNRDTDSNEFATTDDFHTARLANKTERVAPVHPYRRGTFPTNQASDNYGRSRTELA IEKEYLRIFFTNLYYIHPFLSQTQFEARCETTIWSRWPLTEIARGDLHFVALYNVILA VGSLIGSQDTFMGHRKQLDHDLGASHSDMSTATSSIQLSRIFLDRSKRLLGDCFEVCS LESAQTLMLMFKGTSNSAKTDTEANHVAIIPEMVKFAALLLRASKEIYHEAKHLSMLE KSKIAFDLDSCFTEWRNNLPSWLGPGSNALKEPEWVGKQKLVLELRYQNAKILLHRPF VAASDVQQSLGFGANVEACLTAARRTISLLYESYLHRHYFRSWRYNTTYTIYAATIIL YLILLDYSVVSTEDLLADVQKSLDILASMDEARVARRCFDLIQELLEFTQSHVRQRQR LERQDTRHAQYPLSMQDPVPRFEMDRSVEANQGFLSPAQSNMDGATWAMNPSMSGIWA SMMDPIALEGFVVGDEPIEGMVYDNSWFGAM VE01_03685 MSVMQNFTYNASPVRVVFGSGTVKQLSAEISRQKLTAPLLVSTP GQVNEAETLKTNLDGKIAGIFTEATMHTPTNVTDKAVEFAKSVKADAVVSIGGGSTIG LGKAISIRTGLPHICIPTTYAGSEMTPILGETADGQKKTRNDPKILPGTVIYDVDFTM TLPVALSATSGVNAIAHSVEALYAQNTNPIINLLALEGIKALAESLPIIAKLPSDVAA RTTAQYGAWLCGMCLGSVGMALHHKICHTIGGSFNMPHAETHTIMLPHVLAYNSPMIP DVMKKLADVFPESNGDAVHGLNVLLTKLEVKRGLKDFGFKEEDIDKAADIAVSHPYYN PRPVERHSVRELIRRAWAGEEAKADL VE01_03686 METTRDGKNSLSQMGYKSELPRSLSMLSILGLSFAIMAVPFGLS TTMYITLTNGQFVAVLWGWVVVSLISLCIAASLAEICAVYPTAGGTYYWSAMLSTPHW APVVSFIAGWLTLVGNWTVTLSINFSGAQLILSAISIWDEEFTPNQWQTVLCFWAVML ICTLVNAFGSRYLDQINKVCIYWTGISILILLVTLLSMCDHKRSAKTVFTHYDPSASG WPAGWSFFVGLLQGAYVLTGYGMVASMCEEVQNPEREVPKAIILSVAAAGLTGVVYLI PILFVLPDVKLLLDVANGQPIGLILTRATGSKTAGFCLLLLILGILFFAGVGALTASS RCTYAFARDGAIPGYKLWKKVNKTLNVPLSALLLSAFVDCALGCLYFGSSAAFNSFTG VATICLNASYCTPILVNLLRGREAVKHSLFPLKRAGYIVNGISVAWIFFSVIIFSMPV SIPVTASSMNYASVVFAGFAAISGAWYLVHARKNFKGPVAAQWQGSDWDDNRVVTDKS LGRPETEPTKIDTSSEGGEKETVNQEEDISERVRYIN VE01_03687 MALIRRSRTGCFTCRSRKKKCNEARPICSGCRRNDLECRWPAPL SSAKRPKEGHIKPTTAAFQPGPSKTLTASASGAVILRPRPASFSHFVPSPKENGIAAV ATGEAAPATPYTQRGYSFSALRPTDHFFDIADPMPILDTIIVEANGAESYSRFSTATD TQEVAPSSPAPSKYYSELSPSSTSQGSSSSPSSSSSPPPTVVIPPNGVVGSGVSAITN LDDSPFDPSPDHTHSLQDLQLCLTKPLSLFPNHGEHSPDLLGFYLDRTANCMGNGSTD SNPFITKLIPLAFSHSLLLQLIMAQSAVHRQMSKDRHPSDEVAIRSYSDSLRYFRTVV DGYVSGREENALVLTVGSLILSLTEVARGDSHGSTFDHISAAKSLLPRLLTSPRRDLS DDLRGFLVEYYTHMSSLSMISMGAHHTAQPLPDPDMERLATGLIEKHYIGQLCGCWLE LLVLIPKIFILGQKKMASKSDGQPYCLSPDDITNYGFLQSQILAFSPHPSANLDTTLA GLVFKQAVLLYLWTILASPHHKSDNNAMLYNLIQSAVAEALCLLGQIRDSSRINTSLC WPLVVIGCCLSDPDAQQVLRCRLQIMLDTIALGNMRETLSVLEHVWAQPLEDRNPWAL CKTMQEHQILISFA VE01_03688 MTVTLDRDIATTAGRLAGKNAIVTGAAGGIGLETAIQMSKEGAS VLLTDVAQPALERALLKVKEIVPDRSGKLEARVVDVSKESEVEAAVAHLDSWGGLDVI FNNAGIMHPKDGDADECSDAIWDLTQNINVKGVWYGSKHAVRSLRKHGKKKGSIINTA SMVALVGAATPQLAYTASKGAVLALTRELAIVHAREGYRFNSLCPAPLNTPLLQDWLG DDQAKRLRREVHFPSGRFGEAIEQAYAVVFLASDESSFVNATDFVVDGGLTKAYVTPE GPATQGPKNLGSA VE01_03689 MSDKIRTVSPSTGHLIFEHDGTTVEQARLVAGRARAAFKTYQKT SLDQRKSIVVKALDYVATQQERLSQELSQQMGRPITFANVEIDTMRKRAEYLLDIASE ALEDLPGRQEDGFQRWISKEAVGPVLIVSAWNVSTPDQSLSIEFTNIISQYPWIITVN TLIPALLAGNSVILKPSPQTPLVADAFQEAFNTAGLPPDVLQVLHSGSLETLKQVVRL PEIKQISFTGSTVGGLELRQATANRIVPLNLELGGNDPAYVRADSDLKWTASNIVDAA VFNSGQSCCAVERVYVHADVHDEFVRELQRELKGYILGDPADKSTTVGPVISHAAVKA ILAQVEDALLKGAVDATPTNTSFMSLPQTGSYVAPKILVNVTHDMEVMKKETFGPIIP VMKVQSDEEAVDLMNDSNYGLTASVWTQDVARGRELGNEVEAGTVFVNRADCPNPDLT WIGWKDSGLGSTLGPRAFDAFVNMKSHHIRNIQC VE01_03690 MGVYHIAVLECDTPVDTVVTSRGTYGDIFKELLTKGLERGGPAA GDIQLRVSKWDVVGSSSFPDIREVDAILLSGSKHTAFEDDAWIVRLVDRVKDILTTHQ KPLVGICFGHQIIGRAMGAAVGVSHGGWEVSVNTIDLNSHGRQLFGTSSLSLHQMHKD AVLEVPKGLVNLGSSPSCPIQGLYKKGSILSLQAHPEFDEFTMRQIIQTRHVQNVFDD AMFNDGMAKVGKPHGGDLVASAIWQFLLDG VE01_03691 MTLLTLDNIADSLKNDLKVKVAGVDSSGILRGKVMSKDKFLSTV ESGFGFSSAVFGWDMHDALYADPASSAMASENGGYSDFIAVADLSSFRRLPWEENIPF FLLRFTVNDKPVVACPRSMLAGITQKLAQNNIKALAGVELEFFNFQTPTEDGYGVGGS RPDVAGFLAKNAPGALRPITQGMFGYSMTRPTASKKYFYDIFNTCVSVGCDLEIQHTE SGPGVYEAALAVKEVSEMADRVSLFKLTTKSIAMDHNITPCFMAKPLYGWPGSSGHIH MSLTDADGKNLFARETRDDSARWRDIASFSDMGRHFLAGILDALPDIMPLLAPNINSY KRFVENCWVPVRLNWGLEDRLVSVRLIAPPVCKAAATRMEIRIPGADLHPHYALSALL KAGMRGVEKKLDISVPPASARSNEEAALLPNTLDEATRRFKAPGSVAREIFGDTFVEF YAASSEHELRLWREAITDWELKRYIETA VE01_03692 MSSGGQLARNRALSLGSGRSSKGGRAASMADFSMPDSKLARDFE PSDATASMFLYAQGNTVVCAHHDTLTIERRFSRHKEEVLILAVDNVSERGAGRLVLSY DAGQTAIVWDLMTGDEVARFASYNSLSVAAWMRNGNVAFGNAQGNVILFEPSTSEHIS ARTIDQVPITALAPSADCRTYAIGYQNGSLLIASLQPRFTILHNLTTSRAPSPIVTLA WHASSSRQKSDMLATQTHDGDLRVWSIAKSPSSNDAAKVVRVLRRSENSIPGPNWLAW SKNGRIIQYSEGETSSWDVRTKNVTYETVPTLEHVKGIAIYGPGATLFTLGRSNTAQQ FDLKSPPVLVANVQHPANLLPPSPPVSIEEQQKGIVASSSSLSEIPINVDVSESDEDH MSPLARIAREMDKLEERQQYGPDRSGTLSPASSAASSTSRSSAGSRPARRHGGSVLSK GYSDHTLMSPGSSLHSREPSILSSRDSYSVSSVSSSQMSGRSRPRASRLRQEVLRSPE DKIVVDLFKFTKSRLSDIPYAHPQVPQNKGMSHHELRRQMLNTIFGWNGEADDLVRDE LSRHPMGSPNRLLLTKWLGDIDTDIMATSSESMTASDWMLLALSGIGGQTSQQKVARA YVQRLLEKGDVHTAATIMIGMGDQNDAIEIYVSHKCYMEALILTCMVYPADWGRQTQL LRKWGEWAVQHSQQQLAIRCFSCTEESPAESSYGSPNAQSLTFSPLNSSIPEMLSPPL SPPGVVPRGPQRNMAKTAALKLVTTFGEKGSTKSKFFGGEDERTPMGNVGTPIAESAL SPSGDASTAFLRPRQQSTLNTPASARTATPGGYQRNRLPSIGEMPTDVTPIIKMPTVT MPTPRDQTANDAIAYAHARKSSQPETIQLSATTYQPTSRAATASPMMQRMVRTANPLP SPSPDNFTEIKKESRARNGSRGRKPDGLQIQWPPMESIITGDYMTTPELSGPSRRATP SARSVTGSVSSAGASARSYKSHGRAGDASPMTGRSLDPYISSLDSATHYAKQKAKQER QASRDKKSRGRSSSATGKGRDASLERGRSGVRYIKPAKRSPTSPVPMSPEDLRDLGAI GFGDEPDSYSVTSSRQKGRDGSQATARPGSKSSSRIRRMSPEPLKFTDSRPGSRLANR QASRRASPDASLNGRDGRGRSKARDGSLMRSPSSPLPMSSEAAKYRRDEDDMDDTRSA FSNRERQRSRQRSASRQRERASSRQPSPRRERRDRSTSRHTNGRSSTKPEDQQRRRLR SVSHARASSDLKVGDLSQIKTERQLKKEAAARELEERRRSLARRPSAPPILHPEQLSP PLPRPNSRSEFLRSSTYPSPRDLPPRSQTVDPEMQRGSPRGSPYMYGHNRSQSTVHQS PTSVAIGLPATPKAMQHPKFDPEGKDVPDVPQIPESYSPIPQLSMGSLNAMAYAPVED YAPLPQTTFAPLPKTTYQAGPRHIPPRSMSAPIPEEPISPRALPSALPTHPAFHAALP PSARRLNNPLQDYRASNAPAARKVNPSTDATPGTLGYGTYGMGGIDAALHSGPGSNNM IPPPPPPPPAPPILRELMHLAQPPPPPPAPLYRYGAAADTNSLVSGVSLTSSGSGVIE IVMDDEDASPEHSPKHSPKHSPKHIPHSHQHPPPPPPPPAPPAPAAAMQQVPQPGGPP VSMMRHSVAQSLDGPARGHNRGRSGNDNSLSGRIRSATERMRSASRGRNGQNEKNGRT MSPPMEVSPYESLAPQAWEGPGGGQGRKMSLGAQGGERMMGLGTPGGERKMSDGGIQG ERRVERHPREVASSMMEGGMI VE01_03693 MSSSILLTLRKAGQHLGVDPSKMAGPVREMLYRNWYLGFTCFGG PAVHFQIFRDLFVDKYQWIDDQMYKEMFSLCQALPGPGSTKMIYAINLIHGGFIAGIL SFFVWSLPGAIAAYGLAIGVSKINDTLPAPVYALLSGLNAATVGIIALAAVQLSQKAI TDKLTRILVFLGGSAGMLYNALWYFPVLMILGGCATIFWDYRWGHTAVKAVKAGVFGP RDQSNVDNEEQQVSDQVELQDISQSRHDSIKDSSTLRRAPGRTSLAESSPENIQDQPT EDDTARTVPANLEFRVFSWKLGALITALFFTTFIAIMVLRGTLTSPPIGFSLFSNLYL AGTIIFGGGPVVIPLLREYVVAEGWVSPRDFLLGLAICQAFPGPNFNFAVYLGALAVA AHMNPAAGAVLGYVAIFTPGIVLHTGTMGLWKVLRGYRWFTSGLRGVNAVAVGLVYTA VYRLWEQGFLSEKFTSGSSLGLDPWWVVVTATSFVGGRWFNVSPPVAIILGGVMGMVW YGVVSS VE01_03695 MSSKHPPPSLTPSNLKQPPLAFLRFTGSSYPLHLTRVLPLLGSD PRAYYLNTPLTSPAPIISASPFVPHSLIAHLMRRKNDSALAIKFAPGRKGVITNMEGV LHTFVTPLVVALMHGDYRYLGGHYVEEFPLVDGRQSARRVVVSAAVQMDFEFNSVMME ACRVEVGEVVGRELGPDWRILGDQDKWERRGLEKYEDGLKSHLVANLVTSKKLPPYKV VKDKALSDAATIEFLERHIRDGYSSPVDFHNVFAVVGSPKKTVVSLEFLYNTAVHQLR NELSALEVACPQGYIYTSDPPSIFVQALGGAKIVNRLQFAALKHLASTSKYEKFVNMK CFAFNDYSDNGAIELLKEALRTQRHVIVLPKAKLFRGPKGRYEPGEELEDGLLVVHNN SDAFGQNIETEFATGSLDGAIGASTSAAASLMRDRKDLLDWVL VE01_03696 MTALLHFNTSTPGSPPNEKRTFSGLPMSSSKSDKTADKNVNGNS HFPTKLKNFFRINSLGSDKTEGVKDAPKQEGKSFRQSKFFSGRNRSATVASEGHPLDD GVSPTAHANPYFQHQGMPNFRHHNEGSVPSSPTGTPALHISAASGANDQATAAGKEEL ARKLRRVASAPNAQGLFSKGKGSAERPNTAELGKEPIHGLGIEGKSAVNLAVPGADEL GELQPPRAPAAFRRTYSSNSIKVRDVEVSPSSFDKIKLIGKGDVGKVYLVREKKSSRL YAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDHLYLCMEYCSGG EFFRALQTRPGKCIPEDDARFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIML SDFDLSKQSDPGGKPTMIIGRNGTNTNSLPTIDTKSCIANFRTNSFVGTEEYIAPEVI KGCGHTSAVDWWTLGILVYEMLYGTTPFKGKNRNATFANILRDDVPFPEGSGNPQISN LCKSLIRKLLIKDENRRLGARAGASDVKTHPFFRTTQWALIRHMKPPMIPHQGRAIDT VNFRNVKESESVDITGRNQDALGANGLPKGVPLDSGLSTPGKDVVDPFEEFNSVTLHH DEEVGQHVDLNNGRYDGRD VE01_03697 MSKASQNRAGPSLKVVVRRLAPALTEAEFIKIIGDEWKVGAGRV DWFSYKPGKVSTDPSKASRPSRAYLHLVNEPYLTQLSNHVRQLTFEDAAKTFTNPCLL GPASLEYTPYARIPSGKRRTDARQGTIDLDPEFMDFLESLANPTSTKEEDTEPSTKGE KVTTTPLVQYLKDKKANKSKEAAAAKAAKQSRLESQAASASGKSKSKEGAADEPHKKT PKDGKRERLVEKAAREAVRVLNREASKTTGVQAQPANAAPTEALKNPRAATRERGSIA AAARILQRDLGLSPVNAHRRAKVEAGAAAKSEAAAASAPQGPKAGPSNAGGGGKGKGR AENNKAKEAPPAITLLKKPVEPVAAAPAPSASPKPTAGTSAPASTSRQPASASQAKPP SAPSGRKPAPSPVPTAGATRAFVKHANPSQGVTEPLLREAMTVFGAVTNVEIDKRKGF AYVDFADTEGLRKAVAANPTKIAQGTVVVLERKDTKPAREAPAAGARGGFGGGGGGGG RGGGAGGGRSVRRGRGGRGGGGGGGGGGGGGGSAAEGSAAASASAAPAAPTGPAAAK VE01_03698 MAPGVPKPGPAKLGPRAGLDEWLEQAKLCRYLPEGAMKQLCEMV KECLMEESNIQPVRTPVTICGDIHGQFYDLLELFRVAGGMPGETNVQAPTTIITSDDI EPPTTIGGDKIGSDSGIGSSVGSGAEDDVEEQPRGRPRTAGSTRSGASSAAHSANTNE VTGTGKQNFVFLGDFVDRGYFSLETLTLLMCLKAKYPDRITLVRGNHESRQITQVYGF YEECQQKYGNASVWKACCQVFDFLILAAIVDGAVLCVHGGLSPEIRTLDQIRVVARAQ EIPHEGAFCDLVWSDPEDVETWAISPRGAGWLFGDKVATEFNQVNGLKLIARAHQLVN EGYKYHFPQKSVVTVWSAPNYCYRCGNVASIMNVGEDLDPKFSIFSAVPEDQRAVPAG RRGAGEYFL VE01_03699 MAPGRFLLSLLGPLLLLSQSAYAHGAHDEQQADWATQHLADEHH ISNFDGGAFFQLHDFDDNGYWDFDEIYTTYGLKHESTNHIEKDRKDGVIRQVLSLLDR NQDGQVTKEEWMAFVAGGGKLPDFGMGPGHHGDDEYEYEIHHWEKYHDENTREEDLTH PEDIAHFKKHDEMDAEADRIAKLDSQSIVLENIPQKFRIAN VE01_03700 MNRSIEQSLTSLLPRLTTDLPSDLINLASSLVAQSRSVASNLKQ DEEIGRAYVCAHIACERLKSTLNLPLIEPRPPVPPRVYTKLYTYLSSALVARPQRTPK KVQRLEDAQLPPSNTKTPTRRKSNPTSTTPAGLPLPSRPTPSKERSLAAFRPQNKSRK SELSHGGRGREIAAWIRPVVRGLCAKMEARGAIAHVLAGVGSVLTLPTPKPEKGEAKE KEKVPALVAAVYVLVHTRLTGKEVNGKEYVALRRGILATLKALRADEEVVGKVEKEEP GEKGWEGWTDIVGKDVDAWLMQLSSKGWVGLDWFENIESGSGIEGPEARDEPMDDAED EDADGDDNGEEDSIMGLGTMMQERVDYLSTRKREEYQIWKDGILEKIERIERAEAGAD DMDTT VE01_03701 MRVSTLCRSVPLLALALASATKAAHDAIAQPYPAAEDVGNSESG NITTGDDLPASSGYIHYRINGPGQIAVIYTEPNCPRRLGAQQLYVPGNDTDTPPGLLT IEYCSARCMNLPGGVRGQSIELSLAGPIIIGERPDRPYARLYETTDCRGVGKEASIRK GKNWACTDIEDGFWNPAREGGFDSFMVWEGCKYLETEEQKEEKRRNGRLRKERERAKA DIEKTRWEAVDKERKIQKEEGKMERKLEKQLQKERLKQWEKEQKERKKQDKLDEKQKE RKEMLRGKHRKAAWDARKAQRKKDMKIQFEEWRQDRLMELKEDGARERAERKAQRQRD KEDRQAELLEMEKQWEQNRRDKIVQDDKEWEEQLANEAIEMARDKEEEEMLEVAEVAA DEEKWANKNRKRGVKGVRLNIPPSGSSISKITWKWIGVDGIV VE01_03702 MFFKLPALGLVASLLAITEAAHMDASYLGPRTVDEYRRFYPYTH PGPDKRKKVYIPESHSDTDDRSCEFLQGLKEANHGGTLVLPAGKKFVIGRKLDLTFLD DVQVQLDGEIKFTDDIEYWQNNYFAHPFQKSISFWQWGGKDIRIFGTGTLNGNGQKWY DGFAGLEVLDPDNTYLRPILFYAQNATNLFIEGIKFTGSPIWTNFVVTSKNVVYDNVI IENLSTNENLPKNTDGWDSYNVDGLTVRNAWVNIGDDCFSPKPNTSNIFVSNIYCNGT HGISMGSIGQYEGVKDYIENAYIENVIMLNAENGARLKAWAGPTAGYGYIKNVTFKNF YVDNTDWPIVLDACYFNVKPEICSQYPSRVDIHDIVFKNFTGMSSGKNGRQVAKLTCS PNAVCDNINLSEIDLHSPKGEAEIICDGISDVGVPCVPLTEARKRGLVL VE01_03703 MVANSFTARCLGVAALLTGAQAVTTFTDAQMNAYLSSGGTDLAY AYAPVFFFSQSQKQVPTYPTWAFRGSPDTPDIYDLAHQTIPAPQCQYPNVGCNSRNPG VPIGNQGPRFPVYFTTKKCSDTEVRVVYNLYYQKDGAKVLFVDTGHEHDWERVIVIHT RDASSTWMPTRALYSAHSGYSSHNWNDIQNTLTTADAEAGKGPEPNGLRGLDHPKAYV SWSKHAHYHDRNTGWNDAISQSTENAFRGQDWWKFVEKRDFIQSDIETAAGKALDAAN WGSATSDPVIVEDQVCTASG VE01_03704 MDDPPLHTLCQTCHLLPPLYTCPRCSLRSCSLTCVQTHKRRADC SGLRDPTVYKPMRDLATPAGIDHDFNFLSKIERGVERSDKVAVEGGLVGSGDVRGGPG FGREERRGGGRGGRGGGGGGGRGGYGGEGGEAERKVGREISQKGVRWERLPMGMGRRK ANGTSWSKKRGCLVWQVEWVAPADASSTSNTSTVPTATTPTVPGMLGPLSKILATTPL DEAYTAFLEETRRAALTPEEKAGEKKRRATSPSASPGVEAAPRAEAGTGTDEASTVEG EVQADITTADAGVESQNPTPAPAPTQPQTQPQSPYTFYLHRPLTLSSGPTVLIPLRSD EGLDTQLGGRVILEFPRVYVFPAGASLPGCFEIEGGNGVEDREGEEGGEEEEGEEVVV SDTSSSGSSSEDEESEEEESGSEGEDEESGDGGEGFGPEVGEGAGSGEAVVGEGVVGE DGIESS VE01_03705 MAPSLSTHTSFVRPRTGDRDGRPGTRDQNDQSLVIPSRTSSLHS RITQPIPSTLNVKPQQRAPKTLTHAYMVCGVGREPAQWVKAPAPAQGKIGHMKGAVGQ FWLPEILGSSPRLEQDNENARALHSAMRACFPHDVEICTGRSQPHCVHHSFVLQQDSS HTLYGIALRVWSRADEKRAETIRDLRKRTESDFYDSADETYWIPYCLSFLSRYPLYDL LGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTG FQNFAMWPLFSCLSIPNIVGVIEAALSPTRRIIFVSHYAAMLTVAAETIRYCVRVYEW SGLYVPVVHARHATELVQEPGPYILGITAECRTLFTAPTDALVVDLDRNFVLTSSPPT ALNAGQRTKMVNRMTQALNGDITPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQD PEWWNQDSIMAVMDHVCEKMGRNTGIKAVFGGAVKKPLMTKVSMRHLNEIVRERNQYS RDALEAWQDFINLKGRMDTELGKVTKRNNFLVEELESWKQQFLKFQAFAEQLTKETTD LKAKIESHKREARRLTSLIEQHKDDSARITVRLSGTEKQRDDALEALVLQQEIAEELE RERKRNKKELSQLQHTNTTILRQRDEAQRVVLHLRSLISGQTHHMEHIVRTLGKDELA EYIENGYDDEDLEDIAEEGAAPRDAAAGESSAPAEPDSRASSRALSRGADVSPEMETR LYNSPSASARNSKRLSNMSIIDVADRHLRDKTDAIAHIIRNISEQCAAAVEGLQLAHD ADAEAEAIARQQRRLAAQSRHSTASVASVASVSGQSEDGEAGSEVDESSLLHPGRASS IPPTPDLIHNRSSTAMSIASVTTTPERGSAQYSDLPTKIVEDSDEGEESSTSTPQQVE EMPTKGRLHESLLHRPSGARISALGGH VE01_03706 MRESARERRERRQSNLSISSSAPSRRESGVSVSSRRSSRRESVV SVKEGESSSGTETASSPPPVPNSSKNHIEKKGGLRPLSLGTHEVRARRWRDAMEELKK M VE01_03707 MPFGWIKPRFTTKSRESSKHYKASRPVASECVASGSVDSGSAAD KNGFGLHILYDSTKANEQPLPSHLSPDTDICYEEVDIIAIHGLGGRPFDTWTHPKTKN MWLRDLLPLTMTNSRIMTYGYDAEVYKNKGTLRILDNAENLLFSILNTRKTDQNRSRA IVFVGHSMGGIVVKKALVMASEEQRYKNIVESTRGVMFMGTPHDGADAAKLALTIANI ANSVTSINTVNLELLRRDSEPLTEIARSFGFLAQRLKIIVPQPSARLNLGDREIVFSI MDADHHLVCKFTGGDSLEYRKVRNAIELLATSRDASQDRLPRLQIPFSPDPEFVGREP VLRELHQKVSLSNIHSRHALYGLGGVGKSSIAIEYAVQLNRLQPSTWIFWVHGANSRR FKQSYREIANSLELPGRDNPQVNVVELVVQWLRNEKNGKWVMILDNADNEHLYFPKTE CSPQEREGMEPSEALSAYLPQSRHGCILVTSRDRLTAMEMVFNRSRNITMIEPMDEET AQSLVKKRLSAEQMDGANLTTFIEMLGHIPLAITQACAYLEHSAFDESTPMTIAEYEA LFLETKSTQLYLLKTDRSDARRDTELPSSVVTTWQISFEQIKREDPLAADILSLIGVL DRQGIPKSLLSLDDNSAKTREALSRLLAFCLIKAEKGNKTFEMHHLVQLSLRAWLDDR SETTKWICKGIEILYEAFPKDAFFTPTNWLECSQYLPHTHALLLSNILTTKIEESDID MKTKAVFHTTRLLNYVGNYLDTQGLFEDALCQHRRAYEIMAKFCGPEHKDTLMHYSNV AQTLSEQGKPAEAEAIEREILRTLSNTLPDAQDDGLHSLSVLVHANLARSLHRQGKYA EAYSIDEYVLKERRVKPGTENRDLWISMNAVAQSLATAGQLEEAEAVSRDVVAKQTAG LGPGNTFTFESRRGLASILVLREDFKEAEVEIRNLIDMEGNVLPEGHPERMRSAKILA GILCKKMQFSDAEDVLRDAQRCGAGFSSEPTLLMANLEAELAGTLLQQERYDEAIKLY RASLSVRDKLLPTRDLTTYNLTANLATALGLAGRITEQQDMLWETLQALTQLSDLNMR PVLDALVTLASSFGVIIDPKNVPDACTRILKSQPSQISDNWEVFKPRVASLSCVLLGL RMKTEGLELSVRFRKRPDLSTIQPIMALIEIYKASGRFRDVVPLVQELVEDFTQLLGP QHPLTTMHVSLLSVMRDSDSEEAEKLAKSAASLSEELGNTELSGNISQDLDNVPALLG KQEETQK VE01_03708 MDPLSITASIIAVVQLTSVIIGCLNDLKDTSKDRAQCAIEISNV SNLLVTLMYRLDEVSSNDGWYGEVQALAAANGPIDQYRSALEQLKSKFTSSASSRTNI GGVLSWKFSKEEVANILMRIERLKSLTQIALEMDHFKLSQALKIDTTVITNTVRSLQE SQVSEQYRVITDWLSSTDFPAQQSDFIGRRQEGTGQWFVVSPEFTNWLQGTKEDLFCP GIPGAGKTMIAAIAVDHIWKAFQGDNVGVAYVYCNYKRRETQTTTDLLAAILKQLVQE RPLYGKPVTALHMLHTGRRTRPSLDEICTALDSVLSNYSKAYIVIDALDECSDSDGTR SELLAILRGLQAKTDTRLMVTSRFVSRIEQLFEGSPMLEIRASEADVKRFVAGQLHRL PMCVQRDPELQAETQDGIVLAVDGMFLLARLHVDSLRGKTTKKAVRATLKKLPRGSTA LNEAYDEAIERIESQLPEESELAKTVLSWITYAMRQLTTKELAHALAVEAGESELDED NIPDIEDVISVCAGLVTVDEESDVIRLVHYTTQEYFERVREVWNPKAQEEIASTCLTY LSFQTFSTGRCTNDEDFASRIRQNPFVDYAARYWGTHALTVQQTIKEQALPFLSNMNQ LACSVQFMFLPKNRYKDYSQDMAIYLTGVHVAAVFGMTYLLKELVHGDGDKKCGCVDA PDSRRRTPLWRAAESGQDAVVALLVKRGDVVTDSRDVLGQTPLSAAAGAGQAAIVELL AGRDDVVADSRDINGWTPLTWAAERGHEAAVKVLIERDDVVADICGNGNMTPLLWAAD RGHEAVVKLLVERHDVVADSKDRDGRTPLIMAVRGNHEAVVKVLLERDDVAANMKDNF GQTPLSWAAAYGYETIIELLLKKGDVVVDSKDDEGRTPLLRAAWRGREATVKLLLMRH DIVADSKDDEGRTPLSMAASSGHEAVVKLLLERDDVAVNSKDSYGETPLLKAAAMGHE AVVQLLIDQDNIVADLKDLRGQTPLSWAAENGHEAVVKLLVERDDVDPNSRDNNGYTP LWLAARNVVPSVANNHEAVVKLLLTRKDIDPNSKDNDGRTPLSIAVEKGHREVVQLFL ERNDVDPNSEDEDGHAPLWWAGTAVAVRGTWEKKERDRLDAVVELLLKRDDVIVDSKN NEGRTLLSIAAEQGFEAGVKLLLERDNVDPNSEDNDGHTPLWWAGKENDDDKLLRTAE ENRETVANREAIVKLLSDRIADDRKKARTEDHIEITS VE01_03709 METTNAPPQGVEEIKQQLDEAGTDETGMGEEIARLYLDCPSQSA KDVKSWLESTSHFHRFEKIFHTPSTPSSSVSAPHLRIPTTILLPLSSSPAEARAVLPS PPPEPGLMERISVVLLAVSPASNALGEPGTVSKASHHLSEPFLTFLSHPAVSSLVDSQ TQESLLSNLPKRYTVYTPLALLPTGSLSSDAWKAVLDKAPPAVVDELWTGVLAAIMRL GGEKVTHLAINHPIPGSDEDENIIRAPSHLHTLFGDFGPAISAERWALGPGLTAGAGE GEPIGEEDFENAFWVRTRQNGIFQSWAPRWTMFSRGNVTEKARVLRFGDASWWSKAIS AGGGNASGGSDGRDGEDDVQIAVDLYAGIGYFTLSYLSRGYAVLAWEINPFSIEGLRR GAVGNGFEVLVVKGAVDWEAVRETVEKRGVVVFAEDNQFAWTRFQEGLQELGKEGMAG GVEGRWRVRHVNLGLLPSSRLGWEVGGMFLGGGQGGWMHVHENVKETGRKEMVRGEEI VEVVEGVVGGGGRGEAEREEEEGKEGGEEKGGINVEVRSVAMVKGFGPGINHLVFDVW VGPTGAGSEMRWGLLGGDISHIVSRRVE VE01_03710 MATSPPGSPDVPAQRPMSVLVRPSTRSTSRLSMTGKLGGQGAGG SRASDEDGKTSVRVAIRVRPPLRPEDPGYELVPQRFQRSMVQVTSPTSVTIDSPQGRK LFVFDRVFGEDVNQEGVWEYLTESVNAFVQGYNVSMLAYGQSGAGKSYTMGTSGPDDQ GDMDVMGVIPRAAAALFEKLEGPKPASNRDSMSALRAPKRYSAQPGAYAGKPAEKNWT LKATYVEIYNEQLRDLLVPEHVPMAERGQVSIREDTKGHILLTGLHAVDVNSVEDLLN ALNFGSMIRQTDSTAINAKSSRSHAVFSLNLVQRKSSTGGPTPKSEKRFSVPLEAMTG SESWVTIDSKFHFVDLAGSERLKNTGAQGERAKEGISINAGLASLGKVISQLSSRQAG SHVSYRDSKLTRMLQDSLGGNAITYMVACVTPAEFHLSETLNTVQYAQRARAIQSKPR IQQVSDETDKQALIDRLKAEVAFLREQIRSSDRNDNPASHGGTTSARSDRRNDAEIDL QNQLLDSQESYNALSSRHAKLISEMTKARDTEIAENATKEENLGDRATERLQRSNAFA EAVEQVVLEYEETIRSLEQSLSSTRASLSNTETTLLERETKCAYIETVNSQLQARLQK LMDREASTENYLHDLEIKLDGHTSGEEKNSAIVAELRKEIARVRENEASCEDYISTLE ERLAESDSDAEVMRREIERLEHVVERQRSLGKLDKLLYELDSVRQGEATAETPAPATT NGKIPHSRNMSYASRHGQSEVIHERDEIEGSEPRSLDDEEEEEEEGRRTPNDVPALSP DGSTHSETDGPVDNGEPLDADEASTPSDTRARTPTLSRKPTIDVVTAELLDLRMTHES TVSEYENLAAKYEEALRALAELQDVVEEGRQPYGNAKRDSMLSAATPAQTRPTSFLAV GDSAGEAQSRSLSSELFLVGESPSLIAHASEGGEGAVVPVPHAEEERAVEEEQQQQLR SVSVQDEAIAAEVESLRALAAEREAAQLELAEKYAQLEEQHAETLDVVEELKTEVHKA KMDMVPKSPKTVSPVIRRKSSQGMMIIDRAHRSFASLRNIASEHFEAQPDVMQNFELN LNAAMHELHTRSERVQELEADMLGVKKEMESKMSIISGLTRERSSISQAPIDMSALSR MQEQIMRSENELRVQQEVHAKREAELGEEVEGLRRAIKEAGVKPAPAAAVSAAAASVA AKDVEAESTERSVPTEEQESAKAEQDGANAERESRIAELEDELKNWEGRHQEALQSMQ GSERQLQDTITKLENQIKAVQRDNEIATAAAAAAAATAVAAAGAAVVVSTREPEPEKE VERGIPVEEFEAQQAQHEERVSSLQKEIDEHRSAVQASASQIAQLEEAHALTKSQLAD TAKARDLTAAEAEEHKSLVSRLSEQISAHEGVVKAHQDGLAELHASHAREVEEVRESG KAEQEVVLSNLAAEHQVSLEAMNKELMDAREGLISAAEKVAAALGVEASVDALEDRIA ELATAHAALAGEKTRAAEMESHVLDLSSINDKVMKELETVKSELATLLSTTTAFAAVP TAAHTTVKEQLEVLRAEMSALETKNKKNSRLVEELEDQLAANFDQHQAAHNRLSTLQS ERNSQLDEANAARARVEQELNAVREEYTALQVSSPRSSRAQGAGSWTGADAVSQAKLD EIALSDNTAGARTSNSSSSTIRKTSSVQSLIPSPPPAGPLPPIPHISIPPNSLSLSAP LSPKDATLALAQMQEDQEAKIRSIEKHLSAEKQLTATLEEALTDLERQSNKVKAEAEA WRRRAMEAEAAKRGGEEAKRRLEEQSRKLEEQVAEAKVRELERVKSDAGGGEARWSIQ RGEAERRKRGEAERVTKQLEERMGKVASKKKKGSLNCF VE01_03711 MKSVCIIGAGPGGLVAAKTFLQNGFRVTVYEKDDKVGGIWAFPK QSESDGYLSPRTPTNLSKFTVGFSDLSWQSLGTGDHVPMFPAAAQVGQYLELYRDRYV PSSTFKFRHRVISADRVEQDGGHQWKVVAETKVGGPEPGDSAGANTKQETAYFDYVIN AAGFFSKPSIPSRLIPPSKTVKEVHTSQFRELDDLFTDQSFHTGKHILVYGGGNSAGE VASNIAFQLSSSQYSPNPSTHRNRFEGMKVYHVTPRPLYAIPPYIPGGILNTFKPLDL SFYDLKWRQPGPIVSSSGPLDPEATATRYYAVYGMVGEQKDLGAEALVTKEKTTPYAT LSESYAEFVRSGLIIPVAGRVSGYKEQDASTVSAIVATNDGAEALIPKIGASVIANGY TPTAALSFLSPEIKEALEYDETSARLPILLQNYQTLNPAVPDLGFIGFYEGPYWGVME MQARLLAARWSERVEIPIHPDESLERLRSLRQAMRDRRPDIPQYWFSDYIGYLSEISS SLGLTRNDSPFPDAGTGPISPARYLASTDAHEEAIATMQDLKTTIDACVNGKFRARAA FRALQGLWDIERRVHSSLTPPTLSGSFEGTATFHPRAPTMEGVDLEYLYIEDGDLTSA ADGQVSPESRRSVYRYVEKTDRISVWAVNPSDGVALFRAHEIEFSPVGCEEEPCTARA TGLRVEDDYRTGYWFEFGAVGLREVDVAHEVRGAEEFYEVTTFTRPREGI VE01_03712 MLSKNLLFLASLLAPAAATGLGPDSDGKYTISSKGLRAQFVAYG ASISNLFVKDADGRERDVVLGFDNATYYAEDPIHAHWGSVPGRYANRIKNSTFDIDGV TYNILPNEHPTPEHPDGVNTLHGGPNGWDHRVFNVTAQTSNSITFTITDEDGNQGFPG EVISYITYTLNDLTWDITMVAHATTKKTPIMLSSHTYWNLDGFQNPGTGTILNHSLWL PHSGQRVGVDSILIPDGTILPNQPGSVNDFWSAPKQLGANLTAPDLVGNCGEGCTGYD TCYLVNREQDGPYDWHSKPVAVLSSPWSGIQIDIFSEQEAFQIYTCNNYDSTLPVKST QGTDVRRTVPAYGCIVMEVEDWVDAINQPEWQRSKKQIYGPSDDPYVLRAQYVFSANK KQQHH VE01_03713 MKRAPISREYVNALEDRVGALESLLHEINPAGNSDHGATNDSVN FGFESPNPVYQDAGSVASPSSDGSHWGGVVAPSQDRQTNYPGPSSLRNSKVLKSAAEH PSIHFSTPYKPAHVDVQTEVGGHVIAECVALFFRWHYPQCMFVDRDKFLLGFLNHSYT SKNSSRSLEFSICALGALLSPEKTIRDLAGCFYDAAVRSLESGGLLEPQESSIQTLTL CSFYQIGQGNFTQAWMLSGIAFRMCEELNDSSHGNPSTPRDIDSRRRTFANCYKSDKM ISQVLGRLPVIAKQAQSTGCKEVERTHSIWYHWLEQNGLSDLEEPETLTDPTSPAEKQ MELFKIIHDILLPRDFSSKGSAQQPTTRRWTEVAISELNTRLWGWHSTLPGELRWNRW GSCSDAVIPSIAALHMVYHISQISLNLPFLLNPQPLWEQSQPNQPKLVSDAVDICGAS VDIVLSILRRFRSQYTLTKVPLNLVHGGITAADAILAMMDFCDGERRGSLVKDLYVLD DALLEMSYSWNIATTARTGLKNLLVEKTSSQTVTGGLSVPLKQSTFDLEAFAYQPFTP LSLDSEDGIQLSGLAFPDMFSAAVSDTSSSDMWDLNYPERGYSFDDLTSLRY VE01_03714 MAVNSYPDEKSTKPTHTEVDNSNPSSISASITDDEIDSGAKEHK NANYGSTEQHIFSDSGNLGYWTGVYEAAKYEGRHRFDALLQWDSTEEKRLVRKLDLRI MLWVWIVFSSLDLNRRNINRALSDNLLGDLGMNTNDFNNGQTIYFFTFLAAELPGGLL SKKIGPDIMTPFAVITWGTISACQCLIKNRTGYYITRAVLGFSQGGFIPEMILYLSYF YKSNELPVRISFFYTAIPLTQIIGSLLAGGFLAMRGIAGLAGWRWLFLIEGLMSIVVG LITFIVMPASITETSNILRGKASFLNGKNGWFTPREEGILVNRILRDDPTKGDMNNRQ HVDLKGLWKALKDVDLWPIYTLGVLAFLPYQPTASYLSLTLKNLGYSVFEANVLAIPG FILFFINVLVVVWVSEKFNERMLISAWSNIWMFPFFVGLVTIPVSASPWVRYALLTGV NGIPYTHAILVGMISRNANSVATRAVSTALYNMSYQFGSIAAANIYRDNDKPYYYTGN KVLLGLCSANIAIFVLAKLYYVKRNQAKERSWNKLSDSEKSTYMETTTDTGSRRLNVR FVH VE01_03715 MDRRHDTYETLNGEQEPLLSNVAIASPTKSTWKPPAGFIWIEIA IFSNVFLSGFDGTITASTYAVIGSEFNAANTISWLTTSYLVTSTAFQPLYGRFSDILG RRVCFFTATLTFLVGCLGCALAPDLVSLNLMRALTGLGGGGLMTMATIINSDMIPFRE RGMYQACQNVLHGFGSICGASLGGVIADTIGWRWCFMCQVPVSAFACVVGYFVVKDLH SSTFVENDQEGLVKPKTSTWKQIDLSGAFLLVLGLSAQLAALSMGGNNYPWSDIRVIA SLAISVVLLAAFVVVESRTEAIPVMPMSMLRGTLAISNQISNVCVGMAAYAFLFILPL FFQVVLLDTPSEAGMRLVIPSLGTPVGGVVAGYIMSRYGRLSELVRTGCFFMILGNAL VASFEFHDSKWKYLVYLFPANFGQGIAYPSILFTFLAAFEHKDQAVATSMVYLFRSVG TVWGVAASSTLIQNILSTRLPIALEGIPNKDKILDEIRHSVTVIKDLPPDVQAIARQV YYEALKYTFIATTVVTVIALISAFFARGRSLNRS VE01_03716 MSPTKTGLGSLMKLALGLAAVPLVAADWQFKSRPDLAPPKLNIT IPATADVESGYIFIAPFAGFPEGTRHGPLQAAPYIFTDTGDLVWSGFTYFSIWATNFQ AGRYKGKDVLFSFEGSHNAAYGHGHGHTTFLDQNYNTIRELRAGNHRLTDKHEFIIIN EKTALIQIYHPVPYDLSPYGGSPEQQWIVDARFQELDIESGEVLFEWSSLEHVSPSEA FLPLNPGQAGSGYNSSDAWDYFHINSVDKDDQGNYLISARDANAAYKIDGRTSEILWQ LSGKSTDFELGEGVEFAFQHHARYLSRSEDGKKEVISIYDNSAHGTENGRGGEVHFNA TSAGKIIEVDTENWTATLVQGFYPPDDLLSKSQGSTQVLPNGNVLVNWGSEGAITEYK PNGEPIFHFYMDSGSLGEGVENYRGFRYNWTGIPHEAPAVVALNDDDEGETSIYVSWN GDTETKHWRFYEIYANGKRELLGTAKRESFETVLTVERTGIRSVQVVALGAEGEKLVE SSVVKPDVLIQEYKGESVKSSKGSKSGGKSSTSTKVEKPCGGGFMGWLMFKGQKIIRP QDL VE01_03717 MITQSTRLCGALANHTAWLSQPTVTTLNRSKLRLYHSTTRLYEE KKPSEAEPEAEAPVKSIPYSELTIGVPTEVFPGERRVAMTPANAALLLKKGFKRVMVE HGAGFAAQFPIHDYEAAGATIANSRNVWTESDIVLKVRPPRLTAGNPTWANEEPQFTS HVNNFVDGFKPGSVIISMLQPSLDRNRPVVDMMAKKGLTSFAMDMIPRISRAQTFDAL SSMANIAGYKAVLEASNHFGRFMTGQTTAAGKIPPCKVLVIGAGVAGLSAIVTARRMG AIVRGFDTRSAAREQVQSLGAEFIEVDMEEDGSGGGGYAKVMSKEFIAAEMKLFLEQC KEVDIVITTALIPGKLAPTLITEEMVSAMKPGSVVVDLAAEAGGNCEITVPGELVTHK GVTVIGYTDFPSRLPTQSSTLYSNNITKFLLSMSPKEKHFGIDLNDEVVRRSIVTYNG EFLPALPPLAPPPAPAPKAAEVKEEVLAVTPWQKASREVMTVTGGMGAVLALGKLTGP LFMGNLFTFSLAGLIGYRVVWGVAPALHSPLMSVTNAISGMVGVGGFFIMGGNYLPET IPQFLGAASVLLAFVNVTGGFVITKRMLDMFRRKTDPQEYPWLYAIPGILFGGGYIAA ASTGMAGLVQAGYLVSSMLCIGSLSGLASQATARTGNLLGILGVGSGILASLAACGFT TPQLIQVLAVAGLGSGIGGVIGRRITATELPQTVAALHSVVGLAAVLTSIGSVMASVG GDHISMLHMVTGYLGVLIGGVTFTGSIVAFLKLAGRMSSKPTILPGRHLINGGMLALN AATMGAFVTMAPGAPAVAAMCLTGSAILSFAKGYTTTAAIGGADMPVVITVLNAYSGF ALVAEGFMLGNPLLTSVGSLIGVSGSILSYIMCKAMNRSLTNVLFGGISSAPARTDYK LEGELTTTSVDEVATKLLEAESVIIVVGYGMAVAKAQYAIAEFTALLRARGAKVRFAI HPVAGRMPGQCNVLLAEASVPYDVVLEMDEINDDFNDTDLTIVIGANDTVNPIALEKD SPIAGMPVLHAWKSRNTIVMKRGMGSGYADVPNPMFYKPNNRMLFGDAKTSCDAIVAA VKAGVELK VE01_03718 MDNCPSKPRKTERACKPCRDLKVRCLPSSDGGNICQKCQRSGAC CVFEELKQRKKRKAPDDPTTVEALEAKLSELARQLEASNAQLQSLQGSTCENLSPDNP SLSSYTAPIASSSSSTQVADSGSVDQDEHVIEQLFGCGILTTCTAGAYLLKYREMCQY SPFVVVPEGATIESLRQDHPFLLHAVLAAASRENPDLQSTLERSLRERLLRTVMIEGD KSIDLLQAILIYLTWEHFFHFPKKRLFNQLLHVAISICIDMGLDLGPCEASARKAGLQ LDHHSSAGGAPDDIFFSRAARRAYIGCYYLSSASAWVWRKPNNIHYTEYMMQCAQSLS KDPEYETDALILPLLQTQLLGDEFHDLLLPTNIDYSCSSSSEQVQTHLKTFRAKLDEL LPNEPSDCLPTTLASCLATLHAYEMDMLSKGMVNKESLGGLNAAPTSSQLDVIMACLD SARCYVETFLSFSLSEYPKLAVPQWWGLIGSVYILYVLSIGTPQLPLWDVCVARDKVK LEIYLDLLCYRMQSITGSTAEAPAGRDLFSLMGPIFANVKTSYERLKKLPQSASSVDG EPVHGTAFESEAKPCNKRIKPGRCPVFPFWSRTQSVASPPIEAPNDLFAAFESTDPNT DCFQDCSWLEDMTSVDANLGCNSSNWNFDGS VE01_03719 MHFKASLLLPLLADAVLARSLVGDYQKPNVNRAVSYAVKEPPLT TDWTYKVGTNPWPEYPRPQLQRAEWQNLNGIWKYQDAGSLDALNTPPFGQELAQEVLI PSCLESGLSGILGTNTIFSWFSTSFKVPSYWSGQQLLLNFGAVDYEATVFVNGQKAGF NRGGYARFTVDVTKFVSQDGENELLIFVHDPTDSDGVVIPIGKQTLRPSHIFYTPCSG IWQSVWIEPAAAANYITQLDLDANMHGQVNATVHSSSGKRTAVTVTVSDSKTNKQVAS YKGFSDSPFQFTVSSPKLWSPDSPTLYNVAVKMGKDKITSYTGFRTIAKGEVNGVVRP ILNGESIFMFGTLDQGYWPDGLYTPPNREAMVFDLETLKSLGFNMLRKHIKVESDLFY QACDQMGIMLIQDMPSLGTRQPNAEQQEEFTRQLEILINHHKSYPSIVTWVIYNEGWG QLIDGYPEYGLTDLVRSLDPTRLIDATSGWHDHGAGDFSDNHHYANPQCGTPFYSIAS TPFDPTRIGFQGEFGGVGLNTTIDHLWNDQNAINAINQTYEINESLEAWNYRSHLLLS ELLDQTKMFSCNGGVWTQTTDVEGEVNGLLTYDRRILRTDVKQWKTDIQNLYDAAKAR RTTAGIDWA VE01_03720 MAPIQFGILLIPFQILDVIGPVDILSSSSIPYLKGSGEAIGETL EFADRGLDIEFHYIGDTMDGLAGTANCTIKPTTTCATCPKLDYLLIGGPHPEFFLNVP DVFANFIRERVDGLQGLFTTCTGGMVAATVGILDGRTATMNHQYLAKAKELKPDVNWS SKQWVVDGKFWTAGGAVAGMDMFAHWVTEKCGQDVAEFGYMALDFEPRDVDGKPVPLK TSLRGNN VE01_03721 MSELGDADTIRILVATDSHVGYEERDPIRKDDSWKSFHEVLTLA KTQDVDMVLLAGDLFHDNKPSRKAMYQVMRSLRMTCLGDKPCELEMLSDANEVFDGAF NHVNYEDPDINVAIPVFSIHGNHDDPSGDGHFCSLDLLQVSGLLNYFGRTPESDNIAI KPVLLQKGQTKLALYGMSNVRDERLFRTFRDGKVTFFKPGVQQDDWFNIMAVHQNHHA HSETGYLPENFLPEFMDLVVWGHEHECLIDPKYNPETSFHVMQPGSSVATSLVPGEAV PKHVAILNVTGREFTVEKHRIKTVRPFITKEIVLADDRRFKGLDKKKENKQELTRRLM EVVEDLIEEAKRDWLEIQDADSDEPIEVPLPLVRLKVEYTAPEGGRYDCENPQRFSNR FVGKVANVNDVIQFWRRKSRGGANSKKDGAADMPEESVIANLSIDNVKVSKLVREFLN AQSLKILPQAPFGDAVSQFVDKDDKHAMQVFVDQSLEGQVQTLLSLEDDEEDLDSAMA RYAAQIDKQFHEGTLARRGRSSRKLKPRPVNWDSDMDGEWEDQPGAWEKDDEDDTPAP APAAAARRRGRVASPIVEDDDDPFNTPAPAPAPAKRAPAKRAAPAARKPAAPKKTPAP KKAPAKSKVNTGMFVDDDDDEDIVMLDEAPVPKSQPKRAASTRAKPSTQSQLNFSQMG SQVGGRGKGKAKAELSDDEISDDDDAFEPVASTRSSRRR VE01_03722 MLPTRVLLARSVWKGPHIVPLPIVRYGQGEKVVPIRTQQRSATI LPNFVGLKFQVHNGKVYHDVTITEDMVGHKLGEFSTTRKRFTYKQSKNK VE01_03723 MALQAAYQQYLATPNSSFLAENASLHYITTLTTFHGPAEIIKHL NTQSKKIKKKSEKIIDVVDGSSSLAVETELTLEFLTGGGGYLPGIDDNFLADREVTFL VIHIVSFDANGKITQIRLNWDQGSLLKLIDVIGKTGRNWPIRDGKDQVKLIQSSVVAA AKPAAPSAAPYQKEGEVLRRSRENSTNVTRDPHSSLSLFAPREADEEPELLPTVIAPR ASAKPPPRDYHDLFVGQDSENSSAPANENRSESPFKHSAVGAIAPKAGAGKNYMPSRI FDNGDDEEEDNSAASRNSRPESHRHSAIGAIAPKIGAGKNYHPSRIFETDENTPIKQS TGHKSTISLTKPNPTKYNHFDIGSGIASGDTTTAAKPNETQQAKSRHGSSWGFDDFNT PAKSVPTKGLAIRAQDAVQWGNEDDEVPDSPIRKPKQDQPRKDAETHFEFQDDGIPEG QPRLIGRPRGAGSNNGLGLYKNNLFTEDGASPEVTNQRGVGNLANVKDRSKDFDPHFT MTDENTPIKPQAQRPLGNIANVKDRHKDFDPHFAMTDDSPVPKVSAANQKENIPISKP STLNEEITPLGSRGGQQKQKGIVIGGDGMGGKKGAGRSWGFGDDSDGEQEGGINGAGT AFSKGVAPKKAGSAAQTGGGDFWDF VE01_03724 MRISVRDIVRVICCLYLPADETTEANTTDRQNDNDWLNPVSGAG VIPGLDTDPASRPARSTLAWGVRLGSTAITGCLPTPSVAVSAEVPPRRSNNNQEDAST QTDFDDCLGQNSSQISPHRTSVPTVLRVGRAVQSGSVKDKRRMARCSRIREEQTVAGQ VDLQESQ VE01_03725 MESRRVIADSDDDCSSISAESSPQKDRPVAPVAIPNGTITEATP PSVLEPALRLGTGSTDSVLFERIRSDYCGALDITSTNESAPQLSPRPPGLDERIINQR MSSSISQESVKKLKRSNTMHGSSFTSISEPTTSNKKAKRSKSMKAWEGTTQVTSPDQS KELRHENRGGEERERDEWDFPGSSAPGTSAAILRNTRLEKTMAERTDELELLGSIPSA RPSEINDMGTESGGSGLITYGKSRRTKTMGDQVPSSSPYVEPRRRTKDDSETVIENKK RKTRQGVEGELFPMLPKPKRNKRAQPANDESLYNASVAVVEEPQLPRLSALPTSLSQY VSNEVGISEGSTEQVSIVKATNFDKVGSDLMAHKTPTETVPNSPRDTSQDVFIIPDNN PGHESSELPSFDRGLTTMVMANMLTSSQNKEYMHYGAASSDNNHIYSLPDMMEPARAL KLTDASSTVPNDTPRPDRSAVSSAIDSTGVTFPLSSSPVVNSGRKVKRSKTGLSSSAS KPNQQKLSRHKSMGYSDREVSVDELALSQPRHQISPIRAAHTVSIESLNDQEIKTVDI TTSVNPPSKQKANDADLQSSQDFGQVTEMYQPRLSARRSKTLSAKADIIANEEFAKGL PMKRKRRKITKTKEIVDEPSADEIGPSDESAQTQAEEAERPEKRSKAAHALPDTPKSA AESPDEVIEVEKVVSHKRRGRHSKTPVEKSAPIIEDSDMDDDEIEATQKPVGKTAQKA QSKSKEIEATQKPAGKKAQKSKSKSTEIAGSDEEEDEDSGDADTDHAPSRNRPTKPTI LAPPQSTPPPKPTKEMQTPSKPCTPHSPLQSGKVPYRVGLSKRNRIAPLLKIIRK VE01_03726 MAKRNWRAYFRRQEETAALLEQPYTDDEQLPRYSEIQNQENAYG TMDQSPSNVEKSKADQRTEAETWEVDERTEAEKWEVDIIEKWNSETEAEKKESRRLYR GAKNRLSSASNESLEKLMKSDLIELLDIVREEALEPPRPSLAEELDIVRGKSFTTPSV KAQNMPFEKLSKLGLMELLRVARATPFYRIPKSVFVKVPNSDLVDLLREARKEDLKKY KVADSRDQVSRHVEREKANNVHEAKLQEDDQVCKPEDTFHKLPSQTSKTELELKGLKE MPGKIELESDDWLDKVEGQVETTTTNFVEGGAKTKKGTMDQHTKTEKSGIYDTKESFL DTRALKDKVRLNINLVYQARCETDENLEKLKKSDLVEIVDIARDDVFKPYEPPNLEKL LDIARRESFMRLPVPPIELSQCVPLSMPIEELSQLDQTELLRIARIMPYQKIPKSEFM RLSNIDLVCLFREAQKEHDKRYSQPDGEQPTRSKEQKMPHEEPDEAKLQKDDQLYKMG KCVGCGNITPESDSDTCSSTDSDSTTKRNTQVDNKNEPLRKARINARRKTETAIEKTR ELHHLLVRLETEMEQRNKTFEIKDFDGVKVKAVEVGRDLDDSILRMEEMLALL VE01_03727 MVELYAAFTVLSMDNGTRDAVIITLAGILSHLVWYVVKHSWKAC FGNSETQNPNSDVRNSKTDNGERESEATASKLKHSEPSKAVPNTSPREKLHSALREFI NGRPELERSELRIVGQENKRQLEELLKERDDALKQRDQYSKNCDDACKDRDDARKQRD EDYRDRDSALQQLDGAHKIQDDLRESKLEAAENYKAMSDNLWDKTERKIYQSKQRYDE RLRKAMDQTAAIKAASLEGKCANCSGIRIGDRSITTGGSYLTAALYKVMNTAEIGQDE EVYENLQQLRDKARQEIGVVVDRSYGLYSLMLTLDGNVERNNTLGVKGFQGLTEFTDY IGAALDHLVER VE01_03728 MPSAIPLPLSPPSPPTLLQPLLTALPTGSLPHAPSPLLPHLSPI LRQRVKLLASPTTPWLPLLTYASDDTGEKLIALAHGEKFEPHPVSGEVEVDVDWEDGR EVGVRYRRVDAETMWARIEIGGVGLWVRCVYCVGDSEGPVDGWRIGEVGVLKNEGESE GEWFESLEAAEQAFKAGKTNGDAAVVIPTVAEPVQDDGDEDDDAAYWAQYDATPAATP GPDNRSPAPASVRQAPVSGNTQVDGGAEDAYFAQYADVQPALDAHDPDEAGANGEVES SLGAQHEREFVSPAVHSNGNGNGITEVERAGRERDAQLEQPRPRSAESSTSGRSAAVE VLEDKVEREGRHDTAVRQHIGASVKSLYRLARAAGMGRAEFRALVETELAVVGVEEDD DEEYGGE VE01_03729 MASYITHLLCILLLLLSTTLSQHSPPQSQFPPNRPLTAWTSLIL AHHGERRPLLTHPTNPSLNPLGALQAHSSGSLMRRRYLTGPSAHNTSFVPLHGFHRNY IDNQRVHVLSAPEQPVVASAWAFLQGLYPPVDAPAAVGGSPKAGGGGWLAPLGGYQYG RVETAGGGDWNHIWVAGSDRCGLHALSTAAQINSSEYINTTQTSEGFFKSLVPGVFAG VVPEMMVSYHNAPQLYEYAAYATLHNSSVAATLPAAALARLRALAGMRGWGVHGVRRG SGGDSEEDGVLKPIAGRTFAERVLYLLSRATTSERPGELNLLFSSSAPFVGFSAISGL ADHDARFKGVVEPGSMMVFEVFSHTDPEAGRPGKEDLWVRFLYRNGTAEGARLVGYPL FGRGRGKGDMQFEEFEKEMREVGKGVGEWCEVCGGGQVFCPTFLEGLERGRKEEGKGK NGKNGGGDGGGGKKKKKGTTALELGLGLGAAGFVVLLGVAVMVFCSRRQRRQRRREVK DKVDGWEMGDRGAKEEVGERSEADYGDGGVRDGDEDEEGVEPMREPVRVEDRV VE01_03730 MATIELETPSNGKYQQPTGLFINNEFIEGVDKKSFEVINPATEK VICSVAEATEKDVDVAVAAARAAFNGEWSKVTPEARGKLLVKLSELMEANLDQLAAIE SLDNGKAISMAKGDVAAAVGCLRYYGGWSDKIEGKTIDTNTDTFTYTRQEAIGVCGQI IPWNFPILMWSWKIGPAIATGNTVVLKTAEQTPLSALFAAKLIKEAGFPPGVINIISG FGKTAGAALSSHMDVDKVAFTGSTIVGRMILKAAADSNLKKVTLELGGKSPNIVFDDA DIDNAISWVNFGIFFNHGQCCCAGSRIYVQEGIYDKFIARFKERAEANKVGDPFHPET FQGPQVSQLQFDRIMGYIDEGKKAGATVTTGGGRHGDVGYFIQPTIFSDVTEDMKIVQ EEIFGPVCTISKFKTEEEAIAKSNGSNYGLASAVHTTNLNTALRVANSIRAGTVWVNS YNMIHHQAPFGGYKESGMGRELGEAALANYTQTKTVSIRLGDALFG VE01_03731 MPIEQPQIKYESPYSADPPPYDPHQHSPLKRRFSPSTPHAPASD EKRQRIEVSPPALGGDDMDFAAMIAAAAATASEEAGPAPPQQQHQMQQHQQHSFAPQE PLPSVEGYQAGQEFGGSREAAAEISNGFSADPHLYMRILSLPILESLSTQILSTLAQG PYSETIKIVTQPESELGQAYATLKSLFDQTKKIYSQQKPFLSADELNIREPEHRGTIR TTNLATFVSSVVGGQDVGFYELNDHFIETFVADGAELQTEPGLLYLNLKTQMYLSAVS QEEQERTKDEVLDDLFPVSLGDTLRARHPGSDLTQSEIEFVKEANARREYLQNDSGDP DSISILSEQYAWEDFLKNLSDHLKKAYEPLIAPYMRRHALTAPPPSLHTQQHQHPNPT AEQQTSPKPPHDPQQPPQQHPEPELDLGDLDIEQATRAATQHALQSIGYSQYDRQQQT QPQQQHLQQQHQQHAQQMTSYAQEYPAGAVPYHTQTAPTQVLYEQARQAAVAKASPHS RRPGLPSQRRPWSTEEENALMAGLDQVKGPHWSQILALYGAKGQVSEILKDRNQVQLK DKARNLKLFFLKSNIEVPYYLQCVTGELKTRAPSQAARKEAEERARLASDEEQARFNG IMALAGGMQEGGLGMGGQGEMHGGHHLQQQMDGLQQQQQQQQQRGDEQQQQFDGQHQQ HGQLGQQGEVNQSPRGQGQIGAQGQQQAGGQEVSQLDFEDALGARLMESLEDHDKRAA SVTTS VE01_03732 MASPSPAGSVRHRGPAAASKKEKSPSGATLAVPADKGLVKANKG KAAPASEWDYKLALVIITVLAFATRFWGISHPNEVVFDEVHFGKFASYYLERTYFFDV HPPFGKLLFALMGWFVGYDGHFHFENIGDSYITNKVPYVAFRAMPALLGALTVPVVFD IMWESGYTLPACIVAAGLVLFDNAHIGQTRLILLDATLVFAMACSLLCYIRFYKLRHQ PFGRKWWKWLLLTGFALSCVISTKYVGTFAFLTVGAAVAIDLWDLLDVNRRQGALSLI DFGKHFAARAFGLIFVPFLFYLFWFQVHFSILTKSGPGDDFMTPQFQETLSDNLMTTN AVTINYFDNIVLRHKETKTYLHSHPDKYPLRYDDGRVSSQGQQVTGYPFNDTNNHWEI LPADGADTPNRIVRNHELVKLRHVVTNTILLSHDVASPYYPTNQEFSTVPLEDAHGSR HNDTLFEIRIENGKPNQEFKSLAAQFKLIHNPSKVAMWTHTTPLPDWAYKQQEINGNK NVAQSSNVWFVDEIPSIPADSPRLQREARQVKTMPFLKKWFEVQRAMFHHNNALTSSH PYASQPFHWPFLLRGVSFWTHNDTRSQIYFLGNPVGWWLASSLLAVYVGIIAADQLSL RRGADALDIRTRSRLYNSTGFFFIAWALHYLPFYLMGRQLFLHHYLPAHLASCLVTGA LLEFIFTIEPVALEEAGFTVAESGTKHGSPMSARSLPARERLGGQSLLASWAAAGVIM VAVVGGWWFFVPLTYGFPLSVEEVMARKWLGYDLHFAK VE01_03733 MSLLRNTALASPIRTAVRRSFARPVVHIRAQQAYAPVQSRRAVS TTTQKQAIVLTGTKPASTYKAQGASPLAAHQTTDGYRLWLRDACSCPLCVDPSTRQKV FETPKIPANISYKSVTESKDGKSISVTWNNDIPGYGPEHTSTYEKKELERYDNNPVAV ESLQANEGDTYKIWNRDQVSEKIQFIDYNKSMEDDKTLFEVLKQLRLYGLVFIKNVPG ENGPAAMGKRIGRLRDTFYGETWDVKSVPQAKNVAYTHQFLGFHMDLLYMADPPGFQL LHCIKNSCEGGESMFTDAFYAVNQLQSSHPAETSVLAEFPVNYHYKNAGEHYRHSHPT IEYDTTAHSTSPPRPLKYVNYSPPFQGPFNLANGTADTTSLAQLSKYHGMAGKFQEIT EREENIYKYKMKEGEMVIFNNRRVLHARTAFDVSSGERWLKGGYIDTDVVLSRHRVLR EEFEG VE01_03734 MSRSADHRLKDEGSKTQVVLAGATAGLIARFVIAPLDVVKIRLQ LQTHPGRTIIQPHPPSTQPPLYKGTLQTLVRIFREEGLTALWKGNIPAEALYITYSAV QFTTYRLTTLGLQSLFSTHPLSPPTESFVAGATAGAVSTTVTYPLDLLRTRFAAQGTQ KVYPSLWYGIRSIATNEGPAGFFRGLSAGIIQIVPYMGLFFSVYETLRPYSATLTLPF GSGDAVAGVAASVFAKTAVFPLDLVRKRLQVQGPTRRQYVVGGLAYRGVGGSMKAIVR REGWRGLYRGLTVSLVKAAPASAVTMWTYERALAFFISLDQAKEQEQEATSP VE01_03735 MPDSPQATGAARPAEPVSEAPEAIAPAPEPKLPSRKDASLKEFL GKMDDYAPIIPDAVTNYYLTRAGLPPPPTTDPRLSRLLALATQKFVADIAADAYQYSR IRSSNSSSANNPMGNLVGAAGGASAAPVGAAEGKTQRAGGGALGVQRPGYGGGGQGGG QGRTVLTMEDLGMAVGEYGVNVKRGEFYR VE01_03736 MCRTVIIFNVHCEHEHAEIQKCSDLLARQLRFERKGFFSKMFCS PPRNCRRPQQTRSYNKVQVCPKCSRRGITYEQLRKDRDSAQRRPPRPVYRHQTDLDID NPIYPPNPQRSNTRRRPADRRPQGLERSNAVQFHGEAPRLPNVRATAIYREPQNLRPD TLRQYLGSSGVPFEDPDITAFEESPIYSSADRRQRPRGRSDSRRHWPNTSHPHDNGSF EYINKPLPPSPLRPHRAATNPTSTSRPPPAPSRSASQRYAQSSSERQPDSRRSSPQRP PQPPNDERQLELWRSSIQRPTQSSNQQVGLGIYVPQSHPQGPSYHRGRPTDRELNRMS TEIETVESAWINAGRRTSQQQPVDRQSMGDALVAASASKLAFGSNQAIMHNL VE01_03737 MGGTLDLEKQLCFYSSYHQNPVNVGIHMLCIPMILFSFILLLTN SPTIIPLPEWATITNLDLNLGTIMALYYSIFYILLEPVAGSMITPILLAGTAYSKHLT TVAAYPANQIAFGVFIFSWIAQFVGHGAFEGRAPALFENLHMALVTAPFFEWIELLFK LGYRPELEARMRKSVAEETAKVKAAKAAGKNGKAQ VE01_03738 MFFLHNLERQVTLHPSYFGKNMHELVTSKLLSDVEGTCTGQYYI ISVMDTFNVSEGRIIPGSGLAEFTVRYRAVVWRPFKNETVDAIVTAVNPAGFHCMAGP LEFFVSRELISKDIKFDPNATPWQWTDNEDQVIEKGAQVRVKLIGLRSDVGSMYAIGS IKEDYLGVPPP VE01_03739 MGIPGIYNEIGPGQRVSLTKLAVEKFEQTGRPFRIAIDISIWNF EVQGGKGGPNPALRTLFYRLVRLAGTSVQAIFVFDGPDRPLLKRNKTRRKGGSAYQAD VRRTKLLLQLFGFESHDAPGEAEAECALLQQRGLVDAVLSEDVDTLMFGSGLTIRDWS CEGSGKTPPTHVSLYDAKVTKEGKSGLDREGMILVALMSGGDYDTAGVARCGAKIACE AARAGYGKSLCDLAPDDSSGLLAWRENLAHELHTNEAGYFRQKNKAITVPDDFPKKEI LQYYMHPIVSSEAALLNLRSRLQWDREIDSKRLRIFVEECFEWTGKLNAKRLIRLLAP PMLIYKLRERPKSVDSGHGNLVLIEAQEREIVQDITGERQHFSTDGIPELRLTFEPFG IVGLDVDAEIDDESNLDQQDEYLAVDEENLEVYQSDNEPTGKSRSMSPMKNRLAAYDP TKPCKTWVSRSIARVGVPLKVEDYEESLMLKQSRANAPKRKAAPKATSTKHGMKQGAL DRYFVTSKPVATSAALSSESPNKKSDHKPQLPPVYLAPSLDKPPLQPKGASKEPLRPR REYRKAPSGDRYCQVSPKPSNPWAATQSRSSQLVSANVSKAGISQSHKEGSSQASSSS ASQMISSYDTSSSRNLFPKKHSRNGSPPLYVSSISDAEIPTGSVQLPSVKKRTAVTGD QGNSVGSSRNTQSDAPTSSMQINRKPDIALDDEYQPTLSDEEYGALPPLDYPLSPLYT NTYSTEDSKGTQNAIDLMSSSPPPHYTTAKQAESPLAKGRPSPPMDILPFADSKIPQK RFLAPRESLPGAWKTYTEKEVQDMERKGRGRQKWRVSQVEALDLTQD VE01_03740 MATPAHRSVANIRPVSEIRSPGAPLASPRTPVRPVSISNFSSPS ALRAEEDCIILEFGSRYLRAGLAGDALPRAVIGFGPDTQRRAGDYRQWQLGYGADWRQ RASGKAWGEEHELWRPDLRHVDLGLVGDRIERAMREAITKYLVTGSGSRRISLVLQPD FPLPLLSTVLDTLFTSFQPPTISLLSAPVLSAVAAGLRSALVIDIGWAETTVTGVYEY REISCRRTVRASRLLGLKMRKVLGRAIKSRLSQDDDEEDDERDSKLISFEECEEVVTR MAWCKPSQPTPETETSEALSAAMESLRFNDQQDVVSIPVSSTQPPMTLKIPFNELAEP CEKAFFVKDINPCDLDDEELPLHLLVYRSLLLLPVDVRSFCMSRLILVGGGSNIPGLK SRILGDVQSLIDSRGWEPVQGKAFDQLRANQKLRSQQRNQAPVAIKIDGHAHPETDEH HRPAAFQNQQPDPIESQIRRERDKLAPPPNYGTLSAVDSMGAWSGASLISQLRLPSVS IVEKDQWLQYGAAGASKAKEVIPAAKARQSMGPAGLRAAAAEQSSWTLGPWG VE01_03741 MFRNALRQSSRTVGAISASGRVAAGRIAAPAAFNASAIQARTYA EAKAAPTEVSSILEQKIRGVQEEAGLAETGRVLSVGDGIARVHGMSNVQAEELVEFAS GVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNPI DGKGPINTKEKRRAQVKAPGILPRHSVNQPVQTGMKSVDAMVPIGRGQRELIIGDRQT GKTAVALDTMLNQKRWNNGTDESKKLYCVYVAVGQKRSTVAQLVKTLEENDAMKYSIV VAATASEAAPLQYIAPFTATSMGEWFRDNGKHAVIIFDDLSKQAVAYRQMSLLLRRPP GREAYPGDVFYLHSRLLERAAKMSDKHGGGSLTALPIIETQGGDVSAYIPTNVISITD GQIFLEAELFYKGIRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQ FGSDLDAATKQTLARGERLTELLKQKQYSPMAVNEMVPLIYAGVNGHLDSVPVEKILV WEADFLAHLKTNEQSLLDTLDKEGALSKDLEARLKESIVSFTKSFIA VE01_03742 MAPNSGDGSPFNGREAIKGFPHNSEGILHYADDSTIQQPASVTK SSRNIFKNLFKGSGKPDKTGKSVNADVKKPGFWTFPDHLPPPTPEQIFQIMHASPEHL AKVAAEKEKQDAKKAKEQAAALKQQGKVSNPGNREDNNHSTDSVIISPPTNMKWSFDG KSIITYKEPGNNHFNPEQTAIPHGAYQREMVPGTWNESSGSISGPAVWTDVQEVGDFR SSFSDDYPLQHVHEPRSGPPQSRPIDMRLLRTVYEIAEAAYLPDLRNKHEWISYLEQL RAGLISNSNPPKPPVMDEQFSFCPAMDPVNEEGRIASLFTIERVWSPLQESRAKDLVQ ASKEEFAVTGVSISLIDNSNEILKAESTYNCRMIKRSVSIAAHALLTAEVLVILDTTK DWRFAKNPLVVNEPYIRFFAGAPILSKNGEAVGVFAIFGRAPRDSFPALQRHNLAEYA AVCAVELNTVLEKSFSEQSLHSRQSEAITEVWDPTCLEGEPPARPRSLWMHEETHRLL CGEPREDEEEIKYPATFEELMAGFATESEDDDTARDSEEQLLAPYMNGAHANVTYYSV DVNSSTPAKEIDAVKSDYALLGSDNEGPDSPLGGRSARGSDSPPSEGFVWRPDSPTSR GLTRELKSPLLAGYPARPYSPIMRTCTPRPYSGSDLTSAAGEPHPNTPERGFDETRPN TPQREFDEQRAPPLSVQEVLDGAFSALRIEYPDAPIRRQRKKSIRSISQHEAEIKRLQ IESDIRAAHIQATKIDSSSSTVATGSFNTSGGSSTQATTPPTSFNTRMSTLEFVDTHR RPQADAAAKSVALNLKFDRVYVAELFPTSDFMTLGGVAITGMGVRILASHNCPPDMIL DTDFHLQVVRSPLGAMRWHDKDALPGATDKGLLIRLHSKGPYGSQSGVHTGGIVYGAV HLAQSQGGDDAGITHEEQATIFGGASAMSAILFDKNDKRGRKDSPCVNCNTHSHAGTH TTPVAAAKAGGAGPERSIFSSAIEAPKAKDAGPEQPDPVSAFEAEYEQSKYAISKESM KEASKAVAEIMKFDIEEILSPQW VE01_03743 MKFLKVGRVAIITRGRFAGKKVVIIQPQDSGNKSHPYPHALVAG IERYPSQITRRMSKARQTKRSKVKPFIKVINYNHLMPTRYTLELEGLKGVVTADTFKE VSQREEAKKTVKKALEERYTSGKNRWFFTPLRF VE01_03744 MPLNEMDSSRENERRLRHQNSGSIDFGKAMIPMWDSSDPDRAPP PLPLNPSSPSVTSRPNTSTAIQSAHAALTERGREGPLAANSAVKRMETPERSLIKGAA HKRMQSLQTGHVRDLSNFIDGGGLSPTKSPERSSERTPQRPPSPDKKDDEENNDMARS PEKENSRSATPTAAPTLRENFNVRPSLRRPQSSILSDSSSPQSATMLALQSMSNRESR ESRESRESASPPPPATTNNSTAMVRVPQSFDAISNQILSLTSIATSLQREMAQLNRRS KDNATDLVSLKEATNARDEDIRKSLRDLSTNLTTPTNQSPYMLRSSENYMLDDKPHTP QSRSVKSISLPRIPSPTSFSASIDRGLTGTPAPTSYNPDGSASIALLEKVLREMGTKE GQDLLVSRLTEVSNLLSHDGMETAKKMDEVLDLIKEGSGHRALVVNKSGGNNSRPRDF SFEQPPKSEVDYDGLRSGPMVSRVENLLGGGLKESGKSRASDIINEDVLKLIKSIKDS VAQGGGLTAEVKALVRELRGEVLGMGRDIGRKLEQARSDPSASSQDAAASNEQVAQII NEGLLDLKQHLDHVMREARRQSSSSAISRSNVDGQEVYHAVRSALTDTQPAGVAKEDV IDAVREAWENYKPDIELQHFGLEREEMLTCLKEGIQEYMPQDTGREVGATREEVFVAV VEGLKHFTPPQVETEASLSRDEILDAVRECLEEFEFPSAPPPEPRDIDTMREDMLDAV TEGLSRFDFPIQTMQGNELSRDIGGDSLTKNDVFDAVKAGLEDIPQTSDAYGEQIHER LQEVLDAMHSEFRAVSDEAKQNVAAHGRDTEQVLDATKDGFEKLRIDIETYVDRAADV TGKDEIIDCIRDNFDGLREELAHTMAHGSDVSMESVRHELNSFREELAHTMAQGSDVS MESVRHELNSFREAIATSVVPTGSSVDRDEILSTLRDGLDGLRADMDRPRDSGESILS GTGEILDALHDGLNSLRVEVERIGSKPVDMTVNYEILDTLKAGMEGLRLDIDRLRDSG LHDNHSSNGDRDIAAITSGAVIATEGLKRNDIENLEVLISQLGAKVESLENMPPQSTV PPADSVCREDIIHMEETLRHVQESVVEMSGRQPSQVSEESVHKEDFEAIETLLRNTKA KIDDLDPEHLVKKDHLDAVEDIIRETRDSISDILAQIPETAKREDVSIVEALVKDVMV MLQDVKDVSENDGNVGIIVLGVKDQIDKMITDDLAGLASKEDVNTLGDIVKDMKDANN TAFEERQAEIVGVGERVSEVKTLLEEFRDAVKSKVEEGTAGVDSISKLLEGLGPVISS NANITEDVKEVLETIKVEFEQSNAGVVGAKLESDEKFEKTWEKIDEKFEDLMLKYDDA QLAAESKEKSGEERRVESDAALQDTKAIAEELKLLINTLGSTLTDSVDKMEEASKTVF NRVEDTYSKLEETHTEVRTENQLTRDQVMKTLCAVEDVHSNVNDYNPKILDSIKDVLL IVGQHYEHSKTSTDTIQEKIKERDVASLSSVQGLLQNTPSNKYDDGEVQEKLNKLVDH MHSAGKSFAQLDMLDEIHRKVMQTAAEVSEFVSIQTQRISNDHEDKVKEADEAAITLE KRLAEQERVEADVARQRAEEQQLKDSVASLKAEQDGLFQQKMRLSADVSSLETALRIR REELHNMEARAEGLERRILEGVIDHSRAFLIGKTKGRDLMNLKRVPSHAASTTGSATS GASSSRNRSAGTMHSAVGMAMNAPPRAIVSHPISNPAGASRRNFSLNQITNNVPTGGV KRSHSVKNSSGSGALRKTSWGGSMPRHGYGDLNKENLALKESDEESAREDDGEVSDSG TLRRKSGGTVSTMTGTDVSGSIDEGTEYSESEEGSYYDDDDESAVGAEGRGVVMYEQP A VE01_03745 MSGPRPYEVERVAATSVPAEKINETLKAGNIDLISSTLGGKILA CSDEYFAPSTNLLNPQTPIHEAGKMVYTGAWFDGWETRRHNPSPFDWAIIRLGVASGT ITGIEIDTAFFTGNNAPEISVEGVFSPSDEEVVSWAGGRGEWEPILGIEECGPSARFG WEIAESTGKAYTHVRLNMYPDGGIARFRLFGQAVPIFPMDAKEVFDLAAAQNGGVALS CSDEHFGVISNLLLPGRGMDMGDGWETRRTRGEHVDWAIVKLGARGTIERVVVDTAHF RGNFPQKVRVEGLNWERDGEPGNEEGGWVELVAESKTGPDALHEFVAMEQGKVFTHVK LVMIPDGGVKRLQIWGARAAS VE01_03746 MASKGKVCLAYSGGLDTSTILKWLLDQNYEVVCFLADVGQEEDW DQVRSKAAAIGATKMVITDLRREFVEELCFPAIQCNAIYEGRYLLGTSLARPVIARAQ MKVAAEEGCQFVSHGCTGKGNDQVRFELAFLTIDPKIKIIAPWRMPEFFERFQGRNDL LDYAASTGIPVTSTKAKPYSMDDNIAHCSYESGQLEDPSKPPPTDMWTRTDDPMTAPA TPQDIQIHFEKGIPVKLTTEGKEITDSLELFIALNAIGKLHGIGRIDIVENRYVGIKS RGCYDSPAMTILRDAHLDIEGLVMDGKVRALRDQFVTHAWSEILYNGLYFSPEREFVQ NSLVFSQKSVNGEVRMRCYRGNVFILGRSSETEKLYSEEESSMDSLVDFSPVDTTGFI NINAIRLKKYGQAKLEAGEGLGTK VE01_03747 MEDLLEIELWPEFASSHRKPLEKRQPDRDDFFACCFCVRIRPGW DFETAKMKGRYGKRGEANKDARMGRYCIPCGIKWGKYSRRAQFHVGGWKGCFAFFCTA CGGFQREKYRFETYGVTEKRCEYCRQESDYKIYLGAPLPMSQPTS VE01_03748 MATSSTTLLNLPTEILRQITSYIDYPSHLALSFTCRELHARVED PNSRPRRTISTGNDRPYTIQDLLAIELWPEFAPSLGGDRVSRQMPSKNDFFACRYCCK ILSAINFTNRHLNRAYWKRDRDEEETRRRDRSAWRICIPCGAAGRMHPRDKSYPFGGM SGGYGFTCVGCEGFVLERTGFEGEKVNFTCDTCRESNSEKKEPFST VE01_03749 MSASPIETRLFINGKFQAIDESQTFDLHSPSTGEFIAKVPEATA KDVDAAVAAAKAAFPAWSALSPKERSVYLARLGVLFAEHSAELAQLEAKSMGRPVTSF FDAGAASDYFRYFSEAAYPMGTSSLNTPGFVNMGVKQPYGVCGIIIPWNAPLIFFSKK GAAALAAGNTVVLKSSEKAPLTCAKAAELVAKAGFPPGVFNILSGHGAAGAVMSSHMD IRIISFTGSTRTGRAIQKAAADSNLKNCIFELGGKSPALVFEDADIEQAIQATVPSIG WNSGQTCFANSRIYVHKSIAQTFIEGFKTKFMSAKLGDPTQAGTTQGPQADKVQHETV KRYLALAEKSGTKITEDLPNVSGLYISPTIFTDTPEDAQIMKEEIFGPVVHINTFEGE AEAIAKANDTEYGLYASVFTKDIDRAMRVSKQLEAGTVGVNCSSPTKGNDMPFGGWKG SGIGRESYIGGVESFMEDKAVLIKVAPL VE01_03750 MAPIDRKATLALEEDIIRLGEAAHDPELSKEEAEAGIQEFDTFL ERTAAYVLLPALVSLVKPDRVKPWLHPHISRALSFLPLRPRGVRSTIEFVLSVHPTTA ATAQAQGQEARSKGPQISPEALTSATQLICSPPQGVTPYYWFEHLAPQLFSLLDGNGG LDMVKVAAYVIGYGILGRPQFGAPPDAPGWQLLAEPILRSIDPSQGRSLAKIDSETTV GPSILSSPAELADGLRRLASLISSHPNPGLSRRLLRNIVLPLWSLASWSAKDNELEEY RAPAGFLLHIILQLSVDGAQLIRIADNLMSTKTHGGRLMRWKYTKSPQGGIRIEKATP VEDEHMGRLLHLNTVVDYKSIDFKVNAFLDVVKLASTSDAFGISNLFIAICRRWLVEE DGLAASELVMSTPGSRPPRNYEEKIIDAKLLQGLIETVPDRLVEESGQVLELSCNILL RANRKEDMKNDDTVAIALSLLNMVFTSPSFKASSMNPSFIISIKEALADTAKAQNESS TTAQNLLMLLDFKLIEPDAFDVPPPTVSERVLEDRRAYKLATGYITSPDSPAPVRAEG VDLLTTLITGRSPILDVPAAMILLSSLIQDEEEYIYLRVIKSFIQLSISHPKSVLNGI LERYFDANEDLSLDTRLRFGEALSQVIEKAGETFTGDVARHVCEGLLALAGRRGYRPK SQEAKAKRRAAEQRKNKAAEDAWGGPVPQLDDIAEDHDPNVDAIMNQIVEGWEGTRDE EDVRIRASALSVFASAIDSNVAGIGAAIVGGGVDLAINMLPLEPDPEKAILRRSAITV VNALVNAISSAREAGKDIGIGFAGQSLADVMRIFQYISGTDNDGLVRQQARETLEQLN DWQMNEFSGANIDGNTGLNLGLRLGTLGGLSLTPRSAAPSPSPGPGPRIEEIE VE01_03751 MTTDLNDATASLSLTSPPGPDDLSPLEQDVLEERHLASLLDGLA GAPTAEILDGLLQLERKTSLVFTLLKASVYSIVLQQEIYSGDQGAGQDH VE01_03752 MSVSRPARCVFSSASSIARARPMVQCRDFSTTQSLPARRRPRFA SVKAEDMGLVSQAPTGPVLKSYTKKDEKNLSKRYTPDQMRVIAAGEEAIDIEDIRSQG VIRNDPYAFKYLDDFSVLRPTLDKIPKAASTVPKDARWLNETERFDQVNEWLGKMTEE KELQGLTEPNAVPITRLDLQKFIDETPSMTGGGKFGSDVLAPALPKVPSMVGMYQNST FVDKRDPTGTFDRLRRQTGMSLEQVMDLDVKKIVSHRVVNMTRLGRIQSFYTLSIAGN GNGMLGLGEGKAAEMSDSLNQSRMAAIKNMKPVPRYEDRTIFGDVEAKVSAVRVQLMS RPPGFGLRCQYLIFEMCRAAGITDIAARVPFARNKMNTAKATYEALMNQRIPDEVARG LGKKLVDVRKVYYGGDQQLPKRQRTDDAEA VE01_03753 METERKIAPAGAENRKRDHQGGRGNQGGRGNKRQKTQKQKPVKE GSTEEVLLADVTDLFSRQRLEDPKNGEVSSIAEGESESKTTLPAPFTELDVKVLEISS TGDGLAVAPGSDQIYVVPFTAPGDIARVKVIKHFEKHHYSLADFLSVVEPSPLRDDSR IGCQYFSKCSGCQFQMLDYSTQLDHKKSIVEKAYKNFSHIPTELVPAVLNTMGSPLQY NYRTKLTPHFDGPPGYRSRADARQGIKKAFEKTPEIGFMQKNRRITLDIEDCPIGTDA VRKGMKSERARVAVELDKYKRGATILLRESTQRVPNSELPSPIPENTIAVPVEGTETT DLKTCITDNNATSTEYIEDFTFTNPAGAFFQNNNSILPPFTQYIRENIIPPVSSSELP VKYLIDAYSGSGLFTITLSSLFESSTGIDISSASIDFASKNAALNNIPESKASFLAAD APELFKSVTYPADETVVVIDPPRKGCDDSFLRQLLSFGPRRVVYVSCNVHTQARDVGV MVHGYVNGKDGHGGLGRRYKIESIRGFDFFPQTGHVEGVAVLSRIDEPLPEIIATDVM EGVEDKLGADVADAEGIIATGAVEGVKPTSDA VE01_03754 MSDEANPPLRQPTVKAGPNVDFSRSESVSDEVLVVLAGLVEGGQ DDDETCLTLDALTRLLSRDDKSNPPVGEELAPLIDADCFDTLAAYLDMRQGDSIRGHA TMTLSAYLKASGEQGLHFLTRFFKDKVQKGTYDDFIIAFSVAACTFPVIPDVSAELFL SPGFVYGLGPLMKRKWKSKKVEQACLDMLNVACMHGACREAIQKYCTEWLEEIVVEAP KAIDDLHKSERYAVVEDGPLQQRLHTPAVRNLAAVILAKLQAVPSAPADSKEKIQPAV TNVDELTDIFNQYLSTSDESLHKGSIEGLAYASLQPVVKEKIANDPAFLKSILHVLET NPPKSSTTYGGLSILVNLTTYLPSLTEEQKRITQLKAYANASRPSHEADPLNSDAHVA ARCTAVFKAEAIPVLVSHSVQGSLASLKLIVQILLSISRSSELRGRIAQQGGVKLLLH AYNQFPETDQNAKRTTAHALARILISTNPIHIFGGSNPHPLNQAIRPLISLLSDDPTA EIRDLLPAFEALLALTNLASVDNDARDPIIRDGWSSIDELLLSNNTLVTRASVELVCN LMESPTGVAKFADGSKQASNRLHILLALADSEDLATRRAAGGALASLTQWDTAVTAIL GRERGVAILLKLCKEDEEDLRHRGVVCVSNMLNAPGDGGEKATTKVKEADGIEALKEC ARKSRSQEVLEIIVEALQRLLGDSGTKSLQLAAAA VE01_03755 MNAVDGSDHYERTITEESPSLLTIVLDTNPHAWALLHDTLPLSK AVANLMVFINAHLAFNNSNQVAVVASHSHRAAWLYPRPPSARGAGEDTAMTDGHDRTT KAPNDANKYRPFSLIEYDLLTSLRELIDSTTTEDVASTTTTQMAGALTLALSYSNKAT VTYNESGVSPSGKSHPDGSENPTDDAPRGLLCRILVISVSGDLAHQYIPIMNTTFAAQ RLRIPIDILKLSGDTVFLQQASDATNGTYMQLRNPQGLLQSLMLGFLPDQSARTHLIS PTQEVVDFRAACFCHRNVVDVGFVCSICLSIFCSPPEGAICLTCGTHLALGNYGAKPA VVPRKKKKKRRIVNGTESGSNVGTPVPGE VE01_03756 MKGHKSIEGKAFRFKARIDWPAAARSELGFCDSFFLHPVFVVRK LGHDRAEVVSLTSTRDDSVDPRNYLQIATPNWMKKQTGPKLNLVNTPGCVTQLPKTSW VRLDKCREVPLDILQQWMRDDGVQYMLRKESREKLYRFVRRAESGWIDDREEEQFGSP QFSLLEFGPSPFSSPQFTLPRTPPRTPSPFPIYEMPGDSFPEVGNRFPGWGGLNVVEG DPFLDPCHCKRPGAPMPMPTPKERKSLDVDELVKALRDLEGRVDQLHNALSLLQIVSQ SGSRQNQRTEAWDIL VE01_03757 MDNLPDTQWAQVAQGPGDEFEYKKVPVPIPGPDEVLVNIKFSGV CHTDLHVLAGDWPLKTKWPLIGGHEGAGVVVERGELISDVKIGDHVGVKWLNGSCLSC SFCQASDEPLCPKAILSGYTVDGTFQQYCIAKAAHVAHIPPDVDLASVAPILCAGITV YKALKESNARPGQTVAIVGAGGGLGTLAIQYAKAMGLEVLAIDGGSEKGELCKKLGAK SYINFKTTKDIVADVKAETDERAGPNAVLLIAPFEKPFQQASEYIRPRGTIVAIGMPA DAILRAPVFETVVKMITIKGSYVGNRRDTAEAIQFFARGLISAPLEVMGLSQLQEIFE RLRAGKVAGRIVVDTSK VE01_03758 MSPESSSSSGTNVGRAASPATLEIESARNCVGRVYNFKPYKKWS LDTHHEVDREWLYQHHPVVVIHRQSDGHVHIVTITSSIDKTVDPRMYIPVSGNHWDTT DNRAKVNLVGTETDASEMPKPRSYVRLDSRKQVPFDVLQEFHSHSGEHYQLSLESTIM LWDSVMDAERGFTSGQGLEYQNAEKRAALAVSDYVNKWASVKQGEKQIRVLRVLQEKV RTLAGVVDECLDQYWLQPQQELERSLSEKERALQDAVREQGFRIKNRADHIPVAIAVE AEAARRVETEFMINQNNKAGKRTPAQNKLLKELKKVVKNVIRGELQRQGWDEGMGSNG STTEEKRAAKLAKKERKALKARNMAMAPDIPLDWEAVESTLTEAGYADEDLAFLECVR LCQAG VE01_03759 MANHTPAVVMDNGTGFSKLGFAGNDSPSFVFPTAIATKGGAPGA GGTGSGRPGVGAKPSFLTGGTGSGGGNLSMKRGTEDLDFFIGDEALAAANGPGYGIHY PIRHGQVENWDHMERFWSNSIFKYLRVEPEDHHFLLTEPPLNPPENRENTAEIMFESF NCAGLYIAVQAVLALAASWTSSKVSDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKS IPIAGRDITYFVQSLLRDRGEADSSLKTAGEIKEEYCYVCPDIVKEFSRFDKDPTRFV KHLVTQPGGRKVSVDVGYERFLAPEIFFNPEIYSSDFLTPLPQVVDTVIQTSPIDVRR GLYKNIVLSGGSTLYKDFGRRLQRDIKHLVDARIRASEAKSGGAKSGGLEVQVITHKR QRHGPWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGGS VE01_03760 MDGAGEKRPGLNRQSHAPVAAIFVHAGAGYHSTTNEKIHLAACA DACIVAMRFLRGGATAVDAVEAAIKVLEDKEITNAGYGSNLTIEGVVECDATVVDHLG RSGACGAVAKIKNPIHLARIILEESNRPLSLRRVPPNLLIADGATEFGFEHGMDIVHH DALVSTNARERFIRWREDLRKAGFPRTPNSPGSPGSPGSPGEEVVDHEYEERVRDKQR RDHFNALMSATWNEGQPGTPQAASPSTFAEDKMQDVSQSEHDMLPTRIPASTNQKTPR TPDKKNQYESNTQSRIPVSPSMHSAKRPRYSGSRSSKGHGTCTPSLLKPNTNVDAAEE PSKSVSDAPSGLARPPTGKQRKGTDGSDETQEPATLPEPEDETPKPFPAFMLSDIDED RITDTVGAIAIDIFGNIAAGSSSGGIGMKHRGRVGPAALVGVGTAVIPADFEDSENVT VAAVTSGTGEHMATTMASQKCAERLYFCTKRGRGGTNTETNEEDAMESFVLNDFMEHP GVKNSHSAGAIGVMAVKKMPEGYFLHFAHNTDSFALASMHSGEREPKCVMSRIGDTGV VVQGGRKIKVDG VE01_03761 MKFGRNLPRNQVPEWASSYINYKGLKKLIRAIAAEPDHADLAEF FFSLDRNLETVDEFYNRKYSKASRRLRLLEHRDHDDLEELRAALLDLRGQLRKLQWFG EVNRRGFLKITKKLDKKIESSFIQKSYIASRVDPKQFATNQALAESMKSINDWLSALN DAKTPESKSIHSVHKPMLNLPSGLLETVKGAVKNDDASILKEILATAEFDAGDPALNS LELTLLQRSISSKSKKCIDFLLAEVKDFDEPDDINQRNCLHRLVLAINKTNKDQAAQL LETNQFIHPAAQPLLGPRASKCAEAETPSLDGETVNMLSYVLERMKPSQYNAIAAKDS YGRLPLHYAAQLGIVVVCEVLMKFMREWGLFNVDNGIDAPEWQDHEGLAPLHLSVVGG HYLTSKALFEAEGVTGKAARSSKSSAVLNLATKSNYYRIVRLLVDSGVDVNWQDAAGE TALHVAARFGHVECAKILLDGTVEQKADPDVCEKYFEWTPVHIAAVDGHLPIIELLVE AHADVNKEDSSGWNAREHAALRGHIDIAELLAEYITHDAESLDGSTGLTGSPPGIASL EERNSLTTVKESNPVRAFGHRYLTDQSMVLVSLGSMDMRKPNDAVNLEKIPIAEAHST QLDTALSILVSAVGAEGDQTTIDLPVQENIATEPFTFLTKDPSKVKLLFDLVPTYSGN QKHKLGRAVALLSSIRSTVGSKRANLAGDLSVPIVAADTLEVLGTVNFNFLIITPFSH PNMEITETQTYWKSLTSPMVIGHRGLGKNQTANKSLQLGENTIQSFISAANLGANYVE FDVQLTKDHVPVIYHDFLVSETGIDAPVHTLTLEQFLHVNDTPTRSQPPSPLRKADTP SQALPVLPALTPRPRSLSVGYTPTDMNTAMEERMKYTHDFKANGYKANSRGRFIQQPF TTLEELFRKLPEKVGFNIELKYPMLHESEEHNMDAYAVELNSFTDAVLAQAYELGGQR HLIFSSFNPDVCLVLSFKQPNFPILFLSDAGASPVGDIRASSLQEAIRFASRWNLLGI VAQAAAFCAAPRLVKVVKEHGLVCVSYGVQNNDPKMVQLQVKEGIDAVIVDSVLAIRK GLQQSEELAKEASEGVIAKAVQAVNGGVAVDVAVE VE01_03763 MSDLPEVYQPLPQSSPHAGHTGPHYGGPRPESEGLQVHSAYVEN YNKAPYSDNPALGPQLPYQPSYQQQPYQQQLPQGQQGQYINPPPGGQYAENQPGGFMQ AAPYAMQPDEKGRKKRICGLSVVVFWCLVVAALLIVIGAVVGGVVGSQKSKDSHGAGA SAGGDTAGSSSSAAAGVTTTPTATTTDPTSTDATATTASATATARFDEGTFYRLSNQY LKREYSLDIQKDNGTFSRKLNMSLTADSDGQYWQIKKVPDADERYWFACLYLGKGIRL FLDPSDRINPLMEEADDTVTGQQWNVRSELDGTWRISNALGSAGAQLSTYSDTHGLFM DMEDDTGTEWSIAEARKIVAGDGFL VE01_03764 MLALWSRTVQASRSCQCGPCLQAGARIARTTSGGTAAAARRRLR PSDVFTASFSAMLATAAVYDTRRKDERLLQWDQAIEEVRSGKDLASISTPGRVSIGKA ADEESNIMGNEVEEYTPDANICRKLEEGATTVRKLMSFVPMPILEAPQSTPRRRESMT PVWDELEGMLWESVQESGNWNNINQANRREGSTKERSPVAVESDRRLLEGNEFAASTM SHWDPRTTYQLDRLARNVNALVHELWQATSMGQKGTARRGVGTDAGMDAEMEARSEIR RLTELMMPMLDGTTAAPDYPRVGTVGSNSDSQSLNATLDSITKTLGFKERNYDLMIAK ICYNLLTSTSVPNAATYELLIRAFTKLRQPELAEVVVHQFKNNGLRPDEGILSAILMH YGMSRDPEKFYTTVRQMAGLEDGLGFERHHIFDLTKPTVQKWALDTDVIHRDGYLQEK AHRNSDIFESLIRGCMTFHNLDGALRYLKTAIKEGCYVRTQVFADIVYYSLTCPERTS ALLRIVTALSWQFGKKEKPGGGLDYNSDVRGKIHDVLEACGLGSALSGAADAAEVIQD VQHPLARYIYPEQFAAMIRHFHAEEAKDMASYRARRVSLPFRERIRGEGEVSRMRVEE IPREFGGMLMADLPSFVKISKLVEEEGGGEDGGVFVAPPSLVEVVEEARSNFRPMAAT SYCVGGLGEEEYAEEGPLAGCF VE01_03765 MLSLTLTADLSGVTDLRPDDTPEDPFWYTFKVQCTSCREVHPNW VNVSRFEMNEMSGSRGEANFVWKCKMCKRESSATIMAPPISYQQESPPKAQKVIDFDC RGLEFIDFKPEGDWLVTGIDSGTKFEAINFEEGDWYEYDEKAGDEVSIKDLKWEIKKA VE01_03766 MSVMPVNPRPMLQSLVNEEVVVRLKWGQTEYKGILVSIDSYMNI QLTNTEEFIDQKLTAALGQVLIRCNNVLWIQAAPTGKEGGGDTKMEG VE01_03767 MDPETSRPPMVDDYMPTFYVGHHEAGRALPISERTLRQGQDVGY DMLTSPITTPHFHSRVLSLLSNQLELYKSADSSSNELPPPILPPFNSADTPLGPGETV SQLVGYCSSWIDLGSPDPLISNISRQVLTMEVAFASFCGVTNIIIPGPRQYNSSTATA DGLARYARAVQEALGVAGYVHVAIHIPMYPQKDSTAIPLLGDMKPFTRAEYISDDSDE DEELFDSWDAWNVIRTLAIPRQLPTEALQSRWYAEPLRLLSFNASTFLKNKGGHPVLG KGHQTLISRYMRLKHAPWLLLCDIGPIPDVEPYEPYPVADGFPSPSVTTDASGAPMPQ VADLNSLIKRESQNSKSKAKDQTAHLIYLRYLQRNQPPRSVIERFGSGYQDYLQAPLQ PLADNLESVTYEVFEKDPIKYDWYERAIALALTDWKALNKPTSSASGAVVLAVAGSGR GPLVTRALRASAKTGIPIEAWAVEKNPNAYVLLQRHNEEDWDNAVNVVKSDMRAWKGP LRRSGKTADSGSSEAQLPTYGKVDIIVSELLGSFADNELSPECLDGVQHVLAPEFGIS IPSSYTAHLSPVLAPRLHADISHRAVNDADATDTPYVVMLHAIDFLATSVPDHPRIQQ AWEFVHPLPAATLELAEARRQGGVSGGGGGSMAGGDGANEHNARYSRCKFVCLNRGMV HGIAGYFETVLYDGRRKSATGEQVGEIVELSTRPDTIDAKSKDMISWFPIYFPLKNPL HVPDDAEVEVSIWRQTDDRKVWYEWIVEAFIMTGPRKRLRVGISDVGSSRKQGCLM VE01_03768 MADRRPESEEMSRAKRAKVDLDPKENPYLAHMYENGEEGGYSNG QRQNGNGDSSILSTFTRHETTAAQAHQAEDGPANPFNGQPLSSQYFNILKTRRDLPVH KQRQEFLDMFHKTQILVFVGETGSGKTTQIPQFVLFDDLPHFRNKLVACTQPRRVAAM SVAQRVANEMDVKLGQEVGFSIRFEDVTSSKTILKYMTDGMLLREAMHDHNLSRYSCI ILDEAHERTLATDILMGLLKEVAVRRPDLKIVIMSATLDAQKFQKYFNNAPLLAVPGR THPVEIFYTPEPERDYVEAALRTVLQIHATEPEGDILLFLTGEEEIEDACRKISLEAD EMVREADAGPLKVYPLYGTLPPAQQQKIFEPAPAPTRPGGRPGRKVIVGTNIAETSLT IDGIVYVVDPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTE AAFKKELIEQTYPEVLRSNLANTVLELKKLGVEDLVHFDLMDPPAPETLMRALEELNY LACLDDDGELTELGKLASEFPLDPALAVMLITSPEFYCSNEILSLTALLSVPQVFVRP ASARKRADEMKALFAHPDGDHLTLLNVYHAFKGPAAQADQRQWCHEHFLSLRALQSAD NVRQQLERIMLKSGLDLISTPFENKDYYINIRRALVAGFFMQVAKREGSGKTYKTVKD DQAVMLHPSTVLGHDSEWVVYNEFVLTTKNYVRTVTSVRPEWLLDIAPTYYDLDTFPK GEIKTVLTRTTEKIRRKQAMKAGR VE01_03769 MMKESRDRPPQRRGPYNAAKRDMTTTGPRRNNAAPGSQQEAPLP RVSTPPLAEPVPLDTPRFADLGKENLLDPILLQTITEDLKFDHMMPVQAATLHDLLQK RTDVLAQAKTGTGKTIAFLLPAIQTMLNKGRQQGGISLLVISPTRELAMQIAKEASAL LQRLPQFKVQFAIGGTNKDREEKQILGRCDILIATPGRLYDHLSNQRIRDSFRNLDTL VLDEADRLLDMGFMNALKDIIKCLPDKEMSQRQGMLFSATIAPHVQKFAHLVLNKEYK FTSTIPKGEVNTHERVPQLLVTVPTFSAVAPALISSLRSEMAIAGKENFKVIVFAPTG SLADWYAAILSSLKDLPEVSVLHSRLSQSKRTNVTEAFRKSSSSVLVATDVVARGMDF PNVSNVFQAGIPADKESYIHRLGRTARAGREGRAVFIVTEAESFFPKYTLKEITFETS APDLSPATEIAGPAAAFDNKGKVYQAWLGYYKNYVRQFGWDLERLVAEGNQFAFDALV AGEVPGIPKSTVGKMGLKGVRGVNIVANFEKPPRGGGGRGGGEGRSRGSGGQGQVGGG HANANGGGQVPGGGGSGGGRGGGAGRGRGGGGRGGGRGGRS VE01_03771 MTTSAPSTQASIILDEKDLEASAERRNSIPSQHGKEDLLPAPTR EAILAVPGLTADLEAPGVYIVSWDGPDDKANPLNFSVSRRWLTVANVSLITFITPLAS SMFAPSVPTLMKEFDVQSPLLSAFVVSVFILGFVIGPVVCSPLSELYGRLPIYHSSNV LFFLSTIACAEAKSLNMLIGFRFLAGCAGAAALAIGGGTIADVIPIHHRGKAMVLFSM GPVLGPVVGPVGGGFAVQALGWRWIFWILTILSGCVTLLTFAVMRETFAPTLLGRKTT RMQKEYPKYKFRSQYHTGMKASAYLRRSLVRPLRMLVNPIVLILSIYLAVGYGYLYIL FTTFAIVFRDQYGFSPGTVGLSFLGCGIGSVVGAILYYFTSDRILHRLSKATGPQPEH RAPLMCYGAILMPIGLFMYGWAAEKQVHWIVPIIGTGIFGHALVMVFQSILNYIVDTF DIYSASALAANMVLRSLGGAFLPLAGEDMYATLGLGWGNSLLAFIAVALIPVPFAIFK YGHRIRAMATVKI VE01_03772 MNNTNADLLRLPSQIMSDTGLKSCPTRVLRRVPNFKNRDDVGGS ENSRLHRHKISLESDEEEIVYRGHPIRHSLKETQWQFKRRDDSDRSSQPLSAPSGYHA QKAENFQKFYRAVVSPTHVRVTAGGRIVPNIRAPPQPVFVWNRDKFFFEAKQDKKAAG AVDANWQEGAALRPSDPNQLPINSPTTNPNIATAPPSHSLEKPLNSGTLQPSTITEPQ APDPAPTLPNISDQNSSAGPYPIKLSPPGQFDMTKPFMVNGQMVYPLPSDFQIPHGVP VIPFNMLGNTMPLQNLQPSQYPQMPLHHGLFNPIEPSLGQAQPIPYNNPLTTGFGGMP VPHSKMGDRSSNNNRPVTMPPLPGLDHPPYNQPGYVKQKIKSLQDEIKKFDHQLDHNK HQIDEIHVKKQRFYVESQIQTLEASLALLPSEDFNHLFPGAHPRPLAPLIPSWVATPV YHSRSFDGVYDQGPVHQPAASRAINRSEPFHNPTPVPASKASVAKSSQTSRTRLSLSA AKAAPFKPRSQQGSQPPVVQPRTAPSDVGEANSIILDETTSTPAEHGQDIESRLKGTG NAWAGPKGGGNHPMSSNTTLVEKTPTPEVLETQSYEGRHLMPAGQPRGNGSTSASADT RTLVSASHHTPGMPYLMGFPPPGVPWKEARSHELMYTRPLTPEEVRARHLYWGNASKE SQKGLPKFDGQDFYPPSPQKGYALPVGQGRYSEASTIIRKSKDSSATSKEQGLGEESQ ALVLRTEAVVGGRTSPDKNVALHDDNDDVVSVDSWGASKSVCSPPQHASKAAAKFNKQ YSATTSAFLLGMLKNSPAVSSPLSGLSSTHAVGCLPNYGGTAIPSLAPGPRPSSSFTF DAPNTKIVRSASGLSVRHENRPPSRAGSASAGGYTAAEFLAKVNRQNEERQGNAEPDW KTAANGVGSVANSSW VE01_03773 MAPSAVHFTGDVPSKLATAANANAASQEKANIASHTPSQRYLST RGGEYSLSFEDVVLKGLASDGGLFIPEEIPSLPADWESAWSDLSFADLAHAILSLYIS PSEIPSADLKEIIDRSYSTFRAPETTPLVPLDQEKNLYLLELFHGPTWAFKDVALQLL GNLFEYFLVRRNEGKTGKDRHHLTVVGATSGDTGSAAIYGLRGKKDVSVFILHPKGRV SPVQEAQMTTVLDANVHNLAVEGTFDDCQDTVKALFADPITNASQKLGAVNSINWARI LAQTTYYFASYFSLQKRLPASAKPRFVVPTGNFGDILAGYFAKRMGLPVDKLVCATNE NDILDRFWRTGTYEKNPSHSPSATEGLAVDGAKAHTDGVRETLSPAMDILVSSNFERL LWFLAYEYASGAGMDDEWTKQQAGQEVAAWHAQLKSAGGFSVNTEILKFAQRDFDSER VTDAQTTVTIADFFDSAKYVLDPHSAVGIAASLRSMARAEGVPHISLATAHPAKFAGA VEVALKDKDFDFSSVLPEEFVGLQEKERRVTDVPAGAGWEGVREIVVKQVEEELRGER A VE01_03774 MSYGRNSAPDLIRNPGFLYVAGVKQKKRRSATITYQCDFGGDCQ TAVAKSTYFCNTHKCDADECYSLKKFNVQTGRHFLYCPKHKCVRETCERSHPTTQPHC ITHTCSKEVCIGMVEGDEKLCARHIRCSYRNCPSNRMQKDGEYQKFCSRHSSCTSSPC TREKKLGSEFCDLHTCPMRYCTKRCSGNHFYCSDHICDHDGCGFPRTFYLERDNVVPT NYCPIHECQEPSCHLNAPSQTCGYCRAHNCDEPNCNGLKLINPGGKAPLTKCLTHYNE FRDRSRRPRSPPTAPPPAPPAPPAPPPTPPSPAITLTTLSSQVSRLQRTANSIQEIAL NSRSARAGAAGDARAASAEEAAVAAAAEAERRLEEVSREQRLVADGISQQDAKINAIL NILRERRRYG VE01_03775 MADDEYNAAEAAEIKKKRAFRKFSYRGVDLEQLLDLSSDQLRDI VHARARRRFNRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRNMIVVPEMIGSVI GIYSGKEFNQVEIKPEMVGHYLAEFSISYHPVKHGRPGIGATHSSRFIPLK VE01_03776 MKHLAAYLLLALGGNESPSAADVSAVLSSVGIEADSDRLDALIA ELKGKDINTLISEGAAKLASVPSGGGGGAAAAGGAAAGGAAEAAPVEEKEEEKEESDD DMGFGLFD VE01_03777 MPPIGDLLAQISGDQPKPSTTSAFVAPLKRKADGDLPRHSDKSS RNEYPATASPRVATPAQRASGTPSSMSRPVRPLPGRSSASTTPVTKSTSAPPGSAPSQ ISTAKVPKKGSFAEIMARAKAAQTSMTQVGKIQHKRIEKGPTKREREEMKSTSKGATG KAKRKGPTPPLRDGRNGARENGRGSRTTSAEAEKRPKKAAAATTGYTGTARPNPAAAK KPQPSYGSSGRDRDRPSPGPSRARYTYAASEDEEDYESDVSSDMEAAAYEVDEEEERA ARYARKEDALALAEENRLRMEKEEKRKKLAAMARNRR VE01_03778 MGVKFTEKLGLHRPKPIQPRPIRSINQEVANLPRVPSDYHDQLR EMARLEKEAKGEEEPKPIPRPDPTLGEVMDPRDIDLTAYVDNLPFNIRVDQRYRIRYR IKMMENNQYKVVAAMDLPVNSVIIAETPIFYTSANDMEGFSSQVMARVLMLSPPDDEA YNRMREAQDFSTRLFTRNSRLSINKLIANKSFKLPEHLHYVSAEDPLSGFKNVVLRQY VTNRFKISDDAECIGIREPLYGIYQDASRLNHSADPNCMVEINEAPPFKMFLITTKDV KRDEELTISYHNDMHCGPIRHGEKAAKCTKLFGYSCFCKVCKVPKSDFPEDDEEEDDD ESICAVM VE01_03779 MFVLRRQAVQSARGIRTARSTAQFSSSTSRTAHEAGHGHAAPKD EPLGLSVYMTLAAIPAVYIVYSLAQPSVDGKKPALERLINSYSHIQDTFAARNKLHTA AIEQAAFDRNLFQSDRKTEHINLRFPETFNTGSPHNVIAGQGPRDIEKLVAHYEQLNN AAEEKKVLARQA VE01_03780 MSFYHDLNDNNDTSDREPSDVEYNEDLDDADSLASLASSNQEHT IEQVLAENTRTDWESNLQGGTLHRWVLIKWTNSPVLESSWEHYSILDDYPTVREDWEV ELRRQTDGKSVPFDLVEFNLKLREQEVLRRQRRKLRRFRRHIEHILSVLETD VE01_03781 MQRYLSGGLFLGLAAATSQADPSPSPSSDLICHTSNPAECYPRV FEPTEDFQIIHDDQDIPPGLHVRMDIYSGLKQARLNIPMEGEDDNSGIPTEQAMVIVD QPEQDVAEEKEEPLSLRDKSGKKPPAYKAAGKILPPREPDGGVTDAEEFQRAVAVLDS DQADNYTMSVSALTTLLDLSHDIYYGVELMKNSAVIRQLTFCLDGDLSDASTSASRRR LAAGVIANSIQNNPTALEEAQKSWRSYLPYPHSADSSSALQEYAADQEFLSRVLLTLR SETDPSAAKAKVNALSGLVKSPELRDVILHLEGLETPLELFARAGEEWDIVRKKVAEF VTDNFLDEDMGAEIGKWPTKPQKMDYTCAFKPVEAAVAAGTVGDECWVYHVMNYVLEK EEAGEDDGWSKPFLQMLRGETEKLGKFVHQEL VE01_03782 MTVATENSYLTGAKGRNTRGLLRLVILCTIAAAAVSSRLFSVII DPWFNFRATKYLVANGFYDFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGAIYHALKAL TLPVDIRNICVLLAPGFSGLTAFATYLFTNEMSSTPSAGLLAAIFMGIAPGYISRSVA GSYDNEAIAIFLLVFTFYLWIKAIKLGSAFWGALCALFYGYMVSAWGGYVFITNLIPL HVFVLVCMGRFSSRVYVSYCTWYALGTLASMQIPFVGFLPIRSSEHMSALGVFGLLQL IGFVEFVRAGVPSKQFQTLLRGFVALVFSVALGGLVLLTVSGVIAPWTGRFYSLWDTG YAKIHIPIIASVSEHQPTAWPAFFFDLNLLIWLFPAGVYLCFLKLTDEQVFVVVYSIL ASYFSGVMVRLMLTLTPIVCVTAAMVLSTILETYLSMKSPKSDAESVAAEAAAKKNVP TKGGLRSMRNPLVGIYTMVSKSTVVGAMTLYLLVFVLHCTWVTSNAYSSPSVVLASKL PDGSQHIIDDYREAYQWLRQNTKEDAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHI ATVGKAMSSSEEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPDE VKERDFFTPRGEYKIDHEATDTMKNSLMYKMSYYNYNGLFPPGQAQDRVRGARLPDVG PVLNSIEEAYTSENWIIRIYKVKDLDNVGREHGAAASFERGNKKKKAAKKRGPKVLRV E VE01_03783 MASTGVNVLRYSALGAGVFYGLYHQATLSASAKLNAANREYERK QGLIDRAKKEYVKKTAPPAADGVIRDPEDKNFDLEKYLNAEFGSK VE01_03784 MQQRRDEILAKKAKLEELKRQRALRAREVSATRQSIGSPVDLIS PTPGRSDNRRELDNLISSLVGDSRPGSTGPGGAGSPAHRGSRPNSVLSAGALSNENSD IVSPADGPAAPQSQTHTISTQTLSTVSLSTVYECPPSPVKEVFSYSKGVQTSGDWATR ADQARPFAESDNEDGPLPSTPNTKRLSRRDRDREEELRQNLRKEIEEELKAARDSISD GPLKPASQTGAAANFPLRELSDEELAAIKESDDLQDFLERSCKVIERALDQEYDVLAD YAHNNSLGMDDEDDENDNVAGKGRRKVKQVAQFYDERWSKKRMISSINFSPKFPELVL ASYTKNPSAPHDPDGLVQVWNTHLHDRPEFVFHAQSDVLTAKFSPFHPNLIIGGAYSG QVLLWDTRAKSAPVQKTPLTGSGHTHPVYSVDIVGTQNANNILSCSTDGVVCGWSVDM FTQPQELLTLTTPPPAKTEDLSPTCMAFPQTDPTYFLVGSEEGTIYPCHRYDRAGSKA GVDQRVSYRGHAAPVMSLSFHPARGPIDLGDLVLSSSLDWSVKLWRMRAPATATTGIT GEAQVVAPLLDIVREDVVYDAAWSPSKPGVFSLVDGAGFVEVWDLNVDTEVPCARLQT KPRLGARSQFSRSLNRVAWEETEGKRLATGGIDGELTVFEVGPDLGGKESSRSEEWTS MKKLVAKLEAAGLGGQGMGMGGALL VE01_03785 MYFSQILVLGAAFAMANAKVMITNSDYSGITFDHPFTVTWQGAT GMVSLLLKNGVPSNQLLVDTIADGLSGNSFTWYPEGNLEEGIYNLEIKDSSGGVNYSP QFRIDHGIDYDDGEGDDAQHHSVAPGHFLHNATESVATATTENVSAATEHAGASSTLV PVHNATVTSSIGVNNHTGGTPTNTSISPPTSSVLTAKAARHVSPFNGLVFSLLGALAL GIAN VE01_03786 MRFISALLAGALAVVAAAQTTGSQPNPFTNTDFSGITAGSPITI TWTPTTEGTVTLELVHSDTGNSDDLKPVATIQASISNSGSYTWTPDSSIVNGSGYALK IVDDANPDEYNYTLQFPIKTDTESAAPTSASSTAAPTSTEESSTSTTEESTTTEESTS TETTSTDASSTTDASSTADSTTTSAPTTTSHTAATSTSQGAAATGGAKVGAGLMGFVG AAMLLL VE01_03787 MPPPDLNKTRTRTLPNSTQNGLFASVPLSPQTPILRLSQPLLAL LQNSALETHCSNCLLPAPLLLPCRLCEAAHFCEGCKEGHIVSRRRGMAGRHDAECATF MRVTQERGEGERLPTPVRGAAHVLARFGEEGVRDRVRGMVGWRDKQLGGGKGVELQAK AVVHYSGMEMSRGRLEDALELLCVMNVNSFRITDAGGDEIGIGFDPLLGMANHSCAPN ASLEFDGRCAVLTALKHIEEGEEVTISYIDTTQPRAARQAFLQEHYYFTCTCPACTTP STPSIAVEPGS VE01_03788 MAPDITGSTQARPTTSSGRFPFSRRKVKAVPHEETAQDRPPTAA TTTAPDAIVSPGNDTRGLRLQQTISSSGTVSRPGTNSEGSGLRLTAQPQGEGNAPRAP SIAPSDVSSITTGSRWRIRSRNGDKGSENIPRTPSSSDDVPTLSSSPEREFTPLTTSL PDTQLDFINQVSFSTRGSVLLGGRKAVNAKPISKPASERVPAAVEPRKAAPELSNTVV EQPKTAPQPLRTAPELPKAVPEPSNTVIDPPNTAPETSNTVIEPSNTMVERQKSVLQP PNPAPEPPRTAPEPLQTAPNLSKVSLEPPRTAPEPSLLPVLPEEIAKESLKVRSMYEE DVITDWRDGNPINPPLPLAEENEGPSNDTFNVQLRPRPQTAAAPSAKRYSVLRREHEL AGGIEDWEDISGEDVDRYGFIVKTTETPNRSGTPEPRAPQRVSTSLQLASQAPRKQRS FRLQSPAKNTAAKSLRTQNTSASQRSVSHPLRTLTNRLPGNQDRRWMDEAGDMLTLPH GLADITEVDERTTAAEVTKKREWARSEKWRRMAKLINSGPDGEGMEFEFDVAHPKLID RTWKGIPDVWRATAWRCFLNASAKKVEGSPTEASLVEAFQRLVGEGSADDVQIDMDVP RTINSHIMFRKRYRGGQRLLFRVLHCLALYFPDTGYVQGMASLAATLLCYYDEEKTFI MCVRLWTLRGLDKLYAHGFAGLMSALDEFQVDWMRGHDVSRQLDELDIGPTAYGTRWY LTLFNYSIPFASQLRVWDVFMLLGDLDPSVPATPERPFQHGLDVLHACSCALIDGTRE ILLDSDFDNAMKVLTSWVPIKDEALFMKVARAEWKIHQRRRRAR VE01_03789 MLLTLQPPSNHAFKPFHDYSPPTPPSTSRFSPALITSGPAYPPP PRITTPPSMSTPHRGLPPPAALALPPSQSSQASQSQPAQQPPAPSQPMTQQQPLGQLP SPPQQWHGAEESMRTWLQAKSEEDKRRQEEERTAQESLRLEQRRIEHDILRTSLSGGI PPHLIPLVFAGMGGGSLPSAGLEWAQRYVAQEQPQLQQLPPPPQQQLTNPLATAQPAS PMLRREDRQISHPYGHSHPAPAPVPSTPVASLTQQTPFAQSQGQGYPASPPRSRTQLP GPSALARTPQSVGLPRLNTGDVQILQSVPQSQSQSQTQPSEPSPQQSPGIYFHHWQPP TSQAPPPPSTQPPTPSEAPRPSSPPKKRKAQGPPPATHPHHSPPYSQGPARRRAHSHR SGSMDQARRGRFQDSESAPRSPEGYGYAEAAQSGRRNTLHSEGRHPLPMPQQQQQQQR GAEWRYEERTPEQEIRRREGRE VE01_03790 MHPFLSDDTSVKRINGKLCLVRPSRRKARSSSSYDLGHVLSIGY DLLSEVFDGAAFRMDKDKADAEAVKTSVLHHVHEPNPMAPAMPPPRPGIYQQGNRQLV LLPKVPTGHGPPPMMHQGHFGYPQQPFMYGGQPQMVSMMGNMGFGGFNSQYDKSAPAA APVTTPTSITVTRHLCANCGNLRSRKYQAAHPLQAGDTAPVSFCRKCEKEFRSTDSEV EVELPLKKSKRHYRKAQREKHIKKVVYEKDLFPATHICAQCGNPRSRKYQATHPINAG ETPPVSYCGKCQKEVTSSEDSAGSEGETVKYVTRGRNTHETDRKYYQDRRMKNISKST NQRHYRDLDGESGSTASKKVRARTPVEEYIVVTEKSSDQVQKGRQSQRYFKGDGDEPQ QSPLVAIREPIRRRSSELLPAAVRRTRSSSRRRRSRGKAQPLSENYEYDSQESSDPEG LAEKAYMDYLSDGAPLHERDRPPGRSRHRSPKAQVHRRRVSTQALEDYISEDSVARFR EAASTRRKERASLPPQNVRSAHPGIQEELKNLKDDEMLVVTERYDYRPQKSSSAVGEL RYEQADRGRKPHRASQRLISQNDAAEYFPEEWSRTRSRTSRHTNYQRIRPRDLDGAAL PSGPGSSEASVDQQSYHEGTDIRPLLPPAPTPPSVSTATSGSVDTYSYSSRDTTEYPP SNEPRVYRPSRLPGEYQPSSDSGSSLQAASPPVASPRTSRQQRSPIRTRRGSRTYRAP YVRDANSGGSEGARVSSRSRSRERVVFYSEGEEEPSDLTANDRIDKRRVAFGPNQVRT ISRDSLAAEGRREREREREREFGVSGMRYGH VE01_03791 MTVTQSNLFAPLSLGNHTLQHRLALAPLTRFRATDAHVPLPMAA TYYAQRASTPGTFLITEATYVSPAAVGYKHAPGIYNAAQIAAWKPVTEAVHARGGIIF SQLWALGRTADKEGAESEGQTVKAPSAIAAPGGALPEALTEEEIQQYIRDYAQAARNA IEAGFDGVEVHNANGYLPHEFLEEVSNQRTDHWGGSVEKRSRFGIAVVKAVVEAVGAE RVGIRLSPYFKGYGMNAVDPTEQFTYFVNELKKFDLAYLHLVEGRFDGTKEERDSVRF LAEVWGKEKPLLIAGGFDGESAKELVKEYEGFKLVVVFGRHFIANPDLVFRIREGLAL TPYNRKTFYNAMQEEGYTDYPFSNEFLASREAPLEIKSKA VE01_03792 MASATGIPQLTPDEIARRDPVPTEGLAEDEPLLGRRGAASQREG RPLGWNLILGTALISQAGIIALIVLIVYAIFNYPFSLFSYHPLLNISAVALVTQAVII LQPTHTASQKISGTHVHAALLGAASLAFVAAFSVIEYNKFDHGVNHFTSAHGRLGLTT YILILLQALVGVTQFFVPGLYGGVEKAKRVYRWHRASGYVLLLLMLITVAAAALTETG GDVLGLKLGFLGGAAALVAVGVAARVRPGKFRFGGGVGAS VE01_03793 MPETEAQSLEVPKRGDPDRKRVLNVLAQRRYRQRRQEKVHALER RSAATKALSTNEIFLVNSRAGDRNQQCMENYMVQAGDNFCLVSDGSTPSSDNTWNSLS TSAGSSPDNYLNDINGLDQVFFNLTPPLYNLSDFDSELQALESSQFTFPDDANLQVPE LKVIKAGLEMAMLLNCGDALWDPTATRLFTSTTLSITLPPDLQPTEVQGTIPHHPLLD ILPWPSVRNKFIYIFSQPVEMRPKAARDPMALIKLQYDLEDSAEGVRILNEDCYDGKN WEIGQVVFQNWWWALDRGVVEHSNKLRARRGAPPLQLMSA VE01_03794 MESDSASQFVPQEPQPTFMTKAFITALADVRLLVGATAIILPLP SASMFGLPIASASRSIGQFYGARELAIGGLLFASYSSYMKSSKEDGIPLKATRDATNA TIVERKEALKNVLWACLLIDTIDLGSIAVNAFRGEIGLRAMWMGGGAGVAGVVFAASA LRAL VE01_03795 MNTEDPDGGVPAATYSDDELSPTRPKPKELPADLPKSLNDRRAI PEYTAETEMYDAWQGQSQFLTAPVLARPLQFNNLSLSDDFGDVSQRVEDSDNRLMEML AAQAAHRDGSAPDGDEDAIAADEKLDDAEKRTTLQRALNMAASNGDVERIQRILGGDA RKFVDLDLPDEEGTAPLIYASCFGHEPVVVALLEAGANVDKQDRNQWSALMWAMTNRH KGIAKTLLDAGASPDAKSSSGRTAFDFVAPDSELSDYLHDSGYHIGNAGVADDFYNAG FEQDRFEEEMAENELRRRMMMESARDLEVDLGNVGMDDQPETPEELEEEMQEFDWARC LHDQMFVFQESELNRILDIVITNMTPQRSTSQKPVPANMIFLSARYAHYHSSQELLGK LLIMAMDKINDVVEAYQWDMTILAFWMSNATLLLHYLKKDGGLSESTGEFQLQLAELI NEIFILIIRDAERRIDKVLDPAMLDHETIPGFEDIAFQDEWKIFKRKTTVKEQPAEKR FRPPSPKQRAKPAPRNVTSLLSSTLFVLDLYDIHTVISAQILAQLFYWIAAELFNRVM SNRRYLARTKAMQIRMNISILEDWARANNRKPEHYENGSMSASGETTVEAARRHLAPV IQLLQWLQCFSSLAPDDFESLVGTLQQLTKLTPQQLIHSVKHYRPEVGEKGLPKSAMK YIINLQRETQARKDRQRAAASSPRPGDSAPSTPVKPGQNNGNSSVPPTPDSIQREEEE EEEDAPENLLLDPALMLPFSLPTSTDMLVSFGAGFGGVNRERERKYIPTVPPEFLAKL DARGRAEGGSYAEADWADEPV VE01_03796 MPPRIRPLLRRPQWRSYHPSPRLRAEPSRPSIAQKPTIDIKHIR QNPDLYTQNAIERNYGAQSSNPQRITELFAQWQGHQRDGRSMREQSNVLQAALANPKS AKHQDAARAIGFDDLSRDGIIAASRSLKSKLASIETEEAELTRSIEGLALELPNFTSP DTPRGHEARVVSYINEHPSGESEKSHVDIGTSLGILDFASAGSTSGWGWYYLMGAAAQ LEQALVQFALSKATARGWTLVAPPSIVYSHVAAACGFMPRDQSGETQIYTIAQGEADV ARGRPELCLAGTAEIPLAGMGAGAVLDSAVLPRKYVASSRCYRAEAGARGVDTKGLYR VHEFTKVELFSWTTPELEAATEVFDEIVEMQVEVLRELGLHCRVLEMPSTDLGASAFR KKDIEAFFPSRRGRDGGYGEVTSASVCTDFQARRLGTKMSRAGKKEWVYTVNGTGMAV PRVLAAVLENGWDEGRGEARVPECLWPWMGGMEVIKGKNVV VE01_03797 MITAISEPGTEDCLYLGLYSRPWDASQPLRPVVVVYYGGAFIQG GGSFTLPPAGYPILNVSEANNFIFVYPNYRVNAFGFLPGAKIAADRKSDFNLGLLD VE01_03798 MKLSITSQVGLAITAILSSTDAFPTKPVPQRQLLICSDSTTMPY GKTSLIQGWGFYMHNFFNLNITNLARGGRSTRSFINEGLWGSLVASIVPGEGTIVLIE MGHNDNGDPTTDTKFRATLPGIGHESVVVASNATGGTTERVYTFGHYLRKMISDVKHA GGVPILSGMVPIMSWNGGVLETDWAFADYEREVAEQEHVEYIDHTKYAVNRWQAFRSV NATAPYYPLDDFTHTSWPGAEINTEAFVTAVKCEKRSLFRQSQLAPYLNANASHIEYQ C VE01_03799 MLLNRVSGQKETYFNEALAQWDWFCQSGMINERNLINDSLTGDC ANNGGTEWSYNQGQTLGALVELDAASGYDYYIDTAHSIAKAAILGLTDSDGILHDPCE PNCGADAPWFKGIFMRNLQILQAASQSDDYLGFITANADSPWNQDRNDRNQLSLVWSV PFINPANASTQSSALDALVAAVAF VE01_03800 MHHARILSMGTCPYLYLTTDPKRHNDWESLLREEELVEVAQPTE DEPVEEEPVEEVPVEEVPAEEALGQVGVLVSDSNSDGKILVFVGILEADGGLAGI VE01_03801 MTTDEKNKMCQTRVEKWFSSPDHPLATYTARQDEDRLNSGKGNI LDDAEKAGT VE01_03802 MASQQIRATPPSKDAMLNSFLEIVRNYNARPPPGRNKIVFPACQ LVVEMPLLLNRPSEPLPCRESPAVFEAINAHFSAQVHAFFNALHDLEDMADKPSSDDL ELLHQDEWLRPVIQITNQSFDNPEGNDDCVHRCYHTRRLTVQNPESLPLLNRVIQLRI FHDNAYSPDPANMRPVSMRTPLELATRLPHLRELHCPWLWEEFPIAFTSQAMRRIARV WEGPWRDARVEFGRGVRHVMPLLPSSLTKVSLWFWRTNAYGREDQAVQMPDLVGASLS SPSTNEFEGMDPVSLGLRDLGSRLEELDVIALITPDLFHSSGDGLLWPRMVHLKVEFH PCAPNGTWYFSGPRGENPHSTGFAITREEHYPSEGLEYDDETHALWDDEEEEYWGDEG IYEHYTPDMFRTRPIVERINPLLLEFASSLQRQKMPSLQDAELFTWLTWRPSKDRVQE YEGSDEVPPTTDVEQTVMFRWGVRYDAPKGDGKGKVTWQVGDWRPEDKVIAAFKDLVG GEGENIEWKAFEYIEEREQDVEAFI VE01_03803 MQTFVVNLLLSLGIASTASATVLLYPDSLPSTLTVECTNALTVD VTACDPLVRDLRPDVFYPPASLTRICTTGCSSALDTWRSSVQSGCLNQTITVDLEVEA AAVYIPGALQFYFQNACLKDDEGRFCGPVAALAAAFSDPGISPFNYISNTTDQVRPDD CDKCLVERLRLREGSPYGDGPIAASMSLYESMTASCSITGRPVATTTIDYFTAAPAPT AKVCEGSTYTIKASEDCYTISKSQGVGTNWLLADNDLEAFCTNFPAVGTSLCITNQCT TVTVPNNATCEAVAAAANITETQLIAWNPSISYGCANLPKMNGSEICVDAPGRKFVIP SDTSALPPLTPTTAAPKPTDAADGSGGADKPCGRWYSVQQGDYCNLVAIKFGITLPDF FFLNPAVNTNCTNLFALESYCVAAVGDINTYTGRPGYASVTLDPSAPFTGIPYTERPD ATENPYPRLYTPLPEATGTRDDCVHYFAGDDFQFNLTGSTFGSNCQLAADTYNVDLEE FGLWNPGLGNASDPACAFEKGVRYCGSWYIEQDSTATPTSATPPGPTMSGSPADCNEW VLVTDGLSCADLVSEAGITLGQFLAWNPAVSSDCSINYWVDEAYCVGISGDDGSSTTT GSPTSTGGPTTTKPTPPGPTMTGSPADCNKWSLVTDGLSCTDMATQAGISLAQFLAWN PAVSSDCSTNYWLGEAYCVGISGDDSSPTTTAAPTSTGGLTTTKPTPPGPTMTGSPAD CNKWSLVTDGLSCTDMATQAGISLAQFLAWNPAVSSDCSTNYWLGEAYCVGVAGASTP TTTAPTTTSKPTPPAPTMTGSPDNCNKWAVVTDGLSCTDMASQAGLTLAQFLAWNPAD VE01_03804 MRYPIVLALLWGQRLAEGLPWHQAADRAAVADLPATCRNPVSPF DYSAKLRREYELPLCRDGDETLGVTPFLGAMDTPSLLSGRATDDDYTCGPDRPCANKA CCPKATLSCNYGEEACGTSGISPNEVCWSNCDAKSECGKDAKVPGQECPLNVCCGKWG FCGMTEDYCDKKDHGTTGGCQSNCDQPGPKNKANSQTRIIGYYEGWRANSACQGMGLK DIPVNSLTHLYFSFASITPDTYSIAPMDGIAGSLFSEFTNLKKKNPALKTVIAIGGWT FNDPGPTQKVFSDMVGSAQTRKTFIDNLLSFLREYAFDGVDFDWEYPGADDRGGVDAD GKNFVTFLKELDDANKKQPVKYVVSFTLPTSYWYMRHFDLKAVDHVDFVNVMSYDLHG VWDGKNPIGQKIYGHTNITEMEQAFDLFWRNDVPANKLNMGLGFYGRAFQLQDPSCSK PGCGFKGGATKGGCSGESGILSYREIMAVIDAKKIKPVHDKKAGVKYITWNNDQWVSY DDADTFSQKKDLAKDLGLGGYLIWAIDQDDEHLTALQAVISPKKLGDLGAAGDKDDWQ SSNKHCYITSCDGKCDTGDIKITDQPCGDDDKRSKLCCPLSGAPNPKECAWRGNPPLC NGHCHDDEVMMEMNKWGDGGGCNDGNKAYCCKSPLAEENSCYWSGVGGSCKGDDLPLT FSGTVLTILEDVAKVILRVVGRAYPLTALAGEALLLVLDELDLDTDKYYCCPKEDIPK WKNCEWFGKPGSCFDGHCPDMTYAQLTDSYFGGGETCGWQASRVRTFCCEPSEDPLFL PVPLGNLFQNPPDSDSVDTDFSLETDKDSDTEQNPNDAAFQFVVLASPEELQVSIDKR DGSHWDVFSGCDSAIGDEGPHTVQMVCTDFSEGSNCHKIGLGHGVPGTILEMPAGCGP GKYAIAKDMVPASEKVRLPRHLEHLSAAHTPIVYDLTFDYDFTRVPRDLGDTQMRIDF SNQDDYWNTVVSAAGNTKKKIKRSLAEAGGNHARWLEEEYRDDYHFGGLSRRDLEERW FGSGVLDWLARIVRPEIKREFTYDYQDTLTAKLIDETWQCTRNDINYEGHILAQALLK VNIATSFGFTLIVTKLTPPLDMSQSYLTFYNKGEITGVLTLEAVAKFSYHKGDVIVNI PFPGASFKIPGIATIGPQLTVEGSIDASLTLSGKVETRLEFANWEVRQVLPDNGDSNY TPKEIGDGDPDLKRTGDNEDLDEPTFYAGAQAIGDATAKISAAAEFGIRFDKRWDVEP AAAAVVAEASVMVKMGVGISTKDTCPFTFGVDVGARLFARATAPGFKWPGAEVAITPA YKKPIIEGGTCPGLGPLPTKRDLNSILTIDGNDTRSHSHGHGHTHTHNSLSHGHGHIH NSRALVKRAAVWGPVLSVPVGSYFCPPETDDSAGPGTICSKIGYAWDEDNVIEDALLR RALEKRNSKPAEICGQRITFEYPSPSEAKALKNPTYGFESTDCKDYKFGALPADVPGV DYEAEHVLEAQLIKQFFEYVAKNVGPFPHPDPEKAKLGTTLQFCPLVKLLWNVPVEIP NLDTASGIGALLTPYKHVINQFPTKTWKNKEYVLLDTNINSPPKAGAWAGFSSKGKNI QIVSLKKWLGTEPDNNPQSPSGQTPPKYPSTSKIATIGGAEEIMKSMRAILGSRLYHN TPAVSTILKAQKERVGEVLRRLDTEVLPANPKKEGWAPWAPLGLKGMWDTFMTGKMAL VVTKSNMVTNDILPRMQALWADQAARDAAKIDDKDKADVVELKERKQRLIDTIDAFAA ILQTTPAWLLAF VE01_03805 MSSSKHTKIKERQLLAQPNVLVSLLQVTVVVVNVLAAIPNATLA LQALRSGRNSQEAYARRMRLRKQQKALKTRGAEMLRRGLQNLDELDEAEEKERKEAEA KESFPHSPPTTDLGGAVSEHDYLSALSPGFFERWGVDGGTPPATLGS VE01_03806 MAISDSIQTLSAAFSFGILLQTAVSSFVIYLSGHGSTIFQDRRR LVLITFILSSALWAQIGFLNFLIPSRSSNICQGTIATSTFFDQAARTLSGSFLLWTVA HVSKSSAEKYGLGSLIGARAVSGIVFVVFTRAQYAPLCVPRSEALPVSILVIGLDAII LGLVAIRLFTLGFCGSSNGIQSTSNKEQGKALALFTAGFAIWTGTSVTLHLGLSSIIL LLRTAIPATGLGILLTIAAIWSEALLSPREAEGGTPESQSPFIAPPRPRFNSTSTGDG SPMSSTRGATNGRLFVVNPSSTPGDSPQLQSYREGPQSNFGNIMVNTRSVDIATGVLS AESPTERRSLGTSTNIMGGVTTTISAPLPVIPSIQRSRPVWDGEDPDAKQKRSFFGRS KQSAQSGPRKLAISQPILINTDEPSGAPLRMQTVDLATAAANERARREEAATQRILVA TRPAPAPPTGLDPSEALKRSISTVRKKPSGNYQDLKYQTSQSSVSSNGSSSSSSLSPG RKDVRRRSPRQLNGFDIDEKRQYYKPAITNTLPSNPKIKDFQPVPEKRQTIMFMKDIV YDDPGLVDSIINEVPNFSKKPKAPMDRSKSVGLAAKPSILHRARPYKKTRDSEGVFFP SEPSPNHRRNRSSSSVLRAPRIGSPTLLPPLPAPPADLAQMRGLLKDHIHNMTNDEKV SMLFPMPPVGTFKSQRRSSVPSLPSSVSVLSMSTADAPEAISKDEFASRRSSRRSTII SILPVETKGNLSPKKHTQQLIQRDTYRSALNATVPDVGNDVVQIRKSNIPSQKMYSSS TEMTDSSDDSHVVKDADWLHEPLPALPKSYTAQRDSSSSTADSLLKDHKFMSIMMGYD PTEAPTTRAGPTSFLHDSSQTFGTEGFKTPTVKTPTTDTWHTRIGDQIPAFSTRQNHS RSKSVPPTPLILRTAKNKGSFAQKPLPAVVIDTPNRALQEIQAQLKQLEEPDMLPFIR DRSSSLGDATQDQRDDLLAEMEKEVGEQENRWQRLHENIDRDSKSSEDFTPWTTRRNS VASITSINTVSIKEARKARVRSIVEAAQNIVTVTSTRNGEIAKPGLWKDKLEEAQKSY ANNAPSFSNSLSTAKVVTAKQASIRAVVAGGCAVVAIPCPNQRTGRPHLWKPCSPKAV QVVGLMWTLPQQPIQVRPSSSEPAALCVRPAQRRTIEPLYLESLYMWHMPTPSRVRPT TGLWRSKNTRPASIVTRPKTQKPIRKSRAMTFLPDIVESPSPLPHKRDTLGIFQFPWG EKSDQAVYQPALPTISNLPRLMIPNTLGSNDFNEEYSSSFFDDYDDQDYHSDSTSLDA DTPRDEASDDGFDDSTLWEIANLLDSSDVPSRHSLLPQIRIIEDYDDSDDEVVPAGRA PVVRFMPIMPLSPNPKSPGPKSPMDSDPEVLALTPLGGAQMQFPAPLTSSVEPEAFIT DIRPDGRSAWWDGKSHLPSGPRDTVAFKKKQALKASASKATSYLWTPPKTKVAAVSSG LFTLDASKVRDVTTQEPAAIAMFTKPRPNTAPLPIISSTKLWSDSRRVSVFTFDWISE STVRPTSPSVGTSSGNTSPGMVTESDDYSVRTVSTKASSLQSERNAIAMDVPFMLVES FSAKEIKKTKPRSRPMNAATPAEWDAALKEAIKASAIPAKKLGATKEMWAHALEEALR ASAQKPVKAVQSPTKKSLWSTAISLIRPSEKSTPLWSVQKSQGASKVASITPVADPAF KRSSVKISSELPKLDSTSLWSVTQPAAATATVRSWLHEAPPVSQVQLVVTQSLPIMWA PPAQSPSSPTSFSSSKDLWQPRGSQSEQQNPKMIITSITPTISPAFKKSSAKITTELP KLNSTALWSVTESVAATATVRSWLHDSAIESPVQPAEVPQVINTGLWTPPAPAQPKPV STSKGLWEPSARIPAQRSAPITLFAEPISAPSPATGRKSKTVDLEELPALESSQLWSL GRATVPKEDKMKKRRDWIRERRTSKVNFRY VE01_03807 MAGMMPDQPFPEYQAGPEISPSLDGMLNLDVEPMFPEFWDIQDT PPFSQEGLDQAPLPWSGPPMGMGVGAPMQPNVESLQQPAMGTLSVAQQELLRSIAMPA HLQYPSARESRSPHSRSPHSATSRRQSGSVTMSSPDHQSRTRKRKSSTDGNEEDHSGD ETGQRPVKKTAHNMIEKRYRTNLNDKILALRDSVPSLRVMTKSARGSDGSTEVEDLEG LIPAHKLNKATVLSKATEYIRHLEKRSKRLAEENESMKARVAAFEKLFLSGSMGFNMT PNPTPPPNNQFNFEQQMGFTGGHGPMGGQMPNTQMHSGQMSNRQLPNGQLAPNGNHGV VVGPPQDFRRAHRPPPINQQAYQIHQEPQPLPNRAQGGTNAWGGNGAYFGKIMVGSLA GLMVLDNFSESHSSGGPSEQRGLFALPTQLLTNAAKFLKSSGEINILGHHSSSAQTLS YLRFLLLLGALLSVFLPSFFRRAPPPSSPKSTANATLASLAPTIASPLRLRRRAFLTA LQTIWIPAPTPLLSLAALTLKLTKLTLLTLVGAPAYALLTGSSSPSETARIKAWSIAL DAQLIGGDPLVSRARLTLTLLASATLPATPARLMLQALHIRILFHNAPFPLSPTLAAH LARKKWSAARALQLITEALPASGTDVSTEPLPPHLLALLAQPAKAVFSDAAIKTAHAL TYNTPPLPAEDRAIRSPLDVLAAAFSTSTLHTALESSLLPSSSSSPTLSKLLSTALAT APPGSTPHLRVLTALALFASSSAEREENLGLALAEAAARDPPPETGPLAPKGLLLRTT MSAVEGDVHLALACASTLQRLAYPVTRLRALVDIEGLARPNSVLGFAACYAVLRTLQV KGGEDGAIAGKAMETLAGNLRVGIGGPEGEEVEKSVREGVVGVCVSVVRACVVVGGGG EEEVDAGYGSMSDE VE01_03808 MPATPTTNLNPPPPSLPTHPFTSIRKTRSIIAQQLDQLCFGTSR TQYLLFSSLPPSRFTTLSLGRYDGRHTLPKCVRLTYDAGKEEMVAKLMGEARLESSDR LLEWWIFSRARGMGMDWEELLPEGATTCRKEGVEREPDSSYRPRGRGRDGWPTVVTLV GFKEVVEGLRWDAGWWVGGSEGEVRVAIIIILGLDEREIVLETWEPSRDETKRVTRSA AKQVTRTQEVCISKDEVEGAPLVLGFEKVFGRAAGEGEGDIVFSAQDLGQWARKVWLD DED VE01_03809 MRNPLTSWLARAVLTLLFATGLAASSFLPTGTTYWFFQRSLVAK NWLYIDGGEIWGTWGHAGANASVQWNEQTFAIDLSKSWTTDSVVPVKSNKTSSVPLGK RPDLWYDPKKDTVLSVAGLQYKKNGGSFYLSPPQEWEFQPKDDGSVSWSLHTGDSDGP AAHLQTCSGQYVTTPDAHFIHGGFYYSYGDNGKTISQALDQMFISNFTSDKYENTTLS NTLNTLRTDGEGQYVPVFGTDGVILYFGGQTPTDPSMRTLVPAALDVITVYDIGSKKF YQQAATNAPASRMNFCSASAGTPGKNGTYEIFIFGGRYSSFDHGERKDEVLQKVFILT LPAFTWIEAPNQAEHWRTTHTCARINNRQMISIGGIPGDNLGNIPSMTEGDALPNGLV LFDMTTLEWTTKYDPNAAAYKPADIVTASYKATGTYPQLWNDPALEQIFVRKDASQNP SGTSTGTSTGTSTGTPTGTPTGIGSNDKSTSTNIDGEAPKSKAPIGAIVGGVIGGVAV LAILAAVIFFWRRRTQQQKNVPQIGPQNKEYYSVSQVPHDTSEMWTMGNIPETSGNPI HELPNSVKKFELGPGK VE01_03810 MGDKVAVIFGFGLNVGVDVAQAFAVQGYKVAVVSRSDKHAGGIE GYLRIQEDLRDPSSVEVVFAAVVKELGHPSVVVYNASAISMNPSTTLDQQISAFQSDN NINIVSAYIAAHLAIKSFALLPQSSSRTFIYTGNKLPFMVVRPLLAQGVGKAGAAHLI HYLAEEYKDQGYKFYFADERTPDGDPVYSAIDGPAHAEFYTQLIEGNTRAPWNATFVK GQGYVAFPEKIVHDAVVMDLELPNIV VE01_03811 MAKTTFQSLILAKHPKTNIVPGETFKLATNPILTADDLKDGQVL LETLYISIDPAMRGWIEEGSGSYRPSVEIFVEVGAVMIGVVIANVLASKAPTISVGQK VFTFNAGWAELAITDAANVTPINLPNGAKLTDSLGVLGFSGLCAYFGLIKEADIKAGD FVVISGAAGAIGTAACQIALLKGAKVLGTVGTDDKVSYLKELGCDALNYKDKDFAKKF EQKTDGLIDVFFDNVGGKILDLALSRAKPRARFVLCGATSLYNSGNTAIIENYLDIIF KSIRLQGYFMNEYADQFSVTTKILAQWLAEGKLKRSETIIKGGLAVAEEALAGIFTGT NTGKLLVQVKEEK VE01_03812 MSKYLLDLTNEFSGLRALVTGGSRGIGAAAAQRLIDGGAKVVVT ARNSHEETPVGAIFVAGDTRTKEGAAAVGHAALEALDGRIDILINSVGAARLHASLEA IPDDEWIDSLNINFLATLRVTAAVLPALKQSKHGAIVNVSAGGLVPFAGAMAHYGAAK AALNNYSQGLAKELAPLGVRVNIVTPGPIYTPGGDEVRKQITTAMGITDQQFMSTVPL QGRGGKSEELAEVIAFLVSDRSSYVTGHNHFVTGGWGELV VE01_03813 MNDRKDLGTASENNNLDDKPLEANLKSEGEAVVSSEAVETTPKE DEIADYPHGTRLVIITIALCLSVLCFSLDNTIIATAIPRITDEFHALDDVGCVTAFFI GFYFQSPGRTSKPKFGWRAQLNQFDAFGTLLFVPSIVCLLLALQFGGSKYYWANAKVI ALFVLFGVLFVGFVLVQLWKGENATVHPRIFKVRNVWGSVVFNITLGASFFVVVYFIP IWFQAIKGASPIKSGVMNIPIVLAVIICTFISWGGITASGYYGPFLLFPSVVSSVGVG LLTTFHVDSGHASWIGYQVLYGMGIGAGMQVCFVVVQVAVPPVDIPVAMALVVFAQTL GGAIFVSVSDTIFSNLLVQNLADAVPGLSPYIVSSTGATALKNVITPQYLPGVLVAYN HTITQTWYAAVALAAVSIIGGCGC VE01_03814 MQISFILTAVFALGAATGASATANRKANEFTSGNCAQGTASYEH QSNYNVDVTMDDTSHSVYFASGPWFYYGGKTGNGGRLR VE01_03815 MGQYFMISAPRLRRALGNGGKLREMLFGGTAKALVHVLAVPIEP QLPPSSSLDSTLVPTEPKYTPPAIDVVTSGPAKDPPTVVHSRPGKRQANDEAPSQRVK KLETTTSSDFDKGAKASFLTTFPSLPPELHELIFAHLDSIEDLICLSVTSRYFWVIGY KHIQQYYTSLLGRWAGHNIICIGDYVDAGDYPPHLFSAEEEQELNQMKVGFDGNCLDS SAGSDTSADAQPLTLYDLAIEPMGTIEEVVDLYQTSTRTLHACMRRCRSSKRLSDFKH PELVMRNSTYFPASQTWILRNLTTHEFVLPTAVALKPEYINGPFIHGLGFGEVVMART CWTSDYGVAMSCGADICRGVWAGHRFDITTLSRHDEESRGGEWTDVSEDVSKEIAHIW ECEDGPDWREIIHNTISALERGDDSDSCVSDD VE01_03816 MAENTGHVGAAGAQHLNSTIESGNFSEKNEVPAGGSGVPPTSKN KPLEEDEEEDEDMDALIDELESQDGHAEEEEEEEENPATGRIIPEDQLQTDTRTGLTE QEVAVRRKKYGLNQMREEKENLILKFLGYFIGPIQFVMEAAAVLAAGLEDWVDFGVIC ALLLLNAAVGFIQEYQAGSIVDELKKTLALKAVVLRDGRLFEVDAPDVVPGDILQIEE GTIIPADGRIVTEDAFLQVDQSAITGESLAVDKHKGDQCYASSGVKRGEAFVVITATG DNTFVGRAAALVNQASSGTGHFTEVLNGIGTVLLVLVILTNLIVWVSSFYRSNDIVKI LEFTLAITIIGVPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKT GTLTKNKLSLAEPFTVEGVEPDDLMLTACLAASRKKKGIDAIDKAFLKALRFYPRAKS VLSKYKVIEFHPFDPVSKKVQAIVESPQGERIICVKGAPLFVLKTVEEDHAIPEDVDQ AYKNKVAEFATRGFRSLGVARKRGEGQWEILGIMPCSDPPRHDTARTINEAKTLGLSI KMLTGDAVGIARETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFP QHKYNVVEILQQRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSAADIVFLAPG LGAIIDALKTSRQIFHRMYAYVVYRIALSIHLEIFLGLWIAILNQSLNIELVVFIAIF ADVATLAIAYDNAPFSKTPVKWNLPKLWGMSVLLGIVLAVGTWITLTTMLAQGENGGI VQNFGKIDPVLFLEISLTENWLIFITRANGPFWSSIPSWQLAGAILIVDVLATFFTLF GWFVGGQTSIVAVVRIWIFSFGVFCIMGGLYYLLQDSSGFDNLMHGKSPKKNAKQRSL EDFVVSLQRVSTQHEKTV VE01_03817 MSVAVVETQPPKLEKKPVKFSNLLLGAGLNLFEVTTLGQPLEVT KTTMAANRGDSFAGALGRIWGRGGVFGFYQGLIPWAWIEASTKGAVLLFVASEAEYYA RTFGAGDFTAGISGGVAGGVAQAYATMGFCTCMKTVEITKTKLAATGVAPQSTFATFA DIYRKEGIKGINKGVNAVAIRQMTNWGSRFGLSRLAESGIRKATGKEEGAALGAWEKI LASGLGGGLSAWNQPIEVIRVEMQSKTADPNRPKNLTVGKTFSYIYSQNGIKGLYRGV VPRIGLGVWQTICMVAMGDMAKSYVEKLTGDSVTAKH VE01_03818 MNHNGPDNYVRNHALQDYQMHLMLLEQQKKRRLLMAKEALKEQD TALQTITSVLNQLNIVHISVKELLANLPTYFQNIEDFKSSIPRDIKTLQGYEEKLDEK EAEIKALENRYNELIHMTPEAQQGELRQVRDKAMLTMQQDREELTRDRDNTVEKIEER NGRIEGLGVALGRSRELMPELIEDLKKLAPKLRDVQMDNSNDSTGTIRDTHRERHN VE01_03819 MRSIFISQLIGLLPLISAFVHPGLLVNNADITRIKSKLAAQLDP WQSSWVKLTGLVYSQSTYTNNAVPGVYRDTANGAIANAQLLWHDAAAAFALGLRWKIE GDEQYAKAAAAILVAWGETLENFDIGDDEYLTAGLQGHELANAAELIRDYAPFAESEM QTFANMFSRTFLAKNLYFLNHKAPSEHNVRHFFANWELCNMASVMAFGVLTDNSTLFD FAVEYFKNGIGNGAINNCITDIVDEPGTGKKIGQGQESGRDQGHSGLDFQMLGVIAQQ AWNQGVDLYSYNNSRILLGAEYYARYNLGNEVPFVPYTNGIVSYSEISSASRGAYRPT WELLYAHYAQIKGVDAPWTEQYKNYTVRSMGGFEGGAGSWGEGSGHYDGLGWGSLLYH RDTDDVSDISSTSTTSTTPVLPTSAASASASDLTPSPSSFIISTISTIAPNNESSIGH FSSDIVFTAATDSVILFPSDTIPMGDAHTTLMTSTARPSIKAHPQRTVYVYSCE VE01_03820 MANSAEDEDRSLESQEQEPTKKPGPVRFAVIISSLIVGNFLYAL DNTIVADIQPHIVQQFKSIDQLSWIPNAYVLTGTAFVLLWSKLYTLVSQKWLFVLTVL CFELGSALCGAATSMDMLIIGRAIAGAGGTGIYFGVMALITSMTSLNERPMYFALTGW SWGAGTVLGPIIGGGFAASSATWRWAFYINLVIGAVVMPAYIFLIQDPEIPHRRPYLT LFWSIDWLGWALQSGALTTFLMGITLGGVSYPWDHGRVITLFALAFMFSCSFCIVQWL SLCTSPNNRIFPVAYLRSARQVILFLLTACASAGVFIPIYYLPLFFQLTRGDSAIMAG VRLLPLVILLVASCLLSGYTLSKFPRIPASIYYLTGAALVLIGGTLLTTVNNPSGTTR IYIYSILIGFGTGLYQQLSFSVSQRLAGAAPDTQAQSVGFIMLAQEMGALVALGISYM VLLNRSLRGLGAALPRLGLEDIKAIISGDGLAGLSRGDRELAIAVIIDVIGSIYNLII AAGGVGLLSALSHWWLNSRRSQ VE01_03821 MNKKITIPTALLDNVNRRREQLRQGQRAHRERKNRRVKDLEARV KELEATVLDLESQLRSARTLESSPHSLSGIVTNENVATTPLSTYIPPLDFAALGAIET SCSELPSLCLLGERDVSIPNYDVSMSLAETTEAQDAPTILATLPTESLALHQRLEYRT VQRTLEYILTYDLASLNRVFMFHVNDPSIRHSYMHPEASEANRLLSTVLLRGYFAFEW PPVIIPLAEEALTKQGSYMDARQVESYLTTFKEAYPLCLSLRADDFIASLSRLAVCFG WHGPYFTVQDVVECARRIS VE01_03822 MAPESPLGESVISGPFSLYEGSFILEFLEPHPSRDATVLIRATY KHDHPLCKQGKGHPQAPPLHLHFNQSETFQVLQGQVATVEGWSVTTKVYTREDGPHEI KPWVPHSFNPVSDSPEDTVLLVWAHPDDVDEMMDRVFFTNLLMYVSDVHEKKVSLNPF QIMLTQHVSATAMVWFPTATWLGPLRWWVPWKVQALFAAAGRLMGLTPMMKRYTSDEE FAEIQKTKRT VE01_03823 MYFQKLPNEVIQQIFSELPTSDLKALSLVCKELDARVTPLFFSC LAFWLGPEDLDRLATISESARLSRHVTTLLIQDGMLQTGAPKPRGFLSTFSAQHQPGF RYAQGIIRGVDRSTITSEAFQSATVADFPETAISDGLKQLGWTPETLFGAYKEHGRII EEYNKFINEKTDIDILSALLQRLTSLTEVQLCNWSEYARKTSVNAIVKIQILEPDPFK NGRALDRFLQAAARTTIPSLSTLVLKENEFFYQVDDFTTLDPSIIKASLPALRNLSSL TLPMRTRTWNRPGFTDIHRENLAALLLSGLPHLRHLRIYTKESMETSPLELSPILDAI SSDQLESIDLEWCTITLDSVESFLRRHSKTLKTMRFNYMILIQAHFETLFTLVRDITK LEEMVITRALVEKSHRRITYGAGGCQENQALEIKSRHEEARKEVARFATRKTDRFPRE LLDVDSSLVDDIYNSKTSTCLGVIGENFLPETWDKEGMPLRKMELF VE01_03824 MPDLVRALSSPLSTNEFDGIDPVSLGLRDLGSRLEELDICALIT PDLFPSSGDAFASSLQQQKMPSLQDAELFTWLTWRPSEERAQEYEGSDDAPPSIDDKE TILFRWGVRYDAPKGDGKGKVTWQVGEDWRPEDEIIRAFEDLVGGDGENMEWKAFEFM GERERDMEAFFI VE01_03825 MASQHILATPPSQDAILNSLLEGIRAYNARIPRLYVGTDSFDLD AEMPLLLNLPSAPLACREPVAEFKAVNAHFSAQVHAFFNAVHILEDMADKQSSDELDL IRRDENLQPVVIRIVDQSFDIYLDCWHRTFHTRRLTVKNPDSLPLLNRGTQLRVVPYQ AYSSDMANMRPVSLRTLLELATRLPHLRELNCPCL VE01_03826 MPSSDSGDDTHDLFADPPDFYPPSPPPTTLSYPTPAGDLTLRLV GHNPLWGHHLWNGARVVSTYLETTPSLVAGKTVLELGAGAGLPSLVAGRLGAKRVVVT DYPDNSLIENLRWNIEHCEGAGEVVAEGYLWGADSSPLVAHLPAEEGGKFDILILADL LFNHSEHAKLIASVVEMLKRDGKALVFFTPYRPWLFEADMAFFEGARGAGLVVEKVSE EKMERVMFEEDRGDEEVRKTVFGYVLTWPEGGKAE VE01_03827 MPIINGMKMACEPCIRGHRSTKCTHASERLMVPVKKPGRPLTAC PHLQPSSCGCSSVTAAIPRKSQCGCGPGSKTAKTAVKTEPQPVDSPITESPSPVARDF KIKKTTSKTKSRKQSYDASVLNRVDPNSVNVVPFTPRPQQLIAPALPNEAKSAAPLAP YTNGNQNHTYQQTQPPLPYNTDSPYVPVNGNGVYPGLNGNGVNHPFIPLDQLPGTMLS SRSNSTGSSYGTPANGVKLENETHESEIPQSSCCSKPATSAPLDGMIFANGTPLTTMP NYTYVPTYPLPAPLYPYPQPTLFAYPPSYGSHNNPLQPASWRQNIYAAPPDIPLYPLQ PDLNMALHSCGCGPTCQCVGCAAHPYNDATQEYVRSAYAEPVSPPDAYGVVYPPVFPG DDSATVLEHGASAKESPSEGGSMAEDQALSPSDFFFVSYPLSGEGCGGDTTSCPCGDG CQCLGCTIHALDEVGEAVGMARGEGVVGPTVPVPAQVVEKETVEVEVPPVEGEKGEKK SCCCG VE01_03828 MAGREIPGYYFDAEKNKYFKIVADPAALYSKTAVRKRKADEVVA TEAARVEKANKERVTRSAAVGKLDREIGRGNGRLDALKEFVGSWEESNPVGSLGGTPR WRVFDFNERSGHLVYSQNDAVEFDYLLRLGEHAHAPLSWNGLMLASDAAVNSITFSAC KTIVLTTWADPPQRHNVCLTRVLPTLDIISAHHLKSSKAGEDTTVRCACAAPLGSPYM FAVACDDRPIFLLDASMNEAPSPPSSGESSILALTFLAESPHVLLTGKRSGKVPLVDL RVEGGGRSGIRHASSVARVAQVGENSVVVGGLQDKMCVYDLRFLKEKWRKEATRPVVR MWGHRNETRHDVDLAVDRGTGLVAAAQVEGGGGVRVFDVQSGAEIGYVAGGQPEGDYV RQVRFVERDKGVGLWVSRGEKILEYGFGSA VE01_03829 MSSAVAELEAALQAMLSLKPPGVSGSRIATLTALCLANVQSESV LVQKLYTHMRKAPSTHKLGVLYVLDSVTRKWIEQAKANGQPITVSAQDGTYAAGVHRV TELLPVLMNDIIASAPDDQKDKIKKLVEIWVKGETFPADMLNSFKEKLNKSTTPEGSP PADVGRSFPGAPPPATNAPNTSSILEALANMARQNTTAAAAPRAIPAPAPVQDNAYSM PQAQSNGAALNPTYPFPPSTQSVNVPAPAAASYGSLPQGQNNGVPNYPSNPNLPLGAG APAPAAAAALNPDLQRQIMLIKTLSDAGVPQDQWGGIIAALTQTQAAAPVGSGVNVGG APPAPYGAAPTNTWNPIESRDRGGPNEAVRSPQGRYRRRSRSQSPARGWNAPRDSPNS RRRDDNVGDYGRNSPAYGRDRHNEYRQRSPARRGRSPSPPRGFYDGRSGGTKWVEFDH SIPKGSIKVLSRTLFVGGVTCSDQELRRIFDQFGRVQTCIVNKDKRHAFVKMVSRQDA ITAKEAMEGSRNPDSSLRTRWGVGFGPRDCSDYQTGVSIIPISKLTDADRKWMLSAEY GGSGGKPIESGMVVEEPDIEIGQGVSSKAISRRMQTDKGGQSGPKSGRDFDEDEHRRD RRRDDDRKDRGHGGSAPFNLPNMSGIPSYPYGMPQGMPQLVNGIPMFPPGFVFPGSQP QQPPPPGRG VE01_03830 MASAVRFGSSAFRSSLAAPAFNARIAAFNGARCYSAKAQTLKER FAEQLPEKIEEIKKLRKDHGHKVIGEVTLDQVYGGARGIKSLVWEGSVLDSEEGIRFR GLTIPECQEALPKAPGGKEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDVPKFVE ELIDRCPTSLHPMAQLSIAVNALEHESSFAKAYAKGINKKEYWGHTYEDSMDLIAKLP TIAARIYQNVYKDGKVVPVQKDKDYSFNFANQLGFGDNKAFVELMRLYLTIHTDHEGG NVSAHATHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTKMKASVGNDLSDK AITDYLWTTLNAGQVVPGYGHAVLRKTDPRYMAQREFAQKHLPDDPMFKLVSQVYKIA PGVLTEHGKTKNPFPNVDAHSGVLLQHYGLTESSYYTVLFGVSRAIGVLPQLIIDRAV GAPIERPKSFSTEKWAQIVAKL VE01_03831 MKLSALLSAALIAAAPALACLETTGAIDLAGNVQRITAIDNGVL VCDSDWGHRIDKDGHISLTCKAGYVYAVTKDGRMGWFKNPTNAFSFKQAVGGSHQTFY WSEKRYGCK VE01_03832 MSQQPPPSGSMKISATVPFEQLRELLASPAITSSVGKAQDLINI QPPDKVCTVCVNLDPFLAFKKSSADQHKLWADKEYKISSGNPFGKITIEKSKYLLDAA KGGCIYCTMVQSALGAVSPGWETEGSYIHIFLALGLPVVVRLEFGTISSLPIEREAAD GLFQIELPQGFDLDFVITVGSGSDASKASKPAIDVEIYRPRLAPDQSIVGDAVLAGLV PFVGFAEEIPRHVGDKRCLTFIQSQLSHCLNNQSCWARKGLPLLPDRVVWIEANNPSR IQLIEPKGVRAIYLALSYCWGPVSSDTYLTDASTYETRKAGIQLDDLPPLFQDIIACA RALGIQYIWIDRLCIIQGSDADFKTQAPKMGEIYGNATVTIAAASASSEQDRIMVERD KKWATSSVSLTVNGIGALKLQCRRRSHPLEKEDTGGDYGKVSTRAWIWQERLLAERTI FFTPSALKFECRCHSVWEGFDQGISGHSWSAQLETISHSSWTLLVEEFTKRDITRPSD RLPAMESVMKRIETKTNWTPLWGLWKNTLIKGLAWEPQPSGHWGRHDCRMNPGHYAPT WSWASVDGPISYIHARPLDIISQIDPISYDLEYRKVNAASGSITVAGYLVPVELGVTV KREEHVEGNSVEQEEYTYDYKVKISNSEGLKDSTLKPDVALKPWSGEIGGQLVSTVVR VPYGESPPKASWTGTCHCLLIGKTKRRCLVLYLGRSLREPGAWERIGMVDGMSPTVFS MSQREIINIA VE01_03833 MSLLSLPCNVRDDIFRRVLLVAHPLYLLQDGDSSMVETFGPEIR VRWRALLYTNRQVLDEASAVLYGSNNFSLVDTTQKQVVLLQSFLNCIGSTNAGLLSHI CINFPSQSMRMGDQEESCSG VE01_03834 MVQAHASFVDLTLDSDDELPAATSTQIRAPNAAGPDFTGTTTLP YHSPSPRKLQNGFTNHQSHNGLLLPGGLPSPIDIPGALPPPKLHTPVVARRKTHLREL SLSPNASRSVEGSVKRRRLAGDGMGASSSPSRDRAETRILPPPRVSQVESHTGRRRSK PPPTSAGREGQVNVYDMFQTQSKPVVISYSDSEPQLPGVQSAGRSQQGFNTQVRPVSN AGPGTSNPGPAPKGAEDVLHRVIFPEIQSCLERLGAGLDEAVKKKIRHQVAKNLCDDQ FLAPLASNKGVLSATRRKRLVDKCALLVEIHVHKLGKGQKSKLQSPAAARRQTARRQT KQAAPPEPIFISDSSSESEKDDFKDAVERLGAGEITRQKRDSSSPSTSYTVESPAKEA ASDPAPQQNHQKMAYRQGTLSFVSRTPAIQKPANARSPGKQTAGNRIQKQNKPRALRS AAQEAVAKQSFLREVETLRLAANAITRPYISLSARKDIARGLSEQRLTPQEKHILHGH IVHVDFTGEEVQFVRNVMDVWHRNHVESKPKGQRLGIINGSVKVYPSLLQMIVKSQQE SLGTDEGSRLIKQRTEESLKNFIDDFGKDIWQGYMSNGSRFSTGTTSVLRVAPKSREL GTATGKRSNNHTSISSILRERELSRGTFGRASISTKARILTSMDDAIVREIEFTGCSG DIATITWLPGDKFVCGAITHSDESNQQYNKPGNLALGSVQKSSLRSVSGHRIIRPIVE KGSNALESMRETQDPYLYCSVTATAFHEGSQKALTGSFDKTVKVWDINADGSGMTLCG TWHHGGVINFVVTSPHHSKIATAADVFRDAIRVYDLNEDDVSNSPFVSYSGSRADVQS AEQLRSRQSWVYYPSTLQWGKAPCVAHLLLTGYSPRSFDVHDEVPKIIEDTGELCLWD TNKGTRVLITSARTQNVFEVVWHPTLAVFVAATSATGEYEDGVRTQLRIFCQTENGTF SHTKTFDCRAVDINEITLMSNSPLHCYLTASCTDGNTYVWDSAQEEKPIHVLGHGKSI DDQSQDDAETKDNDHERTDSGVKFAAWGRTCDRFYTGSSDGVVKAWNVRAPPAEVHVA DVITLSGGISAGVFSSDHSRLLVGDATGKLNVLRCGDLDEDEEFPPRRRQQPIIPHYE ASRSADYGGGDLVEPSPEPTAREMAQRFVDAGQVVIHPDPYVGAVQGGNYVSTGLFCR QLHRGGDPHAEPLGEVVVQQEKRYQRKQLVLSTTRDVEPCPEAEHQKNYMLDLHLGQL SLQTALELKASRVDFAFEDENDFDYEPDLGVVEGADQDEDDAVDMVDVFPRGGDCVFL STNEMDGFQHLKNFIQNELQAYKALQQVEEAMDDGN VE01_03835 MPSAFTLTKDQDANYKQLASPPPGLKPYSVAIPGTAVEGRSAVY RHWRFQNGPLFSTIDPSLHTAHDVFEASAKKYGSRNCLGQRGYDAAKKTWGKYTWETY AQVAERRRNFGAGVVELHKGVGITGDRYGVGLWCQNRPEWQIADLGCMSQSLFTVSIY DTLGPETTEYIINHAGLACVVTSLPHIPLLLKLAPRVPSMKLIICLDPLTGSEKPGNS MGELLNTLGKEVGVAIHYIRDVEELGAKSSIPMNPPKPDDIITINYTSGTTGNPKGVV LTQANALAAVSTGRFVSHGTPKDVVMSYLPLAHIYERVTEGGALLCGSSIGYFRGDIL GLVDDMKVLKPTGFVSVPRLYNRFGGAIRSQALEAEGLKGVMGRHVVNTKLANLRKPE GEATVFHAVYDRLYTPKLRSAFGLQNSNRMVSGSAPIDPQLHTLLRAAFGNPIKQGYG MTETYAIGSCQDDSDTSTGNSGGVLPSVEVCLSSVPDMEYLVTDKPNPRGELLVKTTA LFREYYRDPAETAKAMTSDGWFKTGDIAEIDSRGRIKIVDRVKNLLKLAQGEYVSPER LENVYLANTNLANIAFVHGDSTEAFLVSVFSVDPVAFAPFAGAILKKTIDPLDADAVR EACKDQRVNKAFLAELEKIGKKAKFNNWERVRKVHLALEPFSIDNETLTPTLKMKRPK AVKMFRDELDRMYAEALEEEKSKSKPRL VE01_03836 MAEGLSKNFETLQLHAGQEPDPTTNARAVPIYATTSFTFNDSAH GARLFGLKEFGNIYSRIGNPTVDVFEKRIAALEGGVAAVASSSGAAAQFMAFATLAKA GDNIVSTSALYGGTYNQLKVLLPRLGITTKFVTGNDPADFAAAIDDKTKAVFIESIGN PKYSVPDFEALATVAHDAGIPLVVDNTFGAGGYFCRPIDHGADIVVHSATKWIGGHGT TIGGVIVDSGKFDWGKAAAKFPDMVEPAPGFHGLKFWETFGPITFAIRARVDVLRDVG ACLNPFAAFQLIQGVETLSLRAERHGQNALALARWLEKNAHVSWVSYPGLESHPTHEN AKKYLTRGFGGVLSFGVKGGGAAGSQVVDGFKLISNLANVGDSKTLAIHPWSTTHEQL SDEEKVASGATEDLIRISVGTEHIDDIIGDFEQSFAATAAAKTEGGKEENKDVAAEGA EKAEVVVAV VE01_03837 MGYAFLFPLRVLQVVTASMVLILSSYVAHWYDADTLTASPSQFN FLIFTGVWSLLSIFYLEIVPRRWPRGSHPYASFALESLTTIFHLSGFIALAVFLSKLL FCRGSVCNAARADVGAASFGFVLWVVSTATVGMEIFKGGFRRAGAGGKVEMRGVGTA VE01_03838 MKLYSIVSVILAVCTQAAVANVEKTIFIAPSALTIPLDHPTFEN LHLQTLSPENSTIRTQLHSEFPSDTYPRGPASWFILSDLTEGQRYEVRICWPATKPTS FHLETYEVADVFKNPSLIMSLAEYSETQQGKPESVGDSLKSAMRPTHGATDQSLLLLS ISTAADYYTTDAYLMKNPSPVFVDIILDPFILNVFPRSLVPTAGYITIVAIGSFFVAR FMSRFLARVAKTGGQKKDQ VE01_03839 MREIISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAE PDQGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPEHMITGKEDASNN YARGHYTVGKELIDQVLDTVRRVADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKCKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG LERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVV SAAKASHEANSVQEISMSCFEPHNQMVKCDPRNGKYMATCLLYRGDVVPKDAHSAVAT LKTKRTIQFVDWCPTGFKLGICYQPPQMVPNGDLAKVNRAVCMLSNTTAIAEAWSALS HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSLEGEEIEAEY VE01_03840 MADTNVDSGAPNLNLTPEEVRVFGQLFREADSENIGVVTGEVAV TFFEKTRLDPQVLGVIWNIADKENRGLLTPTGFSIVLRLIGHAQAGRDPTAELAFRPG PIPKFDGGVPSGAVPPPPQGPPPGPIQPQTSGNPIRVPPLTPDKVQQYAALFEKSGAQ EGILGGEQAKQIFERAGLPNEVLGRIWYLADTEHRGALTVTEFIIAMHLLASSKSGAM RTLPNVLPAGLIEAAARRPPLRQLSGVTGGPPAVPPIPRQFTGHNLGRTASPLSKPAF GQVPPSPGTWAISPADKNRFDSIYATIDKTNRGFITGDEAVPFFSNSKLPEEALAQIW DLADINSQGHLSRDEFAVAMYLIRQQRGKRDGRDALPATLPAELIPPSMRSQIRQPQQ PTAPAFETAAPTIPKSAADDLFGLDAMASATPPPAPAQQALSTGGSNFGDPFATSRSP MTPSSPVAGSPQHIPTQNTGFKPFVPSSSFGQSISYNATGGSNASTPLARSGTMDDLL GDNDPEISKKLTSETSELANLSNQVGTLSKQMQEVQGQRSTSQNELTQATSQKKEFET RLSQLRTLYEKEAKDVRALEERLTASRNETRKLQQDIAMVEGSHQDLQNQHQQVSVAL QADQQENASLKERIKALNTEISQLKPQLEKLRSEARQQKGLVAINKKQLLTNEGERDK LKTEAGELNQSIEQDTRALSESSAATSKAQSPAPAAASPALSTMSTSNPFFRRQGSSS DIAFSPFPSPPVAQQPGNSFENIFGPTYEAPMNASPAASPMPPTTFKQAVDAQDKSAP LSAEMPAREGLTPDPPQSRQISSSFLPFSQPDNESISSSRQVSVPGSRFGDGSETPKN VASTPTGSSVADLQENRPGSATFDRNITASPASESLSMGSRKESMPGAFPDTQPAAGT AFGDSSELFPSGKGQVSSKDDFDSAFASFGGGSSAVSQGSEKSVEPPTSRFHQEFPPL EEIGDDDDSSASEGGFDDDFIPVSASQFRSETSDQAASLKVPDERRPSVSKSSSAMSV GSQPPAVTAQSSPPTYDKTVSPKDIAHAEAAEYSGLLPSRQDLPPAADVVAAPSGTTA AQPPRPPKTAFDDFDDFDDLEDAKEGDLDDDFANLSVHDRSAMDEFNPMFDSPAASKT TGHGSAFGSANGFGDFAHSPSASTSQPAAAPAPTSANQDWDAIFSGLDGAPNPAVAAV GDEIKPGTAVENGVAKATAKEERPVIGRALTENGEHDDPLLKNLTMMGYSRKDALAAL EKYDYNLDRAADFLASGGTA VE01_03841 MAAQQSDNVAHALSGAGGGLLSMALTYPLITLSTRAQVESTRKR TTFLESTRALLARDGPSGLYAGLDSALVGITLTNFVYYYYYEWSRAAFLKARATPRLS TLESMLAGALAGSATVMLTNPIWVINTRMTTRKRANSVGALPGAPEAKAPSTIGTLLA LLKEEGPLALFSGVLPALVLVINPILQYTLFEQLRNVVERRRKVTPFIAFLLGALGKL VATSVTYPYITLKSRMHVAGRGGDKEGMGQAMSRIIREEGWAGLYRGIGPKVTQSVLT AAFLFAFKDALYAQTVLLRQKAALKAIAK VE01_03842 MLPILEATLSLALASIVSAGISSIGISRDLDEPDDYSWIKKFAA VGDSFTAGIGSGDLYDETDNSYDCSRYSYTYPVIMDHFFGPSIDKFTYTACSGAISMG IFDQINALDDDQDVVILTAGGNDLCLSKIIDKCIAGAATSDDACDAAIKHAQDALGSG GYFKDNIKGVLTAIDSKMAKNGIVVQVLYAQYFNDKTDACTSEDWQIIDLDAGAGVSL SKKRRTQFNSLVVDTNKAIKEVVEEVAADAKTMTLVTADWDVWAPLTGGQFCEPDASP DPEDDSNNNVMFFKLFTGKTPPLESRSLNTIRYDNDTSSIPEPYLSEMSKRDPVDPGC GSSSLSLPDWIGKIFHPNGLGHEVIAAFALDAIADARAKILGQGPVCPIVDKTTCYSS QGSKAYASAESLNNQIDDFCSYVKDNVPENESGWTRSKKYYEGTLDEYTMIVSLSDNA ASFDESECKDAIGTIINGCDVPSGGDNPMNWKGGGKRVEGEYTYTINIYRTNRPWPPP DKSTQACEGWYKFLFQSYDMYGAGWATYDYGQKSLMKEINPCCGSGSLTGWTFDYFDE PDENGYEWHAFFHTAIGTRRRCFDNNNVQCAAGGPCDGGGCRGNG VE01_03843 MQLSKIIHGCLYAAVAFSTVVIACPDYDELPFYKALNESNLSQD ELMKRWYTVIASDGPSFNPTTWPDSTLPYCFEDDNSRTQLAHIIESAWNVWQASGVNY RIDMGEYNDCPDPVNGAVPRGAKYMLVRAQNNGKMVTTVGTQIYSSGMGALMRFDPSP AIGMRDPVANMVHEIGHAWGFFHEQQRPSFWKYGEYAEAKGDKNQIKFVCENMSDYDI VDGRLDGTIDDACHSYGKAKDLGFSALEFMPMPLPFSAQLDDGDYDWKSVMLYASPIG GAVRNGAPANVYTRASDGKVIAYNKTPSQRDVSRFNAMYSEKAPYPNPCLINQGCSPK KAVFMNAKAKCKNVGKD VE01_03844 MKLFRFLLAGLLFAAPIVCEEYHCTKDTKCESGCCRLEPDGTGN CGLGPTFCGQGNCTSTCDEKSECDPGWGSKWSTAEKCPLNVCCSEYGFCGTTPDFCQG NVPTSPSCSGSSSDARTIGYYEGWNLERNCQTMTPEKIPLGYYTHINFAFVLIDPDTF TLSAMGADVAALYTRVTALKALQPGLKVWISIGGWAMNDPGPSRTTFSDLAASKDAQE NFFNSLVTFMRANNFDGVDIDWQNLRHALDQSGMPERPGLSITIPSSYWYMRGFDIVE IDPIIDFFNIMTYDIHGTWDSADNSIGAIAQAHTNLTEIDLAMQLLWRNHIDPARVVL GLGFYGRSFTMKSSSCLSAGCPFKEGGKAGPCTNTKGILSATEIRQVVADGATVTLDS AAAVKIITWDDDQWVSYDDGETMKTKIQYANSHCLGGTMAWAIDLDDGTTIEELGADL NRPKFPTFDPDLFDPNSDNNTDLGT VE01_03845 MRLLFVTVALAASIVQAGIVDLNADEVARRADAPHTTYHTTPDK PTMTGIAANCNKFYDVVEGDDCETVAAAFKITKSQFLAWNPAVSTDCATNFWLGEAYC VGTGTVGVSSTKSTKSDAPHTTVHTTPDKPTQTGTICNCNKWYDVVEGDDCGTIATVF GIKLADFLKMNPAVSSDCATNFWLGNSYCVGTSTGACPTSTSTKPHSSTTISSSYSII PQPSSSYVQVTRSTATSWPPTQTQAGQVPYCNKWKLVYPGDTCASIQARYSTSMSLDD FKSWNPALGAKCTNLFANYYVCVGIQSQTSATHNTTATSQWYPPYTSTVHPTPNSTFV PSPTQSGIPPSCMAFYQATTNDTCESIIKEEGYVSLDELKEYNPALGSDCSGIIPGDY YCVMNGTLPLPSVATTAPAATQTGITPDCVSWYRADNGEDCDLIVKMFGTFSAEEFLK WNPAVKSDCLGLKIGNYYCVAIPSTPTTRTTSYSSTPLPTNGVGPQPEQSGIPKACAD YWFVGR VE01_03846 MKLARSLAVLLIAATSGSASSTGSLRPSPAQRYSNLIIRSLPDI SIISINSSPVYNYSYPAIPSSNTPVSGVDFCNVTIILDHRRANDSVLVTVWLPFKGWN GRFQATGGGGLAAGLFEPELAPAVAAGYATAGTDGGLTLGGTIDANSGLWVLGSDGTP RAELVKNFAYRSQHDLAIVGKAVTKQFYGTSPKYSYWNGCSTGGRQGYFAAQKYPGDF DGILASAPALNTPQLSVADFWPSVVMANLVAPPKCVFEAYQANIILTCDPLDGVKDGL ISNTKKCNLDTQGLIGHIITCDSGNLTITQEHAHTVSKILQGATSLSGNKQWYGIPPG ASFNGLANTSTTNGTTIPVPFSSAEAWIRYFVMQDPDYDTAHMTFKEFDNAFSASIAK YSGILGTNEPDLTVFYKRGGKLLTWHGLADPLITHEGTVRYRERLEQRMGGQKKVDEF YRLFLAPGVAHCGGGIGPAPLDPLHALVEWVESGKAPVTLGATGVDSNGTTLTRNLCR YPLLLTYDGKGDINNADSYSCV VE01_03847 MSTGKYDDIVVEVTGKIGIIKLNRPKSLNSFGGKLVEETISAFR ELDEHPDTVFTVLTGEGRFFSAGADIRATELSSNKQYSNIAEKKLSFLGGCVGALELL RSVIDHKKVFVLGLNGPAVGGGAAWFAGVADIVLASTSTYMQVPFSALGLVPEYGSAL SFAQSMGVHRANDFLMFGRKLTITELEQWGLVNRVLPVEGYQGSLKGFLEGQLEVNDG KSMMEMKRLQNAHLRDTRILAVYNAMDALAERCVADAPQKRFAEKKELLEGKIA VE01_03848 MSAVIPPLVLPFVSERAKKTLDKLAIFVEKECIPADAVFEAQLG EGVDRWKIQPSIVEDLKNKAKALGLWNIFLPKNHYENGAGYTNLEYGIMAEQLGKSTI ASEACNCNPPDTGNMEVLAKYGTEGQKRKWLAPLLTGDIRSAFLMTEPDTASSDARNI QLTMTKDGDYWVLNGSKWWSSGAGHTKTVVYLVMGKSSPNDPDPYKQQSVILVPANAP GIKLKRMMSVFGYDDAPHGHGHFVFENVRVPASNMIVGEGRGFEIIQGRLGPGRIHHA MRAIGVAERSLEYMIARASDEGKLAFGKKLNEHGVVLQWIAEARLNIDAARLIVLNAA VKIDGGDAKAATKEISQAKILAPRVALETLDKAIQSLGASGVSQDTPLAAMWAQARTL RIVDGPDEVHSQQLGKNEAKKGLSLQRKIQDQKSITSNLMDQYEVRDESPTKALL VE01_03849 MIIKSPYVATIPETDVLSFLFDDPGSQPDIPLFIDSLYPTQRVT SNEAKTLTRKFGKGLQKIAGVNAGDVVMVCAGNSILFPIAFLGTLCAGGIFTGVNPAS TVGEIAYQMRLSEAKIIITDAKRLPSVLNAANDVGLPSTAIYLFDHGKDPIAKQPNMT FADLTKHGELDWHDIYRDDAPNRLGSSSRTYTLIFNQNPRTAVLNFSSGTTGMPKGCM VSHRNLVANCVQVMVADDAANARNGKVDNAGEVHCAYVPLYHAMGLVSFCIVNVKRRC STVVISEFSLKALLDVIGCFRVTYLLLVPPVAVQLIKSAELSNSDLSSIKLVLCGAAP LGKETSEQLETIFYPNIVRARQGWGMSEATCTVTLFARDEYDPTCAGVGYLAANMEAK IVGDDGKELGYGEKGEALLRGPNIFQGYWKNSAASKEAWTEDGWLKTGDYVVVQKNGM FAVVDRKKELIKVKGYQVAPSELESQLLESDDVKDCAVIRVIREGREYPQGHIVPSRG GVTVQTIMDFMAKKLSPHKQLAGGIVFTSAIPKSPSGKILRRLIKDPFEKSAIRQHKL VE01_03850 MENPATQRKKQKDASPRRLRAIKACIRCNNRKVRCDMLNKPFRT ANNAPSRCTNCRLDDEPCIVRPAKRRRGAYRYDAQVNLGIVDGEKARFDMSNSMAINP IQDTTAASGLSPTMEYVPERMPCPKLNLPSYYSETALSTMRRNFESGEAMYSIDDFLQ QPENEIIWSWSEGGGRSPGLDGHLIFSYFNFLQFDELSAVSPEDAKTLEVNGCLHIPI ISTLDIIVREYFLHVHPSLPILDEGKFWKVYRNKDRRQNSPRISLFLFQAMLFVSCSY VTLDTLQMCGYKTTHEAQKTLYSRAKMLFDFEAEHDASTIAQGSLLLSYYSTNSERHL NTFWLNIAIQSARADHAHYYDIDKSLTKYDRQMKKRLWWCCVIRDRILPLGVRRPLQI THNHLDSAGQELTEEDLEEDIGESEVYDTETQQLLAKIFLAQCRLAIELTDVITMVYP ADGANPVITACEDDFNRVSTETKGYETKLTNWYNTLNQWIPTVLGKSHASITLYTSLL YIYYHSARLALCHHKIFALETWTSLLGRGQDTYVRLLDLFRGELCSSAAAVTCIVQDL LQLNLGQYMPISAVAYTALPLVISALDVKMSPSSSQSRAHYLETYRKSIELYSKRYNG IDTVFSMMERILEEAESNSRNLCLSTSHFSHQDASPLSDTSDWFQIFVKHPKLHLRVA FSFDLSFSNGKFPEDSDFPKQLRADQLEEYSHSHKNFMPTENELTADALAPLCLQIYT PTFDDTILNSLQMTAIYPNLDFMDLFSATTPERIVDISTDLDVRVEDDSIAFLLPEKN TEESCEGDSTWEKVIWDLFDHPIPA VE01_03851 MLSTQPTPLPKIEDVIVIEEESAASYVKSEGDDLEGSTVGRTDF TETEQRKILRKIDFRLLPILGAMYAISLMDRTNLGLAAVAGMNSDLNLIGNRYTIIIM VFFVMYIIFEIPSNLMLSKAGPANWLAFLGVAFGSILIGMGFTKSFETMAICRALLGA TEAGFLPGCTFLISCWYKRLGAFWILSVIVSGFAAVFAYVLTLLKGKHGLNGWQWIFI IEGAITVVICVIGWFLIADFPEKAKFLTLKERQYAIERINDDRGDGEQDKITLRLVIH HLADPKLYAFSFMLMSSTLPGYAYSYFLPIIFKEGLGYSTSKSQLMSAPPYILAAILT YTSSWLADRFQIRGPVIAFHQAMTFTGMLITAFAESTGARLFGAYLGIGFLQY VE01_03852 MVDFKKLFNRKNHKTIRPKRPEYEDKNVQPCLPKTRNRSLSVYE SGQNTSGLLWKLPVELRRRIYDEVLGHRKVHLLFEFAPRKYRRDRTNKKEILEWRWWH CICTWDQTMDSYSSGIWFDRCKDVAVNGNPGSSTGMMGRLKLDFAILLTCRQIYSEAI DILYSTTIFDFGTRQLLCDFPSLVLPQRFALISAIEMIWEFIDLGLSPIDTKRTQLYR DMWAMLAAMPNLRHLKIAVASHECPDPAPPDLKEVWLGPPKQLGKLDVFVVLVPDSYA RSFDFSVDEGSNFTLDSFPDIVTMHCM VE01_03853 MVFSPWARASLVAYGLLMAADVVSGNPRRHAVSPTYRNSNVCPE RCSDLGTDPSKWHAYHNLEQFSACDQAVIQAFSIYDDVDDASKGHGIYACTSYGPDFA NLPQFRVKAVIAETIDATYEVGWGDDGHKLAAADIRSASKQLRKYMKGGHGSAESAEI LYARSGRAAVGLYLGKGLQKAGVSAVALKYLEDNLSTLNATSRDVAIQFCNPDYTSEH TFGFFATSSRTFAPVQKAMQSWANGTCVSFPNSQTFEGQAMLTAKLPTTSVSNDTVAD TNSTSAITSRSNYVKLNARAECSTTQVQGGDDCGKLAVRCGIAAADFTKYNSDASLCS TLKPGQHVCCSAGTLPDFAPKPNPDGSCKVYYVAKDDNCSNIAAANSLTNADLEDFNK NTWAWSGCDTLQFNMNICLSTGDPPMPPSQEGIVCGPQKPGTKQPTDGTKLADLNPCA LNACCDIWGQCGITAEFCTDTGTGNPGTAKPGTNGCISNCGTKMVKGSAPTEFRRIAY YEGYSFGRECLFQDVLQIDPTQYTHLHFAFGTLTANYEVEVGDIMSSYQFENFRRIQG PKRILSFGGWDFSTMPETYTIFREGVTAANRLKLATNIANFIKEKDLDGVDIDWEYPG APDIPGIPAASLDDGDNYLAFLVILKNLLPGKSVSIAAPSSYWYLKAYPLKKIAAVID YIVYMTYDLHGQWDAQNPNSQEGCANGNCLRSQVNLTETMSSLIMITKAEVPSNKVVV GITSYGRSFNMAQAGCHTPECLYTGDRLNSDATKGKCTATAGYISDAEIKEIIDEGSR VANHYVDPTSNSNILVYDDTQWVAYMDDSIRTQRHALYTGLNLGGTTNWATDLEKYND VPGDSGSWLNYKLSIKAGKNPWQVGDRTGNWTEIMCDSQAASDIRGLTPEQRWDGMDM EDAWKDSIAVWKEYDRGKTSFTFTQSMSLTLNGPERASCGSLKSDSNCIQTLQCSPFQ NKGSGAAAYELWNSFVIIHEMYQTFNDALYKAAATVITPALSDFEKKFTPVPPEEDNT WLLLLLDLVTVGISAVAAPFFNNFIAKLPYFIAKEAAANNIKDLTLLAIGQSTTIAKD LLSTKESDWTEDKQDEFSNYLGQSIAAWGNTTGAALQRLFNGEDESIDALYAIISDGK LISGGGAESSTVPPETSEAELENHIARAFFAFAIPTGWTFSKHYAFVIDSGYDCSASD PMGDYLDADTMHTTYGCYQNKLYYLAAAQGDSKTCHDNCNDGHCDKVCVDSKFKAPPG LETLDGKNFGGVSVQDLIQGSVRTYLQNSNENGGAFVDITNGGSFDDLMAIDLTTPGF IRLPVCTPERAYKSWDTAGPKDDDPLYPCSIAKGPDHCLISSFVDQTSDASPPVEDCK QIIKNIEGDGGATWTTGISGQRQLVSYGECKFGVQSKSGADGNVTFKVGSQDIIDLIN EAIKRFGGGGKVGAKGIVDCDGNVHDTTIEWGLY VE01_03854 MYNLSRGMSLLGSGVAVLLACARTAQAASGTLEVDLVFPQNDTY APAHIIPITFAFQNSDLAGYVQPSIAFTIIPYGNNTKAIANGNFEMTWTNFTDSNPFI QYGEALEGLNSEGTWALDWQLSVTNCSGPDNDLKFTTDTKMHRVVFTTKNGAKAPDVS AATAKDTCSNSQGFAFQITQTLDSGGKFDNGRPCAVLAETTPAPTPCGVKIDAAAAAN VSASFTAKACAIETASWCPKVDGANQNAVLSSVVVGGIAFLAVVVGGLGFLAI VE01_03855 MQFNYLIAAGLLPELLAAAAVPMRRDVSCSFSVGANAGDTCETF SGSWGISVDQFQKLNPDAQCPTLDGSKSYCVIGTVTSPTGSAPTSTAKATSTTAAPTS TTQAPTTTTTVAPSGPSPTMPGIVAECDQFYKIASGDQCDTIEVKFGISDSDFKKWNS ETNAACSNLWLDYYVCVHVPGATTTSPGTPTPTDSGPTPQMPGIVAECDKFYKIASGD QCDSIESKFGISDSDFKKWNSETNAGCTNLWLDYYVCVHIPGATTTAPGTPTPTNSGP TPQMPGVVANCKTFHKVASGEGCDKIGTQYNISLAQLRSWNTQVDATCTNLWADYYIC VGV VE01_03856 MTLERIVIVGKPGAGKTTLAGELASRLSLHNVELDAINWQPNWV PLPKDEMRERVSESISATDNWVLDGNYKAVRDIVWGRADTLIWLDYPLWLALWRVFKR TMGRIFKQKELWNGNRESIGHHLTLDPEENLFAWTIRMHKSHRNDYPTLFEQPEYSHL KVLRFRSPRETESWLQTIPSARDV VE01_03857 MAESSNKTVKHSLNDDYDLLKPITSTSGLRQGLTSYGDAHFSLF LRKVFIKALGYSEDALSRPIIGIINTYSALNPCHSNIPQLIEAVKRGVHLNGGLAVEF PTISIAESFSSPTSMFLRNLMSMDTEEMIKAQPLDACIMIGGCDKTVPAQLMGGISAN KPVLPLVTGPMMPGSYRGKRLGACTDCRSNWAEFRAGTRDIEDISMLNEELAPTGGTC GVMGTASTMACVTAALGFMPLRGASAPAVSSARLRIAEETGANAVSVAAAKRTPQEML SKESFFNAITVLQAIGGSTNAVVHLMAIVNRHPELQGEITLETFDEIGRKVPLLIDLK PSGDNYMTDFHNAGGMLALLYMLRPVLHLQAMTITGQTLGEVLDTSPFRSFTFSSEII RPFSNPLYPSSSLVVLRGNLAPKGAVMKASASKDRRLLQHSGPAVVFKNSIDLAQRID DPDLVVTKDSVLVLQGIGPIGNPGMPEAGLIPIPRKLAMAGVTDMLRLSDGRMSGTAG GTIVLHISPESAIPTSVLGVVRNGDIIVCDVERRYIGLEVDPNEIQQRIAERQILVSA ERDTSAGEVAKKRDPAKRGYRALYERHVNQAEDGADFDFLTAAGQDIA VE01_03858 MLSLEGKIVLITGLGQTQPGGWGIGAAIAVLLARQGAKIFGGNR TIASTISTKKAIEEAGGVCDILETDVTSSKSVEALVKACMEKHGRIDILVNNVGRSEP GCPATMSEEVWDSQIEINLRSVFLTCHHVLPIMEKQGAGAVVSVASIAGLRYIGKPQV GYSATKAAIMQFMKATAVIYAPKGVRLNTVVPGLMDTPYTKSLVGRYATDGGHEEYMK MRNAQVPMGSMGDAWDVAHAALFLVSDEAKYITGQKIVVDGGITSSTGRA VE01_03859 MDPTQNDPNYTRVSGSSAQSYVEGVLTGSGVLKENASIIAKCLV QADLRGVDTHGINRIPSYMTRVREGVLDAKATPTLTKITPVVAQVDGHNGFGFLAGHQ GMACAIEMAKEFGIGMVSIKHSNHFGMSAWLVQQALDEGMMSLVFTNSSPALPVWGGK SKLMGVSPIACGAPGGKQPPFILDMAPSVAARGKIYKAKRRGEKIPLDWALDGEGKQT DDPTEALKGVMLPMGGPKGSALAVMMDVFSGVLSGSAFAGNVTGPYDPSKPADVGHFL IAIKPDLFMPLEEFRERMDYLYQRVVGSDKMHGVDRIYFPGEIEQLRHEERLRDGIPL ADSEIEALNVEAELAGTSPIATM VE01_03860 MDRSRERSKVGQFEYDQLESTKHVRLIEVLPGDVSDPLACRLTS FLLEASPPYHAISYTWGDGNDLHAMSLNEASFAVTTNVRDILDMVQRSGQKMYVWIDA VCINQSDKREKEAQIAMMGDIYHHATNVVIWLGHGDDAPLAMGLVRRLSRVQREVSPF WMMPLLIGTAQKLSAVVQRVAPQSILDNVLPRWVDRAVAIWGRSQAYMPWWLLGKVLT PVVTVKWFMNQLLSLIIPESTEISPEWHALINLLQHPWFERVWVVQEVIFASSAIVLY GSEEVSLDDFQGVVNNICSSWMTTQMSTLTTAQIRFVQASLPSAMIPLRQMHNMRIVE RTIGTKENLYDLLIRFSRNKSTEPKDKIFALMGLSLEAERDRLSLGGLLRLSPSLPTT INYARSDRDVFIETARNLLTREASAFRIPLCILPLAGIGYPRLVKNLPSWAPDWSNVP PAYSLAHHLAGYGFIYRAAGSSSDPYFYNSPKGEEIVLAATGVSIRSQNLVGMLPGKT TSPFVRLGPIPDSIEVKGILTGKISHLGDTWQLDFSEEKVGASLEGTRMWREQAQDLT LQWAQDPYPTGEDLPEVFWRTLIGNRTPNERPAPPSYRSHGTIYDLQERILLARLRGE DLSQFRKKLVKRFPGLLDGDLRLQIMDLFSSLFATALKQCTLHRRFCVLDNGYIGAVP AGSQEGDLVFVIEGAETPFVLRHSVRKDGDHIIDSTYELVGECYVHGMMDGEMMTSGD LKGIVLV VE01_03861 MDTIVVLGATGQQGNSVVNSLLQNGNWKVRGVTRNLESEPAKKL AAKGVEVVAADANDESSLVKAFAVSVPPNRDRPIPWLPTKYLQQGASAVFSLTNYWES LFQLGRDGAGLQEQKQQTNIANAAAKIPTLKHYVFSTLPPAGKVSGGQLKVPHFDYKA AVEDYIRETLPELASKTTYLWVGWYASNLTGSKPLFDPVSRKSIWLQPSIGSAKLPIA GQTSVNVGIAVEAIVSNPELTKGKYVPLVTDYLSFEEVIKEWSAVTGKNGVYSEVSDV VAESIWGIYGSELASQFRWSESYPNWSACKPENEVVSLEKLGIREKVVGLKAALEALK SDL VE01_03862 MDGGKLDGIDSPRAYISSLKRRIRWLESTILTNCPYIDLSQGPT ALNESGQDDLADEGENGESSLSQPTSQNLGQTHVDDSVLPHPELSRESQGVEQSSEAP RQPNEQQRGLAHEIGLVSLSAGTDPKYIGPSSGYFFAKLLLSCARQGKQSFPPREQEQ STESRLARLFPRGALSVPPSALPEDIEYTIMISKAYFEAIHPQYPFLHQPSHMKLIEH VYTEPKPLPNAAFQVYMVMAIGATVLSRRLKLPLSGEGFCVSAMKYFDKLCIENSLKG LQSLLLLLIYTLNSPSMGLNIWYLNYQCIAALLDLGLQRDVRSGRTLSVIDQELRTRT FWVIYSLDRSVATMMGRPIGLRDEACELRLPADVEDVKLTATGMQLRLETEQPTHMSS AIHLFKLSQLNSEMKYVLHSISAEAPPYSYPNIPNIQQWQTDITVRLKAWFDQIPQFT GDQIYMTHLCQIKYHGIMMLLMRPSPAIPNPSVDSLRSCYESAVASIRIYDQLYKRDL LVYSWVTVHSLFLSTITMLHCIWTVPEVTAQIKLDVLMADLKAGSNVLSATGEHWLEA KRSRDVLDELSGTTVRWIIESRARGTEPVSRAGQGRRGAGSSANNIGAPGHTDRHENQ PEQSPGNQSLLNYEQGPEMVFSQPHGLDISQNGQDSGVQLYTSLFGEDNPTDQVDFTN PATVNAFMHRVFTDFEPVYDFGQDFDMDQIMDGQF VE01_03863 MAPEIATSKPIQDTADGPTSEEYKRTSSEEGHHADHVNLNANLE ARIQNPLAAIPRETLFRQVDAFAEEKGLTEHTELIRKGALVAQDPTNYEDIEGEYALS PLEVEDLRNEVLHKWRQPRALYFTIITCSIGAAVQGWDQTGSNGANLAFHKVFGIDDT ALRDTFIVGVVNAAPYIASAFVGCWLSDPLNHYFGRRGAIFFSAIFCLFPVIGSAFTQ TWQELLACRLLMGIGMGAKASTVPIYAAENSPASIRGALVMTWQLWTAFGIFVGFAAN LAVAKTGAIAWRLQLGSAFIPAVPLLILIYYCPESPRWLMKKNRYQKAFDSLLKLRNN PLQAARDLYYIHSQLEIEQMIIGDSNYVTRFIQLFTIPRVRRATLASFTVMIAQQMCG INIIAFYSSSVFEENGADAFHALLASFGFGLVNFVFAWPAIWTIDTFGRRSLLLFTFP NMAWCLLAAGLCSLIPLENIAHLATVAMFIYIFAAFYSPGEGPVPFAYSAEVFPLSHR EVGMGWAVATCFFWAAVLGITWPTMVQAMTTTGAFCFYAGLNIVAFVMIFLWVPETKQ RTLEELDYIFAVPTRTHMHYQITKALPWFFNKYIMRRDVVLEPLYKFDNNSHNDRLEE MKANDAARKERREKAAADQLQPSPTESTDKVIVETKE VE01_03864 MPPRKRAAAAAPAAAAVPPAPEVEKIREEFDLSTEEGRKKAARR ERAEAQRPVGNDGFEALLEPFYGGKSLTDPIDTAKDKWNLLPAFLKVKGLVKQHIDSF NYFVDHEIKDILKANKFVRSDVDNTFWLEYTDIRVGAPERLDYDDRKPLNDVTPNECR LRDLTYAAPIFVDIKYVRGKRIVARRGIPIGRMPIMLKSSKCRLTGRNDGQLAYMNEC ALDPGGYFIVNGTEKVILVQEQLSKNRVIVETDAKKSMVSASVTSSTHERKSKSYVVL KKERIYLQHNVLTEAVPIVIALKAMGVQSDHEMLLLVAGTDATYQDDFAVNFEEAAKL GIFSQQQALEWIGGRVKMGKSRFGQPQRRNFAQDGLEALANIVITHVPVVGLDFRPKA LYVCFMVRRVLMAMHDPKLVDDRDYVGNKRLELAGQLLSLLFEDLFKKFNSDLKMNID KVLKKPNRTNEFDAYQHMQSHGNYITQGMNRAISTGNWSLKRFKMERAGVTHVLSRLS YIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPSDTPEGEACGLVKNLALMTHI TTNDDEEPVRKLVFVLGAEDIVSMSGKEIYDDGAYVIFINGTPLALTRKPKAFLAGFK TFRRTGRVSEFVSCYINHHTSSVHIATDEGRICRPLIIVTNGIPRIKDHHLAALRDGT MEFDDFLYRGLVEYIDCNEENDAMIALYENAITPATTHLEIEPFTILGAVAGLIPYPH HNQSPRNTYQCAMGKQAIGAIAYNQFARIDTLLYLMVYPQAPMVKTRTIELIRYDKLP AGQNAIVAVMSYSGYDIEDALVLNRASLDRGFGRCQVLRKYATKLLKYANRSADRIGD RDFEVRASDGATVPIEKHQLLGADGLAHVGEIARNGDVYVKKETPLNTSSTGIGSDSG RSSDMKDASMSYRLPDPAYIDKILISQTENESTVIKVQTRQTRRPELGDKFSSRHGQK GVVGLIAPAQDLPFADTGITPDIIMNPHGFPSRMTVGKMLELLSGKSGVIRGTHEYGT CFGGSKVEEMGQGLVDAGYAYAGKEFLTSGITGEALPAYVFMGPIYYQKLKHMVQDKL HSRSRGPRAILTRQPTEGRSRQGGLRLGEMERDCLIAYGASQLLLERLMLSSDAHEVD VCERCGLMGYQGWCQTCRKGGVARMVMPYAAKLLVQELLSMNVLVRLKLEDEFPAPT VE01_03865 MAFSNSTSERMDELRFRQSVRGSISGGVSGSEQPLLGLVSPPRN GGSRLPPPLSASASAQDGRGFTLTRRFTTDSGRVPTLHSITGQRGVQDGQEYVPSLEK KKLEYERLREQKRRFEAEMQILDLQQRQEEQELRQMQEDLGRHHNSHNTNGGHQSEPT TPPEYRETSSGFPTVFSRPNRYSTSSLTSPIGGLYNRPGRSASQLTSPHNGLISSRYT MDENAIIMSNSTSRRNSEDDDKEQAVRQDPSSHRSSNHHNRYSMPVTKSRNGIQDMLS LDQTNTTRFLFGDEDAGGPMDSKNYLQMHATDENFPILVRGEHPQNLSTSSAALDLAS GQSTTTESTGWSSFGRHRAGQQSLPTNHISQTSSNQNGNSSTMNGSTSQSSTPLESPL KVRTPNRYSQDLKYFGDQDKSQVTSPTSQVQMTPPKLQTSYSANDVPTMKSNGGNAVG STPQKTQSHAQQHFHNHNASLGRIPPNAVNNRQGREVNSGESTPVREATNGGYQSIQS ALQASAPPFGPSVTQSSVPLNQPAVTQAGANPYGMQYYNNQYNMPMMAMAMQNMQIGQ QAYPQSAYGDYGVPPAYQNNAPRDSQARVIQQRRQNDGEAMNRFANVALESLGGEIYS LCKDQHGCRFLQKKLEERNAEQIHMIWLETNQHVVELMTDPFGNYLCQKLLEFCNDEE RTVLIENASQDLVRIALNQHGTRALQKMIEFISTAGQIQTIIEALRYQVVELIQDLNG NHVIQKCLNKLSPLDAQFIFDAVGRHCVDVGTHRHGCCVLQRCIDHASGEQKAWLIRQ ISNNAFTLVQDPFGNYVVQYILDLNEPVFTEPLVAMFAGRVGQLSRQKFSSNVIEKCL RCAQEPSKDMLIEEMLATPADLERLLRDSFANYVVQTALDYANPAMKTRLVDAIRPIL PQIRTTPYGRRIQAKLQGAEGRPAIATNGSATGAAQTTETPAQQQQLPLRQLHARSLS NASATAFANGNAAGAYGAAGFAASTRAPVTVFPSSTQIATAGISAQGYAGPPPPPQQQ QQGNGYPYGRGNGAQGAQAAQVAQQPQQQQGVQQQQGNYF VE01_03866 MLKNHTLLEDQHDGEQQLDELCIVCRDIDLRPYLFQDSWVATVV SGFGEKYLWVDALCIVQDGEDKQTQISQMDKIYGCATLTIVALAGDNANTGLPGIGTT LRTVVQYSETIHGLNLVAKSPELSGLLDASCWESRAWTFQERILSRRLLYFTEAQIHF QCRSCICCEDIYGEYSRSTRIASGAVNPLEREVWATDAAYSPVFNIYEGLVKSYCGRQ LSYHSDILNSFSGIMSALQELHGLKFISALPEQEFGLALLWRPMASCRPRFRLNPDEE GSSFDKLPSCYAGKDIRFKSKVEDFAVKDKTGWRSINLTPEHDILDHQPPATSLTSLS SEKITTRDHGISEDSLPDVPVIRFWAEAIQLGKLCISSEKTLPEDSGYTVSSLSWLET FNKIWIYDTENHHCGTLAGDESLQVNIGDISKYELILLSRCYQDVVTDADIEASLDSL PTEYPGSQEYYRAVFDTNFFVPTEDWALNVMLVERREGYFVRLAVGQIHSGAWEKAGS CKKL VE01_03867 MRSAVLLSVASALVVTTSATDAPDVTGNPSNIGYTAIIPSSGPI SGEILIFAPNTGNGATVQVNLQNLPTTGGPFAYHIHALPVNSTGSCESTGGHLDPYTG TTPCNTPTPASCQVGDLSGKHGTVPYSSLVTNYGDAYLSLVADTPAFIGNRSFVVHDA SGARIACANFVFHNGGGYSGPGSGAGSAAPTGSVGGGNSTGGTAPSKTGAVPSFTPMG AASVMGGAVGGVLGIVAAVGAALL VE01_03868 MATDAAADLEAALPAEPPMPPLAPVPTKRLRWATQHKKGKQANR TRGSILDRLNRRPSRMEKKRESGVSDTTSVGGDNGDMREDPEEAETQGEGEGQRKVHF NIPLPPDALDEDGSPATTYPRNKIRTAKYTPLSFLPKNIWLQFHNIANVYFFILIILT IFTIFGATDPGLNAAPLIVIVFVTAIKDGVEDYRRSNLDDELNNSAVHRLVEWNNVNV DTDDVSLWRRIKKATTRFISAVWKAISALWAKKKESDEEDKPAAPRGSFETIATRRAS TYSTRSDKRVSYADAGEDIQMTPVPSPLPREAGLAVPSSDERPTTAMSFEGQTPPQRK NFGSLINPNRPASGKARFHKDYWKNVQVGDFVRIYNDDQLPADVVILSTSDPDGACYV ETKNLDGETNLKVRHALQSGKQIRHARDCERTEFVIESEPPHANLYQYSAAARWTQYN EKNPDSMGEPMVEPIGINNMLLRGCNLRNTEWVLAVVVFTGFDTKIMINSGFTPSKRS RITRELNWNVVYNFGILFFMCLIAALVEGVAFSKDGTSIKHFEFGSIGGSPGTNGFIT FFAALIHFQNLVPISLYISLEIIKTLQAFFIYSDTEMYYERLDYPCTPKSWNISDDLG QIEYIFSDKTGTLTQNVMEFKKATINGHPYGEAYTEAQAGMQKRQGIDVAKEGERVRA EIEDARKRMLAGLRKLHNNPYLHDDELTFIAPDFVTDLAGESTREQQLACEKFMLALA LCHTVISETTPGDPPRIEFKAQSPDEAALVATARDVGYTVLGNSMDGIRLNVQGEERS YKILNTLEFNSTRKRMSAIIEMPDGKIVLFCKGADSMIYSRLKRGEQPELRRETAEHL EMFAREGLRTLCIAERELDPAEYSRWNQEYEVASFAITNREDKMEAVADSIERDLTLL GGTAIEDRLQEGVPDTIALLANAGIKLWVLTGDKVETAINIGFSCNLLNNDMELIVFK FEDEQLSTAEAELDKHLASFGITGSDEELKAAKKNHEPPAPTHAIVIDGDSLKLVLDD QLRQKFLLLCKQCKSVLCCRVSPAQKAAVVSMVKLGLDVITLSIGDGANDVAMIQKAD IGVGIAGEEGRQAVMSSDYAIGQFRYLQRLVLVHGRWSYRRLGETIANFFYKNIVWTF SLFWYQIFCDFDISYLYHITYITLFNLAFTSLAVILMGVLDQDVSDKVSLEVPQLYRR GIERKEWTQRKFWLYMLDGFFGSVICFFMAYLQFRGGNIVTGNGLVLDDKDRFGVYVG TAAVIVINIYILMNSYRWDWLMGLIVVISILLIFFWTGVYSAFTSASFFYEAAPQVFG QATFWAVTALSVIISLLPRFCIKFVQKAYFPYDVDVIREQVLMGKFAHLDPPTTEAKP SKKAGKFGKSDKDAGSSSSTGSSGIVAKPSKHTHYLSEDLRPIYPPSVAPTTVTATGR SRVGSNATDFTHHHRQSLEPALAPRMSMDRPRPSYDRIRASMDQLRPSFEQSQDLTSA AMLTRMESSHYSVTPVQSRK VE01_03869 MPSGPRDGLRAAVSPEIMATETTEEAAPPAHATIDIRGTATPLD PSSPNPHSGRNPNPKPGANPPLLNLPPEIRHQIWAEILSGNTIHLEVERGSLRGTKCI ARDPSKCHDAPFTRGCQASRDLERSQKTKPAAGGKLNLLPLLLTCKLIYTEAITLIYT TNTFTLLNLSTLHSLPLLLPSHLHSIRTLLLITGISPGTNHSILPPRRHPGSVLELDD DYVAEWAVVWRMLASMQGLRALDVEFVPVNHAGFFSRRESSEMVLEKVGGGEGGRASI HLPRRRGLWWRSTVARSALAALEGVYGGGGVEGPVGDVAGWAEEGGEM VE01_03870 MTKLICIIGITGNQGGSVARRFLLDPTYRVRGITRNPASPAAQS LSSLGVEIVFGDLDDVDTLIPAFAGAHLIFSVTNYWEPFFRPDCRSKAAELGIGCRKY AYEVEVRQGRNIADAAVRTVETLGENGFIASTLSHAGVCSGGAFGELYHFDGKAEVFP GYVGERWGELGGKMSCVQTGYFMSSYKLLPDAYLGKVRVFNFLGWEEQEQSDGTFQMS FPTAPNASVPHLAVNADMGNFVYAVSQMPPGKSYMAAGTECSWSEFIRLWSNETGVSA AYKEVTLEQFIEMVPDKEFGAEAGDMFAYSSDPGYDGGDETLLRAEDIRKAGIDCPMT SLEEYMKKEDWSAILGQ VE01_03871 MENDDFADVSWQSPPRGNTEGAAGSSSRAAAGEAQPSHADRIAD GMEETPRADPLDNAGIGNGTLECTVTAPLKEGDGSKDSYVSYLVTTNTDFPSFQKPTT SVRRRFTDFVFLYNTLFAEYPQCAVPPLPDKHSMMYVTGDRFSTEFMQRRTNSLKRFL RRLTLHPVLRRSALLIIFLESQDWNATMRGRPNRTPSNGDQGSGVFDGITETFINAFT KVHKPDRRFIEVREKSDKLDEDLGHIEKVVVRVARREDELAKDFHELGENFQKLITLE PGVEGPVHAFTASIEDTSAEMKILKDKTDHDYLGSLRDMQSYSTAVKALLKLRDTKQV DFEQTALLLADTTQQRDRLAGSHGASSGPGGFLRSKLEDVRGVDHEQARRKRVREAEL KIEELTAAVEGAKRMTEAFDEEVIREVADFERIKRIEFKTQFGALADAHVGFYGEAIE TWEAYVREMEKVGSARGGQ VE01_03872 MSASSSLVRNAAARTSVLSAARAFPRSSFGPSSAYTAYLRRNGR NLPTQMTALAILVPHSRYASTDTHTNTTTSGGPPPGFNAEQAKKPLPAGSAKPTSKTL DKASDAVENKGDIDSVSRTSPTAVPKTDAVEALTFSELAGEKEKSIDTSEVKKQEKKL TIGQKIKKELAHYWDGTKLLATEVKISTRLALKMAAGYELSRRENRQLKRTVQDLGRL VPFSVFLIVPFAELLLPVALKIFPNMLPSTYEGQKSKDTKMSTLRATRKEVSSFLSDT LKETGLPLNSAIAQREEFSEFFRKVRATGETPTAADVIKVCKIFKDDLTLDNLSRPQL VGMCRYMNLNTFGTDMMLRYQVRHRMRQIKRDDRAISFEGVESLSVPELQTACASRGI RTHGVSPGRLRDDLESWLELRLKYGVPSTLLVLSNAFMYAQGQDSEISSQIDALTGVL SSIPEELFHEIELEVHNAEGAATNKQRLEVLKEQQELIEEENEQDEENKESGLATPRD HENIDEEEQRRADAVANGKDTDQVSEAIDAEKDQKLAESVDEGKGKKE VE01_03873 MKLSKAARIILVGAPGVGKGTQSARLLTAFPQLSAISSGDLLRT HVQNRTPLGIRAESAIKAGSLVPDAMILRLILAELKDRNWLFPGRPEQPYTLNSSSDA GGEDAAFVAGDMLDTPSLLASFPEPRTCDRPESSFILDGFPRTAEQAVQLDNLVPINL VVHLDTPFSVIMDRIAGRWVHAPSGRSYNTSFNAPRVPGRDDVTGERLTKRADDDEGV WLERLEKFKETSEPLLEHYARKGVLWRVEGETSDEITPKLHKEFARRFALRD VE01_03874 MATAAPSPDVAAPPMAPYTFAFSPFLLRTYRFGVDPSRPPCKAY AAGHCPLGASCPDRHIAAPTGSNYNNLVCKHWLRGLCKKGEHCEFLHEYNLRRMPECS FFARNGYCSNGEECLYLHVDPESRLPACGWYDRGFCPLGPRCARRHVRRDLCRFYLAG FCPEGKKCPEGAHARWKAEGDLEELKVRVVRDPAEVEEEIRLKREEAEREEERERERF GGGRGGRGGRWMGRGGGGFGGDARRQRGRGHMH VE01_03875 MSVNAVYYPNYRAYRGETPATLNYKCISHVYYAYAHVNADGFLS DELADGTMEVDGVNGCLGSFMVLKDKFPHLKVLLSIGGVDSSQSFRILSETAARRDNF AKSANLLVREARLDGIDIDWQHPDDAQQGSNFLSLLATVRLYLPSEDFMVVVPLPTNS WALQHIDLPKIEEYVDLVNLTAYDFSGPWRPTAGHHAQLYRAQEGENSGSAAVEYILA TGFPAKKILLGIPVYGRSFIGAAAPGDQYHSNGGEDGIFEYKSLPRPGTQEVVDTERC AAVCVGGDGGFVTYDNPETVAMKGRYCKEQGLGGLFYWTGTADITNGPRSLVESGFRA LHGS VE01_03877 MSIPYSTGQPANPPPPPSSHQNLSNGVGALTNHVHPPSPTVTIT LSHLPSLGTTSPTPQAPNPLTRPSGFTPATTGQHHSQPRAMAGAPIAVSFARRIGEMD DPTAVYMRELIESVETARIG VE01_03878 MEKTEPFPALDEQVNRLLPEETTGASVQKKVQRRRKSSGLGGEI RAGDTGVPAIATMELKTQSAALSRAKSERDRKARPYSKRRKAKSLLRRCKTVALKYTW VTPLAILGVFAALYAVNPTESNIINRFIWLSYKRPIPAGSPPGTEHKYGKGYWDYAFL SFYIVVLSFTREFIMQMLLRPLAVYCGLRSRAKQSRFMEQVYTAIYFACSGPAGMYVM SRTPVWYFNIPGMYEGFPHRTLAADFKFYYLFQAAYWAQQAIVLLLGMEKPRKDFKEL VGHHIVSLLLIGLSYRFHFTYIGLAVYTTHDISDFFLATSKTLNYIDHPLVGPYFGLF TFVWIYMRHYLNLRILLSLFFEFKTVGPYELNWETEQYKCDLSHYITAALLSCLQCLN LFWLFSILRIAYRFLFFNALSDERSDAEDDEEYDRELRDEKERAEREKKELSSITGVN VPTIKLNGSVEEAEASGASMSGASSIRKSPRRKA VE01_03879 MAFESGTAYPESDADDEYERSVHASSPVQVTDDDSVDSGSEDDE SGSGSEHTPTTYGRMSGGERGGGIVDWTAEECADFVGGLGLSVYTGIFLENDITGQSL VALLHEDLKEMGIGSVGHRLTILKSVYEIKIKQDVRIESDHYVPLSADAEAQYATATL KDIRQLVEQLRLRDERMSIAEAEMRKITEEYRRLREDLLPVFRMAKEGQPLPYHPNAP SNQNYNQQYAAYDTSATISPPAPGPSSGQSGGGLQRKFSTKQFFLGSTPKNASPTHQS QERTMMEQALDPSAAAERAVLSSSHLAAMNGGQPQTSPGLSPNIPSPTSPPHQLKTLS GSTLASRSYRNEINTPSGRSTFTGNETDTLNSYQSYPRDSMKPGGQPRRAPTPALDAQ SSSVEIFKSFRVSMEDPCWKVLPAALKKYNINAPAEQYALYIVFGDQERCLERDEKPL ILFKQLDKEGKKPMFMLRKTHPEGPGSEPPGSGGLDGRLPLRGNGQRVQQAYEPPGGI I VE01_03880 MSSSLPKSYKAVVVDKADGPYTLKTVDLKQPSANEVLIKSLACG VCFTDVGMASGHFGDCFPCTPGHEVIGDIVSVGSNVTHLKNGDRVGGPWHGGHDGTCR QCQRSQFQLCDNQEANGLSRPGGFAEYVLLRAEAVVRVPKELDPAEVAPLLCAGVTVF NGIRKLHVEQGAIVAVQGLGGLGHLAVQYAKKMGYEVVALSTSDDKEEFAKKLGADHF INTKTKDVGAELMKLGGASIIVQTAPNPKAVSNLISGLAPLGTLLSLAPAGLVELDTV TLLTKAASVTGWASGHALDSEEAIKFASVHGVKCMIEKYPFDKVQEAVDSLKAGKPRF RNVLVF VE01_03881 MTSTADKVRGACNCGAINVAIESSSFPKIGGLCHCLNCQASCGS LFSYNLPTPIAAISITGTPKIFTETTNKGTTAHRHFCGDCGSPIMTTVVEKPETAYVK GGLFRKSGVELPKPAAQIYMLRAGEWEVPLEGVHSADLDLE VE01_03882 MTRPQIIRADTIDLQDQDAPSAKDHTRQPTHPSAIGAGPPAPHQ AGAIRSVVEENERRQHPDTSSNWANADDSEYDDSDAIEPVANGGYHNQHGAHGSQNGG SNAEDADMADAEGDDSMDEDDMMDKISSSPSIDDGGYSSPLSSWPLGYNNSTTTSMSY SSLADETSMVSSSPYQDHPAFLPSVPLFIEPSFAHRLGDSHLQVSVNSLTAIARVWEE ESSYEASDPGEIEAYDDDYDPYNSDDVFAVHPESASDSSEGSEDSYDDNLCFPCESSD EGDDEFPYPEDPRFIDSGWGAECLQDTEDIDFEFVYALHTFVATVEGQANATKGDTMV LLDDSNSYWWLVRVVKDSSIGYLPAEHIETPTERLARLNKHRNIDLSATMLGDQADKP KNPLKKAIRRRNAKNVTFNQTHTYVEASDVEYSSDEEDGENAYFAYHDEKTEEENAAA KEEDATSTEPDSEKAATREVKAGTTDDGDDSDDDADPSGEVTRTSDEIFEGRPEGTSK SRNGTVRNTDSFFKDDTVETRKITITPNLLRDDSSASTARTSNESKEIRERRSLDKLE KDSTSDKAKDKKDKKDKKDKDKKPGMLSGLFKRKDKKSKSADEDPDDLIIGPGKASSE RTTSPAPSRDSEEVTAATIDDTASARGRNGSPQRNPSKLQKMRAEAPSKLGKHENEDI SQTEPQATLERSASAAGKETMRLVQPEGATVLAPTATTTTTITSDAPKGANDTPKTTA VGAITKILRSRSDSEPKPERLKKAKARLELDDSDSPVEVTTKPVRPVPGAFPDSYAST RSDDTLTAPTAEVEPLSRAHPPALVAGDASSPESSPSPELGTSDAMMKSSDTAAPAST RTSASTWSDSQLRSFFDDGADVRDLLVVVYDKTGVEPAGPEHPLVGGLFRAEEARVRD LGLRLDNMLGDWLARKRTVTTR VE01_03883 MEVRAFWDQAHQPTPTSSSSTTVSVSSCTPFVLPSNGIIEFNST VDVLTQVTLGADAHFYPNCTGAIAPDVTVINGNVPEADTAERDPFYASSLSVIYALAS TTVTAYMLVILLCITPRSFVSGGTVVLGSRGGFTGSTSVRETGVGIGGRPLLQKVAAI SVAISLSIATANTFNIAEQQYNAGYMDAVMLQTEVLGSRELKVIMVISDTFLWLAQAQ TLIRLFPRQREKVIIKWTALALITLSVIFSILDDFVYAGYTRPAAFVDAIPALSYLFQ IALSLLYSAWVIYYALTKKQYAFYHPRMRNMCLVALISLVSILVPVVFFVVDISMPDV ASWGDYVRWVGAAAASAVVWEWVERIEALERNEKKDGVLGREVFDGDEMLEVTPSTVL TTDHRGGGGGGGAGGGGRGGDRDGSGAATKASGAGDRWPGLGATARHRIPHPPRRRAT SNPIPPQTPSTTTNPQNHPTWPARPQPAATPGPRTDTASAESTVYAIRYHPIGDARSV RGASFDVEAQHEAVPVVTGNEDSTSVSTSKSEGATSAPRPQQQQPPQGTWRIWSAIEA LNPFARSRQRPPPEVSAMTVRRLPPPSRRRPEPTEEGGGKWDWDVLGKLEDFASKRAE RVREIRGGGGGPEKLPVMRIPAPATRRVVPESVAVPDGESEAVASTWPLPSPAPLPPD PNPTSPVIDPDVQPPSPAPSPVQRVREAHEQAMARNVTMGGGSVTSGERSATTTVDRS STTRSGSSGTGGLPVLRIPAPPLRGSGGVS VE01_03884 MASHSATAASEHITLPFDLRTHPRALYKPENTKLLENLFPGTYE LSTDGMFIYFLQTEMPPKPWPKSVAGLTPYFALRMSRQYTPCLIGFQVPMRNRAIAES VVEGRDMADWELLFIIIRNYFRQVEISITEVMYWSNSVVVILQHRDINIRKLPWKVSN IGVFYRYEDEMDRPSTPQSRCETDTRLENQVLLQRLTPAKGRRTGEFLFLVASDTDLI EGSFKVTSFQRVEEQWVFTIWLYMGQDSSDTVSPVYGTAIWTSDGDVLGFVRYAPTVG LMKDWCAGIAADEFIDREGLLHNY VE01_03885 MPSKSPTLTIFRDRDDPGAYTWSPFVVKLEARLRFSHLPYTTQA GTPSASPKGKLPYVRIEESDGQSSLLSDSALITKSLIKSGTITDLNAGLSPAQAATDL AIRALLEDKLYFLNAHERWITNFYTMRDIGPLSTIPYLPRLIIGQIVYSKTIRTLHGQ GTGRYSPAEIAALRLETWTVLDGMVGEGWVLGGEGPTDVDACLFGFLVSSLTASANPE TKAIIQGLPNLMGYAERVHEEYFSDYKKWE VE01_03886 MATSDLPPQTLKIGEYTFTISEGKDEDIPGFVDAFDAAFADNLL FSTMSGTADRAVLREKDIAFWKGQWTMSGRKHFKVVDEANGKIAAITRWWFPHTLTSE EVAKAEEAKNGPQPEPVPGTNAGATKEFVKQLVDYRDKWVKNDDMYMMNILAVVPAYQ RLGLGKALLVPVLKMADKEGKKTYIEASAAGEKLYRRLGWVETGDTISLDFTKYGAKG GVDVNLMMREPGAGIAL VE01_03887 MSVGFGFSTGDFIAAVELVSTVVGALRESGNSSTEYQTLISQLY TLETALLRVKRLELDDMQHSEVIALRQAASQCQRTIDGFLEKITKYQPSLRAGGSGRT IKDAWRKVKWALCQKEDLVRFKTDLMAHTESIEMLLMAVQMGATRISSTRNEGNHRTL IGKVQDLHSGCMQRLSLVLEHVSSGLRQGRELLEMTSETLRTNVRIFQVVLNIQSIVT RIPGQIERQQPVYLIDALGREMPFFLEFITSVESFTYVLQDNFKNIGTGAAKIGRGEF AIADVASNRDVNLGSAWETCFYPGQYVAMSLVFDSTYRSTWYCPKCREPLKGDSATDK DIECHKCKMIYRHSFVSNISPKPLSSFSTTDHTLSSGEVEREQIGPTGLKRTRTIDDG DEEMALFRRVRIKTSITPPSKDFRNTDPNPTSSYWSLQEQSIFPALLRHFGTDWSEIA TFMTNKTETQVKTYYHRVVAYGDNSTLLRMSTGSESGESQPAQR VE01_03888 MLDPFAPPLWLRTALEPMCELLHLNTLPLHAHEVLFGFVFYTTV NSVLAPFLSARLFPATYRGLPRRTQLQWDMHVTSFVNSTFLSIALTYVILADQERANA TWEDRIWGYTGAGGLVHALTAGYFMWDLGTCASNASTLGALDLLHAVVGFCISILGFR PFGPYYGIQYGLVELSTPFVNIHWFLGKMGLAGSKIQMVNGIVLMVTFASCRLLWGSY MTFTFFGDVFTAVQADRPSFTLYDYSLSESPLALEHRAPWWVAVAFMCTHTVVMSLSI FWFSKMVATVRKHVKPKGDGKENKVE VE01_03889 MDPDLEIEQQTSQTWQIQDWKKLEKRVYWPTFECGGSTWRVLMY PSGNNVEFVSMYLEAGPKIDKEEDDWYACAEFAIVLWNPSQPSKYVSNVAKHRFHTAE KDWGFTRFSQLKSLFGEPGGDPESFLLQNGEANITAYVRVYKDPTGVLWENFLNYDSK KATGMVGLRNQGSTGYLNVVLQALYFITAIRKVVYEIPTREGATTDVAWALQRLFYSL QTSETFATTKELTNSFGWSPMMVFQQQDVVEMLQILISQLMTRAEGTRSEDLLLDLFI GRQRFLTSSANDDRVTSRSEDLYILSLNIHGQRTLQESLAEYVKVDTFNAGAQDGPQE MKRGMIVDKFPPILHLQLKRFQYDISEDAMVKLDDFFEFPDEIDLSPYLAANADRSEP WTYVIYGVVAHSGGIAGGQYSAFLRPAKDGQFYKFDDERVTKATLKEAIHNNFGTEDG QPSKKPTAYLLIYIRKSRLGDLLGNFTKDDVPQRIMQELTIESAEKARKAEEEEKRQL YVEISLISDETFQHHRGLDLSTTISSPTDLASPKAYSILGAATLAEFILKIAAEKGLK SKKIRFWLMANRQNKTIRPQYPLENHTETFDQIIKKQNINDRKIRLYAEEMEPEKSIW PLREGLNGEILLFLKHYNPLQEQVLEEPMRGVCHIYVRKNDKASELSARINRIMNWPA AVQMILFEEIKPGMTTMMDPSETFQGLELQDGDIVRFQRTTESLILSSSLADNVAEIR I VE01_03890 MVTSTFLILSSIALGISGTAVDLHQHSPANPFTLGFFGTPEIRQ SVNLKVKGKIPRWVSGSLYRGAAATWDNGNYTAEHWFDGFSRNHRFEVSDGKVSYHSR NASDELQDFVRETGLFPGGSFGGDPCKVIFGAFQTTYRDGVNPVGDASTDSVTVSFIT NFPGLDRNVSGTEHGPFVTLVKTTDGNTLQQLDPVSLEPIELFTYQATSTNITGDKTC AHPAHGKSGELYNYMLNTEVTPPEYNVFEINSSGKGNILATITDAPAAYIHSMFSTAN YVILIVWQSDFGKVTSKPYYNVLDNIKDWDPERKTLFYVIDKVKGGVVAKYTSETFFA FHEINSFEDSDGSIVIDLPVMKTNAFLEAARIKNLRTYVGHHNATAPHDLAGTFRRFR LQDYAHGTLANGTLITRPAVTDFELDFKSGNIELPRLNQAYHGKPYRYAYGIHIKERG FFTDSLVKVDTHTRRTTIWKPRTPHLPSEPVFVANPKGTCEDDGVLLTMALDANRKQS VLVVIDAKNMKEIARAEMPLVAGFGFHGVWGNN VE01_03891 MSSSARAATKGKETRIRKSLGKSQRACSACLKKHTKCDESRPQC LKCIKYSRVCTYGETDPSKEWQRNILTFNTRDLSGESSKKASEKSKHGTHSTTDSDSS EATTVSRRQETSCVPRGVPALAGEGYSGRDVQALSHFAAVTAGDLIGGERIWTQEGIQ LAFTNDFLMHAILMTGTSHLQRLNPETHQDYNALSTKHLHHSLRAFRIALSSPTYVAN NFEAVVATTMLFMMQAYNNPIFDPENPSVDALLQHCSGLFDIIRFHPNQAPFTIFQPI CLPRLVRAAMPDSGPARGLVEMIESHALDYGIPDPNGKFYMGVIKSLAPVIDAVISRP PFGGAPPNALLLYFVRWLAFLPTEFVMLVNSYDPKALIIMAHYYAVVAFVLSNWKNGW WWLRDRPEYMIKNIAAFVDSRGWGVWMKWPLLLLQFCQKSDGSLGQWSSLSKTHSDDT GAAETEMGILATVERHARKIAAEE VE01_03893 MAHPPFNPPLTLTTPSHTLILSEGTPADIPSLCTICYDAYATDP MLHATYGTASPAAIIASNVKRWELDWPRPSRHVYKAVDAATGEIVAVAKWVFPHTPTP PPEALAKVGFPEGVNMALLGAFFGRSLEKRERWMEWEDMYQMNVLAVLPAYQRLGIGQ AMLASVLKLVDEEGRRVFVEATPEGGSLYRKFGWVECDERLRFDLAEFKAGQGVLETT LFLMREPGAGGPGM VE01_03894 MHSKVVIIGSGPAAHTAAIYLARAELKPVLYEGMMANGIAAGGQ LTTTTDVENFPGFPNGIGGMALMEDMRKQSERFGTEVVSETVAKVDLSSRPFKYWLEY AEDAEPHTADALIIATGASARRLDLPGEDKYWQNGISACAVCDGAVPIFRNKPLVVIG GGDSAAEEALFLTKYGSHVTVLVRKDYLRASKTMAKRLLSNKKVTVRFNSVGGEVTGD DRGLMSHMIVKNVVTGKEEKMEANGLFYAVGHDPATALIKGQLETDAEGYLVTKPGTS YTNKVGVFAAGDVQDKRYRQAITSAGSGCIAALEAEKYISELEDGEHLPLEDEEKGAK KGVTDAAPEYRPNPLL VE01_03895 MISPLSPTGLSLLFLATTAQAASSISLGGNFQALPFGITGECRT IYNRPIEGCSARDFQAGGACSVGCVASLESISSTLNTVCPGVIVDSRTLLGRIFQNML IASVCNGVEVPTQSAAPTEVATKPTDTPAPVTTSQEPPQSTTVEEPTTTTSQAPKSSV LGIGDPDPTSESTSESTTVSTPQSTPEPTPESTTSEVQAPQTTNSNKQGEPGRSREQQ QIDANRFSGGGSPFDNIVADGGAVHRQSGLMMSLAGAILAAIILV VE01_03896 MVQGKIIKRLLGQPTNDHISDPTITYDARTTRELQRPTFSQDAT HSTGSPLTCVDISPSGNYAVIAGDKVFKTLKIEGPKIEEQTDLRAAITIPGDGLSDQL KIGAVRWGHGEIDSSIVTASANGRVTIYDLNRIGSGFEVGRIKEHQRQVHQLSINPFR ANLLLSASQDGTVRFFDIKAPTGRSNLTFISRAVFKSNAEPVRDVKWNPTRGFEFACA TVSGTILHWDTRNQKAPLLKINAHDGACMTLSWHSDGEHIVSGGLDQQCFIWDLSRNA EKRQKPKHSFATPAPVSIVSWRPPSWSSTAQAQRAAQVAVVYDDVNSLKTQKSTVQIW DIARPGLPFKEISSFETSPTALKWQHTDILWTVTREGDFRQSDIAFAPKVIDRRSLST FDFSPSGEVLMLLEERRGRSRHVNLGTNDKPVRARLSMTSQSPSGKLSISRSDSEEDT AGSFLGPKSKPSKKHKRHTNTRSATPLSTTPPSGGDMATVMKLEESIKVTGPYKPSQT MAIGHAPSAVHRDVFKFLSSAYLQRIAKDLQDAETAGLKRAPIHERMASIMESFARAA ELVGQYRLSQTWKVLSYSISLLLIRRANYHRELRLAEAEKNKASQATIEEQQTKDRQT WGRHRIRVDKTPTKRTRSKSPVNRKEGHISSITRSIMAEEESTSTMTTPLARPMRDSL ILDTTTQKPLPTFDSEVFSLPEAAHSPADQSNFSSRKSSDASAYEAGYDFYDLDAIAN TPPISIIVPPRKAPLRLDGGFPHDGSTAHPGGMLRHDSNESFQMFSTSGDSQLRSSFA SDSVGRRFKHKEPSEQYASWESSDPASERQNAESFNSQGSSIPDDSLRPRSGHSNHRS QTPTPFPIEHPSLPSTDESKISENSDTESEHEIMSIHWQDLDSAADSFEAVDLSSPEI LDIDFVPQRSDPPFTPAAIDPHLLIPRTITFETQTSSLNAAVMVLLLLPHLLPGTIDE LQAPAILCQYQNRLQSMLLFTEAALLRKLSYPTYPTVYGQGQAKWGMDIGYFCQTCRR ALRKDENLQVGKRLLRCERCRTYLDGCTVCRQQEEPEVAELEFGGDETPPGGRKEVKA QPAGKLWWWCQGCGHGGHSVCLRAWHSGPPTLEGSDASDGCCPMEGCLHPCLPGRWRD EWMVGKAVVRDTELSKLVKEGTRGKRASISGLVRRDDKEIRISRAVEQVRGTLGSGGA VDKKKSVKVVAPGEE VE01_03897 MSPMVSASRISKLFGWLVNAAKSQGDEPQASTPSTDDQSQRPGA VNRPWVLSPLEKLPLELQVLILVSVPDSDTLRGLIDASLVYHRAYVSSKKKILSALIE KQQLPGTEVDALAALLSLDYADGMQDHPDEVIAFLDRYRHARGRGKWLNKKNPPLPVR WRPPQTDADDLITMVRFHNYTELLTDRVLEYMEKTNERYKFAKVDGFITISTQERVRI YRAIYRLQIYYNLFGMAESTSRMQTDNLFVDPEGDNPPQKQIWDLFFRTFTGWERTEI YTIEHVVISCTVELIDKLVPDPDKDVRYILPPDDFYYLLFESIACAGPLFLAKVIRQK TLEQQYSVVADNFDCLFSSKSSKIGCGPIHSSIMNLCAAEGFLPHHLLYPVDKIIFAI ATMEGDGDFDLSQMPWYEMPSAGYEYYFRYSQFWLPVDGRHNNTQEEGGHPIMASRLR RVLQGGPWSFVFWDRERLVQVGVMNALPNNSSI VE01_03899 MHFSTILAAASSLLVSVSAVGVRICYNSPFQECTTVTGGSGQCI YVGAPYNDHVFSANALSDTSYCDSYRDINNGACSGPLVGGIDQSGYSGLPYGSDTSGF VCYA VE01_03900 MEAFFQENRESYIKQVAAFKPAPGQAAGGGSESGGDTSIGARIR PLLPKEIEDQEVTGVYARSEEGFADVHELRRKVNGQPALNSSSFRLDKVYGPDQTSEN VYHDLVAPLVPWAWGGGISTLFAYGQTGSGKTHSVSELEKLAAAELMNGKLEGSRDIY ICAFELVGKEAFDLLNDRRKIVVMEDAFGESQLVGAIEEKPATAEELLSHIERSMSFR KTAATVKNDSSSRSHAVCRIRIVNRDVTDAPDGLLFLVDLAGSEVDRDVKEHSKDRMK ETRDINMSLSTLKDCIRGRAMWNMTDGVASNKRAMNVHVPFRSSVLTKVLKHVFDVKG DRYCKTAILACVKPNAADAGPSKNTLRYAELLRVPVPKTKPAAYNVNIPSTWSHEALT EWIEKNSGTPAISHAIVAPTETGTQLCKLPKGEFVARCLKSPDISPEHARAFYDKLWR LHIDSRSARSAASESAPAVLPGDAPATKSDVPFQDRLRPGMVVRMKPGFGGYTKYYMI LSPDGAFEKDAKSREEVMAKRVYICAPVVDAVMADAYNLGMEMPKQVAVDAMEAEVFM EWDSPTRYWFMTI VE01_03901 MAFSSLRNRFLGRSTPSAENPIPESDNPVPVFEKSGAATTSEAD AIGNGSTLSSGEVIFDSEKGPVIIGREGSDSDEHFADDDPALRDIPPQVRRIVSLTDD PTLPTLTFRYFILAIFFVAPGAFVSQLSSYRTTYAPYSVFFVQILSNYMGLWLAKILP EKTIRLPFTKFGFSLNPGPWNSKEHVLVTISAASGATYNLAYGPISMAELYFGIRINP AVAIFFMWAVVWVGYSYAAIARQFLLYDPQYPWFSALCQTALFETQKKQNAHPTRVSR KQMKVFFFALGFVTTWQFLPEFIFPMLGSMAFLCWVAPRNATANFLGSGFGGMGLLNL SFDWSNLSNLSNSGSLFVTPWWTQVIIFLAYVVACLILIPAAKWGGLGQWDHKLMSNR LFTVDAEPYPILELMTPQATFNETAYAEHGQIYVGTQVLWTMFFDYASYSSGVVWLLC FGYPTIKATIEKLRARRRAGGKETINHQYNDQLNILQRSYEEVPWWWYALLFLASFVI IITCVATGQLFIPVFTVFVALATGAVMVIPLGWLYAVSNYQLAIGTTNELLYGLMVNS ISGNHNPCGATVYSSIAGDAWYRAQLMLQDQKIGHYMHVPQRAVFFSQLFGSFIGIPI NYGVIRWAVKSKAEYLNGTKTDPTHQWTGQSLASTLTTSVQYVLIGPKRLFQESLFYP VPYGFLAGAITPLILFGLHKLFPRAKFQLWNSTIFFAGLSYFYGNISTGYFSSIIGGF VVMFWAYRYRYDLWARYNYLLAAAFDAGYNLNLLLIFLFFGAGKVVTMPNWWGNNADS SDRCFALDKSA VE01_03902 MRLREALLTGILCLYSPASSTPAKHSWTIGQTVYTQGGPVSGHA ASSAKNVSTYLGIPYAKPPIGDLRFAPPQGYYNHKPIDGSKFGHSCPQANVFGGSTPP NTKGKNLTAAGLAALVDLNFGYADSSEDCLTLNVWTKPQFGEKGKAVLIWVYGGGYTI GSSSDPIYDGQYIADQEDVVLVTFNYRLNIFGFPGAPGYHKNLGILDQRLAIEWVRDN IRAFGGDPKRISLFGQSAGGGSIDMYSFAYADDPIAAGLVLESGTTAIGTFTAETTAE SWYTVTSTLGCGDNTTVPATQMACMRTKPSENITNAIPLVNQAYGSAFFWPTIDEEIA FSDYPARLAAGKFAKVPLLIGNTNYEAGYHRAIASVFDQYLSDAEWDGFNLVSFTCPA ATRSAASVAAGLPTFRYRYFGNFPELALTTVPDSGAYHASEVLPIFDTVGAGSGGAEV SGDLVRMGIYLRGMMGMFARDPAEGLVKYGWPKYDVKGETLVRLGWENGVGPNPGVAD VYDADCP VE01_03903 MARFPAVLASVVSTLLQAFPANAAAATDAAAANATMNSQIRLAY AGDTGMFVSWNTFDHLSNPTVHYGLSPDALTETASSEVSITYPTSLTYNNHVKLTGLK PDTLYYYLPGHLLTATDTSVPFTFKTSRSAGDGTPYTVAMFADLGTMGPLGLTTSVGK GGDSFLEIGERNTIEALEADANTFDFMWHDGDIAYADYWLKEEIQGFLPNTTIAEGYK VYESILNAFYNDMASVTAFKPYMVGPGNHEANCDNAGTTDKAKNITYDSSICMPGQTN FTGFRNHFRMPSAESGGVENFWYSFDHGMTHYIQLDTETDLGHGYIGPDEANGTEGFS EGPFGIMNQQTTWLENDLKSVDRTKTPWVIVAGHRPWYLSAKNESGTICWGCKEVFEP LLIKYNVDLVYSGHAHVYERLAPMNNGVSDPNELDNPSAPWYITNGAAGHYDGLDTLD EHHDYSRFDLDIEDHAYGWSRVTFHNCTHMTHEYIASRNGSVLDSATLIKDRKCAFSS GGGSGGNGTGGNGTVTPTGPSAVPTVPTGDASRYVFGGVIAAVSGLVAFALL VE01_03904 MDTLVVRVGRFDLVVEFKLTCPREENLRFGGYRIGRYDISPDLG SDASFNVAKSWLSTCQEQHQETCVPIQPTTLPDRVLDVGNSKVSKDLQLLETSGTQGV YLTLSHSWGDPAGVLQTTTQNLSQMIKHIEFTTMPNTFQDAVTITRKLGYRYLWIDSL CILQDSRTDWETASVKMGDIYKDSALTIAAAVSTGSNGNLIHVYEQPPVPILHNSLKL NASGDSHRPVSIRRKEKYEDELIDGIVKSHLATRGWALQERYLSERILYYGKRQLYWE CRGLLCAADGDEPDKYNENQFWRWHNRPQDIQKLTPNSTAAGITPSKYMEFCSTWYSI LEQYSKRNLTVASDKLPAISGVAAQFAHLTGDKYVAGLWERDLRVGLLWRCEEPKNSR RPREFRAPTWSWAALDAEISLGYSERNVGGTLHDAEIVGWDMKMAGRDPFGGVESGHI TLNGYSWPLLKIDGDISKADIEHDVYFGHPIFDVASEGNSGDMADAYFDTANQRFICG WKETKADEIVEIRPESLTLFLVRMIVAIGYTGERIPDPEETDTPHFNRHAHYGALILR AASGDMPLYERVGYLSMYCTLSRYEKAWTKSTVTIV VE01_03905 MDQDYEDYGGEAVDMIKLGREAYEHIAGPSCRQLDGYNGHNISV EEMLDCTTMQCLYAKPENWIPNAEDMYFEGYSKYYLSGLSDHSSSGGWKQMFAPILHG RVQGSIDNDNNSWIDELQRESGVVFHPACFELYRIVSEDTFGAVNMNGLVQLRNICCT RNRNFCDWGDDVDRCKEQCWQHIPGTEYLVANPVFIPGFRDICENALQTNKDFDVQQS AFSQRERHREHSVSADPFLKLPTEIVQNVVSFLNSQEIASMRLASHAFEHLPISLWHR LILAEMPFIYEARLKDVTPYTWASQDVNMLQNLRKEVEEWQSQRQRKARDLEHDPELE AKFLATEPEVPPWHTESNLKRLKEKSLKIKKRLQPIALPHDKTNWYQLYSDIIRHWKD LKGLQNRERIWETVYDICDEIINNAVDDMMKDQYAVLRRDESDDMDEA VE01_03906 MEVAGLAIGVIAVIKPTAEAIATLWSDTKNFGADAERFRLRFSV QITRLDAFERVLFEANKFPLVQGRLFDQLPENVRENFVDLLRQLYELLQKYYAVQKRY ALDASGDDWLTGGIDALEVDERKSVMLGIGKAGDAKLSKGTSWTKKARWVMSDKKSAE DLVAEFETWTERVKSLLELAWWPLPFFSTVSQMEKLEEDKDAGQAGMLEGIGMRKLLA PGSSQIPVETAKTLKISRLEFKETIKFQELEVGQITGKGGVIVEYKSYEQDRTGSINE IVSRRILQLVALLHETKNDRFRVLRCINFFDDVPGKRIGFAFEYPPQSVPASLNTQLI SKKLKPALGTRIKLAYALAESLGHLHSVGWVHKSLRSENIIFLPGTATDQPTEIPEIA ILEQPRIFGFEYSRLDSDFSSGRPDYDIKRNIYRHPQRWGQPSETFSKIHDIYALGTM LLEVGLWESLSQIDGGALVNPPANTTIAETAEATKARLLKHTKRRLAFYTGEKYQKVV LTCLEGSFGVEFDDRLGSRLSGMFSKLVVDVLRDLSNCF VE01_03907 MSAAVEDRPEPVDNRELPAEDAEKDTEDGQNDVRDKPAEASKSK DAKAENDGTKDEEEPKPSKLKRIWAKIDLDIGTLMMMFKGSLAPIIAVAWYESLDIAR IFTTLGYLVPVITVLSISIMPRAKYIQTLILNTIGICLGSAVALLGIWSGVQARVHTT PPGSTARYNSSQAAVCAIWLFANIYLVNALRAKIPALQFPAIMYSIFTNVAFTFGPNL QTIEQGEALIRQLLIAFLAAFAISTGVSLFIIPVSSRAVVQKGQAAYVQAIRGVLKAQ TAYIQSLESSDMFATTETATDPKTDDRKKGKNQDKSKSSPAETTQARDLKGAIGALTG LHGKLYGDMPFAKREYAWGKLDAKDLDEIFTLFRGILIPLIGMSTITDIFERIAERRG WVEPHENSFDRAESWEHLDEASKSEEKKVWNEVMKALHEPFAIAVAAMDEGMEHAGLV LEILPKPKKKKEGDVEENGTDPRPGDLEFTSFLNQKVVDFHSKRGETLKAWARQKGLS EDEFDNAKSAPGDSNNLTPDEAQHRRDQQQLYLMLYMEHLLYSTGIAILNFVKFADKK VEDGAMKKNRLIVPGRRRLKKWIMGLGHEDATVDADYPDSTESGMSNIYMGSGFNKRK DPEHLPPQTAWQHFGNALRTIPRFLGSPESAFGFRVACATLTVGIVAFLKDTQLFFIK QRLVWAMIIIAIGMNMTSGQAIFGFLGRIAGTFIAAISSLVIWYIVGEKTPGVLVFLW LFIFLEMYFFLKFPRFVPIWLVAIVTQVLIIGYELQVKKIGIKAASASGQTYYPTYQL AFYRLACVAGGSFVAFIWTIFPYQLTDRSLLRKDLGSMLYLLANYYSVVNSTTRVRLN NTEGDMRSKTSPHRQLQKARHKIFGKLMMILPSLKQHAEWQKWEPAVGGKFPRETYEA IILRSTNIMNYLSLISYATQTWSREEGSIPTKPETATQRAWLNDLSVLIEDVGPTSHQ ITSTLSLLSASIIQGSTLPPYIQLPPPYYLNRRLEALDTGILSMRHIEEPGYSAYACL QVASSLITDDLARLIEHVKDLVGETDFSFTVSVSDTSVNNTASEGSSSKGKKD VE01_03908 MAHMELNWPYPLRSRFDPANDWSHIDYSMTSPLLADGSNFPCKG YQTDNFRATAFYSAGSTYEMSLTGTATHNGGSCQISLSYDNGATFKVIKSMLGGCPLT SKYDFVIPSEAPNGRALLAWTWFNLTGNREMYMNCANVEVINNAGDAAKFSARPNIFV ANVNNGCATVEWQQTVFANPGDQVIYGNGVTSSNPAYPVC VE01_03909 MDDPSPRPQISHHREPRPRRDKKKHSWDLLPTEVVLQIISNLST PAHLALRLVSRRFHAISSEVPLIRQLWFGPYLEDRKAFMGVCDHPFLGEHVTALTYDI TRFREFPFEELCRLWPRPWRYEAEPRTRDQKGKGWLENHPGLWQYLEVVKEAKSSAFD ESRALTEGLRKLPSVKTVRLAFAFQKGWLVAREERMSPLRMYSDVEWVRRQKKPWDAT INGEHMGILLDSITKSGANIEELDLWQDYITVPLSAFKFKERFDELSVIFSRLTRFTI RISKSSLEKQKDVTAFRNLLAFAKKLRQFHLCINPRFTYWESQDYLQELVGHSILARM RKIECTGRPTLLTTGRSSTDSFDPVYPGTVWIFEHPDLSPATASDTYFRSVGGLSFDI R VE01_03910 MPMRATRSLVRKRDVGEEAYEDKQSDLSEPTLEMSRKTRQPAKR IRMDKEDIDRTRASKARQAPKPDAETTSKIEDQDGTEIDPESGADIDEDVAAVKRDAA RPPPVNSEYLPLPWKGRLGYACINTYLRTSTPPVFSSRTCRIASILAHRHPLHNEMLP EHAIHNRPNKAAPADVARGCRYVEEIGLANVRDVPRMLRWNDKYGIRFMRLSSDMFPF ASHEVYGYRLAPFAARALAEVGKLGAKLGHRLTMHPGQYTQLGSPRQGVVENAVRDLE YHDEMLSLLKLPEQQDRDAVMVLHLGGTFGDKTATIERFKANYEALSVSIKKRLVLEN DDVSWSVHDLLPVCEELNIPLVLDFHHHNIIFDASQVREGTHDIIPLFPRIKATWDRK RIRQKMHYSEPRPEAVTARQRRRHNPRPSSLPPCPNDMDLMIEAKDKEQAVFGLMRTL KLPGWDCFADIIPYERKDEKRTVRRKLREAEAQATRDTKKVSNVSSEIIREMDEMSED EIGMGGEDSRVYWPPGMEEWLRPKKRNVRKREGPPT VE01_03911 MDIYDLTEATARTVLSDSNDYPAAADTSGSSSAGATPPGLDLAD VWQFVLHCPDLDRFKTTSFMPTGTAFGIGEGACYRVDRGEVNREGDAKVLAIKYLKTT EHPSRKVDDVESRRSIETVLRELRVLTHNPIRECENIVQLLGYGSRTVGEHISLYLVA EFAAHGTLRGYLGKKREAGEKVSVVEKIRFCSGIANGLAALHASGIAQGDVKLENTLV CETDGGEIVAKLSDFGHSILDDESRYIGTTIFNPPAVRQGKSISTMREDHYKCDIFSY GLMVWEIVQDGKRYADRQHRDDPITWLNGLPRDDLLRLALLATQDLLPADAAKITLLQ NVLESTLRDDAADRVTIGEVMKLFNLEREFVAKEKKMTIISSFGTSQLSTLERWSLYR ADSLANTVPFPLQKRVFARLQSMASTHTDPAILGRTLFELSMCYLHGFGVEADQEKML EYLREAASLKLPMAVGICHRIHQSYSRELPQTLSHGHPMLEAEDQLIRLPAEKYFSAR IRKHESLFQQSILQMPFDLYSDDVLIAKDLSFVRIDELQTIITRGGINISSLVGRVSS LDSTQFGSLLHLAARLGCLPIVELLVNAGSDVNLHSEGCGTPVTAACRGANSDIVRFL LRNGATAQRLGGKGPAPLHWMIMFEEDELEPMVKLLQDHSGDIDLFSKEVLELREHSI RFVFRPIHFAVQARYYKLIEVLLKAGAATRGGVLTPLDLAVSLGFPELTTLFLQSHPS SGMQSPLLHQGLSNGVQSLLQHGNQIRSQFESTLDMVLKSTFSDINVPDDFGHTPLAN AINDCACEINLDQLEVLIGRGAELNISTERVVNILAKRNDGRGGRIMKLLLSSGKIKA SPVLLNQTCLYGDEETLKAVLESGDIDVNAPHIEEDGAIGALHSSVMIPGGYQIIRTL LDHGADMNAIYQERYALELAIMTPIGDGDVIDLLIERGAELTSPTETTILHVAARLAS KVNGSHVLFHLLRHDRVRALINTPMDRKNPYAPIHWACISGEIEAIAALVEAGAEICT IDELDVVSLVRVVGRCPELSPNAKNRDFDISRHRLQAEKTYLMLLDQVEPGHRRTPLH VAAMIGNYERVVALVENGADVWAADSENRTPVGHLHPDAMDPDYVIEDPEHTVFFENS RKIFGYLQMKMISVAGVATSLEETKEVFFRSMPEEDDSPEQLLVMNTQLMENSKRDLG EAHPDTIFAISELAEANQLFEDKFQEAVALERIVYEYREANLKDDDADLFESRSSRVR ILLHAGNLEEARSYGQEVLELALKSLGENHLNTDIARLNLSLVDAAEGKAEEALEYQK ELHGTMGSRDGVGFHNRDVLMVKFNIAHTDCRLGRWDDAEADVIYLLGILEYIKKKAY PDYFISLLNLAQTHERHSSWDNAQLIYQSLMEHAMKTRGGQSYYTMRAMAVLIQLHNK LSKFKEASDIQLKLLENLKAKHGLQHLETQTNLTGLAETYENQGRLLEANILWQQAVD LLQEALGPTDDMTLKTKRSLLQNFHKQELFEKAVQVSREIASAYKTTLGDDDPKTLSA ETELAVLINKTNKSEEAIVLQEKALQSFEATHGKVDGDVARALVNLGGMYLRAGRTED GVVTVKRALAIYEELLGAESLEVGTCLQFLATAAINAGNRQDSCDYHEKALEIERKVR GSDKHDALHLMQCLAYDYTAIGKADKAMVLQKEVLEGYRNLYDEENKDVLGALFDLAS LHHSLENLQEAATLYEQALQGRRKLLGDSHEDTLESIETIAIVYADMERWEDVQPFAH EMYARTLEKYGAEHTKTNAARQGLVTAYHFLQLWPEVEELQKQVVDISKRTLGADHPD TIESMDILCEAYSSQEKYKECEPFDVTILEYRRQALGPADEKTLQSMSNLAYTYVEIK KYGEAEKLRLEMLEIYLEMAGEDSEDYIDTKVKLANIYWYQGRLDEAEALELTIMESR IRILGDDDPLTLDAMESLAKTLVKQKEFDNAEMLYLGVLASQKKAATTHLDPYVLTTI KRLEDLCSAQNRWGEAKEYAVILLDAMKELAPVNDGRGVLSALSDLRRIYFRLDENKD VLDDLDVLK VE01_03912 MAGKPAPYWGAINPPPEVVAQRQGSLRKGSLGNGSTGPNLQKAL PTEPYPRAPQPQPQPRPDRYSIQSQAPTESTLSPFASPVESTFRAEGLAPRPPSYQYG GDPYNKESLERRRKRASREKAQQLFEESTGPPPAAPEVPRQGPPSSYRPPQSNGDRYL ESEGIRSRRPSEPVERARQNPEDYYREASQLNKDRGAGRRPLNVDTELGRANTSGNPA SRDDNAERSNIRRGSVQARKERPEIRSAVANSQNRRRSSATEAGAQQQYTSERSPLQK LERTLITKEEKRARMEEAERRAREAKTGRTGQNTVHFGARAPADARSEPRALPEEREI PRERERDTRGLGIVGQDDRQIPSLQESMKPVPVRPPAPIGKDVSYQPKPQLQPHPEPQ SETAAPPQPDVQPGVQRGRSFRERAGAFLQRSNSNKLKKKEPKSNWGVMADELKAGNA AAAVNNSQGHDGQTQRGFSAVPLDSAQTNAGHRGRGAAVLGAAALGSAVLASGGSKRQ DRNNLDTEGLSDDDTSDAVPIRGNSTRKIEQLMGNKLGTDIRRTMSPQQQQLFADRLD RSEELGPELNANRERELDGGATDMATVNGPRYAVPAAAAAGNYGQEQAATGPDGHHYF SKIVHAGRNKRDPFTPGYGLYAPPERLFEWKTAGVASLTRTLLDVKEPLPKQTEADKD KAWWEAGNTGRRRRSVAKATENAETHKVVKDGPTGFSPRLFLKCGPLLRYAGMKKEKA SQRPGSRAAAPAKEIWRGSVMIVTEDARSKYDEAVPTLRLFAQPKSLFPPPPRHFKSG ADVAPEYVDPLAGQTKVGRDGKTLYVRPVEELEEAIDLSRNESDEGIFEGQRSPSAHA DGSAGQVDGEMAGKVATVKGFRLHAERGVTFWRFNIEVELTDKQQRIAYKINNGPATG FWVPALGQSMNMMFHSCNGFSLSVNSDEFSGPDPMWRDVMNTHQTQPFHVMIGGGDQI YNDCVMNQTELFADWLSTKNPIHKHNASFTPEMQDELEEFYLNRYSTWFSQGLFGLAN SQIPMVNIFDDHDIIDGFGSYPHHFMESPVFSGLGNVAFKYYMLFQHQSSIDEGEDTE PSWLIGESPGPYIKEHSRSVFMSMGAGISFLGMDCRTERGREDVLSEETYHMIMDRCH DEIVLGETKHLIVLMGVPIAYPRLVWLENILTSKVMDPVKALGRAGLFGSFLNQFDGG VEILDDLDDHWTAKSHKKERQYLIEDLQDLAADKSVRITILGGDVHLAAIGQFYSNPK LGIPKDKDHRYMPNVVSSAIVNTPPPDMMADILNKRNKVHHFDDETDESMIPIFTHDV DGKKRNNNCLLPRRNWCSIRPYNPELSPPSTPSDERSPSPERPGLLRRLSMTRRPTYR ADAPMRNEPSRPPLARGGSGLLRRLSSSRGRPTEPYPGGRPETPQRTRSLTRDFRPAA LFRRLSGRQGKRTSNSGGINGYGSETDSEPPYSSEDVRIPRIRGGAGDDDYFSAKHKG KQPVRNQSSSDGFSFDESPPLSTAAALPRTRAHERPPPSHRNNSLSERVQSAEAIGSP RVPPLRAFHRTPTGLSLKAAKAGREPREEDAIDLEGGLEITLNVEVNQRDPAGITAPY RLLVPALFFDGGVGKGVERKKSVVQRLIGMGREGVGM VE01_03913 MRGISLVGLFALTCFTSAANLLPRNYEASDYYVLHLDSEAAPAE IAKRLGLSHEGQLGELEDHHIFSAARHEDDRVQDAITARRKLRKRGASQSDVLDSVKL AQKQKLKPRMEKRGIIPVTKDRPSGAFPERGIEVEDPVGVARQAEVKKILGIHDPIFN DQWHLYNNKQLGHDVNVTDVWIQGITGHNATVAIVDDGLDMYSEDLMQNYFAEGSYDF NDPGPEPKPKLSDDRHGTRCAGEVGAAKNNVCGVGVAYNSRIAGIRILSKLITDADEA IALNYAYQQNQIYSCSWGPPDDGKSMDAPGILIKRAMLNAVQRGRGGLGSVYVFASGN GAASEDNCNFDGYTNSIYSITVGAVDRRGDHPYYSEKCSAQLVVTYSSGGGDQIVTTD VGANNCATTHGGTSAAAPLAAGIFALVLSVRPDLTWRDMQSLAMQTAVTIDSADDWQT TTIGKKFSHTFGYGKIDSWAIVEAAKTFKSLKPQAWLYSPWIKVNKPIPQGDQGLAVT YEVTADMLKDANLERLEHVTVTMNVEHGRRGDLSLDLISPDNLVSHLSATRKNDDSPN GYADWTFMSVVHWGESGIGTWKLILRDTTVNEHSGNFTDFHIKLWGESIDASKATLLP LPNANDDDNHDADKPSSTISATVSTTSLNPVPTSTTTPLTTDQPNRPVPPKPTSTLTS SPTPTAPSDDLAASSTAAPSSWLPGWLPRFGFGPKTTIWMYAATVLIVGFCIGLAIYF YLARRKRLRNSARDNYEFDLIRDDEETEGLTGGRPKRRAGELYDAFAAGSDEEGLSDV EEEGEEYRDVARRGGMEAEHHVIGGDSESESEDGDDGRGEKDALRGE VE01_03914 MKYSVLTTVATILAASTSVTALPASQSPSMLARAALESRATCTN AATDSLIFSVSIGSFEASRNAKNPDCDWSSDGCSWSPDKPDGYNFIPSCHRHDFGYRN TKAQSRFTKAMKDRVDDNFKNDLYRYCSGFSGLSSWKGVECRRIADIYVAFVRQFGKR DEIGDVAFSKREDNIFDIELDEDIEGQVIPDVLPVDVEGDVVEDIDAYFGEE VE01_03915 MSSPTPLPDRRRKINFYSNGVLDSSAIETEDGATFFEADGTEVD LNEIDEILSKRVSKWRLAIKFAKLIAKYGKKAWNYIYCVGTSAMRKCGDEYLGCSASG IPPWKCVEGIVCVGAAAKGC VE01_03916 MRVTKPRGINKNYIFASNAAGNGENVLGNLTGSKTMPNFETPSC HRVDKGCLSGASLPKDCDDDWFSLVFNGSIIFILGAIGKKIELYTGLCCSGDRSATSL CKATLPEWRGIFRTVLRYGLAREAEQRLNDFAKEAGEILGGFERDAGVMLDDFAREEV MDVYADFAREEVEILNGFVMDEMAILYDFAREEVEVYDGFAKEGGVEVGDFVKAGVVE EDDDFAI VE01_03917 MDGPSLKNLRMMQKLCGANSLKNVVLATTMWEKVDMRQGMEREL ELQKNFWKDMINEGSTVAKIMTETGGEARELVVSLLNNQPLSTKLQEELQSGTALVQT EAGTEIRAEMIKLILKLRNAHEADIADLKLAQQAHDLKLARQITAEIQESQRRINRLE AEKTELQNLNLKPWPRVKRKGIFGIGGYHCRVCNQKTNQVGRWTCNGCKNQQRNMW VE01_03918 MASKSAYTRHPGKIVATVGAIGVGVVQFPFWMLYFLSASRRPNP KWTYQQALGVFVVKSFLAWTAVIELKTPLSLKPGSEGDRFVVMKPGPSDKYIGVVDLD AEVKPETIGGTWYPARPISGAAVGKVVLHFHGGAYTIGDGRDGDAGFAAKTLLANTAA THMFAPQYRLSSNPGCRFPAPLQDAITSYLYLTDELDIPAEKITVSGDSAGGHLTMGL LRYIADNPDAKLASPACAWLWSPWADPEGALDPELMKMHHNAPTDYLDERVGVWGASS LMPSKESGITLAHPNIKFLGNPFATPTPLLFLTGASEILYDHNVQLYEEFKAVEGNKV GLEVTAEGVHDIILAGHWVRFTKEAAQAAKVAGEFWDANE VE01_03919 MVVNPCRRLWWLYLFFVALFLTGTVSATPLEKRATQVSLNSYTF SNNVLAGSIHIQNIAYTKVVGVHWAVGSTWVSSPIAASYSAASTTGYETWVFSGTASG ATQFYIQYDVSGSSYFDPGNYVNYQITSVATSTTAATSTTQVSTSTSATTSVSRASTT TVATTLTSTSTSTSVSQASTTTSVSTATGSVSPSTVTGAVSPSSLPPIIPISIPSEGL AIAPTGCGNWNGGDSCTSDAQSSFAASAENRRWQTPPQGAADYSASFGNYRDLVGYAD IQYNSDRTKAVVVVNAASRTLEALTYSFNGAAATASNTFQLNNGFTSTLAITISTSSG KSLTLDPINFIWQNTALTAAQSTFNNGQKGGIVELFGWPYNDVAKECAFLGKAGYMGV KVWPSSESVWGSNYYETDKQFRPWYLVYQPVSYRLYSRSGTRAELRAMIQACRAAGVR VYADAVVNHMVGQGTDIQNHRNTDCSLYSGHNATVGSPYYTSGNTYLINPQTGTRPTH EFPAVPYGPTDFHCERSISSWTDMNQVTKGYLVGLLDLNTEKPYTQDRIATYIVDLLS IGFSGIRVDAAKHIGPQSMAAIFAIVKKKLGGNMPADWITWLEVILGGEAAPLACDGS ASSWYTNFDNVLSSNGFTASEISQIKIWSSDYPKEMPICGSWIIPPSRFAIQNDDHDQ QNPGSSSRDMQSYGSVLIKDKDVAKHRGFEVNLFSRTDNDWHIKLILSSYMFTDAGGN GFPDGLSDCSLYTGSAAASACLGVPLDTAYVASACGYTMVSGKYTRPHRDLSIINAMR AWVGLGSTTAAALGISGCS VE01_03920 MLHLSILATIGVLAAHSVALGVKRFDSSTPFYSYDPNTPAACTL WWNSDDGISCDTVLLIVGISVGQLTAWNPSIKSCADWKADYSYCVEGPAPSIPTTTST TSTTSKPVTTSKPATTSTAVPPTTTKPSNGVTTPEPVQPGMVDNCNRFYKVKNGDTCT TIASSVGVTIAQLASWNTQIGGTACTGIWADYNICTGVIGGTPTSPGNGIATPTPVQP GMVTNCNKFYKVKAGDTCVTIASSASVTVAQLATWNTQIGGTACTGMWADYNICTGVI GSTPNPTPQPIQTGMVTNCKKFHLVKTGESCDTITRLYSITVANFIKWNPAAGASCTG LWANTYACVGL VE01_03921 MKGLLARVLHEVLTEIGEPRSAYCVAHKSLFSELSGMLRDSTCA LRRTFSVQALSRLLMLRTIGKCYVSENGLQWLRYAKLFVGAKLPLTVPSRLEKSSSST DRQAYGAEIEPPLRSHY VE01_03922 MGRLNYKLLPWLGWALAGLGLNGVDALTPLRDLCPVTCLEAGPN PSNWSVVADISQLQACQKPMVLDFSVRIPLQRKQHIRVCNVFTNDFDNPAGSDTTSVF ATADVETKQVFPQLAWTPAASEDEIGGRLVGQSIGHLKSYLANNHQASKPASRIMLFG TVSDTTTGVYVGANLLGSSIAGNLFDSFLNNVYGNGIADSKASLVQLCEGRSGDDIFG LIAVSSAKFSTVHDAVSSWSNGTCVDTTSYAKTRELGATAIAVIKPVVAPTPSNNTAA ILGRADACRIIRVDDQDDCGKLVTRCGGGLTAANFYKYNPSSTLCSKLMPGQPVCCTA GELPDIKPKQNADGSCVAYQIKKDDNCSKVAVANGLVVTDLEGFNNDTWGWNGCDSGF WTDNWICLSKGTPPFPAPIPNGVCGPQKPGTTKPSGSTSKDWLKLNPCPLNACCNVWA QCGTTADFCIDTSTGPPGTAKKDTYGCISNCGMSIIQSGPPAQFRKLGYFEGFNLGRD CLNMDASQIDPSFTHVHFAFGMITPQFEIYQENQYAEFQFQQFKKIRGPKRIISFGGW VFSAEAPNYPILRDSVSDATKREKFATNLVKYVVDNGLDGLDIDWEYPAAPDLPDIEP GDLAEATGYLRLLALIRSKLPKDKSLSIAAPASYWYLKQFPMKAISELLDYIVYMTYD LHGQWDAGNQWSSPGCPSGNCLRSHINRTETLNSLSMITKAGVPSNKILVGVTSYGRS FQMADPSCTGPNCLFTGDRTTSYARKGRCTKTGGYLANAELNEIGGRTWMDVESDSKI MVDGDLWVSYMDNDLKNKRTQLYQRYNLGGTSDWAVDLVSFVEPPSSLGGLGWPRLKT DIRAGMFFEQISCNSAEIARTGTWVAKSCDVDEIGSWTHYTPKQRWDALDCPHAWDDA IKVWQSCHEGQGDGIRFTKAISEFIHGIQNSNCDDLSIETNCPAMFCKEHNAPEVPEK EKTGACTYEIWNSISELSRMIKNYYYSLSKAGDDLGSTKEEFISIFAPKSDSGIEFLM LLLTLIPIPLNAGAARFFGGGLAGKLLGGSPSAAQKSVWEAATSNIVSAGSSIAKDAL AKAKDGRSEIAFNAIFDTLITSWMSQTDLLIRSIFNGDKDSIRRLSEIFSNGNLIDGK LDNGITDSTNGHTDSWNREKSIQRAFYAAAIPAAWAANRPAPIVIDFGPSCEIDARNY FNEPPNIYNTGWRCIDGHSYILAGVRDGPQEACGQQTPGNTNQCPPVKKWTFNMLKGM EVIRTDDNKWGGVTVDELIKGAINTYNMNDKKNIMDASRNVPDATNKTITEEYASDKL NIKRAGLIRIPICSPEEATANLNKGRKYYGDSLNYPCNA VE01_03923 MAVLSKTGTFASYYLLWTFVVLSLAFPAELSSSSNAAEFSNFLP PNPFSKSVETRDPIANGATLRVLCLGASIMGGYLSTDKNGMRYALRSALAAHGNKVNM IGSINLGTMDDNKVESWPGYRIDQVAKKAELSLSKLPNVVAILAGTNDAGQGFDTPNM ANRMATLIDRIFAVATGTTIILGTLPPMYEPADDIVRQYNSDLADLVSKRSAAGQKIF LADMHSPWWSLADISGDNVHPTNAGYLKMARVFYDGIIAANDSITPPKTPPGGISDPI LPYVVGHGPTASDKTSGSTIGPIQTRQGSPPASSSASTLSTNQAKFIASQGTPYPTTV TARLASSSDSGSSYPTTVTTRPASSSESSSCQDSLNGCPTSLGANLSSSLASFIASQG SSYPTTVTTPLSSSLESGSSYPIAVTTRPASSPESSSCQDSLNDCLTSPGANLSTCQA NFAACQGSLGFTQPTVVGTNSTSGLPSATTSKTAIFTGAASFAKPAARFLALGALAFF VE01_03924 MFDADKWRQPYHPSRRHPRRDLTLRYFEIMFSIVVVVLSFPYVF RVPPNLVASSKYHGRPDGVTNAYFVLSMLFGFFMTALTIIICAVRIWLLHFRPGRATS TSTSLNQFNANPHDSRNVRWIIHRSRTNQDSGLLLFWILSLIWWNVARVLPIGAQYPV VSDLLQIIISIIVALQM VE01_03925 MFLTLPTVAALAAILQTTYAAKPYSTWMSDTFITKAIPLPRSYP QAVLYRGIEAVYNVTGDASYFNYLQTPLDAIVDSKGNLGDGYTSSKLSLDDLRVGPTL LYLYRETGDERYKTAASQLRAQLDIQPRTPSGGFWHRKPAYPNQMWLDGIYMAQPFYA EWTGMFDASNEEIWDDIVLQFDLIEQHCRNKTSNLLAHGYDESKVASWADPVTGAAPH VWDRAVGWYAMALVDVLDYLPKEHAGHAKLVGYLDTLADGLKRAQDKSGGWWLVMDEP YPGMKGNYIETSGTAMFAYALLKGGRLGYIDSAKYQKTATKAYDLLRKKYVIENSKGE LDWEGTVSVGSLGGNGSYEYYISQVLTQNDLKGVGTFIFLSVEKEAL VE01_03926 MAPYFGLKGPRLNTAAIWLVTCPAFLCYGYNQGVMGGLLTLDAF VRAFPQMDTLHTKGEAQLYNSNIQGTVVALYTVGGIFGSLACIHLGDVHGRRRIIFAA TLVSLLGAVLMASSFSLAQFIVARVILGLGTGGYVATVPVWQSELSSAAKRGSDVATL GTFIGTGISIALWIDLGFYFIPGGTAVAWRFPLAFQIVLSLMVLCFVFLFPESPRWLI KVGRLQEARSILAVLEDIPEDSEAIDTNIAEIQASLDACGTGSIKDMFTMGPQRLFHR AVLASAAQMFQQINGINAITFYATTIFEQNLGIDPTKSRILAAAMPLTQPVGGYIASL TIDRLGRRVLMIAGAAVMMVMMAILAGTTSEGAGDAALIVAVICLFIFSFMFTVGFAG VTFVYAAEIAPLQLRAAVNSVSTAMVWITNFLLAEVTPIGFSSIKNRYYIVFAVTNFC ILPLVFFLFPETSGRTLEEIDEVFTRSKSIFDPPRIAREMARDARIGNNSSHGEFVDK GRDLKEDDERAGHAEEVSVI VE01_03927 MHPTALLALLSLLLPGTSAHTWIEQLRLINPNGTFTPTPGYPRG MVARSSPLFSDTALTHLLPPNGRSPHKLLATDPMCMLSQSVPGLNTPGLPRLTAGAGD HVALRYQENGHVTIPETNPGKPKNRGTVYIYGTEKPLVTDKFMDIHKVWTADGKGGDG RGVLLATRNYDDGQCYQVNSHPISLERQKEFPKEADAEMGVNLWCQSDVKLPASIDSE RYTLYWVWDWPTAAINGAAAMPEIYTSCMDVDIDSSASTGASAKLTSSKGSVEYIKGQ DINNAAIEGEMDVQFMVPVDGSTGGSSSGESDSKSVAATPSNPSATAPVGGVTVTVTA PGTMITVTVTANAPENTQTRASSAQQQQSSISQSRPVVTPFLSATTPTPTSASGQRMG TVTEIVEETVTETRTMVMQMGSIYTAKPESETASAVPRIRGRAPRGF VE01_03928 MADPSSGSDPASVPDGPYQTSPDERFISPFAPFLTHIAFVEEVA PIFRWCEQRRIYYALCTHIVNFNRCHHPMNPTGVCNPGACRYPPEYVVYTEYLCPDCQ RRGRAEPPPTEDELNRSNSEWGARANSSRDNFFQLVSDAGQDGNLALQELEDPEELFE NPDILGQEILAEMAAAEMDEMPFSDEEEDEDEDEDEDEDEDEDEDPGPPPVLPPLPDD DDDRVEFDVDERDGGGFEGWVARLPRGYSTLTRAQWFARLAWRNNRARELGHRPALYY VPDVPGDETGLLVRAGPVPVGASCSICMIDFGAEGDEGEDVRRLPCGHLFHYGCILMW LYNRNCPFCRRMYVLRGIPTFVDEREGSSSSLSDPGETLSPPYIPPASPPSPGVPHIG GIPLIPGGVLGGQRQGGPQQGGPQQGGQQQGGQHHGGLPIRTVTNRPKTTYPYNSQST GRGGGNQGGGNQA VE01_03929 MVKIAVAGGTGQVAQEIIAALVAVKKHDIFILSRNVSALSSAPG VTVRAVNYDDKPDLAEALRGVHTVLSFIQLLSDPENNSQKNLIDASILAGVKRFAPSE WGRFSNIASQPGLFLNYLAFPHRTAKHVVPLNTMFDFEKQRAIVVEGHDFVMTFTTVQ DLATVVTEAVDYVGEWPVIGGVSGNRVTVSQILKIGERVRGRPFAIDTVKLEDLEAGK LKTSWTLETRHPSVSEDQAAEALKSVLISTLLSGAKGAWDVSDEFNRILPEYKFTQME DFLGKR VE01_03930 MAVNGPQKLRYYLEFLLDEQQTGLYPGIFHRGQFLTEYDNFWKD PSGTPIMWICLLFTILCLGELHQHSQTPTSNTPQIPTFDSSPTSSMNVFREKIVQCLM LGKYTKGGPYVIESLIQYSMIEHFLRRDAQFEIWILLGMLIPIALRMGLHRDPKHFGE IPVFAGEMRRRVWATIFQIDVGFSALAGLPRMIKPQQCDTEEPRNLLDSDFDEKTLEL PKSRPESESTPVLFLLAKNRIISVGGLISDLAHDIRPYPYTELMRFEELLQNTRDSLP ESLRWQPLSQSITESPQTIMQRVYLDMFFYKMQIILYKRYLSASMTQPQYTHAREVCL DSAIKILEYQHLVDEETQLDGRLSSIGWTYSLILNNNFMLAASVLCFYIKQYSGYDKD IIDEETFQKIQALLRKSHDIWLRSSSVSNEAQKAVKSLGIILGICSSEDGEIANQLFG DSEDFFAQSTIQLVGLSIKIIYHAPTWPSFTTIE VE01_03931 MQDQVIRTLSPAQLDHYRKPFLDPANRESIYEMAKIFPVAGNPA EVYQAVENYNSWLLENEIPKFFFWADPGKIIPLELSKYYSENLKNVKSVPVGHEKHYL QEDHPHLIGCEIKVWLETAGISDEKK VE01_03932 MLCDRGLLLSVILAYFPLAISQTCVWQDGSTATGYAPCISGATS GTCCHSGEACLASGLCYGAPGLMYRGACVDSWDTPDCLTFCKEYLPYWANIYPCTDGV GADNPKNFWCGSPLLKTCSADEGKLFKISPGVAVKILPRSSTTTESSSQTTSSLPTST PSASDTGSSPDPTSSDPGATTPTDGVCSDKTTSDKTQCSGATEAEKIHFANSITAVGA GVGVPLGVLALAFLGLFMNERRLRKKLTKPADVGGASVAYGPVNNTYQGPDYGGQGQG QGQGHVQSQEQVQYIYKSENEMSANPDPAHEMTGGHHRPVHELGGQNYPM VE01_03933 MEPSFKRQRISETRGLQRHSPGYDGLSRSYDPATLRQPLNPSNG ATYNRNNDSTKLYGAVNARQGPANFGDDQFDDDEESGEEYYEEEAPEADLQATRQKLD NKLKSTFEAIFEKYGKDFTGVGDEIDLRTGEIIVDNGHVAEMHAETDAGEVRGRGMLR AFTEEPEHARLPQEDVEDSNATDEGDEDTDTDYHVRGRQMLRAFTQEPEFGQEAGTEE DENEYDGDTSKLIPIDEDESDDDDILYQNSGVIPAKSMAPPPRPPLHKPSQQKPQSRF HTPKPLPRQRLWSTGAADTLEPDIVSQFGQELGPRIVEYVSRQKSVDEGSIDPKWRTP ALPAATAGKRPILKSMILQPDTERSPSPNEDSLWAPEKKRRRRRRKAEMINKEPAGES ETVVRDRTVISKEKITRSSVSAQAALPPKSAQVDAPQNPQQDLVSESEGQNADDHPFI DNEPGNSGDARDSEVGISRYSILVKRKNKRPGLSEMVLPRNKYTKEEDEQIVEWCKKV HTETEYPLWAEEHWELLSKKNPRHSGTAYRQRYRRLYDRNGGQHPFLEPGMAGQDAPK DSTVESDPPTDSGATIRALRLDRTTGGPPFSEHNFAKTMWAFQNRSGPLKKQSKPLGD GSSVEGTPKSKEAALKSRSGTAARFAPFKEILHKLYVVDGYPLAKVMGIMELQHKFTE NNWQYRHQFRIWRFKKIGHWWQEPEYAGMTYWEACKKLQGKERKKNSQLRTVEEQGVS LPTPDPDQINKIEARSIEWRERRIKHLETTGQLLELDHDETEQSQDGMGNDQGNDDSD PLFEREDDDQSNNGTGPLSEAGDDESNNVTGPLSEAGDSFDFSKLLQGASDYISPNST TTVEGEDQMVELPENRSEQNHNPEIIHAGRFLRSRVIPNSQSSQDLMSPSTSQAKQDQ VMEPMVPLSPDALDPSYMFSDEEDEAAPVVPRTDAPNPQASSATAESPQPQPLSDQAP LITPPSQEPDVPPQDAPAKTSPAEQLDAVTTSVEIKDEPEIGCGLGDIYSLPRSPPRL PTPPQPATSGSGLRVVITQPDSSSPLTSPAARTPTPPNTLTISSASKATPKRSVVHES TPRRSQASLLRLSVTPTSQRNRMERSTSRPRFVSRSGKGSVRSRGSMTPLSRLAVRSP LRLDDDEGEDEDGVEDVTHILSRRSRGLGDGMSNLSTPKVDGAE VE01_03934 MTTITAGGNKITIPSWTTSTNLGPLPTTAFPSDCLNNFWNFNTP GLGMPWTQMTQGCAAKTCCPSGNVYTEPWAWMTSYYSPGVCPSQYRSCSGPPAPSALS SAPGETIAFCCPTNYNCPNTVGEGGFFQYCQSLLSTPTTVIVVDNIFDQKTLSTSSWT PASGLPSSWQAVYPIQVRIRANEVIPTATSTTETNSASPTGTQPPTETNSNPAQTSSD TSSSSSSSTPVGAIVGGVIGGLALLCIIAAFAIWMVIRARNQRRNNPPLPPYNPQQSG PYNNGGGAGPILGGIPDKGVIQPLLGYRPPELQNQAIVHGPSELQNQAIIQGPPELQG QAVAQGPLELQNQAIVQGPPELQGQTVAQGPLELQNQAISQLHSQHRVPELSEAPSSA PPPQHIQGQWQFQPQMQEMWAPIVPPNSNPQGSHSA VE01_03935 MNCQKCRAPLKLDSSLESLNPAAFNLLVGSAHEPPKALPPSRPA YPPERKALYDAASRSDAPATYKRTTPTNPGMSFVLLSSSEAAPPALSPPSTKRSSSPT SPTTPPTSTSHETERANRLFALLSARSDIDHPICTECTSLLLSSLSARLSASLRERDA YTAFLTHLHQTAPTPSSLAAAETSLSSARDAEEAATSSLLSLERTQTVLAAELASLSE SAASLDAAEAEFWHSHNTFSTNLAAAQGTHASLSAAATHDARLLDLLQRTNVHNDSFP ISHDGTFGTIAGLRLGRLAAHPVDWPEINAAWGHTLLLLATVAKALDVKVQGYEMQPL GSTSRIVAMRGGRKVVLELYTSGDLPLGLTFLHRRFDAAMVAFLACLKQVGEGVGGRA MPYKIDGDKIGGECIRLGVAQDDGWSSACKYVLTCCKFLLAHVSNAGLGRR VE01_03936 MEVGDGLRVTALYVAATTGDEAAAQILLKNGADIEAKIKLGSMF EGPAVLAPSFTGQEAVLDFLLRAAKKLLVEKEAD VE01_03937 MISIFLAEELERATTDIPDTYFLQYFCDSRRDECNTGVAILRGL LFQLLQLQPKLIDYILPRFEVEKSSLFTLETLWKIFEAMICDAMRSTGHESLVRLLLE NGAEINLTGELERGSRVTALFCAAARGHIAVLQLLLKKGANVHDESETLGYFRGTALV WAVGKGDKDVALLLIENKANWQAKCEFDGLTLTMLMMAAIGGNVAIVQLLLRKGAKID ED VE01_03938 MSNITKYDAIIIGSGQAGTPLAGAFAKTGQKTALIERTHIGGCC INEGCTPTKTMVASGRSAYLARRGQDYGIHTNGTLKRPNEIKVDMLKVRQRKRDIVDS FRQGSENRTKDAGVDIVIGEAKFVDERTIEVKMGDGSEKRVMGEKIFINTGARPVPPK LKGLENIDPTKVLDSTSVQELDVVPAHLVVIGGGYVGVEFAQLFRRLGAKVTILQRGE QLLQREDKEVADALLEILKEDGLTINLYSSASSISTSSTGSFDLNVQTEDGEKAINGT HILFAAGRIPNTDALNLPAAGITTNARGYIVSNDFLETSAKNIYVLGDVKGPPAFTHV SYDDFRVIKANVLNSSGTSTKLSIKDRLVPYVVYTDPQLGHIGLHEHEARAKYPERKI KTAKMPMSYVARALETDESRGMMKAVVDGESGQILGFTCLGIEGGEIMSIVQMAMIGN VSYEKLRDAVWAHPALAESLNNIWGFLE VE01_03939 MPTVLPPSGNPLVFLDITIGGQPLTRLPLELYPTPTSPPFHLQC VSAPPGYKNSRITRIMPGIGIYGGSDSAAPAHAPQQFAGPGTTGRVLEEGELAWAGAG GPGGGRGGGGEGNAYVISLGGARGEPIGRVFGEGGLEGLRMLGRTGVGRRGEGVPDLE VGVAMCGEL VE01_03940 MRFLSLRFASAAALTAAVGNSLSTDSAAEEIITRDVCIIGGGAS GAYAAIQLKDQGHSVVVVERKDRLGGHSVTQYLPGGSHINYGVEGVFRDTVSTEFLKR LDVEYEPLLPATPLTDYVDFKTGQKVPPNNNIISTTIAVLLWRAAIEQFNFLSSGLYN LPNPIPEVLLQPLGDFVKNHALEGALNLIFQFSSNTGKMLETPLLYVLQNFGIAHLNA LLGGYVKPRDGMAKIYDSAGAVLGSHNIRYQTTAIEVNRTDSGVSVRVQDSTTGARTL IKAKKLLVTIPPTMANMQPFDLNETEERLFRKWDWKTYYVALITNHGIPDNFNVANLD PTQPYSLPIPPFQWELEYMGVPGYHISKIVGDRNFTQQDANNLVLADIQRMAGTYPIR EPTIVAYGDHTPTTMRVSVEDVRNGFYRDLYALQGKRSTYYSGLSFCSDYSSLIWAYT KDVTAEMFA VE01_03941 MAVEMPLKHVRHSYREGEEVTALSTLDVWLPGTNQDGSSPEGIW MVFIHGGAWRDPLIDSTSFKLTIDILSQNAPQSQPPSPIAGYASINYRLSPYPSHPTS PSTAGDSSRAARHPEHLDDVTAALLLLEEKYRIAGRYLLVGHSCGATLAFQLPETMEG GEKLPLPLGMLGSEGIYDIPSLVERNKHPFYREFVVAAFGDKEETWSAASPSIASPGV KLWEKTGVLIISHSDDDEYVEKEQSTDMLEHIKATKKDGQGQAVYLPADGKHDEIHEK GVEMARIVGTGLEMVWVVGWGASWEDVRGERM VE01_03942 MAVDASHLHAVLRETVGLLVRAAVPPTAAEDSAATSTTSAAADT ATTPPPQDKGGNSQSPLLFFVALGFGVVFTNLWIIVGVKYCFRYNARNRALARGENLD PISMEPVHPRPHRRRREKKLMTVDEVNERFPQMKYSDWAAARAHEGLPTAGGVSAPAG SRPATVRDAEGVLPTSPTSTKHFDTAPEVGEVPSTPTATTTPTITTTPAPAPRLSTDT TEKPTDTTTDTNTHLAADPAHLATLTSTATNATHYDPKHPSDDSDDEDLHVLPPALLD HPGDSCAICIDVLEPTDDVRGLTCGHAFHATCLDPWLTSRRACCPLCKADYYIPKPRT EGEEAETRERERRRRERAEGRGVNLPQQPGSAWVDGRVRGRFFLPARFGDSGGRGWPG SRPGGEGESALAGTGGRSGGGMGRFFPWHRSAGASGTTPAQLEAQIRR VE01_03943 MATHPRDEISRIEDDEVVSSAVEETHESDVKIQWGQVVEIDDSY LRASKFTRFYRSVLFQMILFGALSFVGPAMSDAISNLGGGGLSTPFLANLATSLNAAS GFFVALCGGPLINKLGIRWACVLAGLAMPLAGSGYYVSARWGVDWYLLVSRVVGGVFD GFLYVAETTAMLSYPDQNDRGLFLGIWSAMRNTGSIVGGSINFYTNYKRSSAGGIAWS TYLIFVGFECTGAIWAFMLSPTSKVRHRDGSKAATSTDISWAAEFKALWKHLQNKKTW LMFFPAFYSFFYGGTLGTYLALHFSVRARALSSLITPTITIPMVVAYGRLMDQQRWSQ MHRAWIGVLIWLIPQVGCFIWIGIEYSKFGTEKAALDYVLHTRQWAEAYLPYLIIFST GYLTQLSLYWILGTFSTDVKSSARTGGLFRAFETLGQTVSYAVNSNAKADPRVALYVN CGLLVALVPCLVVLIGMVPERPKGYDVDVDEGPLLRREDMGGEGVGEGGGRKDV VE01_03944 MWGGSTTRSQLRKSIDSLYRRHKVPEAPTKNTINPETDQTRSLT FSQEKDIASNLAFLSATSDDSRKIMAVCVEEYSSGEGITIRIASNSGDLSAVKAGFIK VGEILEQAARRGNSEVEDIDALLPQIVILDMHRILSRLRSRHSKSTKQPFITQLHNTI NDKSFKSTANLTNGIADLQDLFTRLEDIRDIKTEISLAHSLIRDILRQTYHLISPADL SLLLKDLKIDPTLKPYLLNTLGKISGYYSASSFLVRAARNKKCRVFQTIVIETFQIDV PLSLKQDHIKVHAEIQLLFFYELHPHLPRPRIICSSKSACYLCNLFFSLHDVFHIHRS HGRLYEKWTLPDWLPIPEPRRAELGVLATQLDGALKAKIREGCDKHEYPTESVLSIPR QWTPSTSSKTSSSLASISTIRPQPPLHRDGSLDGVLSQLSSMPPTPPRTPPSRIPSIS GAQNRAREHRIEFPTTTHPVTITLAQLPYSQLITPTTPPLLLHLNNPRLTLDFDFRLA SPCRLVLTHVDDITAPVVDEEYRTVDIEDIPTEAEMQVSRLRGKEVKFQLRDGGKEGV CVEVIWGVL VE01_03945 MASPWPILVALTPLGVNAAELDDFSNNLATDLGPLLTLFGDAVT KQYLSESTSFLDYFIFAMAPIGIITAIVSVIRVCGSTALRAFVGRAQEGDGAIEAELC TSTSRDVCELFNKGGIARVLGRPKILEIVQVHHELDPEPHRGRDDKMGIFLFRDYLEN YPDGEWEKTQRRWPWLRTKIPHPSKAGSTRETEIDGHSSTQAFTSRSTAVFHTLRRRI RSRSSNSGHRDEESHMGLSKKSISSPNLSINIGIVKLEWYYFYALAALGFILQTGVVV MAGVLSLRLQWTKSGAPEATRNIRLAISENPAPVMFIMGTTSMCVGMFWCAALIGQST REDYYQRKKHWELKSGCRQKRKPSTLFWLQPGSQVVGDQTFDAFAYSDGADGSKDRLN KYTTSQKIELDKSHTYALGAITLTLGGYIVQFIALRGMSAYVSIAQLGIMLIMSFFRG CLRMQRLQKTDNKLGKIPDSVVGHELDWLAFEIAEGGSTKTSWEFTGEAHICKSRSAA EDRQANSSHELLLRYRVRLARLTGHQSSHPYGSLICQDWQEDQVAVRGKSRELARALC GAVEVLIGRANNLASVIFHIGIQSGGLQSFVEVVFEPPNESILSHWSIDSTRLEGILG LWLWSWQCSGKTKTTTMDSDIARAELDLWIGDNDIQVSSRVQLAGTPKRLSEFCQKLY IAIIAALAEDRRNLGKVTWEEVSGQVRWKNDKISDMATAFSNSGLGSYSEGFVCSLRA SREYLEVPKGKAMVYSIIKASTKFRKDGEWLRAELLLKWQCAHYRSQRQGMSEDPDFS AALTAIGEFYRSSFRDPKRRVGGYKGMRWMIEKYGLEPVDQEIKKILDSYYEIAFRIS KVYNDKEFEDETELQNQERLREEMDFLKCIEFKDRASTLYQLCFITSKALKSVELKSA LPLAAQYGWNEVVIALLDLLETVDNQDTNGRTALSYYAEAGSIIMPKMLLEHGAFPDL KDNRKRTPLYWAAGNGHTDVVELLLETGKVYTDSEDADGQTPLSLAVEAGHEAVVKLL LKKGAKREVKGRSGQTLLCVAVEAGHEAVVKLLLEEGAEREAKDDYGRTPLWVAAQAG HEAVVKLLLEKGAMREAKDDNGQTPLWVATQAGHKAVVKLLLEKGAKREAKDDNGRTL LWVAANAGHEAVVRLLLKEGAVREAKDHHNRTPLWWAAHAGHEAVVKLLLEEGAVREA KDYDNRTPLWVAAQAGHEAVVKLLLKKGAKQEVKGRGSQTLLWVAAQAGHEAVVKLLL EEGAEREAKDDYGRTPLWVAAEAGHEAVVKLLLEEGAVREAKDNDGRTPLLVAAQAGH EAAVKLLTPLLVAANAGHEAVVELML VE01_03946 MLLPTLALFVAVALPITSAYPITGTFVNCRSGPSTKFDVVRSYV LGDEVTLTCQIAGETVTGDYLWDLTTDGCYVADYFVKTGTVGMVTEACAPIDGRSSMN GPISRDEILARGQVWIDLHVPYSQSAAYPDPAGTDYRTDCSGFIAMAVHASAPGESTV GLIDIGTEIAWEDLQPGDFVGTLGPGTGGDNGHVTLFHSWADNSNTTYNTLECRGTAY GCVAYQRPIGWKDGSFTSKPYRYIHVE VE01_03947 MPFTTGEGSLLQMNQGNFDFNVQFEQLFFSIIPSVLFIVTSLWR TVSQARKPTVVNAPVFQLIKLGAITTYVGLELSLLILVAVGSFHVTSMFIASSVLKLV SALFMITLSLVDHSKSPRPSVLLNSYLFLTLLLDATQARTLFLSSGDKPELTYSSIFS AAIALKVGILVLEAQRKAKWVSWDEKEHSPEETSGIFSLGVFFWLNRIFLEGYSKILA LKDLYPLDSTLSSQYLHELYSRNVDYSKMKGDKYGLVKVLIRTLKVPILLPIPPRMAL LGFTFCQPFFLEKLLDHLSKPEVEANVGYGFIGASILIYSGIAISTALCWYFHHRMLA MLRSILVTEIFIKATEARIGTGDDSAALTLMSTDIERINMGFRAMHDVWASIIQVALA GWMLYNQLGVVFIAPIGVVILCFVGLAILMKFIGDTQRLWMAGVQKRVGLTATVIGNM KNLKISGLAGAIGDFVQNLRVEELAAGARFRKIGIIAALFGFIPMMISPPLTFAFAQR TLDATRMFTSLSYLLLLTVPLSQIFQTIPQLISGFACLGRIQAFVECETRNDFREVLA DMRRNSEKPQAVAMASVDSEPDLSYPIVIKNGMFGWEEDKFALRNINTRVAKSSLTIV VGPVGSGKSTLCKALLGEIPFSKGSVVLSTRFPHVGFCDQTAFLSNKTIRDNIIGFSP FDNERYSEVIEATALGFDFDTLPQGDRTNVGSDGITLSGGQKQRISLARALYLHSDLL VLDDIFSGLDADTEEQVFRLVFGPDGLLRRRRSTVVLCTHSIRHLPAADYIIALGDGS VVEQGNFETLMARPGYVHSLGLKSSSDSDASSEKSVLKKETKQQLLHTTTTNTSSLAA DADGSRQVGDKTVYKHYFKSMGLLLAASCFLFGLFWGFFTNFPTIWLKFWSEDVYSEH PAHTYSYYAGLYAMFQICGLLSLLLLGVAIIIVSVQRAGANLHQDALRTLIKAPLSFF TGTDTGVVTNLFSQDLNLIDTELPNGLLNTLFSIFSALGQAAVMLTSSPYMAISYPFL GVLLYIVQRFYLRTSRQLRLLDLEAKSPLYTHFIDTVKGITTLRAFGFLPDDLQKNAA LINSSQRPAYLLVMIQQWLNLVLDLVVMVMAAILTTLAVQLPSSNSGFTGASLVTLMS FGENLSGFVIFYTRLETSIGAIARLKTFNETVKPEDRDDEDIVPPEQWPQRGVIELND VSAHYSTDITSSPALRNITLTIPAGSKVAICGRTGSGKSSLLAFLLKLLDPLPSSPGT AFIDSTPLHLVSRPALRTRIIAVPQDAVFLPDGSTFKANLDPVGVSTAADCQAVLVAV ELWPFVLERGGLDAGMSAGTMSAGQRQLMSLGRALLRRRVRARNLGMGSDGSEGGVLL LDEVSSSVDHETERVMLDVIKAEFKDYTVVAVSHRLEMVMDFDTVVVMDTGEIVEVGE PRALAGQDGSRFGELVKAGGM VE01_03948 MTPPRNPILIAGAGISSLLLARSLLRSSIPFLLFENDASLSFRA QGYRLRLSAEGLDAIESVLGPDAWQRFWDTCGKTGGGGFGTLNARTGEKLPNPGPPAA ADGKKSVTQALASRDGKVVGISRGDMRRLFMEGCEEFVQWSHRVTGYELTANGVRAIF ADGSKSVEGSMLIAGEGIRSGVAKQLSGGKLKVYDTGARGIHGQAPTTSFKGLGEGVW ILLDESHPKGKVSIITNVRPDEMDDPSLNFGWTMVAEPGIVSPPNNDDSTIGIPAADV AKELTADWNPRFKPLFDEMVATDAAFWKITCSTPTGVPEWENQNRVTVIGDAAHSMTP AGGIGANTAVRDSALLGKLLAEAGGYKEGVTAAYEKEMRVYASEAVQASYGMAKNQMG VVIDENTKTL VE01_03949 METQHEFKKSKAQYEKQLKDWGFKKNRTKRDWEIMNRKIQLRKR AGKESGVYLDGQLMPLEKLRKETARQGYMTAIEQARVAFEAPPQTPPGFDIRTPLAQP FFRLAFENLAIFQFQKFARPIYAGAIAPFSASMSPFTMMFQSPRRKTDSGILPILDSL LPLSAFLEEPRAAGFAIQRAEDISHDKLLNLATFIASNNFPGVTNGGKLREWLIKTHS TTSVLEALSSMKGPTAEALLENLFRFAIEDEDISTIKYLLQAGVNPNGHKCQDDYDDF TPLQFAIIRGNNEMALELIKAGSTIDEPNTGWKSSALVFAIIGDEIRNNGYSSSDDDR IEECEDGDNKEYDAEDSQYSVDNQAATHKPSDDRLFNLGSSLLRAGAAINISIEFVKA PFEEDFEYDTPLSAASRHRKKDIVDLLIQNGADAVPPTDKWGPFLLHDCIYSRDRMKK YLLSSTIRPLEYQFRVSRDTKGQDAVVGVLSSLIKAGANVHEEFNAESMYGLPPGYSG PESYTIFDLGVLSGSIEVVNILISAGAQITLLSVEYGIQFQSLHVLNHLILAGASVPT IATLPATDHQAHLMETYNIWIKEVALLKAIHLGHVSTIEYLFNDGTFSFDGILLYKST ELTKAIESCCSGGHVRALRFILQHSLVPLSPWFGDSLYLAISKRQDEVIDTLLSAFAN VNTMGPEGQTPLFAAIMTRNKKILERLMGMGAKLNPRVPSATSCSGVHDLSGNALIAA IRQGDSDVVKYLLDWGADTEAFGVDHLHDSVHRIERETYGITGLFLLHHPCYCIRPIT AALVANNSDLVYDLIRRGVKINNPLDGYSPNTRSRMTPLAAAILIRDPEMVNLIIREG ANLDDPMAIKVAIKDQELHWARTILFSHLRDTKSESMLSFALAQTLKLHSSASFDIVR MLLNFGADPNTLLDYGVDLKRRLYTDFWAKESAIDRAAEMNNGLQLLKILLEAGAKAD TDVLFGAQYSPVQSAVKRNDKAAARVLLDYGSNPNSVSIGGKYKSDDYRMPLQIAVHN GDVEMIRILLRYKANANGTFIDDEETNSDICVEYHIPRTPLQEASLDGSKEIIDLLLE HGADVNSPPTTGSGATALQYAAMQGFLGIAHLLLEHEADVNAAAAETDGRTALEGAAE HGRLDMVQLLLNAGANVFGDGQAQYENAIRRASGNGHHAIRRMLEKGHSDRE VE01_03950 MRLSAHLLAAAWLVGLATAATPVPAECWSPNLSLNATNVDTLTN CTLYSYRCFDNDEGVTFVTTSPPPTDCMKLKSNVFFDDYNMTGALVVPRMVETQQLSI QGQLTRDRNSSGGIDRTVRPLRVDRIEFPDLVNITEFGGISVMYAHNLSSLKFPKLEN MRGTLDLDLSEGPAISLSFPNLRLAFSGIWIKGKIDALDFPVLNFSRAINITSIGNLD CVAFAATVVNTTTWPTGYKETPESLFCTSNKNSINTHPVPADESGSSGISWFRGDLLL LVVLVGGVSLVV VE01_03951 MSFLTHASSDLLHAHLKRHEKSGKKGAHYARGPSRRAAIPESTP SNGVKQSSSTSPPQQQIPMRQSIVPVPLHVPASVPAPLQTYEGWAPPIQGLGYGVGMS GQELLPQFSGELMNVVGSQQQMIQAGWQVPYPFQDGGIFGMGGEPYLELTPGGEYVDP FAEGYGNMGDHDMGIGIEMAQDVNVGEVSEECSMSQAVYEKLTTELPDLAKLYLGDRV SLLQLYNHGLDYSNLCMPFFHRPTLKLETLPPLLILTICSLGACTNNDDAIRETGKAI HTHVWRQTFLAAMDARQVDICTLQTMVLLEHIGFYALSRSAHEKADVFHAMVVTLARR SSLLAQNFDSTNGSRLPLEKRWEEWAERETIIRVAYTIFIHDVDYTIHFMHPALLTLG MLKLPLPSPPSLWLAKSAADWSRQTEKTRPPPRTSSLRTLRSALELLIPVHCTHSRPR RRNAFELFCSSAFTLQILVHGLASAVFEHKFRGIEAGCSPGLQLLQIRDFEDGLASWM VCFERLAPETGCTELARSALVTYHFTSILLRESLSDILMAAGTSYSWGRVVTPQRAQE AFLPLVGTQPVGQDAYRHALKIMSLCLDNEHGTANADGARRMLHPLYLTYNTFIAVLV LWAYALGLSRTHSAKTGQPQPAQMLWVVESGKLKRVDPAKQAAGSGVVEATALGGILE RGFAKPEIGAYEIEATRGDVRGMMRMVRGRLEGSAWELSHEATRVLDALLDKNGFMD VE01_03952 MEESKPRFTVAWINIFCAIFSCLGAFIFGFDSGIFNTTIAHESF NIYMFGRTTGDAILTGAVASTYTGGTAIGGLFSGWSAGKFGRKKTIIGAAAIVAFGTT LQTAAQNLGMLIAGRVIAGLAVGILLSIVPVYNAELAVPQHRGVIVGLFAVLASFGVV CANWIGYGCQFAHGDAQWRIPLGCQIPTAVILALGGFFLPESPRWLIEQNRSHEAHKI MTRIHGGLGEDFVSREFTQMHEQIIAEREANQSRWIDCFTTPSARRRMWIGIFVNIFN NLGGTPVISNYQSRLFKEIGFTGTKTLFLSGCYGLAGFAGVITNITLVADRMGRKDSM WIGAIVLVVDLVVLMPLTKIYTGSENQAGKAAAVTFIFLHSYPYSVFMYGTVWVYTAE MFPTRLRAKGVAICTFWGQAFNILLQQIGLRVFEEIGYLFYIVFIVCTSLAAVVYYFF LPETKGATLEDISRFFGDPVVATISESQTRIAKVLNEVDGLADAGDESGERGGKGGEV LIDEKRG VE01_03953 MAPSLEASSKAAAPVKSGMIKEPLKVSGALPTDFFDVTSIIGRE YPTIQLSELMNSPRRDEYVRDLAITVSERGVVFFRNQKDLTVSMQKEFIDLLGRLSGK PATSGIHIHPLLEGKRDVGINDAGDVDDHISVISSKLSRKLHLASRYTFASKGWHSEY FPQPFGNSTANSPSMTFEHVPSDYAILKMRKVPPTGGDTIWASGYEMYDRLSAPYQRF LEGLTAKHANPDFQAAAARVGFEIHPGPRGAAENVGQDLIANHPVVRTNPVTGWKSIY GALNQIEQLNELAPQESEEVLRYLGQLLTNNHDLQCRFKWGVDDVAIWDNRSSFHTGT SDVDVTHTRTGNRAVSMGEVPYLDPMSRSRREALGEAE VE01_03954 MLALTQFLLALAVLSSVAASPLSRRDDAVESPLDVTIIPIGNAV FSAKVTNTGSTDLNLYAYGSLLDPSPVEKLNIYNVPDATRVSSDYTQASRIPFTGIFR TILRTNLSAEAFIPLAAGATYETTIDAASVHDFDSKTYAFVAEGAIPYGPVGTTDFPT LAHPYRSNLVTVPVDGPAARKSKRDLGLEPRANVQADCTGTNLAVMNTALSNCVKLAT FASIGAAAGNDARFNEYFKTTDATVRAAVSARFKAIAKECSTANSGVVSYYCIDPQTY CKDNVVAYTLGASSRVVNCPAYYTALPPLTDVCHNQDQTTTTIHEFTHVPAVFSPSTK DNGYGYAAATALTSELALLNADTYALFANAVVLNC VE01_03955 MDQQKAEPTPFTSKTNSQTNGNGTSPRSGRARLIPGSIAFLVFL GIAALYMSATHLLNRDSVSVSMSSRHANDTPLFPITVSLSPLAAPQDTSTDAMPSFSV NVTLTNTGDVTLVILKWHTPFAHGAPAMGIFKVTDLWWGTAVPDMGLMVDYLFPEGGI FVHKQGNKTSDNLLLIRPGEGLSEEVKIGRSAMSIKRGKKYSVKAKGRWMAVWLGEDD NGRYSMRDSINNGQFESEAVEVQT VE01_03956 MAALTPNSARVLQTVGLTTTAILAGASASFSIYTVPRLLESPTP LLLKQFKHMFSAGHDTVPAGTVVAATSLLYLAYDSRAAGSPAWRGYATAAALALGIIP YTLIVMMGTNKVLLSEAEVAEVAAEKVETKAASVKQLLDQWATMNLGRSVLLASAAVT ATWTALGKEL VE01_03957 MSSSDQIHTVPAYINGAELPLSSTFDVNSPSTNTLIHRSASATV ADALSAVTAAQAAFPAWRDLPPAAKRDIFLKTAEIFKSRAEELTKYMVDETGADAGWA GFNIHLTTDLLIDVAGRISSVKGDFPTTSDPGTSAIIYKEPFGVILGIAPWNAPYILG VRAIANALAVGNTAILKAPEISPRCTWAIVSCFHAAGLPAGVLNSLAHDTASAPAVTQ ALITDPRIRKINFTGSTAVGRIIAEQAGRALKPVLLELGGKAPAIVWSDADLDLAATE CAKGAFMHTGQICMSTEKILVHRSVAKVFGERLKVKVGEMFGVGCLVNRMGVEKNVRL VKDAVGKGAAVMVGALGGEDGAKMSPIVLGGVTEEMDVYKTESFGPTVSVIEVDTEEE ALRIANDTEYGLSASVFTEDLRTGLRFARGIESGAVHINGMTVHDESALPHGGWKSSG YGRFGSGGMEEWVQTKTVTFKN VE01_03958 MPADIDYQAGALAAEPNASQPIDEKPPVGHEGVDRAEGEVEVYE GLPTQDELEGEDALRRVSAPIPWTVYTVAFVELCERFSYYGTQVVFSNFINRPLPSGS LPGSNPKTGAGGPSDQGISGALGKGTATANGINTFNALWIYTTPLLGAYMADAHWGRY KAICVSIGIAIIGHIVLVVSAVPTVITNTNAAMGTFMTGIIIMGLGTGGFKPNISTLI VEQIPVTTLIVKTLPSGERVIVDPTITQSRIYHYFYLFINIGSLIGQISMVYAEKYVG FWLAFLLPTLIFLLTPGVMYWGRKRYSQAAPEGSIVSKAFKILMFAQKGRWSINPVRT WKLMHDGTFWASVKPSAIAPENRPSWMTFDDQWVDEVRRGFAACAVFAWYPLYWITYN QIINNLTTQAGTMQLHGLPSDILTNLDPLALIILIPLCDRLLYPFLRKAGIRFTPIKK ITAGFFFGTAAMIWSAVLQHYIYKRSPCGYNANTCYTDSGAPLPATINVWAQTGAYIL IAISEILASITSLEYAFSKAPRNMRSLVQAVALFTNAISAAICEALNPLSADPLLVWN YGVMAVLSFFGGIMFWWQFRSLDAQEDELNMLPEGHAVATMKDMEGYHDEPSRPPTPR EIVEKN VE01_03959 MDSRLNRMLATAGGMGGGAAPGSDNTSLIDNSETVYISSLALLK MLRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQTKMMDM LRQTGRPETVVGWYHSHPGFGCWLSSVDVNTQQSFEQLNPRAVAVVVDPIQSVKGKVV IDAFRLINPQSLIHGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIAIAYRKTALEE NMLMNLHKHVWTEGLQMDDFRVEGKKNNDRLQRLVGLSEGYEKRVKEETELTKDQLKT RYVGKQDPKKHLEDVGQQLIEDNIVSVSRQMIDKEASGPTKEKKTANGEKMETDEDL VE01_03960 MVAGFAPIDPPSTNGGGSKKKVAYFYDSDVGNYAYVAGHPMKPH RIRLAHSLIMNYGTYKKMEIYRAKPATKHEMTQFHTDEYVDFLQKVTPDNMDAFMKEQ GKFNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRGKCDIAVNWAGGLHHAKKSEASG FCYINDIVLGIIELLRFKSRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEYFPG TGELRDIGVGQGKNYAVNFPLRDGIDDASYKSIFEPVISAVMQYYRPEAVVLQCGGDS LSGDRLGCFNLSMRGHANCVKFVKSFGLPTLVLGGGGYTMRNVARTWAYETGLLVGEE MNAVLPFNEYYEYYGPDYELDVRSSNMENANSNDYLEKIKIQVIENLKKTTFAPSVQM QDVPREPMGGMTEEEEAELDDLDEDENKDVRNTERRWDKAITRDDELDESDDEESAHA NGVRPQNGVPKRMNIMDYQNANAVDSEAASGIQTPDVAPEVDEATAVATEANAEVNEE VMRSKSLNPALSALAEAGASSSNIASRPESPAQVDAMGDVDMDKPVVESKAPDEPIPE AAAAEVEAATVATPPISPVSAAAAVEAPTPSEPESETKPTPTAMDDVRSAAAEERDAE DVKDEVAAEVADKMDES VE01_03961 MAKISRGAPGGKLKMTLGLPVGAVMNCCDNSGARNLYIISVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVMPAVIVRQSKPWKRTDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM VE01_03962 MSGQEIIYTDKAAFPAGPYSQAIKTGTTIYCSGQIPCTPSGEIF TQPAYTIAQMTEQCITNLSNVLEAAGSSLEKVVKVNVFITTMDNFAEMNRTYEKFFAH KPARSCVAVKELPKDVPVEIECIALV VE01_03963 MSTSSSSQSSKTAVGTPRAHHRSSPSLTPELAYKVAGWLETQPP VSTYQPIGVDRRGEKAYSSTSSSSGKKSVHWTPDVIDNEKKPRRRRSSKRSGEKSSSS KSRVRQSMPMAPEPPRAHAPPPTPRFHRLPTPDSSDVECEEFCYCCREIVGSKMEVQM AAAKSHIVSVKQKTHSRH VE01_03964 MSTVPPTQTTPDSAPTKPEHVNRACEPCRLLKVRCLPSPDPATR RCQRCTKNGRTCHYAAPQKRRPRKRTDTRVAELEREVRAMRSLLNKSKQSPSAGSNQG SMHTVDEEQEIEGFLERAPIPHLGMEPNAFHEPMEEPRWGCGPGEMDGETSDTGERSP DVIERDLIGLEMAQEYFDHYVNNMIVHCPIVAFRPEQTAESVRSSKPILFLTILAAAA GQKDHNIYITLHEELLQVFADRYIMKSDKSLELVQAFLLTCIWLYPPNDFRTLKFYQY VHMAASMSLDIGQPDLPGFFSKHQWPTVVADNFVKPPGQESHSNMEGTNPPPADPEAA RLLRQELLVLESKRTHLGCYLICASVSMSLRRPNMFAFSAFTKGCIDYLTNSPYALPS DKLLIVWSKIQHITEESAAVFAFDDLSSRVEMSDPRVQLTLKGFENRLEEFRKTIDPV HLSATLEVHYYMNKIILQEYAIMVKNVDGFQPEELIDIGTKASPISMASASAIMTVIE SAHLLLDAFFSVPDEVIRSYPVINFVRMSYGMVLLIKMYANASLPDSELGKILDADSL KIDHYLRMAVTRLKRITEYRAASKFLQIVLKVAMYHQQRLANAMPQTGKGLGAAQLIA PLLNLVMNGHGKPTLEEQNQSPPQKKGKRTNVADRNRRSSRPASDTADATDPNRPWYP EFSAGVGPYLATSNTSPSNPSPSSAQDSSYTHNASISTATTATTEFSGTGNAADLANQ WFPPATDFGGGLLPNDMDVDIFAFSEGMEFTTDDLNTFMPFISSSVEMQMFEQTNDWS NWGPGQQ VE01_03965 MLGALSMDILSDLCFGKCFDTLNNEKERDLILEGMEKSVQMVLK EGTMHRWPKGIWDYIHSKEDVVKRKYVYQKAIGAMMERIQRGDEGGERGGDIFSNLLH AKQPETGKPYDQRELMGEAILLLVAGSDTTSTALTVIIWHLLANPETMQKLTSEVCGT YSSVEDIKYQSLQSLPYLHAVIEEGLRICPPSPGLIPRVVVDRSPGNLVIGDHVFPPG TEIGVCNISLHLNSKYFDNPKTFQPERWLQDSALKCNKTAFSPFSLGPRACLGRNMAY MEMSLTLALLVYQLKLSFTNPEKELQDGFDVEDAFVALKPKVRVQVAKV VE01_03966 MPIPTAYQATAAASKNTTWRYIIDWAITKIVSTGGRSNSPTITA AERVGPFTHTIANPILDAALLDGINRHHFLAGGRMSNFLTVPCAQAAHLFYNFIKYSG SIIRNPNDRLDRNLPDFFVRVSSRRTTQRWREMTGAELEEAGFAYGEDLEVLEMQGMM LMGWVAEVEDDEETAAIKALWGSETAIVRSTASKL VE01_03967 MPLSKDHSSKFSHLPLTTSGPQDCAVSGAALLNTPYFNKGSAFS AEERVEFNLTGLLPHNVQTLEQQVQRAYEQYSEQHDDLAKNTFMTSMRDQNEVLFYRL IQDHIKEMYPIIYTPTEGKAIQNFSRIFRRPEGCFLNIGDIDRVYHDLAQWGEPQDID YIVVTDGEEILGIGDQGTGGILISIAKLVLTTLCAGIHANRTLAVGLDCGTDNEKLRN NDLYLGLKHPRVRGEKYEDFIETFVQSARKLYPKAYIHFEDFGLPNARRILDKYRPTM ACFNDDVQGTGCVTLAAIMAGLHVSKTNLEDVKVVIFGAGTAGTGVADQIRDAIATES GKSKEEAAKHIWCVDKPGLLLKRHGDKLNPAQNPYARDDSEWDGDKDIDLLSVIKTVK PHVLIGTSTKPGSFTEEIVKEMASHVSRPIIFPLSNPTSLHEANPADLNSWTDGKVLL ATGSPFPPVTHNGTTRDVAECNNALVFPGIGLGAVLSRARLLSDKMLVAAVKALSAQS PALKDPARPLLPDVEDVREVSVQIAKAVVRQAVEEGLATQEGIPEDEGELDEWIRVQM WEPVYRPLRKVSKEVASRDASGEMGIAGSAAQD VE01_03968 MVGKKKRGHPDLEEVLGRPWCYYCERDFDDLKILISHQKAKHFK CERCGRRLNTAGGLSVHMNQVHKETLASVDNALGNRASLDVEIFGMEGIPEEVVQAHN QRIITQFYQAEADRRTATGNHGPGGGAGGPSKKPKFESPGDLKKRLAEHKARLAEQNT PGGSSGDNTPGAGVPNSQSPAPAVQSNSPYATPQAPYAAPPGASYGSFPQEQYAQPGQ AYPQPYGQPAYPPPVSQYPPQQPQYAAAPAYAPPQSYSPGPFGQPPQASFGAPPPSFG AGAPPGFNPYQPPPHGSPQISSLPARPGSLPQAPGLPQRPSFGTSPTPPYPMQHQAPP PPQGSWGPNGWNGADHQNPAMSSAYPPPHQGYNDSYAANGAVGNNGAPGAAREPDDID ELIRMAEAGIKPPKKGESPSTSVSAPAPNAAQPQPADRAAAPPAAATPEAGEKKTKKD KDKGSKMVYSDNEVSPEEKMAKLSRYAFAPEPKNDTALVDDTEMPAVAGTVDE VE01_03969 MDDDESVSTPSTTPATSPSTTSPSLSTPRSPPMSGTKVRLFDGN NYNSSRERKRPSVFQGDGLAAFIDIYCSPDASRSPGTPEYLAPQSPMERYYQEEEVPW QLSGTMDGTVAGEPREEREDERERRLSNVVEDALKVFSGTNEASAQPPNSKSPATIEV VVNHGKNTIPTSEMQESLLHDLMVPSQVERKKKMFTKGAVYSHIRQRKSARKEDGAIV VEDARGVERGKKRRGKGNSVASDRSGYDSSQRLRSFSVSDEEEKRVR VE01_03970 MIELLQYEPNKRVSLREALSYIDWVDHRLEIEEMEIDEAEKDEA EKEEAEKDEAENDEEEKEESEKGKAESSFNAATIPMDRQPTNPRDQGTPSSSLTKPQQ EDSTRDEWPIFFHLPNAVNGEFCQWFPSIFTVSTSQIASLIDDSDGADDNPSTDEHIT FSCAEQFMMYCKAGRFHDTDTQRRVLATDSPKEQKHLGRQVNGFYDARWDEVKSDVVV AGSIAKFSRNRKLRGKLLATGDRLLVEASSQDPVWGIGYSAKHAMAHQKHWGENRLGK ALMVARDHIRLNEPVDKKR VE01_03971 MRSPPPEVLASWPKPNYVDPQRRGNEGVIVQAILVVLVTAIVII RLYARIVITRAGIGLDDAMIIISWVFAMGLTASVMLAIKRYGWDIHVWDLPPKDMVTS RMISWASMVLYMTTASLAKASILVFYLRILVAKIDKIVTKITLGVVVVYYIAAFLILC LQCRPLQHYWEILIPKSAGTCIDESIHMITSAAFNILIEIVIFLIPLRSLFALRIRTT QKVHLISLFSAGLLVIAASTVRLVYVVIIMRKSYDVSWYGYAGWLWASIEVHVSIICA CVPSCRAFFVAWNRSSSKGGSKTGGPSGTYPSGAGRSYNNIEDDVMGLTDRRLGTQAM VSARSRRSESEEDSMEGTKGVTIQMEVMQFEEEYQKEVRKSRAQNSKA VE01_03972 MSSVYPVNPVITESEVRHCIQRIGCDRDGTSFLYAYAAVTINLS RIDNVQFAPDVQEQIGDLLTKSFEHRDQLGLSERPTMLRVMSSLFIEICLMGLRKPDL AFTYLRETISLLYMLHVDKPEVMSSLPPHERGRIERAFWECFIHERFTALTEFKPICL YPLHTPPSPDPLLPPSLDLGWRLLIQTFSLVDREFVDLWLGDRSQVTSEFIERKHHQL DEWQWEVEVPTLSPMQKADLIITRQWLRTVTWQMAISNIQLPAENQSESLSLSLPLRL SSELRQFLAHMSHEDVGIHGSGILNKLFEITNTIADVVIHLPQASTSDTLQRVDDILA LKRFLFSFDRIERIHKTIMMEKMERMKQVYPAMAEIDLLVNSPTML VE01_03973 MTVTQHPWWKDTTIYQIYPASFKDSNGDGVGDIPGIISQLDYIE DLGVGAIWVSPMYDSPQVDMGYDISDYESVYPPYGTVADMEKLIQDCHSRGIRVLLDL VVNHTSDQHKWFKESRSSKDHPKRDWYIWRPAKYDEQGNRHPPNNWRGNFGGSVWEWD DATQEYYLHLFCTEQPDLNWENAETRAAIYESAMEFWLRKGVDGFRVDTVNMYSKDPE YRDAPVIDVGEKWQFAASLYCNGPRMHEYLSEMNTVLEKYGAMTVGELPHTHDKGHVV RYVSAKAKQLSMTFMFDVVDVGFGADQKFDTTPRRWELPMLKHAIETTQDLIEGTDAW TTSFLENHDQARSISRFGSDKTPEGREKSGKMLSMLVASLSGTLFVYQGQEIGMTNVP ESWPIEEYKDVDSNNYYNFVKAKTNADPAALARTKAAIQHLARDHARTPIQWDGSKNG GFSTNKPWMRVNDNYPEVNVRREAQDKNSILSFWKQMLRLRKEQSNTFVHGIFTVLDK ENNSTFVFEKKGTNGKAIVALNFTETDQSLALPSDSTLKRLTGNYDDEVSGTLRPFEG RIYLTQ VE01_03974 MASQDNNVSEKAVVTLDEGQLRRMSISKADYSHIANDAAKAAKA ETTMTLRQGLKIYPYAVFWSILLSTCIVMEGFDKTLLNNLYAYPPFQKKFGVLLANGT YELTVAWQTGLSNAALVGEILGLLLNGIAAERFGYRKTIITAMVMVTAFIFIVFFAEN VITILVGQVLLGVPWGIFQTITTTYAAEVCPVALRGYLTTYINLCWVMGQLIASGVLR GMLTRDDKWGYKIPFAFQWIWPVPIIIGVIFAPESPWWLIRRERTDEARKVLVRLTSK DPNFNADETIAMMEHTNAMEKAHNAGTSYLDCFKGTNLRRTEIVCFTWAVQTLCGSTF MGFSTYFYRQAGLGVEHAFTMSLAQYALGAIGTIFSWILMSWFGRRTLYLWGQFLMCA FLFIIGCLGIISRENIGAQWAIGSMLLIYTFIYDATIGPVCYSLVAELSSNRLRAKTV VLARILYNITGLATNIITPRMLNPTAWNWGAKAGYFWAGSCLLCTLWTYFRLPEPAGR TYGELDVLFEQKVSARKFKSTLADPFLALQAEREAYGLESDVEAEKVGPTVERVERA VE01_03975 MASFLITGASRGFGLALARELVSLPDTDVSKVFASARGDSPDLD ELAKSSSGRVVVVKLDVTHPESIKLAAAEVDAKLDGKGLDVLINNAGVCQYAADGVKS MDNLEESFAINVLGVHWVTQAFLPLLRKGSLKKVANISTTLGSTTLAPAAHYLPAPAY KISKAAMNALTVQYALDHEKEGFSFIALCPGWMKTDLGGGNMADLTAEQGAKASLDII FTPGQKYNGLMPKVLVKGWEKNAGNNQYDGAIAPW VE01_03976 MSTELPPTPPCLAWNHRIPNPFGYQPSLPAAIIFSTIFLGVLVT HSYQAYHFRKLWLITFVLGTLGELSGWVSRIGSHACPYSVQSFEAQLASLIMAPAFTT AGIYSILALMIPVIGYNTSPLKPRLYLIIFLTVDFFSLLLQGIGGGMAGAAFSKKQDT TTATTIMVTGIIFQLGSTCVFATLYELVLYRGRNVLLRNRPLLILSSATLLSVTCMVI RGIYRSMELLQGWRGYVATNERFAIALEGSLMAISLVSFNFFNPERLIREARVVAAEN ENQGFLTMELDRRDKARKSRGDTTGVVGEVGGIDELS VE01_03977 MALFTYSSLTLVTSAIIFVVSLVRILRIGRRPSNYPPGPPTIPI LGNLHLMPKRDAHLQFQKWAKEYGPVYSLILGTKTLIVLSSDQAVKDLLDKKSAIYSD RQDMYIGQELCSGGLRVLMMKYGPTWRMSRKLTHNLLNVSSARSYVPYQMLENKQMLH EILHEPQNVLQAIRRYSNSLTTAMTFGWRTPSHEDPKLQQLFSGFEKFAVLNQTGTAA IIDYYPILRYLPEFILTAQAKARELHRIEKELYVGHWLKAKQTIKDGSAKPCFCVDLA RSQANEGFSDNQAGYISGTVLEAGSDTTSSTIYAFVQAMLLFPEVQRKAKAEIEKVVG PNRLPTMEDEKDLQYIRGIMKESLRWMPTTILGAVPHAVTKDDIYQGYTIPAGAGVMN NVYTINMDPVRFPNPRQFQPERYAEDFQSLGESAANPDASKRDQFTFGAGRRICPGIH VAERSLFIAISRILWAFEIRPRKDAAGNDVLPDAERLTQGFVCMPEEFVCDIVPTSKE RAAMVEREWEEAKELLDMKTGQWKEIPKGMAFGSV VE01_03978 MLTDALVVSKPGAPFKFQKIEVNDNLRDNEVLIRMKATGVCHTD LNFSNETSIPGLFPAVFGHEGAGTVISIGSSVTTLSPGDNVLTSYTSCGACTNCSSRH TSFCRDWERDNFGVGRSDGSKAYSSTSGEAVTSHFFGQSSFSSHAVVTERSIIKVPSD APLQLLAPLGCGIMTGAGAMLNVVKPTSTSIVAVVGAGAVGLAAIMALKLNKEEQPKM VIAVDLIQARLDMARKYGATHVINSAEVKDLKAALLELTDGEGVSGSIDCTGRADVVN TLMEATAKRGTVVSVGVGKLDATVSANIFNTVNSGRIYTGCCMGSCYPQEFIPMLVEA WKRGDFPFTELIKTYPAEEMDKAVEAVLDGSVVKAVLTWE VE01_03979 MPPKKPTPPSTYLRLKHARTTILLLASPITPLSTLVADLLSALQ ERYPHGLPILTTTTRRDPVTSRAAGVSGTGDAEDEDEDGEGDDEMEVVVVETLTPLPK SVEEIELAVPNDAYDPAAGWREIDWAEEGGEGMKGVGVAEGGVVAFRVRGEEGWGVEW PRYDEEEEEVEEGEGMEMEEEGGGEMEVVVGKGKGREE VE01_03980 MATTTTPNPSAEGNSDPKPNTTTPTDADDWTPAQKEALQAHLSA LRTSRTADARLSLPPPQRLLLGTSTAFLVGLTLGGSHGFGLAGLRFRAENAHRLPKEP TGWYLYHKSKNYKMLLEGVKEGVRMGGRVAVWTALFLGTEEVLDVVRGGRDAGSTVVA SLTVAGGFSLWNRFPMATAARTAKTGLAIGLAYGLAQDAVGALRGRRPGYVDFVMGRR GEGGRESTTL VE01_03981 MAGKSPPMMKLKLATSGTKISQNAPYPRGLSSRTLQRQAAASTS FPNDTLSQLTTPPTTTPRPKLPPLSILPLPLLLRNILISSISSSRLLLPPSLAILSYL ASSPSAFLNPDRNPLLRALLKRTFYAHFCAGETPTEVSRTIDSLKSMGYKGVMLCYAR EVVLDANAAAALEASGGKATAATITNEILPWKQGTLKTVSLVAPGGFVAVKLTGAGSQ ALYNLSHNLAPSPALEDAITSVCDLAAARGVRLVFDAEQAALQTGIDSWVLDLMRRYN KESAVVYSTYQAYLKGCPAVLARHLAVARKEGFVAGVKLVRGAYINSDPRHLINDTKE DTDIMYDALAESVLRRTYNSVLLPASTEEADKFPEVSLALASHNPESVRRAMAVQESQ AAKGEARVELVYGQLQGMADEVSCELVQRAVEVEGQVVVGGEEKTVVPQAYKYLVWGT TGECMKYLARRGQENKDAVERTREGRDLMAREAVRRVRGVFGW VE01_03982 MARNAAGALVYRADGQTEVAAEEIEQYARLLRGGYYPSHEHPWE TVPVPERHAWGRPPAPQRPPGLGAATSENAALWYLIPEAEQFWPAEFRQFDRRYAGAL ITPPVIIITSAGGPIRRPTGQVAGQAASPSPAASGSQTGRTSRGAFVQLAGFFTVDQL RSSVVIFPPRNMEGESTAQQGLSLAGGGSTLPPVAPRGSVFHRPQLSASAPAFIPRTA APEFFPRAATLAAQPATSVTVTTFPSSTAVATSNPVPIVNLPPPSASVASKPAATPNC PSEDSRMVVLSGIPGWVSVANMADSVSSGHYGALFAIQFGADYGKLCARIIFRDAAKM DINPGATPGAKGYFDALTAAKSQPWADRSRALWPFPPGCAVDVRLENFAANAFILGMR PSLGEDGKRIQAVSRRLSLVGLEQLFSSFGEKEMRNAVVGGGFVRASSIERVCIYNSG NATIVFADVPSAVQALKRFETYNTSVNDALKIKASHSKDPCEVVVQYTPNDSFAPQPT AYPPPRPRPGPK VE01_03983 MPPKRTASGSPTGTPAKLPKPETKPETFSNSVKKRLQSSTRTGQ ACDRCKVRKIRCDGLTNGCSPCLQNNTECRTTDRITGRAAPRGYVESIEQQNRDQSSR IRELEGLLMQNGIEVKPSNTFPEPAAQYYNNVSQNGLPSMWTAGPNSSVYATPTPSHN ASTNPQETNLFRALPAFRAGCPGDNYLGVLPPKSALSSIKGTALSILGMEIDITDFPS QDLDEPEQNTLHPNLYNKSYQAFLQSALNINPKLDKVKLPDREEGITYAQWFFRVINS FMPILHKGTFMALLTRVYDDPSFRPTVAQTVMIHMVFAIMFFQYSVRNLEDSSQQAYL NAQSNLHYHYALGMFYDLSCSHTLEDAQAMALVCSHLRNFPKPGASWILSRVTVIFAM ELGLHRSVKRWGPDSDVSAYDQEIRKRIFWSILVISITLSGKLGRPMPFRLEDFDVEI PEPMDDEVFAELEMPLSQRSGKCLHTIGLQAFKVHALFLGMFSTIYTVQRSPDTYMEA VHTLEAKIKEWRDGLPSELVTSSASNGQEARVFALYAELWGLEFRMLLRHPAVSMTQD VAFNTESMRICVECAGQMLVAAKQLQTFKSLDTTWYNSAVFVMAITTTLFAQWEKRNE TTASDLSALRVEMDEWLNIMGDIGALLGSGTRLREAVRVVTDGTIGLLSRNLQSKAAA EHSKSPSRRPQSQNTNAAPSTTGTTATPTHANPSAYPQPSTSTFTNQYPDNTHGSPTA GNAYLTPDQQGLAHQSTPYPAATQYYPDPPASSGVPYQPQEHGYAYTPGMSDSVEAPL LAAFAAQASQVPAAWPVRSNAQPNTATGNSVGGMGVNGSATGANGNPHSGSQSWQLWT STMAGNLEPQDCYSASALMQLGGREMGGGSGDGGGGGGQGAEMGQMGGGVMGGQQQQQ GGGMMGDGGGATTGGAWPLNIFDIGSGGGGA VE01_03984 MSLTTIAIEHLPPGHDVHIALFHSVTNAAFLHAQLLAGNTDFEY ALIDASVVVSKVQILAAAFRAINDNIEGRLRTRNVHSEIVFCLSPNNNIAESFRRFGV SPTTTSLIAIKITTPSSPPSLTPASIQEHLTSAVEGKQAPFSDEELGTVTDWARVRKV YKLPLVGQQGKKKGMGEEEKREEEERERRELGVQVLGAMALRGAS VE01_03985 MEPPTGASSISVTVRVRPFTLKEAAQLKKCDDGTIFLGDGSLAA APTSRITNKGIHPVIKVVDDKCLVFDPPEDNGMSKFSRGNLMSMGKRSKDQTFGFDRV FDENTTQGDVYEATTRNLLDSVLDGYNATVFAYGATGCGKTHTITGTAQQPGIIFLTM QELFEKIAERSDEKHTEVSLSYLEIYNETIRDLLEPGGSKQGLMLREDANQTVSVAAL SSHHPKDVQEVMDMIVKGNEYRTISPTEANATSSRSHAVLQINVAQKDRNAAVNEPHT MATLSIIDLAGSERASVTKNRGDRLLEGANINKSLLALGSCINALCDPRKKNHVPYRN SKLTRLLKFSLGGNCRTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRNVYNVN RHVKDFVIKIDEQMALITELRAQQKNYEEAAFVKFKKQTEKREVIAKEGIARIRAAYE LSVSERQEKSNNMKKLHQIERRISILSAWIASFDSICDNRDDGVMPPSLTSMRKTAQG ILVELEHSRQHYHQRQQKNNWERAIDSAAQNSIRQLTEADGTTDGTEVASLEREAELL KANAARDALLEVVELEKSGDSGVMQVLLSAQFDLISSLGDILAMSQEDAVRHAKSVLT RLFNSCSTAASHVIKPDGSLNVTQAFPPMKRGTPKTKKQVNLFVDDDTQIAPPALEPL PAPVPEHVAASPMRGSPRRRKIPGKKGISFTPNKKSPKKRSVRWRDDADSGALAEFEK TPQKLQFTPEASSAEASFILPPLPSDLASELLDEATDSSPIPEAPQPSLDIKPKNNRF QTGFLSKRPDTTSPTTHPTSTSPSSSDTSPLRSIDPTKAGNRLSTSAPPTSALANELT PSSTPDSSAPTSDTENISHADSAKIRAALRRSRGSHAHSMSESALRTARRRSPTAASA GSPPAEAHFSAGQARRMVGSGKEPIVGVSVLSPRSAPVIKNASSGGAPRRTTIASSVG GMSAVQAVRLGVVGAPRQRESVVGVVGKGGWR VE01_03986 MKNKVLTLRSRGGGSAKVSGTSGAIHSSAYSPQDQKSEPEDSSM KHKVLTLRARGGAKISATRAYPAEDVTSKSEATEPFRLLDLPSELRLKIYALIFHSCP DVIDLDPDNYKNIHRKLSILYASRQIHGEASHLLYSTRTFRIFPCIDRYFKSKRPLLA RLSPRCRNSISSLELRLGPGFASPPKNWAVTESLGLKDATNVRILKVMVQVDTSDPMF NGYRARGLGESFYEDFSKSLLDKILEAVPSIREVQLDAWTSINKDGPMISGLTSVVNQ HRKVISWGPERGWTNEKGKEVIDLRIAAKPIVIRIPPEVTVVS VE01_03987 MLSRAVRGSLRAPALRAAPVRFLRPSTALWSRGLADQRPGQPKK PTTQQRPSSELPTKASAGGAAAGANGKASSIEDPDVSSQQPEFETQASPSENAPLNEA ASTSGAPQHFKLPDLRGGLPSTLEFESKGSTANESAASALNLTEDPHEATGGGGRGPK GELPASAYVSSSEKKRARVANYLYGSFFATCIAAYVYLGRNWESDEEERQHVDAPSGW SLGLMWARFRARTGDQLSYYSEPTFKKLLPDVDPSFGRPYTLVLSLEDLLIHSEWSRE HGWRMAKRPGVDYFLRYLSQYYELVIFTSVPWAIGEPVIKKLDPYHVVTWPLFREATM YRKGEYVKDLSYLNRDLSKVIILDTSKAHTEEQPENAIIMPKWKGDSKDKELVSLIPF LEYIPTMAVPDVRKAIASFEGTHIPTEFAAREAVARKKFMEQIEAEKKKKPKKGGVSF LNSALGIKPQAAFEGEQSASEAFAQGKMLQDQARERGQKNYEALDKMIREEGEKWLKE EAAHEEMAKQEGMKAMKSGLTGFFGVPGDKPNEPSSSSS VE01_03989 MNEVNDGASSQSSSQSPLSLAETGEHTYTEVCYGAEGSIQSING RDYRTYCYNSKWNGFVGMGYLPTLEECESKYNGYTTSATYGFHWYPGSGACYLFVDKS AQLYVYHYGTNEKIFAIPTSLPAETPLCPLATKEGEVWKINGKLYRLYCRGQAKVDAA NIKQLGKASSNITECEEFSRKENGELFHWYQSYQAEAAGDSTGKRNCEVVTSWPGPKR LVPLRTKNSYMAAVPYSGKEEDVEIAGEIDD VE01_03990 MIVAGSDTTAATLSHIFYHLALDSTLGTKLRNELRTTAPCDAKF LAALINETLRLHPPVPSGVLRQTPASGLVFGDTFIPGNVTISMPIWSLGRLEGAFPNP SSFVPERWTTSPELLKNKAAFMPFSTGPYSCVGKQLALLSLRTVVARLVQRFDIRFAA NETGQSLLRDTKDVFTLDVAPLNLIFVKRDPV VE01_03991 MFLSSIPIASVSVLAGALGVISHLGYFIHGEHHMQSVRILCIFV LGPLIFFACNFFLYDFPIIEAGKATIVASTSFFVALTLSILSYRVFFHPLRHFPGPFS ARLTKLTHVARLLPNSDNFAQAHQLHQKYGEIVRVGPNELTIINPDAIAAIHSSSSKC IKAPWYDAIGGPNPSLQLTRNRNTHDKRRKIWDQAFSARALRNYEGRVDGYVDQLIAQ LDNLANQPVNASLWFNFYSFDVMGDLAFGKSFNMLKSGEKHFALKLLQDGMRPVGILT PIPWILPILLAIPGAGTGTKIFTKFIEDQAATRRKVQFQPNTPLLPDITSWLLDAEEN SPDPMNKNPRQATSFLLAQ VE01_03992 MHLLQPIVVCSLLGSVVASPVETIANDFTPAQRRNAALGESTEL NKRTWMSYNNIDGSATSGAKVLLKFIQSQFGWHYLSGQQDPTSFAWVQSQIGKTPAIL GSDFIDYSPSRVAHGTSSTAVEDAIAFDKKGGINTFVWHWNAPTGLYDTSAEPWYSGF YTAATSFNVQSALNEGSNGANYQLLLRDIDAIAVQIKRLSDANVPILFRPLHEPDGAW FWWGAHGSGPFKQLWNLIYSRLTSYHGLHNMVWVCNTMASDWYPGNDKCDIATTDIYA SAGDHNPQASAWQTLYGVTGGSRVLALAEVGVIPDPELQASQDIPWAYWVTWAGDFIS GGGYNSKQFLFNVYSDSKVATVDGVTQIGNWKST VE01_03993 MQILIPFAALLALPATQAIVLPDGTGRLPALGWNSWNAYYCDID EAKILTAAHAIIDLGLKDAGYEYVNIDDCWSIKDSRDNVTNQIIPDPLKFPTGIKGIA DEIHDLGLKVGIYGSAGTKTCGGFPAQIGNEYLDAATFAAWEIDYLKYDNCYVPDNWT DIYSACVPDQWQTYGPYINGTCPSASSGQDEISAPPGYDWSTSLSAIRYGIMSDALLA QNRTILYSLCNWGHAAVQTWGGSTGSSWRITGDITPWWARVAEILNEGSFLSNYANFW GHNDLDMLHIGNGNLTLAESRSHFAFWAAMKSPIIIGTDLLKLDSEKVAILKNKALLA FHQDDKFGKPAEPYKWGVNPNWTFNATHPAEYWSGASKAGTLVLAMNTLEKKAWREIK FSEVPQLKKLGASKDSFEVRDIWSGKYLGCVRGSIGRWVGSHDTVGFVVGKKCRG VE01_03994 MSKLSTFIIFLLGIIGTGSAYPQNKERGLLECLAWCTTHGLSPT DCAIPAIFHKGPCYECGPCKKIASEELCDKRCVDTSSDPSNCGACGNQCSSLTTCCQG ACVSNSGMEWAYYVNPDQQENLPGTSYSSFDPSLLKTLTPTYTSTTTYMALSGDGGSP LHIYGSPGTFTPDYFALNHRGYIHALQGGQYTFTSLLADEIVLYWIGPKAYSGWTRAD ADLEDAWYSPTSGQLISFTKELQAGQYYALRIVYANAQTGSNEAISVTAPDGTVILGP NSVPNPYIVQYSCNGAEAPAYPPFGQET VE01_03995 MSSEADFKLAAAAAGFTIGFGILTVWEAVKQTKVVRYPLRSHYV YMIWGEILVNLAIGIIAWLLVDGVVAPTIPILFLALFLWVFEIQLLMQIIINRTAIIV DDLRLLARIKWGTAIVISIIQIIVFCIWIPAHSSHPPSPMFGKINKYWDPTSKSLICV IDAALNYYFIRSVKERLVKYHGLVKYAPLVSFNARLLVVSVSMDVMLIGLMFLPNGLV YTQFHPVAYMVKLNIEMTMASLIKKIALASTASERDGLSSCHEYAVGESSNSNNGTQA IKSSNLSEVGKKSNGNIELSDYDINKKTVANVSVEDGESSDGESLPNQSTFYNTFDQR PHERGIPKRRSDDEISLRP VE01_03996 MPPPVQVARRRILEPKIGENGYQGFQPGKSTVLPAGWNGHNAKA LKSDIRVDHDVEIVMHDGVRLYVDIYRPEGSTEKIPAVLSWSFYGKKYSALEMLPMCV WNCCVPRSDLSGTEKFEGLDPQKWCPKGYAIVSVDTRGAGNSDGEIGYIMADFEIG VE01_03997 MAATLVLPVEKDGMDGSINHVEDGKIEAEAEFDVAAAAAEKKLV RKIDMRIMPATALIYLLCYLDRSNIGNAKILNSSTKDDLLSSINMTTYEYTVALMVFL VAYSLFEAPSNLAMKIFSPPRWLGFLVFAFGALCAGIAGSRSVATITVLRFFLGAAEA GVFPGMIFYLSFWYRPHERATRIAGFLCSATLAGAFGGAIAYGVGHMNLVGGLEAWRW LFIIEGAPSIICGIFIFFFLPQYPETVTWLNAEEKLLQESRMGANCSKGEEKLNWADA KATLKDLRLWVHYVTYMALGVGVSSLSLFSPTIVAGLGYVDLQAQLFTVPPYACAYVV TFAVAMYSDYKKTRGLIAGICFSIGAISFIIQAVLAEDAYKARYAFLVLSTCGVFGGL PPLCAWVSDNVRSTTAGSLASGLNIAFTGPGQIIGVWIYRAKDKPAYRLGHAINAGFL VLGGVLSFGLHIYYRRLNNKMVGNNTHKWTT VE01_03998 MPVSMDTSLCPPNFDGFFNVPNLGSTFATFAFSDSQAFPGSEVP YNFNLVHGSALSQGRSGMHASPPNLPSNGNNAMPIASSTAAKDSHAQITTEMDFLPLK DETRAYLITYFKRSTRPPASLVSVDPAGWYQMQQYLLRTASSHSVVMDALLALTQLFS VCESTLRSSSSSQWRMAFELQQRSCMGLRERRIDEKSQNALLAAIFLLAWFEVICDEQ DAHRSNFPTELAESVIRGSARWNSASRRLLQWLNSFDAKASHLGGRQLLTPKSLEVVL QHRFERSYRTVDSGDSENETVSNDSEEDSRNGSAAVFTQERKRRASSRSFPTRSFITP REIKMNVFNAIMQPALEFHLVSQSYSRKIGTHDRHHRSRNTVEDEYEVVTACKTIDTE LKKLWRQRPRVLDLTAKDLSGVVCEDIAERLDELFAVYIATFWAHFLYIHRVAWWGLP HSSTAKEALDEFWRMMCRSVRETEDNKDNHLLVMDRQKVIHPGLMWPMFMFGCEVEIG EKQQWSVTQLRLLGERTATPDTEQQHSDSLPPYRLGQKGAQNAIRASVLLNELVKRQN ETGARVDGKYLSQELFGCQFTII VE01_03999 MSQPTYIAGVGMTPFKSPKNSAKSARNPDSDYFDLAVEAAVKAL LDAGLTYDDVDSGVGCYVFGDSTCAQRVFYALGMTGIPITNVNNYCSSGSTGLYLANQ AIRSGQSECVLVIGFDKMYPGALPQIFNDRTNPLSRILDLSKTLIPEADKGKKSPGWT PQLYANAQAEYLQRYGNAGAKNEHFAKITSVNRAHGVNNPYSQLAKTVSTKEVMNSPV ITGEITRLQCCPSSTGAAAAIVCSEQFLKSHPHLAGSAVQIAGQAMSTDTPLLFEPPS AIELIGSNMTRLAAKEAYKQANITPRDVTIVELHDCFTTNEMCALEGLGLADEGKAWK LVQDGGISYGPGGGVGLDGKGWIVNPSGGLISKGHPLGATGLAQCTELVWHLRGWATT RAVDQTKYCLQHNMGLGGATVVTIYKRADGKPVPKAEDIKAEDDGRHRLGYNPAVEAR SISKADWEAVKSRSGGSSKWATAHLPWVIHGDDYQERALL VE01_04000 MKIDGRLFLVTGGVSGLGLATVEKLVANGAYVAIMDVHDKEDVA GRLGSKARFYRVDVSNSSDITAAITKILSWKRDITRYIGGVVCCAGILGPAKILGKDN TPFSIESFKKVLDINVVGTIDVIRQLLPHIAIQEKDEGGERGVVITVSSAAAFDGQPG QVAYSAAKGAIASLTLPMARDLARHGIRAVSISPGLFETGMTTNMPVKAKESLQRVLE FPDRAGRPSEFAGLVQHILENSFLNGTVLRIDGATRMPSRI VE01_04001 MARAAKNGGSIVHGPSQPCATDKTFGNLLREQAQTNGNGLLVLS DHQNKSLTYSQADRRTDDLARGLAAMGVKKGDRVAVMMGNLVEYVELFFACAKLGTLI TLANYGYSERELHSVLSSCGTSVLVMVPGFDRYDYRPWIPRLKSGIKSLEHVIMVNGE ANDGAHSIPFEEVVLQGTKSNLDLLAIERTNNSNDILNLQFTSGSTGNPKASALTHKG VFNAGKLIGDTMYLKSYDRICTPVPLFHSFGIIIGLATAAARGASIILPSEVFNIEAT LRSVEKNKCTGIYGVTTMFVSEIAHPNFSQYDKTTLRFAIVAGSAVPEPLIKRIWAAF GITQTHTNWGLTESSSICTMTKDTDTMEKRTKTSGRLFPGFSAKIVDPVTNLIMQRGE KGEIVLRGAGIQKCYYGDEEKTKEAHKISSEDGLEWFHSGDEGYIDREGYFCITGRIK DMIIRGGENIAPLEIEERLMNHPAIAQVSVIGVPDEKYGEQIAAFVEWSGNGRVPTDV ELRSWVRETLAQFKQPKYIWWLGSRKEFQLWPKTQSGKLRKPDLRIIGNRILASGVIP NQISARL VE01_04002 MIEPSAKAKELSQIFLDSNLGGLDHRINLTKFWGITPGSRVLEI GCGQGDCTTVLADAVGPDGHVDAVDPGAPDYGSYTSPYTTSISVIMAPKEPIICAPYT LAQCQSNLLAGPLGSRINFHNTDPVAFLSTLPSSAKPYDFVVLAHCIWYFETPVILSE IISALAGHTTRLCLAEWGLRANRAETLPHVVTALLLANIEAKRLTPSTRNVRSVLSPQ QIVNNVVEKGRFALVEETRGKSGDTLQDGYWEVSDLLRNRVKFLDRMKRDGVSNKEIG ALVAMFDSVQAGVDIIGGNVKDVKTMDWWTGVFEGI VE01_04003 MFSQVSLRVLAVAIALLSQECVAQFPFGGIPPPLRTVTNWGDNP SNLQMQVYKPRNVAKSPAVIFALHFCFGSGAIYNAFTKYSSLADKHGFIVVYPSSLDE TGCWDVASKASLTRNGGGDSTGLANMVKFVISEYNADPAEIFVTGSSSGCMMTNVMIS LYPDLFAAATCYSGVPAGCLAGSPSSSPISSDPACANGDIIKTGEQWAQIVYNMDPGY NGRRPKFATLHGTADNVVSIKDLGEQIKQWSTVFGINKTSEHPNTPQKGYTQLIYGDG TQFVAYSADGVGHTVPVNENLDLEWFGIINSAA VE01_04004 MEGRDEPRLQLLARLIDKTGCKCFLIHLPSILTRASTSNLSTIG DIVENSGSLLTQVVTIGIVGTVSEGRFPPEHVWECEKHKGVLDLSPSYGPKPEWMDGK GREKDMAETIRRVVKETTAERKRIAMKRRPQQQQQSNGSTNNAAAKEPTHPAPPATTL PSPTRTDSSDDWGGRVMNRVSPLVATDPPLSDFSVVPEEVDTELLMHYLDHVFPLQFP FYKPSVVSGGRGWLLSILTTTKPLYYAAISLAAYHRQSMLCRDSASKHHGLDLESLER QYARALSELRQYLAKIGDKKEARTQVENVDVLSCLMFKGDARNWRMHLSAAAVLVPDI KREERVAEFASLSPGYQSALFFFAGVVGWYDILSCSTTGEPPFSKCECIGTALGYIFL DKIMGCENWAMLLIMDIAFLNDWKQNLQISAQLSMRELVTRATHIERGLEDGLRDTSS RLSQLTNHSTPSILSTGIQESPHLTLLITRIFACSALVYLDVVVSGAYPKMPEIRKNV SRTIDALRALPDIAMINSLTWAYCITGCMAIEEEQMFFRGLAVSSNGDTPTFGNFSKA LSIVEECWRLRGEEKRQQPVDWRTAMNSLGMSVLFV VE01_04005 MPSYVVVGASRGLGYEWLRFLSQDPSNAVIGLARTPGPVEAQLA ADKITNVRIFKADMLDHRSLTIAASEVSEVTNNSLDHLIVNGAYLGPDASFITPTEFV GQEDLLRREFIKNLDVNVIGVIHSINAFIPLIRKGTTKKITVISTGLADLELAQKANI AFSVLYSSTKAATNMVVAKYSAELRGEGIILLALSPGVVDTATGPPPPEHIPRMQEMG AEFANIYPHFKGPITPAQSVPLMKKVIDNMTIEDSGAFLSHLGSKEWL VE01_04006 MSFSSRHIPALVVCFGVGAGGTMPMFDAAFALSTFGFPKRVASS QPAQAVSIINGGRNVALGIALGTLYLRGMLDAVDVVLGCWGLTGLVDGWVLWQEGVRG KAVFRSVFGTMVGAYGWLGLTAGRG VE01_04007 MAFSLAGANVPALGADWFNPGLSARMAGKEEAPATFPVLNVRNN TATPTPRGNTVNLFMDSESDEIEFAASIVSVCVDRTVYAIQCTKAPASIGTDCGPGGQ EILTVTEGPAIYQWDYTATTRTMGYDVTASIVESCSLDGTTAATCVGTVGGEAAGTKT SETAHVTIASPTYYRFDVEITGGAEKTNNPSPTCGAQGAATSLNARAMAIWALTGTFG VASLLSML VE01_04008 MQRSSLYNCIAYCLDQDKFHLIRLNAKFGTNVNPSLVSAILGPP PLKLIKRGKRSAEWFDTDGNWLEPDESDPERLSLLPPSSLEAMEENLEGKDKELFLNF IRSMLQWEPEKRKTARELLSDPWLSREKTVYSYS VE01_04009 MLHLALLALVLPSAIAHTPVISNAKTSLTLLYQNNLNGTDDSNH IGFLLLDPSDKKDAAKACEAIGESLISRSQIKAHSADFVSSLSYVAYAGRAQPAHLYH ISDGVVAVDAHENLMFPPIPSRSIKLPVLCTQSSQQGQPWDAVATTSNQVAIASTGNT YVGYRNQKSFRFLGIPYADTPKRFVHSVPYSKTKQTLDATVYAPQCMQYGSGAESCLF LNVQTPYLPKQGSKKDLRPVLFWIHGGGFTGGSAADAGTDGGNLASREDIVVVQIQYR LGTLGFLAIPGTSVTGNYGIADQINALQWVIKNIASFGGNPKQITIGGDSAGAGSVRA LLGSPPANGKFQGAIAMSNLGGGVTLGLESTYSTTYSSYLTVAESYALAGQNIFLAAG CKQAALSEQIACLKNVPASTVSGLSSTARYVVQDGTYVNTPQLNVVKRSGSTAHVPAM FGVARDDGSSIGASYPATPVTSEIEGIQKSLGISASYAQSVIDSGLFPLYNTGNITLD SFNVSARVATDSGFRCVDQATMFAAAKSHAFAASYYYEMDRTVGGYDSNLLGGAPVTP GYPNGNPNLPYFRFHSGATAPFIFGNVSPIRDSNDLKAAQLNSGYFAQFVKNGQPNPS ERYLTTRKYGDTLHAVQHTGQWNQIKDKNGPIRLLDYPSVSSGFVDVPQCAFLNYPLS YYVDGGR VE01_04010 MASFRIGRFAREFLSRAPRPEFRHVAPGILAFSPETLSEIYSSE PTDPCRRTFNLGGEDEEDWVNLLLPAISTMPQDVSQNPEFDIKPFDEDWGYGKFTVNR RAGLYTEPGLIDSDVVRLIANSGLPTACQFNGRCPWSPSRPPRLSEVPHHWASLVENG T VE01_04011 MSVIDPSFSAERCADLHNRLLQKAIVNEPSAMVERNLIAGLLDV SAEIADFPNSGSSPLYHFLSLLDTISLPHSLFIPLTPEIYQPVPEVFRGDTFSREPGV ILLYGQNNADSPMDGGLFLDVQTYKVVWHWSPGPFPASEKWISLEFALQSQLDKWESV KFYWDTNKQSLAIKRWVEADLTNSLVGWGGLLSTIEARLPQRGQR VE01_04012 MSEIAPTISGQFDNKSASFAPTGLYQASLPDERRWAGPISISFL GSVDSARSDELLSGKQPAWNPALGFPKSGSGAPGDDGSNGGSQVGTHIYASLAMVWGI LMLSLYI VE01_04013 MHTSALLLPLAFAAVEAHQNFHQFWVNGVSPGFETCIRMPPSNN PVTDVSSNDITCNVNGNTVPRTEAVCAAAAGDTIKVQWDSSTHPGPITHFLLGPIGDA TQESGVGSWVKIDELNYVDGQWANEIMEAAEMTHEFKLPSNLESGDYLLRSEMETLHA SMTVDGTQFYIGCAQLHITGPGGSCSPSIELPGAYTDQDANILIPDFYNGFDPTTFTA PGGPVATCA VE01_04014 MQPLHNQSNPLGGDGTSNPTTSTGPDDRSALALAMSHSPLQSSK TLYTRSCDVCRKRKVKCDKISTGCSNCAKAQIECHYPGPGRAPRRPKAGKQVTARETE LLKRLRRLEGVVQELSGQVEEETHRSSTDSPKDRLWKDKDASSEPDSTIGKHQSAKGT VRVVGMDEGRTSTSKWLERMANMGEGPPESDLMKREFGKLVIDEGKSHYVNSDLFATL SHEVEDIREIFDGDDTSVEDDGETADMPGSKSPVGHQGFLFGYSSTMVDLRSLHPLPS QIPFFWDVFVENVDPITKVLHVPTMAKAIKEAKENLDCLSKSIEALLFAIYYSVITSL SQEEVVSNFGTDKSVLIDRYRFGVEQALARADFLNTSELVIIQAFSLFLTCVRRHDQS KFVWSLTGLAIRLSIGLGLHRDGSKMGLSPFDTEMRRRLWWSLCVLDVRASEDYGSEP AILDGTYDTLLPLNVNDVDLDPLATEPAQEHVGVSDMTFCLIRYEVCRVMRHLPYATP RIHAPRELANATTFEEKEKMVRDLHAHLEEKYLQYCLNSGPLHWVAATVSRLVLAKMS IMIFFRGPDSPDNLPQHIRDRLFIASIEINEYSRLLETGAATKKWGWLFHTYVHWHAL VYILREISNRPRCPVTDRAWRAVDSSFSSWDDAVKHSRSSKNGMLWLPLRRLMAKARR KREEDLAAVAAGDMSRQIPGFLEMDRCAVPSACPSAMTQGEEQQHQPADLGDVEVLRP LQQGILYPDEPMSDSTPDIPLPPYTMESHTQEVSSATEDAGDGPTQLQAQFQQYENQQ AQMAQQQNMHNPAYQGVQNQVPGFSGQMQGQVPWLLEAPLGDLDMEMGVSSGESSGMD DFSWENFDDLVRDVMLQNDPGQVADRGPSMVGLGSWW VE01_04015 MTFMGYNEGGCSGEELDVSKKQKLGSETLQYGLSPSRVSLGQIG KDGLKINSEARLRAPDEIGLAAEERTLVSKEKILAEESIALEKKKLAIEKEGHALEKR KLVLGNERLALEKKKLAVDDEKTPPSPASTVSM VE01_04016 MATSTSPLNILIVGAGIGGLAAALTLRRAGHSVQIYERSSLNNE IGAAIHVCPNASRGLAALGLDPVAARFVTAKKSWRADSVTLKCFHEADEGYISEKFGA PWWWCHRVDLHEALKVLALGEKGEGKPAVVHLKKEVVSYDAEAGSVTFADGSVASGDL VVAADGVHSKAVETVIGHHNPALPTEKYNFCYRFLIPTAEIAADPETASWTEGDDGRI KVIVTEGRRLVWYPCRNNEQHNFVAIFSTDDEVKNVEDYRTPLEVSALLETYKGFHPS VLAVLKKARDIKQWPLLFRAPLPTWRRGKLALLGDAAHPMLPHQGQGGAQAIEDGLAL GILLTGATPETLQSRLALYEGLRLNRASAIQHFSNAGQDEPEKIREAAGKYMDADKVP KNPEEFFEFNFGYDIIHDVKLALQKEVPGWEVPENFFESQPGRGTYP VE01_04017 MADEGVPVQEKAAAPVASASAPAATPAENVVSDNEVDEKTYRDN SASIEDHDEPEPRLHAKTWLTVFAVCLIYFAQLINVVGAGARAQDIAKVVGGSADSTW LTSAVAIFTTVLSPPVSQAADYWGRRWFLILLTLCGAVGSIIVARANTMNMAIAGECV AGISYGVQPLLHAVTSEVLPRKYRPYAQAADNVAAALGGLVALLVGGAMTRTNPEGFR NYWYFATAIYAIATVLCLVLYNPPPLPTQLGLTHVEKLKKLDWIGYFFLTAGLVLFCM GLSWSQNPYDWPEVHIMVPFFIGLAFMAALIVYEARFKKDGMFHHGLFSNGRNFSIAL FGVFVEGLVFFAANNYFAFQASILYETDPLRVGARYGVNFIIYALFAVLAGAYCAMTK QVKLPTVVAFVSMIIFFILMATAGPSSGDHVWGYPVFLGIGLGTCLCTLVTVAQLSTP RELIATTSGLMISVRSFGGSIGLAIYHAILVGKLNTNLGPKIAAAVLPLGLPESSLGD FITALNNQDIAGLGKIPGVTGQVIGAGLGGLREAYNLGFRFIWVAAGCFTVAAAVAAI FLVDPVKEFNNRIDHPAEKEEELFGNSGATSASAN VE01_04018 MAYVQTVIPLVITTLLFTILAGILVLTRVITRARIGIIGIDDYL SFGALLASILFSVVIFQQIKYGLGTPFATIPQENIPIFVKCLWGTIPCYNASLILTKL SIVFQYKRIFTTPLINRLCNIMLGVLVVYGLWTIVGSILMCVPVAAFWDQTIKGHCMN RLQFWFANAGVNIATDIIIFAIPMPLLKQLQLPKKQKIGLMFVFGFGAFVCVTSIIRL KSLYEISVSPDTALDGVNAGIWSGIEINVALACASLPAIKPLIAKAVPHFLSSSRGER SGRHNNASGGYDHALSELSQNKRSRVVRSAVGDEDEVDLNGNNGNGITVERTVRIQGH QAPRVSGEGSESSLVNWKADVYTEERRQKEI VE01_04019 MAYWTNLLAEIRMMILGIVVEDYRFESDRYARAGYACVCQEWQS VFEQSNFRRIIVDQERIGDFEKIMGNEATRYRQGYLEHLVLCIKLDEYDCTACQSKED DETIRNNNNVFFNSPWDLLFILSKWVGFVGTRREKGLTLELGVYSPSDCKHTFRDFHL EENHPYQEFNDMKSYVDAYKQRIDRLDLGTLNDPYHGWVNGHQESPSLGSKQRFMGTL TVNDNLPQLSIYSYTFPNVEIVTGLLIRRQFYWKIKAKFLDKLLRETFTCLRWFNHEQ FHFEKDYERLLLHGIPSTLRELDIFENFNKVLHPAQFKQLTNLEQYTKRANPSLGKAL SKSSRLLTMLSAAFIVDAVDFFASFKPTNNPNSNVFPGENLQYLALTSQLLHPRKADR HINGVLIAAGRAAAFMPKLRGMEIWNGGGGHACVFRYRNYDGKTYISWECTWGPKFKL DPDVIDCWANVPRQGQYPHGNFTVTVNKKLLRHRDTKTYGSIVPLLKQRSWMQHFISD FQMLSRKIRTQHLRHRHNIE VE01_04020 MSELPGYSPYGVPPPEPASSTTSLATSLAASSLALPTPSIRSTT PPVFPSLPHFPDDQPRESLAGPVKTTSLSDMQHDYFRVTRVTNTTYSVALTTDPTPLY RVEVDPHIAADPAIQVFDLFNPLPLATARLHPAVATSQSCTRDPAGDNPKWRPMSLLH FSSIPLVVIPGMAPIERSVRWQHTTKQSTNLELWMRDPLFGISAGPPQSTMPREMLLA RYGIEGVGFVADRVLEIRRGGGMEFELVVLVQAFAILEADRRKKARKGGK VE01_04021 MSFPKSDAVLDSSKALEVLKNEYKELDGLDAESLLDSHKNGGLT YNDFLILPGYIGFPASEVSLESRVTKKITLKTPFVSSPMDTVTEHEMAIAMALQGGLG VIHHNCSPDEQAEMVQKVKRYENGFILDPVVLRKDQTVEEVKALKERWGFGGFPVTED GNLGSKLVGIVTNRDIQFEEDTKKPVSSVMVTDLITAAKGTSLAEANAILAQSKKGKL PIVDKAGNLVSMVSRSDLTKNLHFPNSSKLPDSKQLICAAAIGTRPADKDRLEKLAEA GLDIVILDSSQGNSMYQVEMIKFVKEKYPGIEVIGGNVVTREQAATLIAAGVDGLRIG MGSGSACITQEVMAVGRPQAAAVYNVATFAARFGVPCMADGGIQNVGHIVKGLALGAT TIMMGGLLAGTSESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGAGTANSQASNAGT ARYFSEGDSVLVAQGVSGAVAHRGPITKFVPYLSAGLKHSLQDMGSRSLAELHASVAA GTTRFELRSASAQVEGGIHMESYEKKLYA VE01_04022 MSYRHPLHQIQANDNSAQLAKRQPSTASTSSSAYSHPSEASYLS RTSTISSTASSGYQQPAHKRGLSEAKNMSPVRPGSSGRGFSTPNSEDPFQSVRLSLRP LPQVPAQNCPSPPNKQLFQHSRGQSLDVKPMYNDTAASPPPKRTGALRPNSMLLSRAD STRTVRSNTLQPSSQLSPLHTPVAAPDLQSLGKSSTGHLRTLSKFAEVSSPNEFAISS PAQEVAGLHGRRRLQRTDKTANGGSAVALNNGTGYSWEGRNWMDKQRQFLQAYEYLCH IGEAKEWIEDIIHRPIPPIVQLEEALRDGVTLAEIVEALQPDNRFRIFRNPKLQYRHS DNIAIFFRFLGEIELPDLFRFELIDLYEKKNIPKVIYCIHALSWLLYRQGVVDFRIGN LVGQLEFEHHELEAMQKGLDKAGVSMPSFGNMGADFGVPEPEPEPVESEEDRIERELH ENEPSVIDLQAQIRGALQRMRLANTMEKLWNSEDWLVDLQSRIRGDFSRQIIDYRLNM RRFAVNLQSASRGFILRKQLMNRTEFFKSSEAEILKLQSLFRARKVRAEVCITKSRLR NESFAVRDVQAAVRGFLMRKRVEQQHQETRSVAAPVRGLQASIRGMLLRATIANDHHI LDAETASIALIQANARAMLTRIQVSRQKQALQASTGIWKALQAMSRGHLLRKQLEFTR KELKAQSPSVSMFQAAIRASAVRSETAKILAALETEETTITEVQSLVRGMLSRQRIVA QKEALSRQSVNITKLQGHARGFLSRATTAELRADLYSNTPAIIQLQGLIRAMLHRNDI GNLLGELEDQEDSIVAFQTAARGLLVRAKFAEKQKFFKENMQKVIKIQSFVRGRLQGE AYKSLTTGKNPPVNTVKNFVHLLNDSDFDFNEEIEFERLRKTVVQQVRQNETSEQYIE QLDIKIALLVKNKITLDDVMQHQRNFGSHAGNLLPSTAMSSGNHFDLKALNKNSRKKL DTYQQLFFTLQTQPQYLARLFRMVREQGMAEQESKRVETLMMGLFGYAQKRREEYYLL KLIARSVKEEVDGSHSIQDYLRGNFFWTKLLANYTRSPRDRKFLRELLGPLIRENIID DPALDLESDPMQIYRSAINNEELRTGRPSHRPLDVTREVAIKDKETRDLFIDHLRDLR EISDQFLQALEETLQKMPYGIRFITQQLFECLCERFPGEKQAYILQVVGQWVFRSYIQ PPLTSPQEVGVVERQLTPLQKRNLGEVSKVLCQVTTGKLFGGDNIYLQPLNAYVGTAI ERMGIIFADLIDVPDVEQTFDIDEFNDLYSKTKPTLYIKMADIFAIHNLVIKELPQIC PNRDDMLREIIQELGSAKNNEMEWSGVTSSEIQMTLNPKLHDTDDPEAAVKALFMETK RCVLYIIRVQSGPNLMDILVRPITREDEQKWKALVREEFAAGSNTRGAYSDANMMDIT SMPYSELKRTALENIMRLETQFGRISRHNQYQDLLNAIAVDIRTKSRRRIQRQRELEG VRLTLGNLHDKAAWLEQQRKSYDNYIEQAMMTLQNKKGKKRFLLPFTRQYNHERELQR TGRQPKFGSFKYSARNLADKGVLVSWSGYTEKQYEKINVTISCDQVGIFFIEGSLGSI QIPGASAQVPLEDCLSAQFNNHQFMNLFEGGLKLNVNLFLHLIYKKFYRSTD VE01_04023 MSSKDSAIEDALQDAIKTAVESGMDPGKIQSITTLGTTSAAAGV FKNASEKAIEYGLKPEELVRIASEVASKYQNQPSNQIVYTKGSASKMFKMRLATKKTT QEPAQKKARVDTRRSDSSDCELRLFDDTEDD VE01_04024 MSSTSTPTESSCTTVSEPCAEPSAKKAKLTTSDFEMPSIVVFVV GNQKKVLQMPRRIARESSDLIDAECKDLSDTAVYIKLYPNIPVEIFTLFLVWLSTGDL NNAEEFASHPANPSAVDLEDTSKKLNQLAQCYHLAEILIARPFQNYITDQICKYLESL VDKDDLLEKTIARNVPLVYVDGQKESKLKCLLEDAIVASTTNLTRCMPIDNPELEPMV LAFWNGVAISAIRRNKELEDGRMRFPLNWGEERRCKYHEHKNDDEIMDCRERRLEKQG RMCIDQ VE01_04025 MSRREPKLPVRQLIILAICRFAEPIAATSVFPYLKPEMIESFGV KKQEVAKWAGITSAVFSLSQSITAIIWGRASDMFGRKPVILLGLTSTMIMSILWGFST SLTWAIIARALSGGGNGNVGIIRTMVAEMVPERSLQPRAFSVMPLVWSFGSILGPAFG GFFASPAVNLPGLFGNNKLLTKFPFALPNMIASIFFAVGIIVGFLFLKETLETRKNKP DYGIYIGQRLVRCVKSRKKRRNRDYDDDELGAALLNNTHSRSNSHSSSKPFDTTWQGP KTPQVAGPSVWEVFNKQSNLNLLSYAILALHSMAMDQLLPIFMHHPQQAPDSSNTKLP FKFSGGFGISSSRIGTLFTAYGICSGIVQFFVFPVVVRRFGVLRCYRVCAVIIPSVMF VTPYTALIQNPIRQQLAMFSLMIVKAFCGIFMFPCSTIMLTNSAASLRLLGTLNGVAT SVSSIGRAAGPFFAGAAFSWGLERGYVIVAWWMLGFIAILGAIPIFMLVEMEGFNQAS DDEDGSDDEELLTPHDDDDDEGTIIAGDEALYETSEDEEGIDTVEQPLAGTQHRLDVP RASVQRRMSSPVGIRGNSIGPGERGRRLSTGLGYSNFGRGTGGTTFG VE01_04026 MAPDDRTPLLGASSTAQPARLPQQQSSAAVRRRFAYIATGSLAI AFVILVLIPAAFIPHLLDDLVPSRGKFQYADLENLLLNSPSTEKLSEWSKYYTSGPHL AGTNLSQAVWTQEKWKEFGIEDSSVVPYDVYLNYPLGHRLALLEKPSKGHSKDGEATW KVKFEASLEEDVLEEDPTTGASNRIPTFHGYSANGNVTARYVYVNYGTYHDFEDLIKA NVSLEGNIAIARYGHVFRGLKIKRAQELGMVGAVLFTDPGDDGTPLHDMSENYPDGPG RNPSSVQRGSAQFLSMGPGDPTTPGYPSKPGVPRSPTDDNIPRIPSIPISYTDAIPIL KALNGHGPVPKDLNKYWNVNLGLVTRGVHYNAGPSPEGLVLNLVNEQDYKITPIWNVI GIINGTLSDEVVVVGNHRDAWIVGGAGDPNSGSAAMMEVIRGFGQALSKGWKPLRTIV FASWDGEEYGLVGSTEWVEEYIPWLSEANVAYVNIDVGCSGPKFVAAASPLLNNLIHE VTGRVQSPNQTVEGQTVRDVWGGHISTMGSGSDFTAFQDFAGISSIDIGFTASQESAV YQYHSNYDSYHWMETYGDPGWHYHATISKVLGLFVAKLVDSPLVPFRTTDYGTALISY VQQIQDLVDNGPARSSTIRFRPTPEASTDSASRHKHLHRGLKHMQGSAHRFLDVARVF DEHVDKLRERAEHGYGWLRWCARLKLYLGVRKANFAMKLLDRKFLHAPGLTGRSWFKH VIFAPGLWTGYAGAVFPSLAEAIDNEDGMGAVGWMQIIDDKIIKATKSLELY VE01_04028 MAASLPAKLKANADIARFAQRAAQLEQVKPAIAYWCEYWIVNQL IARGLHNTDSECLQYTSKLMDKLEQLFQTKSKYADDDAIIDDTAGQAYVEQFGLETFE RADRAVQANKVTKQTADTFLAASTFLELLNIWGEADQEIQAKLRYAKWNAVRIIKAIK EGKDPNESNPIPEPGPEEAPDQASESELQQLGSNQSRPPPPTAEDVPDEQDLMSPHLA AQSLLNKSLHPSQEPSRQNTPPTASHPGPPPQLFNPSATSQNVSPIVPSPSASPHDRS GSLGGGYFPEVPTDARDIPSAPTTLPTHLPPTTSPKPSVISPPHEQPTLPTFPTVDYT PNTGHTADQNIDSYYSQSPPQPPAPPAATQAAYDAPPVSTIAAPVGSTLPPQPVATAP RPQATVVLDDVAVAKAQKHARWAISALNFEDSETAIRELRAALETLGAQ VE01_04029 MVYTGALAAAATALLLASPATAAGLYPKSSAVLQVDAKNYDRLI AKSNYTSIVEFYAPWCGHCKSLQPAYENAAKKLAGLAKVAAVNCDEDINKQFCGSMGV QGFPTLKIVKPGKKFGKPIVEDYQGAREAKPIVEAVSAKIANHVSKVTDKELDAFMAE NVDTHKAILFTEKGSTSSLLKAIAIDFLGGIKVAQIRSKEARAVEMFSVEKFPTIVLL PAGNGASATTYDGELKKDDIVKFLSSVHPPNPDPAPAKGKAAKEKKTEKKSEKKSEKK AEKKAAKKAEEEFEEASSSQKESEASASETAEASEEASEPTESPSAEAEKPVVLTPPI PVITSETELADACLTPKSGTCVLAFTSAKGGDVSVQSIEGLAEVAFKYHNSKHAIFPF YAVAEEVTGMPELKAALGLTNDVDVVAINAKRGWMRKYSGDVYTHEAIEMWIDSIRMG EGEKQVLPGILIGKTPEQVAQTTTIQVEETEPKETVSVKDEL VE01_04030 MPLDTSSYHLALLRLDGRRWNELRRLHASISVQPSADGSSYLEM GNTKVLCTVAGPAEGKRTGAGGGKDGEAAVTVEIGVAGFSGTDRKKWGRGDKRLAEMQ MTIANAFSTTLFTHLYPHSTIAISIQVLAQDGALLAACLNAATLALIDAGVPMPDYLC AVTAGTTSAHAAGDEAADPLLDLCLMEEQELPFLTVATGGGERVSVCVLESRVQVSRV EGMLAVGVDGCKQVRAIMDGVVRRQGKKILGA VE01_04031 MSFTIRQPFRLTGTLAAVSKTAFKSAAPVRAFHHAPATKAIFTS RTASSIKNSPIIKNGFKQTRAYNVQPTTVSNPTANGSLMQRLLVGGAMFGGTLLAINM VFNRETREDGGMPPFERSYLNETFMHTGLGVGIIGVAARAMYTSGFVYRIMATNPWIV MIGGLALSFGTMIATRNTDPSNYVQKYGLWTAFNVTQAAFIAPLMFMAPPAIMARAGL YTIAMMGSIAFVGATAKNDKYLFLGGPLLAGVAIVAVSGFAPLVLPATAVRTLAFTEN IWLYGGLAVFGGFTLYDVQKVLNHARLAERGLIKRDPVNESISLELDFLNIFIRMVQI LMMQGNRRK VE01_04032 MRRGKGSGSPFRGGGAPIPDSLSLIRSFNVDTNPARPVRPSPLG SSTIPDMPLDLVDRIRSFPLFLSAPDSFLAAIGNHLRPQVHASHDHILTEGDDAKAMY WLVRGVVAVTSRDGEATYAELKPGAFFGEIGILMDVPRTATIIARTKCLLVVLKKEDL RAELPKFPEMEKAIREEAQERLTILNKKRKESGFGPTIYTTLPSRGGKNAREAVPGEV SLGDTGSIEQGSVVNHKKRKSPSPGVIDDPTLSALGSGFVSIRKTLKELPLFSSLPPE ILHFLGLSAQPRTYPPFTDIIQQGSVGNDIYFIVRGEAEVIRMTMEDGEIIEMLESSR MRPRLKQGQYFGEVASLALAPRRTATVRAITVVECLMIGGDVLEELWRRCSPDIRKQV EVTAKARYGRPKDEDVLMTDVDSTTPSIKGLKIADRSPKSKVRDLPQVTFTPSKPLSP PHQNLPEEKDNAESTDTDPDPFLSIDMDNMRSRMSRRSSWAPIPPESISSENESEEAE PQITRMPGSSPLSFTHPITPPQESQLRSKRPRTVHRRPSEFSQGVLPDKVLVNVFKNL DIYHLLRLRQVSLHWQKLLSFSHELGRNLDLSAYSRYVNDQVLIDVICPFVGNRPRTV DLSNCFHMTDEGFNTLVATCGANVQSWKMKSVWDITANSVLEMANTAKGLEEIDLSNC RKVSDNLLARIVGWVISEPPPGASARAASRNGNANSPPVGTVVGCPKLSRLTLSYCKH VTDRSMAHLAVHAHSRLRSIDLTRCTTITDGGFQHWSMYRFAKLERLILADCTYLTDN AVVYLTNAAKGLKELDLSFCCALSDTATEVLSLGCPQLQSLKLAFCGSAVSDSSLRSI GLHLLELSLLSVRGCVRVTGVGVEAVIEGCTRLKVLDASQCKNLARWLEGGGEERARR LYRRRVRILTEKEGDSLR VE01_04033 MTTTQEKTPEVMATDSASADTRDMKLGIARVDSDQLSGVSARKL LLKCDLRLVPILGTLYLVSFFDRSNIANARLFGLEKSLNMPSNGFNTCLWIFYLPFVI IEIPSNLIMSMNKIKPNYWLAGMMFILGLVSMCQGFTKSYGGLLACRFLMGAALLIGQ YYKRSEFNVRFAWFICFALGGSACGGLLAFALEHMGGLAGYESWRWVFIIEGLITIAF SVVAFMIIPGFPEDAKFLTEPERAFLLKRLRDDRGNEKTDMKGVPWLRVLLDWKVWAF TVVFFCCDMSAASISAFTPTILKELGWTKSTAQVMSIPIWVVGIVFTLSASFLSGKLS IRYPFVFFGIALSLVGWAIQLAQVQPPGVRYFALFAIASGAFMQMPILIGWLNGNLRG RPAQAVGAAVQLGIGNCANFISSNVFIFGEAPKYPTGFKAGLAITAVGGGVLVVVVLL MAWHNSKLSKAEEGGEVKDEHDQENFRYLL VE01_04034 MVETRVSLFLSVDILATFIAFAFVALRVGYRQAKNALTLSDYMI CCAMITSLIHMVLDIIICAKFGYARHQNDLPPDLKGSYKTMIVFWLIQIFTKFPLMFS KLSLGLVYRDLLSTVDIPVVRFCRIANYITMVIVVGFFTAATFVGIFACQPIHKSWYS KEPGHCVDTQIMFNYVTSSINILTSLALIAIPLPILLRTQNKRIEIKQLTALVMLGLV DTAVSIIRLWMISGFYNVKKDFTYNAIPTHLVIVTEFNITIIAASLVVMRPCFQAIFN RVFTDSPYNSNNRSRQTHNQYSRSGYIMSDNGPTKKRISSEAASDDFPHTGIQKTVDI ELSSRNISTEDILQPRDRF VE01_04035 MQCFTELAPPTAATHSLTLPFTSGTATNLIVAKTSLLQIFSAKT VTVELEAAGSGGDNEDTEDAIINSRQLGGDEDGFESSFLDPDAVQRSTLASTTKLVLV GEYALAGTVTSLARIKISDSKSGGESLLLSFKDAKLSLVEWDPERHGLSTVSIHYYEQ EEIGGSPWDPYLSNCFNYLTADPRGRCAALKFGARNLAILPFRQGDEDTAMDDWDEEL DGPRPTTAIITSDNKGHEDTPYAPSFVLRLSSLDPTLIHTVHLAFLYEYREPTFGILS STLSPSSSLLDERKDQLSYMVFTLDLNQKASTTILVVTGLPYDLFKVIPLPSPVGGAL LVGGNELIHIDQSGKANGVAVNALAKSCTSFGLVDQSSLEMRLEGCAVEQLSADNGEM LIILNTGELAILSFRMDGRSVSGLNLRRVPSESGISMGAQASCTSLINHNSMFIGSED TDSIVLGWSRKAKQAGRRRSQPTIDAGDDADVDGTDEDQEDEDEDDLYGESTAAIPLK GEVAAESNSKSGDYAFRIHDSLVNIAPLRDVTLSKPDSSRHNENEEASSSTRRNFELV GVTGRNMSGSLSFLRREIQPSVIGRFEFPEARGIWTLCAKRPLIKGLETEKSETTLDT ESELGAQFDRLMIVSKSTDDTPEESSVYVLTSAGFEALADTEFEPAAGATIECGTVGN GMRVVQILKSEVRSYDGDLGLAQILPMFDDETGAEPKIVAASIVDPYMLLIRDDASIF VASCDSDNDLEEIERGDDSLLTNKWLSGCLYNDSTGKFSETGSSNGTGTEKTVIMSLL NAEGALFMYALPDLSKPIYQATGVSFIPTTISPDYATRRSTVAETLTEVLLADLGDST SKSPYLIFRASNDDLTIYEPFRVPSEAPRPLLKSLHFQKIHNPHLAKTANPEKEVAAD PESAKRDSPMRAIANVGGLSSVFLPGDSPSFVVKSSKSTPRVVGLGGNGVRSLSSFHT EGCDRGFIYVDSKGIARVSQLEPETNVTDVGLTLRKVKIGEEIQAVTYHPPKDVYVIG TMIKEPFELPKDDDYHREWAKEDITFKPLTGRGFLKLLSPTTWSVIDEVELDPHEIIM CIKTLNLEVSENTHERKQLITVGTAISKGEDLAIRGRVYVYEVITVVPFPNRPETNKK LKLIAKEEIPRGAITGISEIGTQGFMIVAQGQKSMVRGLKEDGTLLPVAFIDMNTYVT TVKSLPGTGMCLFADAIKGVWFAGYSEEPYKMTIFGKQSQGMEVITADLLPIGDELYI VVADSDCNLHVLQFDPEHPKSLHGQLLLQRTTFSLGGHMPTTMTLLPLTTAQAPTPAV TSTESEPTNPVSGLLMTLSSGAIAVLTPLSEQQYRRLNALSNHLSNLLYHPAGLNPKA HRISNTAPEAVIGGRPIVDGSVLWRWLELGSQKRAEVAGRVGVDGETIREDLQEIAAG LGYL VE01_04036 MALIQTAFIWLSYAIAVALVAAGAAVFTYTYQTPRDRSAVVTTV TIFTLTSLLATVFLLPVDIALVSSTSSSKLGIKKDWATPERVDSILLTLKIVYYALYS LDALLCLIVIPFTYFWYEEYDEVEEEEGTQSFAQRFWNAFKYTTAFILLALIVFLVGF FVPVAAHDRDGRMDFDYFRRLLSANKGERALTFALGLLITLGTILYVLYTAVGFALMP ISFIKSAPSISAPQLYASTASALVQNRERQRQLEGRSAGRPDGLPAKDQRELEGLVRE ERTLVRRERLAAEAQGQGQSKVAKAWTKLCAVFRPLKLLGGIFMLLISILIWVSMLIT GIDKAKNSICKEQCGYILGRVHIFQPIDWIFVQSSKVFPIDYILMALLVLFFFASSVA GIARVGIRFLWVRLFDIRKGRTSPQGLLLATMMLSLIVLAINYAITTIVAPQYSLYGP QTFCTNPPRYAGDAPDCTDHPELIKQCTKLSHADKSGNICTPSVVSTFINRITANFPF FGAVDFWAQFAFLGIFFIIFVTALFRTPKLNMSEIDQDAEADEEEGLLASTGRRFGAT WQDITGRASGGRVSGQGAAGRGVRGDMHDDDD VE01_04037 MKYSLSYTALTAAVAVTSVFAAPAVVQKRAACSSAVTLSGSSNP FATNKLYANSYYADEITAAVAGMTDATLIAQAKKVAEVGSFKWIDTISVIPTIDAMIK DVPCGEIIGLVIYDLPGRDCAAKASNGELAVGEIARYKSEYIDPIVEIFKANPNTAIA LIIEPDSLPNLVTNADLATCQASASGYEEGVAYALKQLNLPNVVMYVDAGHGGWLGWN DNLKPGAEGLAKVYKAAGSPSQVRGIATNIAGWNAWKKTPGEFENTPDGQYNKCQDED RYVTIFGAALAAAGHPNHAIVDTGRNAVQGLRLEWGDWCNVDGAGFGVRPSSDTGNTL ADAFVWGKPGGESDGTSDTSATRYDSFCGHADAFKPSPEAGAWHQAYFEMLLKNAVPQ F VE01_04038 MAGGNTHSEEPHNTNADTNQPGSFSKRKRWIIAGIVAGLIILGL AIGLGVGLSNRNSSSNATGSNPRSNTSPETTPSTTGASPSATSLWQPAVGVKWQIVLQ APINETEIEVPVYDIDLFDSTASIIAWAQGQGRKVICYFSAGSYEDWRPDATSFKPED YGNGLEGWEGEYWLNTSSVNARNIMLARLDQAAKKGCDGVDPDNIDGYENKSGLDLTT DTAVEFVTFLADATHARNMSFGLKNGAKILSRVIDLIQWNVVEECLQYDECGDYQPVI DAGKPVFQIEYPTTEEKPSYVSDEKKNEICGSTGIPVGFSTVLKNMNLDEWIVQCPAR TSG VE01_04039 MPPSAIIVPGYNFFTTADGVELAYQSLGDQANKPLILLHGFTGS SAVWDRNISALRVKFWVIAPDLRGHGRSGRRPDGQDATVARLGQDLNELMDWLGLNPE LPRDKGNAGGNVARPSCIAGSLGCAITWSFAEQFGKSIFDKLIWVDQAPLQNYTQDGT WGPEHGNRSLNSSESLKNMLDTLSTNPDDVYKGTVDGCLAYIWDPTWGRQQFDSEAAY LKAQEDDTSFFLKIAQQGDPTWFGKLMSDHTAIDWRGSIKKNFGAASANDTDILVIAS ERSGCFPAAGPMWIVDAILEDKNKSDGASDAYVRGVVVDFGGHWCYWEDAEKFNDLVL KFLAGKPTNT VE01_04040 MGSNAPKCNVCLIGSGGVGTIGSVVLENSGRAHVTAVLRSKYKI VSEKGWDIESVDHGTLKGWKPSRIVATVSEAALPPSGAEAQQYDYVFVSTKQLPDSYS VTELIRPVVTPGVTAIVLIQNGLDIELPIIEAFPKNTVISGVSVIGSRTVGENGIAHI GSERVIMGPHFHDGLDRETQIRQATEFVAMYDSGGPGIVSLDLDMPKARWYKLLWNGT FNTLCALMKMDVGEVQSSKGRESLLVPMMRELVAIAKEDGVELPEAAIQKLAYGLPDD CPYRPSMLVDVEQGRLMEIEVILGNALKKAEKYGVSAPKCSTVYELLKMEQWRIQQDL SGVVKAKPSKVDGTSLF VE01_04041 MSEQPVTNVTENEDTEENQPREDGLNDAAAQGTIGYLPGESQGG HIGSASQLLGAGSSEVSVAEDSHGSHEQPRKRARRVIRRTPHKDNLDIGFSNLSSNDP RSAAFESPGSYGTSFDARADLQRKDAQIDRLEQTLSHYTALENQRMGGHTSKYINVAA YALDPPQHHPTGTIPRGSNEGPYLDVIDQGILDLKTAHSLLQEFRAMNQNFPYIAIHP NLSTGMLRHDNPMLLLAICTAASWKDRELQTKLERAYLEELAGRMVVDGEQTLDMLQG LLVHLSWCHFHLKSSYRLSSLAISLVTTLGINRRPMPADRQNLSVLFPFPKGAPAPSN SAKTWSPDARRAYIGAYVICSSYAHALRKTSTLKFTPYLEECAQSLSSGSALPSDVLL IHQVRLAHHVESISDTFDYDFSRSVPPVPDSQLSFHVRSFSAALTGIESLIPTRLTSN TLLATSLLVVQAYTHEIGLHGLHPNDTLSLPRTTILIDCLGTVRRVLDAVVALNDADF RALFGYNWARIHYSLSLAVELSLGISSPSWGVENVRAVLALESYVDVFVQRLEGVSAL IRKEGDQGDWFGFLAALWKELRRNYTEGMGRRGVVVPGEIPPIQDLGEGWLGLEDMDF MPNEWLWMVPDIGM VE01_04042 MSSSPSRLPSPNTLIGQNRALRLGAASPSTAALLSTSAGGLVAN NTTRSKKNDSIWDFPEDEMSGTELAIPKPSKAKAAPKPRAKKVNAVKGDNGASEAPLK KPRKPRAKKTDEGDAGSVGEPAPKQKAVRKPRAKKTDAEGQTKIPKGRITKTSTGSIS KNDGKAGDTVSAHLAKFTNTEDYIDIDDITLDKGLNLHEAVKRRADWTPPKATDKGFS FGGALGEVVDLDTPPKGLTDLLGSFGYSKAEEPSTSIREVCMITARKRKLIELVKTNA TLASPQVAPTTKAAKKRPTTITERATAAYARGNDDDMEPTPLLPYLSHKDSGSGSGTK SKARSPAKKKGKKAAPPPPDLLNPQAAHEQASLQEFVFGTSSQLAQDEDPAFLRDLQQ AIQLSNRSEDPFSEDLSPIHNAATSGRWKSPRKRVSMWEAAWRIEEEGATEVEILDLI NSSQVERELQIDRAASIATQTNDTPETAPQPDLSAPISLANKPSAPKEPPSQHVNPVS SKPDNSTELAMDEQCPNFESYSTAELAKEIASYKFKPIKKREQMISLLEKCWEGKQRA ALGSLGVNTIINKAADKATSPKRTQRPSQMPPASPTKAGGRPRKDTSTTSPSSKRMPK PTTRRPLSPHNNEGLASDSETPTQYRQTPQKKVKRRKPLPEEISDSDTPLTPSPPRRR PSRVHTPPALSLVGDDIADIALPPLSPNSAEQELFEHITRAVTTAPRGTPQTPSWYEK MLMYDPIVLEDLTRWLNTEGLDSAGYDGEAKGEQVKTWCRARSDYMSSAKLTSKMSKL NISSKKPLKSGYDIPALGFGVWQMPKSDATNAVAHALKTGYRHIDSAFMYRNEASCGE AIKSSGVPREDIFFTTKIYGGKQMSHEYAAKQIDQTIANSGLTYVDLILIHSPDGGPA GRKGAWKALVEAQEAGKVRSIGVSNYGVHHLDELEQHIKELEEERGGKGKGGVLSVGQ WEIHPWLPRHDIVEWCVKRGVVIEAYSPLVRGERKDDEVFTKLEKTRGKLWAQCLIRW SLQKGYVPLPKSVTPARIEQNAQVYDFELGPEDMELLDFDEYQPTFWDPTVQSLEK VE01_04043 MENDKGELVDLLVPRKCSATNRIIKAKDHASVQISVGKVDENGR YTGENQVYALCGFVRSMGESDDSLNRLAQRDGLLKSVWSGQQQR VE01_04044 MHFSTLLTSVALAASFVAAHPGHDISQEIREREIALRSLPPNLA HCAEKMRKRGITADAGKRRALLAKYAREERGLDVDAPHIQGRDYTTVLNTDHKSTKGY TPQTSESTIFANTGSCILSPEVTEGPYYVAGEFVRSDIRDGEAGIEFILDTQVLDVST CEPVTNALVEIWHANSTGVYSGVNVGGNGVGTADSNNIFRPTNRGLQHTDAKGVAQFT TNFPGWYTGRTPHIHVLVHSNAQVTSNGHYTGGTSNHVGQLFFDQKLIAESATVAPYS ANKQSLTTNAADGIFKQEAAQGDPVVFYSYLGTSISQGVFGWVAFGVDTTKVTTPRPA ASLAATVVVTNAGQQATGVL VE01_04045 MAGGKQPFNIFKLNRGDDPKEVLNWRLWLAVFSFGLMGAARGVD EGLISGTLSSKHFRHKIGISYPDQITEEEYANIKANVSAMVQIGSVAGAGLAFVLCDR IGRLWATRQLCLIWIIGIVIFLTNNGSLGQVYAGRFIAGLGIGQTTVVAPVYLSEISP KSVRGFCTTTFSGSVYLGIMLAYFASWGSSIHISDKTDTRWVVPTTLHIMFAGIIFIL SFFNYESPRYLVRRGKDEQAIVNLARVRNLPVDHPVVVSEIAEIQAQLNEEKEATLGL GWKGLIKEMFLMPSNLYRIHIGLLSQLLSQWSGAQSITIYAPDFFALLGTTGQNEKLF ATAIFGVVKLVAALMCAFFLVDVIGRKRSLAIGIFLQAMSMMYVAIFLTVAGEKDSTD YSNSEKHASVGAIVMIYVSGFGWAMGWNTMQYLLNAEMYPLRIRAISSSLVMCFHFVN QYGNSRAVPNMLLKPSLGGMGPAGTFWFFTVITAIGGLWAWFFIPETAGRSLESMDRL FTLKWYQIGTKGQQEAEMQQTVETEKMQQEEASRGTAVHTERAQGV VE01_04046 MADNPAEPPSGSSLDSDLLARLNALRPTTVSLDHSSRPNLSIPG TLQTPDTLLSDRLRALRNGGGTGGRNTASGSHLPPSDQHLTFGVLSDASAQTASAISS LDSPIVEIDDRADIKLARDALDFAREGSHDVPMSKSHGRPPREASKVPFPEDVIRRLR EEAADSLSDSDKLLKSEGKHKIPKNAGSSRDSDAGGSPDGESDPEKSDDEDEDEDRDL EAEAQEAEEILARLLDEAHLEKRDEAANQPQSQPQSSPPPPQSTNPPPPDPTPTTDPL TLPSTPSTLLSPPDPPDPETLAFTNSITARMAALQTPTTTDALGMPSAPSTAPVSSPR GGSSKPAPEPATACVICYDNATVLCRGCEDNFGDAEEALYCARCWKEGHLGPDAGVEE RTHEWIKFKRPT VE01_04047 MDAASEVLPVTTKEGIVQFTGSIDHEKNRTLGVIKHRRSTGWTC GTLNELRSDCRHVLPGQLDLIRTEWCVFKLPRVEYFSSKGDSGAAVIDFGGKIVGMVH RSSAKSELYRAEMTYVTPMDWIIEDIKATMGTDDVILEEYIWDGEGETGN VE01_04048 MSTSAHAKKYCSTELYRYEDHNFKFWFPNGPIIPEDKVISAIGS HPILAAYNKHLRPKVREALASAPWQTIGIVRLGFADNEDNPPVVLVTIKEENAEENIV QAAVDRIRNIMVENGFLDVQAEAKTGMLFPQLTYDVDRVIPLEFKQTPKTHQSPITRQ LPLTELWFFNGQERMLKKKTNILQNEYHVVKVGWTTSLSKKGMLKWDRFLLFDKLGGT ASKRIASG VE01_04049 MPPPQPRTHKAKPPSPEPRPSSSILLISPENKILLLHRVKTSSS FASAHVFPGGNLSSQDGPIPAPSSPERHVDSPVYRLGAIRECFEESGILLARHRDGGG MLEVPDAERERARRAIHSGEEKFSEWVKKMGGVVDADALLPFTRWITPTSVPRRFTTQ MYVYFWPLSGAGQDAKIPIGGDAMIPTPTSDGGLEHTAAVFQSCATWLEQARRNEIIM FPPQFYLMWLLGKFFEEGKGGAMELRVQREKVKEFLKGSGANGVPWADKVMSPVPLGM FEGRAVLNLDHPGPELKGSGRRGESGHVVQVRFSKEGPRDVEVRDAVEMKRLMGEAKG KL VE01_04050 MAILKRNSQTVANGQAAATNVQNGQANGVRPGSVAQAPPTYTPT NGNPTNLTEAEMMNAAAENGNAAAGAQPDIAWAFSNLMIKESDNGFPTPEETLGHLKL LEAFYALKDEIAYTDGAFGLYDGRAPGTDESVAGDQPATIKRLEALAQIREKRWALYV ARAADRFESWWTQVLTPMDKAFCNSLGPSLGVSRLRVSDLTAALWVKFVDEPAFNKHP SDPRKDWKRIKWIWTRDMLPPLDVLMVWHSFMLNPRNYLEDCMRFGLRDTWYAGMPWK AVNDSINDSFYYEVTDAAKAKWISSTSRPWSNLDESSVKSLKCPRCSTLQSIPWTTAS MEESARHNWDMKYVGHGYAEGDLLATCPVCNLNITHDILRVTKFKRDAEDLLRSDYPM GGTIVPGKGGTPLNVRLHEATYGPQSFPNRLIKEHLRTQVLDLNKEDYGSKFCRTGNL IYVRTLIEQSIGDKTIIAKINGYKTSGKMYPDERLAVRHMMSRYWTNHSLFSMELTSA VIRQGSFVDKMHKIDWLHSPTALSTMERLLIKYERFFRIMASNPKQTAVPTLDVDLAW HTHQLSPREYYNFSIRMTIDRFIDHDDKIDEEKLSEGFEWTSKEYERTYGTVYSECTC WYCEAIRAKHVSSASSIFKKTSKHDKISDEFYNSGAAQFCPPSNSAHISAHNAVPVVN GDPMNKRVAERLAAARKKQLDEAYAKACKRAIKRGRPVPPKEQFVANHYGMAYASPYP YGMMYMTPFMYPYGLYYMPMGVGVYGACASDIPRNDSANADTVPRKRSTSPHRRPPPA IRILKTPAVKHHVSLGK VE01_04051 MTTYAVYNVEDEIAAFFTKTSVARQTCDDRARDLAGGTVTPIKI QGFCSYSVYAGPNLEYVLQFRPKSLEHAGEAAVWGPSSSSFETFAWRKTLMGDMALYT IPILNPLFINYTKCYSFFALAWKSPQLMAVSQRNRLRQTYTTELQLLLTALPVRFHTI IQSCIDSVDKILSLPMVLLHKDFCDCNIMVDETTCHLVGVIDWAEAKIGPFGLNLFAL ESLSGKLHLQNGWSRYEIMTACKTRSGTRSRRRWEALQKKTFGPRLANGPDHVPIGDD ERGRYNMLSLDAFLINLETRFEV VE01_04052 MIFKLLQTNSTVSIWLYEQIAIRIEGKIRGFDEFMNLVIDDAIE VGQVTKTNETETRRPLGRILLKGDNVSLIQSLSG VE01_04053 MDFKREEDGPSAEETELGTVLTTNPEYNLTHGQNQLERGLKSRH IQFLALGGAIGTGLFVGSGGILADTGPAPLFMAYLSMMGVVWCVMNNLAEMVTYLPMK GISVPYFVDRFVDPSLSFAAGWNYWYAYAMLVAAEASAAAIVLDYWNAPVNVAVWITM VLFIILLLNVIAVSFFGEAEFWFASIKLITILGLIILGIVLFFGGGPNHDRLGFRYWK NPGAFKQYMSPNETGKFLAYWHAFVKAGFAFITSPELIAIAAGETVDPRRNIPKAAKR FVWRLAIFYGFASLIIGIIVSSDDKRLGGAGNAAASPFVIGIQAAGIKGLNHAINAAI LTSAWSAGNSFLYSGSRVLYSMSLTGQAPKFFNRTNKHGVPYVAVLFTWMFSLLAYLN VSNTGTTVFNWFVNISTISGFIAWIVVMITYLRFRAAFEYHGLLHTLPYRTPFQPYAT YVVLFIVSLLTITNGFQIFWPGKFNVSDFLAAYITLPIFLVLYVGHKIWFRTPFAIPI PEIDIITGKKEMDELAELEIRRIPKNFLQRAWFWLA VE01_04054 MFLLFLLRNVLFFLLVPFSLVSTTYLYYYPLFHGCGFPTPGSSI FNNGFVRTVLSHTPLSPVVPATSPFRLLAVGDPQLEGSSSLDPTATSLTNLHVFFGTF NSSESLLQRTRTALHANIDFWLDDLPRLARYGIKRLDLWGNDLYLAHVYRTVRWWSRP THVTVLGDLLGSQWIDDEEFEWRSGRFWDRVFPDHEKISDDLIHTTMPPIAVADGGQE PRKQPFHIIGEDAQAWSTRLMNVAGNHDIGYGGDLTLERASRFEHAFGRLNYALEFRL PSDPESQQQAPTLRVVVLNNMNLDTPVYAPTLQTDTYNFINNVVGSSYPVEQSGVFTV LLTHIPLHKVHGVCSDGPLFDFHESAEDGSFPHGIREQNHLSDAASRGILESIFGKSS SPIQPGGGRGRKGIVLTGHDHEGCDVYHFINQTSPDPKWEAQRWPAAQAQGIPTDPSL PGLREVTVRSVMGDFSGNLGLMSLWLDEAGEWQSGFANCRAVRAWVWWVVHVADCIAL AVAAVWGAVEFYASSAGSNGSNGSKRRVNRVARAGIRAASMRSRSADRSDMGNSSGSD TSTLSSGQKKSLRRKRSKQSMRDDLGAGKRQLSDVLEVPE VE01_04055 MDATVPEAQASMTCPNCHAGYDAADLQQYRDHVESCVRPGLAES DDESAASQLSSPPVSVSGEDSRLPPAYSLTEVEIYPERRELDEDEEPLPEFRLAKITD VEVFGDNLADPENLPLDEHYRRILNAQKALVEYQDEWLELEKCVKAYRLPNMISIEEE LERERGRGRGVPKEVAKPAQKVINPRALPVRNDDPEKWFYTDDFQLMQDRLEASVYGY IVKEGTKDIGRQDPISQRPRRLGQNQRDLRARAPAQKVVPGEAAEESGEENAPLNLVN EALMIEGGRGKREKRPSTRVASESRASTPPPAPRGRPPRKNAKTRLQEIEADPTAGET MVSTEKWVDNVGDAESRRGSSPAVSTGPSTDWSSDEEIPEREYGTKRRRLDTSDFESA KKAKKAKTVPVDVSVNSSAADADAIAKEKSIKRSEGAKMGWAKRKAELQQQRKSSTRA TSQDDNEGDDGQLTNTKVDGDRPKPYPRKYAKKSKKEPVYTTLPDGTIKKEKSAATIN MERRWAKKREAEALGLEPPKIGRYKKSELAAMKASQDGQATGSPDDRSEPKLAPKKRK VSADDQPAGDMRTDQGESAQASENAAAKRRKKDADENMAAQGPPAGTEAYAASDFYGA KPSSGRKVKKAPADASMHTQGAVHTFHNNQYEGLGHVHHWSPPSQQAQKPTARHVAGK GKKGIKTESHHNSQNHDPSLKPMSASGNEMASSESQNAPSGAETLGIATTKEGRATRS RRRKETANIQTITHVAAAPPAKELATAPKATDVPSHGEAGPVTIGAKKPVRSIFINRG QESFDAERKTLDGREARAQRGPVASIKQDLDLDQNHPVRRAKKSPVAPAVPNAKAVPL CDMPKPGKADKSIAPEIPQTSVSGASSRDNGSFTTPAASAGEPTEKESGSGSSGRPKR NRHPPNKYQVELDETPSSTYHMDHRMHTPHESMNRNILPQGAPFIAYETPDASPQRSS TLKNKRGGRANVEVEYSAASTHHGETPTHTAPTDPDAIAAAKAKEAARAAKSEKMKAI TKARWASGEMEAVMARKKANNAAKRAAEAALPSSSGSKPKKNADTPPAKAPKDSKRRQ THGGAVEKPRPPPLGKPPYTTGRKVSEYEQFLALTSPSATGSPLPPRGRRPAALRASQ SLALRDTDDESQAEDTEQREDTGQRWEEEEPEMDALDRQFAMEYNDNLGPEMDALSRK FNSEYERYQALASPDSTIVLGKRARRPVGGLKEAMEIEKGGESSDV VE01_04056 MAAKKEKAATDDELKKMFEGLEGEDLPATTTKADASKMKKPARA QTAEDIEAELAELENLGKPQPDARPRVATPVGRTSSPTKRTSVGSPLPQTSTRSSEDK RKSGESARSYHTSFTPSATSSELQEAEKKSRIAEPAAEPVAASGGWWGGILATASAAV KTAEAAVKEIQQNEEAKRWAEQVKGNVGALRGLGGELSSRALPTFTNILHTIAPPISS HERLQIHITHDFIGYPSLDPLIYNTFSRVMAQVEGGDLLVIQRGHESSTRRTSDAAYT GGRTGWYDGPWWRQGNEHRDLGAVPGLVEGTKLVRVSAEAYATEFFASHGGLELAVRR ATEQLSESNPVRSSDIFLAVQAISHEAQEDMFQGPAKDEKADAVAEEEKPDNLISFAV YLHDPVHGITFAAVSQTVPAKWIAWLDAPSPMTPASPSSPSAEQQSFSQDKYSPHMPR EIAEIIESGGVDPREWVAEWVEEVISLAVGIVAQRYVARRMGVGEGGIGRGKARAEEV MADGGGEAARAGLI VE01_04057 METLKAFIKHGKQARVTQPQAEPTTHVSPVTAHQQRAAKTESSV SQKRAEEANMAHQAQKDDANRKAGGKKVDPDTLARMVAEDNESKGKLPRYPGLERWRL IEKMGDGAFSNVYKAVDLEGSAGEVAIKVVRKFEMNSSQGDDHLHPDFKKPKAAERAN ILKEVQIMRQLDHPSIVKLIDFSESKQYYYIILELAPGGELFHQIVRLTYFSENLSRH VITQVAEALEYLHEEKGVVHRDIKPENLLFSPIPFIPTKNPKPRSDEEEDKVDEGEFT AGVGSGGIGKIKIADFGLSKIVWDTQTMTPCGTVGYTAPEIVKDERYSKSVDMWAMGC VLYTLLCGFPPFYDESIQVLTEKVAKGQYTFLSPWWDDISKPAQDLVSHLLTVDPEKR YTIKEFLAHPWIKNTAEPTYAAFDAPPLATPATERAEPLRAFDRELLESPGARRMDFR SPGAVNLREVFDVGYAVHRQEEEAKRRKNLMGRGLEPIGDENEGGMQGVEQGLRNTSL SAAATARQQAQPQAKGYGQHSPAVAAAARQQVKNQRGPFELNLDGATLLGRRGQKGPT SGLRESTTVQ VE01_04058 MKRAYDVRDLSAASTIVCAGAAGGVAGMLGNPTEIVLVRMCADG AKAPVDRYGYAHALDGFVRIGREEGMKAFSKGLGPNIVRSVLMKDVSQIAVYTSAKAK LLSASWLKMNDGIMLHITASIAAGTVATTVCAPADVLKSRMQNAAAVGGKSAGLVHIV AESMRKEGPRFLMKGWLPAWLRLTCPRTSDPDRNRLWIVISPATEGAAAANGMDERSE SAEAASNSGTTKQAACLSCRRSKIRCHRGAGDPKCRRCNQANSECIIPKYHPGRQKGV KNKRTGLEKAVYRIGQAIARTKANGGELEDEQAAVNLQHLLSSTVGLLPRSTISQEPA QSPQMQAPTSMPTHHGITVTTSPGYPTHNNVRASPGYPLHRSRNNNYSVDDAENPLQL LASASDMAVSPPETLANTQAPASSGPFCPAKEDEDKDLQTFFGRFIPNLDVSEDIDPI DMGFITNGETVALFNFFYQNLSHTRWGLDPVIHTAQFVRSRSSFLFTSILAASALFLP STDALSKRLSVHCKKLAYYVMEKRYRSPEIVLAFIINVPWMAPGKHWADDETCAYMAM ALSVAMDLSLNKLIVPAPGFPQREIPDHVPKSDCITAKKALLLDGFDDVDPYSSWGQR LLRRRERIWLSLFVLDRGVCLARGRTFTVHMTPLVESCDQWHISDMADTWDQSIISST VLRRDLVTLIADIKSTCDVVADGVSIVHVLKDMIEGFFDRWFSVWAVAVGSKHHVLPP YVEILVSHTRLSTYSSVINHPTAPVEVKRFFRAAGLSSALNVMRAAVQGEGRLKSMPN NTAIMISFAACFALSISTMTEGNTLSLAPSIRILVEEAAGMLERIGCSPSHRNGTSSL YGRHLRKIIRNNFAAPDRDVPAAALPIGQDVAVGGYDAQNGQSLGGFAEFQPVLFSAM SNDQVTAAIDSASQELDVWLSTFQMDDNAGLDWLDW VE01_04059 MSLPRRYDVRPLRSAVRLTSRCKPIAPLLSTPSLICTIRPYVRF SSSTPLRASKFYKSADAAIADLKPGSTILSAGFGLCGTPETIIAAIERRGPSLHSLTA VSNNAGAGAGGLAALVHSGQVGRLILSFLGSNKALEAKYLNGDIAIELCPQGTLAERI RAAGAGIPAFYTPTGISTLLETGDIPVRLGPADSNGALTVLERGVPRETREFAGKKYS MEHALPGDVAILRAWRVDEAGNCQFRYTTKAFGGIMAQAARVAIVEAEEIVPLGSIHP DDVHLPGIFVDRIVPATAKKMIEKRITRGGEDAATKKKGGKKDIIARRVAREFRHGDY VNLGVGLPTLSPQYIPEDTKVWLHSENGILGMGAYPTADEVDGDLVNAGKETVTLVPG AATFDSAASFAMIRGGHVDVSVLGALQVSAAGDLANYMIPGKVLKGMGGAMDLVSSPE KTRVVVATEHCAKDGSAKIVETCALPLTGARCVSTIITELCVFQVDRANGSLTLTELA EGVSVEEVRSKTDASFEVSSDVQTMLQ VE01_04060 MSKLSPALKAAINAPFARPGPLPASKNIAAVYLAIQREASSHSL GVFPWLALTTAATMTANSPASLTLLHDLASPNPTSAVQNATFMREVGLKTISFNGIPR ALNQLVHLRSAIPAEIAAQLPTTPTRTLTPESIEQVQPRARALWNSIYDPHSDKLVDK LEAAHPDLPVHILSNHYGTLLSDFPGANNPAVGRVLTSLVAIACLRAQTGVGLQVVSH VYGLRKGVEEGGEEVGEGEKWLGGEEGNLWALGVVDRVVQAITGEGGSFATYDKAKL VE01_04061 MSSSPNLSSKGDDPSFTPYEKHLESADRPSSDNAYISSMTAEER KALTRRILFKLDIRIIPVMALLFLCSFLDRTNVGNAKVYNMEHDINISDHQYDTCLVI YYAFYIASEIPSNLVLKKISPKIWLPVLTAAWGIVAMSLGFVQNYAGLMVARAFLGAT EGGLLPGIVLYLSGMYTRGEMALRIGLFYTSASLSGAFGGLLARGLHEIGTRGGLAGW RWIFIVEGLVTIIVAGLAYYILPNSVDDALNEEEREFARYRLYNDKPKTRLANGEIST ESERFAWSEVRRGLMSPQLWFSATAYLAILAALYSFGLFLPTIIVGLGYTPNEAQLWS VIPYAVAAVITVGIAFLSDHLRLRGPIMLASLPLAIIGYAVIANVDSNRVKYGMTFLM ATGLYATVPCILGWISNNSAGHYMRATTTGAQLAIANCGGFIAAFIYPKVQGPEFFIG HTVILGLLCFAWVMILLNVLYCAKVNRDKAKGLYDEFIGSGDDRDPEFKLVL VE01_04062 MGSLIENCTIDVINEIINYLEPDAIRNLRLSCKTLAIKSSSLYH VKALFQSKHVELTEQALRACAEGTQAGGLCCLVEELTLSSIAGGGDAPRRTRQGRVQL WRKNKDSLLSQSFIALKRNGPTRRLASLSLEVAVPPDLKARLQPADARAEYDWRPVSE AAVDTFHTTFRALATSQLRTESLNMFNGPGQQRCSLPCNALSKVDWDDEGLTESLSSM RSLSISLSNRVSKFDEDEDGHVITDNRTTRRSRRNHHNEEDVSIAQDERNFTGLASLF QLLKNLKSFELHYFRLRGELQGLPLPMDWCHERLLQRLVTLNSLPNLTRSTLRGIYAT ETDLLAFIKQTRVSELSLENVTLSSGTFRSIFDYCTSTATSVTKLNFNVLYEMGAQPS PMVLFLGPGRSRLGYDAFGLGSESLERSRDDINQPISYHTPPPPPMGSPVMARYVAFR RREYGST VE01_04063 MSAKEAIKHSTIVLDMATTFLNICTDVTRLSGITGYALFVSSSV QFKSLSAQGKLQNHGISRCDAAILMLQHLKEYSRPLQVIWTNLSSLFSSANIDVNSLS PGNGNKDGALDPNTDIGKIVSEKPISRDSTSNIDLYTYFPDAESASTNSPGNIQETQS AANILKTMKQSAVRQEIGLPIHTSHTTMAGPPSTPIYHISAPNPQSYHAPSPQSQVFD RGVGIQDSFDKLATSNSGNSSVASFTPTNGATEGAGFQFRIQGQGEASMMDVAPVARG IDGTDLWWDQNFDEFETDLFGFLHGEYPWNEGSNYFAYG VE01_04064 MHENGPQLQVSRPCQGSINLASKPFKHAKICHFTGSIKATRIEQ PFTQLLHTERVACCAFTANKLVISTHCWLNQDNAGFKAESWKEVVGLPLPSDQNSFLL GKPDSKEIFAPNGDIVPINVEPSQNPPPSIMSELVKVFGLW VE01_04065 MKFFSKCLLFFHGLTALTVAQQIVTDAKAACGKLGASLAIENVH INFVQYLPAGTNISLTQNYNLSSCGYVSQVVSNDLCRVAMYVATSYRSGITLEAWLPT NWTGRFLSTGNGGIGGCIQYADLAYAAGLGFATVGANNGHNGTSGYAFYRNMDVVHDF VDRSLHTGVVVGKEIVKRYYGAAHKKSYYLGCSTGGRQGFKAVQSYPNDFDGVVAGAP AIDFTDLTSWSASFYTIFGSANDSTFVPAGELWALIHQEILNQCDSMDGVVDDIIEDP LMCQFRPEALQCPPGTTNFTSCLTNVQVSAVREAFTDFYGLDGKIIYPRMQPGSELIA EDIYYTTGPFPYSVDWFRYVVYNNPNWNAATFNRLDAKAAADQNPFNIQTWNGDLSDF RAAGGKLLTYHGQADYIISSDNSPRYYDHVSRTMGLPSSDLDEFYRFFRISGMGHCGG GVGAHAIGQTEAEVSTLDPQNNVLLRMVDWVENGNAPVTVTGTKYIN VE01_04066 MDQNLDENAVRDLEHELHTEIYPGTEIMKDVGTHHFVKLSAGAG LVLVPQPSDDEHDPLNWSPMWKGFTIMCATMVSFSQGFGPLALAPMFGDYIVAFDSNL TDVVQFTGVAILVLGFSNFIWVPVQTCFGRRPVLIISIFICFASSIWRARATSYNSFM GACVLNGIGAGPAETAQPAIIADVIFLHDRGKYQTLYFAFYFGSLMAGPIISGPMAQQ LGWRNFWWLNTALLGFVGVCCIFLFPETKFQRSFVPANSTITPSLLKSRSSEHVEGIS AQNGIPSSMIDDAELQKEKPVQSQEPTTKSEGSETLTHIHTHQDPWLGRGKPSKAQWR LWQPYEGNFFIELWMPWYLLAFPIVEFSAFIVSWSASCFLTLNLTQSQVFAAPPYNFS STKIGFLNFAILIGALIGLLTAGPLSDAVAARLTKRNKSIREPEMRLLAMIPYVILMV IGNVIVAVGYEHKWDWKVIVIIGYTCAGIQVAALPSISSTYSIDSYKPVAGPIFVAIT INKNVWGYGFSKFITPWTIKSGYIQPIMTNMSLTLLWCLTGIIFWYFGKTFRKWTQHS KVHSL VE01_04943 MSSPRRRIETDVMKMYEPALLMSDYEVTLVNDNMYAQPSMTFQL RILDLQGTGKNSMSASRDPKKVTPFQGGLWKIHVELPDQYPYKSPSIGFVNRIFHPNI DELSGSVCLDVINQTWSPMFDMINIFEVFLPQLLRYPNPTDPLNGEAASLLMREPKTY EAKVKEYVSKYATREAIDDNGAESDDDDDMSSVASFGSDDNDEAAGTMEEL VE01_04944 MSRTTILSSRALTSLSSLSSGVCRPASFAARPLLSQLQCRNSSH SPMGAAVASHRKKVTINTLRSMRKKGEPIAMITAHDFPSGHVADHAGMDMVLVGDSLA MVALGMEDTSEIVLEDMLLHCKSVARATKTAFTIGDLPMGCYEISPEQALASAIRVIK EGRMQAIKLEGGLEMVPTIQKITAAGIPVLGHIGLTPQRQNALGGFRVQGKTAASAVK VLHDALAIQKAGCFAVVVEAVPAEVAALITERLDIPTIGIGAGSGCSGQVLVQVDMAG NFPPGRFLPKFVKQYGDVWGETKRAIESYRDEVKSRAYPAQEHTYPISKDELEQFERV LANTSKSSFGGPE VE01_04945 MSESSLPIRSSPSQATPIPDDDHEADLPLTMTASVVLTSLPRDA TAALKDAGAFDKPKVTVRFKAIGSAPILKQPVCRINATQRFEAVVAYLRRVVKCGPQD SVFLYVNNTFAPSLDEIVGNLHRCFRNQTDDQLIVSYSMTPAFG VE01_04946 MRLRTAALRQLSRGALCPTSSRTASCLAARTAPLLTISQRGQFQ PRRGYASVSAAECQFGQPVHETHPHILAAGELTPGISAQEYHERRAKLAASLPENAIA ILPSADLKYRSGAVFYEFHQESDFLYLTGFNEPEAVAVIQKIGKDDDYIFHLFLRPKD AAAEQWEGARSGEQAAIDVFNADKSGDIKNISKLLSPLIASASQVYAESSSTKSIFSK FFSAKNTAEDSFFGLLKDANVRPIRPVLNDLRVFKSEAEIKNMRLAGKLSGRAYTNAM RQQWTKEKDLCAYLEYQFKAQGCDGSAYVPVVAGGINANSIHYVRNDDALGENDLVLI DAGGEYGGYITDITRTWPVSGKFSSPQKDLYEAILTVQRSSVALCREDANLSLDQIHR LTEQGLRKQLEEIGFDLRGNAMEVLFPHHVGHYVGLDVHDCPGFPRSGVLKTGQCVTI EPGIYVPNDDRFPAHFRGIGIRIEDSVCVQKDTALVLTTEAVKEVVDIEALRD VE01_04947 MSGMSTRRVPLSSNPNAANSPYRSVTAGAAKPKRSHATVQREEA YGQPPPAKKHIVEVTHRGLRTPPRQSLTESAEGQVFSRRAHAQPTAFDRKLEAVRRRP AQQAVAKADKASEENLETIRQWQRHYRKVFPKFVFYFESISEDVRVRYAKQVTALGAR EEKFFSNAVTHVVTTRTIPPELAVSPTDTAGDSSAADVNSRSNHPQTINPSLLDRSSE PAGNHIDSQPLKSRFTFEVNPRKSSAIHNDIDIRRQQGRNADVLHRARELGMKIWALE KLQRMMTTMFDTDTGSQAAHGHNTRSNTTQGTISGVSRSTREADLSALLRNERIHGPS DRDPTVATKEMIMFKGLYIYVHDVDEKQKPIMVREYAKVSNKEQGDWPQFRSVANGKC PFVPEVDGSRREAERDAARQQRQQEKERSAVPRTRAAAAGQARMQPPTASSKRALMDG ENTANRNTISAPLKQRNTFSRATDPSQSLDDEPSSKGPQNAFVSRAGVGRLFGGEPVA SGLQQSNITSAIRSQMISSTAAGPGAKAGTSKEVYGLQRKVLERTSGPTSLGLASSHR MTDLNVAAKDDAGARIRKRKNQESLGNIEEDGWNNEQENTARRTDESRTVKALPKRKV VKRDPKPGYCENCMDKFDDFEEHCVTRKHRRFAEKSENWTELDALLSQLGRPLKEDPY YA VE01_04948 MWWWGNSVKFDPDQDIEDLAEKVILVTGGNNGLGKETVLRLAKH NPKCIYLGTRSLERGEAALIDIKTEAPDSNIKLLQIDMASFASIEAAVAVVKADFERL DILINNAGISNLPPDVTKDGYELQFGTNHIGHALLTKLLLPRMLKTAESAPTGAVRIV NISSDGHYHATAKGIDFDDFNTGNNWTRYARSKLANILHAKALAKRYPSIISVAISPG TVQTSIFEKMNSPVLNVLIALFGWTSLVTIPEGCKNQLWAATAPGVEPGIYYWPVGIK SSGSKFAGDEALEEKLWEWTEAELVKHGY VE01_04949 MYGDDDDWKRESRPLSFISSPLNQSPESDEAYFSNSNWTTEPLA GRRNTAPRPQLQVNGGPAGKSHTLPAALPSHRNAVVDIAPPSPSHSLRDGHSMSDTAN QQFPLNDIDYESNPAAVAQELSNLQALRRMSMDVGNTSDPDLPTFQGRPVMPSVAPTG GDDEDDPSRLFWVPARVHPELAPMEFKSFLETRVKTIKRRSGDSTLVPDILERSGSAS SLRRKKSMLSRQIDNSGGKGGLGYQDGADKLERRRSLLSQGGNEIKMSDLTELDELVK NPAKAFQKLSMERGKHDDAGAEVPVDEDMPILPAAPGFGLRRSTHTTYRKGSLRRGER VPYSKRAGARQVEADGDDPFTSPGVKRVMSEPVSENFSRPNRRRGPTIEDKDSDQIQG SKYELTDQDVQFGEETLSRTESHRSDVSLPQIIETPPEDEQPLEEPLSPTHHSFPERS SSFNQPQAAEQPPTEPPPRSSRRPGVARQVSSTGDAVPKSSKANLVNELTQSPSPLPG SSSRTDSLTFIPTVVEEKKPEVKTHKDRERDKDDNESTTSKKGWGWFKGSDDKNKKDK KKDDESSKKSKAKVSLEKTHDGARLDVLQHSIESNAQRGRESLHQERESFENKLVDER KKESSRKAGKEEKKEKDGLFSSLFGGKKKGERDSGGKKSASLRALSPEPPRYLRPDVD YSWTRFSLLEERAIYRMAHIKLANPRRPLHSQVLLSNFMYAYLAKVQQMHPHVQVPQS AAQKKAQEAERKAKEAARREEEEQQQSEQYRYDYHQGIANYADSPSDQQEQQQHQHQH QHKQQQHHQQQQHDASETVTYVDDSQIYDYDHQDDGGGQHQPRQASRRQGGDDYSQSG QGQYSHQQQQQQQQQYYQYDNQQQGAYDHDKDGDMW VE01_04950 MASAIRVAPTKAARAMSLLRTVQYTHPPSCPCHGNPSHHHHHKP SNSLLSHAKRNLQRNYATPVDLSRQKEYAFEMAASSIRFGPGVTKEVGMDFKNMGAKR VCVVTDSTVKHLNAMKQVQEGLDQEGIDYIVYDGVRVEPKDTSIKEAIAFVKPYAPDA FLAVGGGSVIDTAKLMNLYTCYPNADFLDFVNAPLGKGLPIDKPLLPLIAVPTTAGTG SETTGSAIFDLASARAKTGVAHRALKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLE SWTAIPYNERIPRPQNPINRPAYQGANPISDIFSLQALRSTVKYLPRAVRDPDDHEAQ SEMLLAATLAGVGFGNAGVHLCHGMSYPVSGQNRGYKHTGYNVPYPIIPHGVSVAVTA PAVFRFTGATNPERHLAAAEAFGVDISNVKRESAGEVLSEAIAKFLEELGDQPRGLKD LGFGKEHIDELVEGTIPQKRVLMLAPGLAEELGEEREQLRKLFEESLVH VE01_04951 MFRAQQNAFDDVVAKATDENLTSENWEYIMDVVDKVGSEESGGK DAVASLIKRLAHRNANVQLYTLELANALSQNCESKIQRELASRAFTDALLRLANDRNT HQQVKAKILERMAEWAEMFKKDPDLGIMNEQYHRLKSQNPNLHPPSAPSKSRLTDVDR KKEEEELQMALKLSIQDKGSQPAAPAQQAAASSSSQAQAQGPAAAQPVPSGTTAATVS RVRALFDFQPSEDGELQFRKGDIIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLSDPT PDELQREAQMESEVFAEIKNVEKLLTLLSSSTSELRGEDNDEITKLYHSTLAIRPKLI ELIGKYSQKKDDFTQLNEKFIKARRDYESLLETSMSHPPQPTYGYGRPPQAQQYGAAP GGYPPQQGGPPAQDPQRYYTPNPQDQRQQQYPQQPTSPVYPSGQTVAPFYVVPPQDAQ QPPQQQHQQHQHQQQQHQPQHQPPQHQQTPQYPQDTPQYPQDQPLPLRTASTTSNIAP LQPNSPPPNQGTPYPLQPQTGHRPQSTYADPQELATSSYSPIQQHHPSDPYSSTPAYP QDPYNAVSPLPQTAQPHQPQYSAYAPPQQQQQQQQQQHPQQPSQPPPQPTYEPPTPVP APLQVNSGFAAQDSRQTLPSQGQQGQGQGYMPYNRPDSTGRTSVGPPSAPPGGGPADF YRQSTAY VE01_04952 MSQEFTFAEVAKHNTKKDIFLVIHDKVYDTTSFVDEHPGGEEVL LDVAGQDATEAFEDVGHSDEAREILTGIEVGTLKRMPGDPAPKAQPSSTTVQPAATGM GSVALYFILVTGGAAAFFAYKYLQAQQSQQ VE01_04953 MTTILTAEHPARPTVFSTLATSSPSPPFPRPDSNHAHAHAHARP QHHRSTRRRARRPLQASEEEMASQLETVSAFVEGAPPGELGDVIADIKALTVESPELI GQLGPAFEKYNEEQFATVKLPGGSQQVIVSSHNSLGDGRYFDVENSSSFEFDHSTQKA SNVQSYVLESANSDLIKSLIKSLAKHAEDHYPNSSYGVYPIEDDSKIAILLVANKYSP NNFWNGRWRSLYILSSSSSLVGSIKVDVHYYEDGNVRLLTTKPVSLSVSSSTATSIVR EIAVAEKKYQENLNKGFTSLSEGAFKGLRRQLPVTRQKVEWDKIAGYRHGRGIAKSAE GF VE01_04954 MSLPVRTLTKTLRKSPTDMVSHPAEKAVAPTQLPTRQSTGASGL SDTSALLEERLRAWKHAVGYLEEYISATEKAQKAHAKEYEKVLKTIQDPLKEGSHFDQ SLGGVAGLFENMRVNTESLANSHLETEKNLKGTVLPILERLHKEIKNKSKEISSGVGK AAKEIEKSRNTTQKHIELLGQQSAAAESSGSKVNSHDDPYITQRGIYYRLHKQILEEN NNRHDLISVQENFATFEQHVVEVIQQAMASFVQYVGGQVQRNQNAYTDMLSNVQRIPP DFEWNGFKQREADTLVDPSSAPRSIEGVTFPNQNHKSTQPLLEGTLERKSRNILSSGY STGYYAITNALYLHGFKDNDNVRKDPEPEISIYLPDAVVGVPNGEKFHVKGKDVSKGF SSKLAGTSELNFKAHTANDAEKWVEVIKMAAGANAARAPYSSGPSSPISPTTQKQASF GSIAPATAAAETKAVEAAQEEGVTAAHPTPLKIEEGKTVASPTAVAPSATGAVVGTDK ATKPPFE VE01_04955 MAPPAETSANYQQQYNEFITNLTAYHQKRGTNFEPEPRVGNKHV DLLQLFKTVVERGGYDKVSDEKLAWRKMGQDFNLGTANLPALAFSLKSTYYKNLAAYE ISTIHGKEPPPKEILEDVTAKGGGLLERTVENYRPASRRETNALGLEGSEASGDDGTP VRDLVGEETPGSGGRATRGLRQAPPQRVLFQPDTAPSRQRHNLLPPGSAPLPPRGAST SYNPSSNPDSFSHAVSNYEPRPQMPLTLRPVITPANNPSEFKRIRAAKEQLLNPLARP APSARIMLPGTGFDGPNIYVRCLLALKSGVQEEQDYALHHLVKISMERGDKYRFESFA GLAEALVDKILEVSSLFYDVTWQVVYPDDGKKRDWNTLDGLEGTPDILERIEKLTQKH VDDSVQPENFSDKMLQVVEAALTLRNMVMLEDNAHYVSEMRPLRDMLSIVLNLPNLEA LVELKHYALDIVEQLTKYLHFSANDPLYLSLLAQLDSPDRGAVLTSLRAISRISMNLE DTNRLEGIPPTTLQRILDWTLLDDEDLVNSCLDFLYQYTAVLPNVSLMTHSIDLPSVI AQLTRLLIYGAKETTRDLLLAPPTRRPPPSEIPPIPAPLLTALLALDEPERSSKWLRC LFEEDKDEAITQIALWQAYQARFAHAVDKMGRPLLPAAEFIKNVSTTFADKAAAQVQA GPVQKFIIKGIRIRDAPVDLTGRPYQKCQWTTPTPDGTGTRPCGGFFMEPAEMFEHIF SHHLRVPKTASLSPSPQSTAVEGATETAPAEPKPAKTETEKFTNEEGTYRCLWPGCTK FRNPTLIRPAQLAAHIKIHLPPPSPPSDSLKHPSWVSPASTQSFSFLSTAVDERGDAA GIPLTAVLVLRNLARNLRRVGRDEEEREDEREAGGGRKVARYFGGVERELWFVFAHNK SLASYLGDLLIAIAE VE01_04956 MSIARLTPEVQQIQQRVPLIDTYTDATATLLRARPVDQHATLTN ASRSPTSKKVDEPLLAREPDTSTTMLHLTYGMKPKETINFRKVLSTASSAEDYVLCSE ALGGLHDFLCLVVAGRGVVTG VE01_04957 MSAIRTSVFRAAAAATRPATMAARPLSTSARLLRREVIAEKQIP VSSYADPESHAPQRSTIPVRNDPEIEVPAEEAGLRAAPLSKALFSKLPKSIQKQTVMD KVVIVTGGARGLGNSIAEACAEAGARALVIFDANQTLGDESAAELHERTGIPVQFYKV DVRDGGAIIEAVNAVVETFGAPDVLINSAGIADSNIKAEDYDHAMFRRLIDINLTGSF LMAQAVAKSMIAAEKPGSIVFIASMSGSIVNYPQEQSCYNASKAGVVHLGRSLAAEWA KYGIRVNSISPGYMDTALNRVPALDAQKKIWTSLTPQARLGNVDELNGLAVYLASDAS SFMTGSEIKCDGGYSLW VE01_04958 MSVPRLPAESSDAHLDRPTWTNPGDWGARHISDIAPFTLWDPVS RQYRMPKNPEYEWCKEKFGGGTLMQPGWFTAISSSSPPNPAPLTLGGMPLIFHPPGED PWRYLMPRLYYANPRVPNPCPEVKWGEMTFPTKEQNAAILRALEPLAAVQKVVYMPYW SVAELKVRDGREYKPGSLPGVVGGRTMLYHHAEESFCASMPRIMECPRLRGARSGSWF EVGGEGGVALLVFGEVYAKPRPPMGGGGEAVGFEEWEVRSLCAVFGDVRDDMRGRAIV RCETGEVVGGFDLVDGVVECVAGGWDGEDGEGWVVVKVGGFGFLFVLPIR VE01_04959 MPLLQEILLAGLLTMSSALALTDGQWAGESKSRIPRVATGSTRL KKFHYASLVALIEREGVIGEVIHASGLRHSSAGEKRHRMDWALVALHPSKDAVLNTPP DDSKFNYTISRVPEFAYHYRTEPGDVISRTSDPVAFSWAGKVHAGAWGLLGG VE01_04960 MTIHCKTRKLVSNTSSSKSPDLGPCSIEQYVAKKDRFRFAPTNK IPVSWPHDDKTRPFTVDRLALNSATQTQGA VE01_04961 MPGVPPNAIANLKAKCKSFFKSSKKSKTTEAKPAAAAAAAPAAV AATATTDDKPTETAPAAAAPADTAVATEAAPVAEAAKAVDAAAPETAAPAATITEAAP VAEVAPVVAAPEAAAAPAATITEAAVAPVAEAAPVEAAAPAATEEVAAVAAPVEEKVE AAAAPVAEAVPETAAAAETKVEEVAAAPAATAA VE01_04962 MAEAHGARGSAQSKLPRLSIMDLSKPHTFIKPVKRINEGHDVPH FLTSRAYSDIGTFVMQLDTAMCPRKVEVDGKTQVKTWELGSSDFAVSGLVKEIQEMLK TIEAIIDEAPPDTGPRRFGNISFRRWHELLESRVGTILRRHVPVEILDAGSPGEATAE DELTSYLLGGFGSSQRLDFGTGHELSFLAFLGCLWKLGGFTAGNEISDDGRLERSIVL GVFEPYLNVIRRLVLTYSLEPAGSHGVWGLDDHFFLSYIFGSAQYSPAIQDGQPMPVE GSLPDAPNPASVIKKNIVDQERKKNMYFAAIGFINDVKTGPFWEHSPILFDISGVRAG WGKVNKGMIKMYNAEVLSKFPVVQHFNFGSLFSFERDPNAAAAAATVHTSNQPSSHTI SVSGNTSGAPTSRPVPQEGTRAPWANAPATTIPNAPPQAGVATAAPWAKPASAAPPQA DGSVPTRAPWAASGSGSAPLPGNVPTRAPWATGPR VE01_04963 MARRNATSAPVAVASKQNQDEEEVAEDGDWEQFVYAERLVADSV ATEDQGEFSLLLREQLDAEQEDPEEEDEDEDVSDNVLNVLNNATSTPRTSSRALQDDE PQQDPPFSIRKRVTGLFTEGLRLLGSSGSRPNDNDITDDTSPAEARDDNMQLRSTSAS ASKAPNKLSRPSVLHQPLNTYKPSPQGSGKPDVYDLHASPEKAATTPTRTQSKQAQGV KRKRNNTAAAKKPPPKGKAPSPHLLVTVFKESEQIKAEAPTRVTRSRGSGEIVEPIDY SRKVEDTGKRKRSGGTVGVEPPPRASRKRNKKVIEDEVDESEDGDRPSTPGNQNLAEE DIPAEEAASPPTLQKPHAVDELPEATPSVSDKGSQSSAASGPSDATAKSIKKGKNATV EDKEDPDDQDFEDSGSGVEYSGSEGELEPESEEEAESKTEEELKPKTEGISPINAAIP RRKRQGELQISIKSPYFGNDFIAASNIIKVTELVDKLGMNQPKDTQNDTEPLRKADTF DGKEIQVLTRNIISSYESIQGLDEHEDPDPVARTEALCSAARDLDRLEVTVRRIIEKK LCDPAKLAARYGGDKGEKWRKRMLKDLFLFVMPDIIRAASAAIFTYGSEGPPGTFDLK EILRYISLVSRLLVIVEDPNNKDHRPVAAHNTALPIRRPYMSIKPLLLGFEIQCKQEL QHREDVAEAERQAPILERERRKREEAERLAAEEAQIEHQRRQEEINDAFNQERMKYGL APVPLAGTQVSQKPQPSEPPQPTEPHQAQELNITAHRIRAEEEVSVLAKEVEALTKKL ETAERRATKLRREEQRQLRGYDADDAHEIDYERVEMFPAGNNNAPVTKPWTKTEYEVL ADGLRLETGPDKYPNIARRLDRSFDEIFEKALEFKRVIIETLYVPKGIPVPAWIEIIG NEMLPRGRE VE01_04964 MADTEPKDVVVQEEGTQEASGTETAGEETTGLVSEVETETEKET PSPRKVAVKKDSVVPKRAAVPAKRLGSSATSSTKSATTTTSTAKTTPTSSVSRTTTGG LSKPPTRPVAGSIARKPATSATGATSSSAASHRSASSVDEKKPIAGTARRSSILPSSS SASRTTPTTAAAARKPAVSTTSTPPKPTTRAVTSTTPKSTASNGSVTGRLASTTAKPA ATVATTAGRRISNIAGSITGSTSRTAASAAAAKESEDLKAKLQESEAKVEELKAEVAA SQEKLADLSKQIEEEAARVSAAEEGIRKEHTELVEKTRDSHKSDVQTLEAQLVELETS YNAKLDASNATAVESAEALEKKHTEHTAALAALEAELQTAKDSHASTVAAKEADIEAA KADAEKAQGEAKALNETLDSLKSEHEAKSKEVEGTIATQNAEHASALEELKTALKSLT DEHEATISALKLSHQEALDKGTSDASTSHSEELKALADKHEEAQASLQKELDTAVASH ASVGAELTSAKSAHDEDKAALSAELAALKEKLATAEKDLAELSEKFEAAQAKIAESEA ALTKANGEVANIQAEVLSLQKMMDTVDEASKSSDEAHKKELASLTKTLEEKTKEISSI NEKHIKELESISKDYQKEIDALESQSGFKDKYDELNVQHEELVKSHDAAVEAHTSELE KLRTDHGSAVDALKAAEAAHETAVADLKTSHSKELGEVTERAVSGDSNHAAELEKIHS THSAALLSLTDEHVTVQSSLAAEIEKYKEAEAALKAETEKVAAQAAKSEAEIDELKVA LAEEKSAKSAAQADLDAAINKKPDTTELDALRKEHDSLKEEHVALVNKAPDTTEADAL KAELATLKEEHTTLLAKEPDTTELDALRVEHGSLKEQHEALANKAPDTTELDALRKEH SSLKEQHEALANKAPDTTEADALRAELATLKEQHEALINKAPDTTEVDALKAELAALK EKHEALANKAPDTTETDALKTELASLKDQHATALSVAQKESEQATKEHLAAKEALEKS QADFAEHKATVESKEKTSESDYKDMHDSLTQLVEEANAKAEAATKKAADVSSHVAELE AQLKATAAAAPAAVEKPKSGILASKFAEDLEEAAPAAEGEPDNSSAALASLAAAKVKV SQLDEMNDELKDENLRMLKSISEISTLPDEVVV VE01_04965 MKPSIIILWVLLLGEGCTASIWRRYIDEDDIGQKPVIGGDEDID FDLTLPKRQQPPDSAIINKALKAIEDLNRKQFCHRFAASMLLDNCRTPQSPTDPITTN KATEDERREDYLKVFAVSLTVCDIEALQRPVPQQCSPYTQSTLMGIKNSRGSQSIIIN HSEMSGCLKAIGADQSAVVSWKFNQQSTAVVCQVAHEIIALFSTLTRLMADVVDEVQK LSEINRDIRQEAEDARSSVRTIKTSVDKLKVGLSDVWDGVSAEATKASNNVKGIFNGM STYAADVEQMLNRLRKGSLENHAEQAALQQRALDITMDIASEAQAANKDMEALKAMMV TLYNNMATVGNGLVAIQDRQNQVDKQSSQVLSAMMNMTEQLQMAAKMGDEHEVLLVRA ITAVSNLADIVDKSTTTASTWQTRMFGSRGPCGIDWTLLITTPPTVLVLGSYGLAPSL PRNTLLLLIGGVLAKLLTMSYDNNQNWPRPSDGADGAGAPSTPSPTHSFGGVHTTPIE LVRKATI VE01_04966 MLSTSRGAALRAISSSPSISIPAFLVPAFQSSARAFSATTSTQS QIGRAPLSIPPEVNFTVTYPTAPKNARPSPEQLRPTVKVEGPLGSLSMTIPPFVHIEH DTVTKKAFVTVEDREVRKQREMWGTTRAYLQNHILGVSEGHNAILRLIGVGYRASVED TATTKEPEYDGQKFVVLKVGYSHPIELPVPKGMTASTPQPTRILLEGPEKEVVLQFAA EIRQWRKPEPYKGKGIFVNGETIKLKAKKIK VE01_04967 MANTYEKERLVAELAVTRAAILTKKVFHEKAKGTLNKDDKSPVT IGDFGAQALIIQAIKYAFPEDQVVGEEEASSLREDTKLRDQIWELVNSTRLDDAEAEK LIGGPIPTVEAMLDAIDAGNSAGGDKGRIWALDPIDGTKGFLRGGQYAVCLGLMVDGD VKVGVLGCPNLPIDDSAPLTQDAGKDQTDSEGKGVLFSGVLGQGATSRPLTAGALAKS QPIRMSEKKDITDATFCESVEAGHSSHDDQSQIAQKLQISKPSVRMDSQAKYASIARG AGDIYLRLPTSATYQEKIWDHAAGDLIVREAGGQVTDSLGRRLDFSKGRTLAENKGVV AAPQALHAQVLQVVKEVLGKKGNL VE01_04968 MTFDPSKSWSLQEELQQLITQIEAVPFWVDESSSIWLEDTASFW LDDSNSSASSDDSSTDMNNTKRKFNALLNSIGSSSSDDLTRTTKQARTSYDGAFPRSS TSSPNLSTSSRTAAVARMSKTDLKFAAANSAKARGTDEPVTKPSFLPGDREDFLERLA TFRNLTDWMPKPPKVNEVAWAKRGWACQRLERVRCVTCSVEIMVKLNKQEDENGKLIK FAGMESDIEQALVDKYADLMITSHDVLCPWRKRGCDDSIFKQPIYPITTTFQALKSRY DSLLPIAALLPAESVFLLPPAYDLDAIIAQLPHPFPANESQEAPLNRVALLLALFGFT ARPAHVPKLGSADCRVCFRTVGLWLYRPKTSKPADGSPGVERAAAMASLNPLECHKEY CPWVNAGSQNGGVAGGKPIWSILGEAIGREDKVRKQDEAGKGEKGAAAGGEATHVETE GDARDEGEYEKMRDEKDKERWARLRKVKSLFEGKGKKVAKTKH VE01_04969 MSTPNDAADVFSRLTMDQPEESSTADANIHNAHVQKMLADLELP LYPDIAITTARA VE01_04970 MATPPNSPLKVIESRQEPELDKNAVFASKELFDKTATRLQASKK AGVWSDPKIDGSLAVNLNNELDIPTYIPMAHYIAGNWLGNGEEAAAPVEPNVSIAVPA TSLKLAKAIELMHHSMPASEIRNCFPKHVTKFGKRDTVFAFYETKLKEHASAITRATL ERGFLLSCSVIDIAMNSGDFFQQMQHEDELHRISTINQYIRPEHIHFMFSDQFKAVSY NFVFHNAVRANLQEEELRIDLRKYLRFTTCHIIYDFLRFVISVKEGDDKDFIDKNGIG RMFVRNNYYIMPGGGPAGEVSKNLKRLPAADGFDTHSRTRSIGGTTTVKKTGWDASNY A VE01_04971 MYSLTNIRAITNGEIWDFPNFKFEPFNPLDQKNAQRLISQYAKK LAKVIYLTTHDCRQEEIEGCLPMEIVLQVFDAKTWERNLKGIRAELHRAMLTTALADK IIPRWKNRPDYPVQSGAAEGKEEEKEKEERMRTWERFVHEDPDAVRWFVAPLWESVDF DEISCADTPRMVTLHEWIAACLVAAVEKSFSLQRGARDPATGKIKGGNQPAFIMNREW EEMPDQEPWKTRLAGIAVPLRYNFGGGNRAPMKPMPSVFNNSGFAQTPRKTSGGGQSL PGSKEKKRKKDSQKEQGHKRRVSRSGGVIRQNIIVMGEEEGEEEGEEEEK VE01_04972 MTTTIKPTPTESQAPSLEWQYAALIIGIIVHIGIMFGSSTAFIR RWFAGTWAADLLTPPVVTAERAAATTEAAAVVAERRDAGTERGAAAAERGAAAAERGA AAGERERAANEWGAAASERGRAANERGAAASERGRAAAERGAAASERGAAASERGRAE VERREAQSARAAVDIEIKATREHQRMTDAFWKAYATTQQDILTQQKYTNTLLLNLLHI VSRGRKDGPGGSGTGDRGSGTGGDSSEADLD VE01_04973 MPHKGLWVNEDATQEVKDVPGYYKVVAGDLLLKTICVAVNPGDY GDTEKFGAINTIGGFDAVGEIVEVGDGVTGFKVGHKVLTFTRGIGPV VE01_04974 MPPSTKEPRASIIGPASGPEAPFPLRMRGAVEKGFGRGSKELGI PTANLPVTSAAWIATAESGVYFGWASLQLSSSHPSHPANDKEADKDAAEFVPEEKQKE GWRVFPMVMSIGYNPFYGNTVRSAEVHIMHPFPADFYGTQMALSILGYIRPEYDYVDK ESLVKDIREDMAVAERSLDREEWRKRRADGWLWGEEE VE01_04975 MPGHESEIVDDSSDVASENEYDAREEHDEGAAEGAAQNGVGEGR ETKKPKLDPKDPLRPRRKKARRACFACQRAHLTCGDERPCQRCIKRNLADACQDGVRK KAKYLHDAPPEALRPVLGPNYNAGRNGRTPSQAPTTAASDSSPGVGGFFPQVTTSPTY TMPFQQIRHPQMAPPMPDAMPFMTNPSPLSPSFAGNQQLQGLGNSMTPAKIEMQETNP FANALFDPSNPALFNFDLDSLNFGNHYGAMEFSMLNHMSSGAAETPPQDQPGSSHGGS FDAGNMYNNATVQYNQLYPQQRDAMLSDYGSLTRQDSSGNIYNLPASHHLPRAFAIET GPMSNASPSTDANASPQQSSLIFDTSPKTAFTQSATPRTAKRDAPQKPVPKFQSVMAP TKRGRDPSSIYTSVTEPYSYTTGFHALTAFIQRRFSANATLRIAKSLGSIRPSFISCT KTLNREDLIFMEKCFQRTLFEYEDFMRDCSTPTLVCRRSGEVAAVNKEFSLLTGWGKN VLMGREKNENVNRGHSGDASAGSSQGGRSGMATPRATRPAAMDVQPGRPTYVLLAELM DDDSVVEFYEDFARLAFGDSRGSVRRKCKLLKYQTKEGGEGVGSGEGPREERPVGERV SRIDGEWGIGRLEKDGKLDCSYCWTVKRDVFDIPMLIVMNVIPPMHLIHLTTTTTTTP TLTNTPPARITPTRASPPARPAQKAGIRIVAPDDDTGHQHNKSCTHAAQRSATHISSA QRVGGGVGSRAVAREYAASRGGHGVREFAGDI VE01_04976 MKSTTIISLIAALAAQQVAGHATFQDLWVDGVDEISPPPPFSNT QLTPTPPILLRPPPPIQLPRLGRKCAVAAGSTVTVEMHQQPGDRSCANEAIGGDHFGP VLGYLSKVEDAATADGSAGWFKIYEDSWARGTGSNGAADYWGTKDMNLCCGRVNMKIP ADIPAGDYLLRAEVVALHVAGSLGGAQLYMSCYQLTISGGGSASPSLIQLPGAYAATD PGIKVDIYQSLATYIAPGPTVYGGFTKSAGATCAGVESGTATGPAYEGGGGAAPTTNV GSGPTTAVPTTAAPTTMATSVVPTTGGAAPTSTAAPGGCKSPKYGQCGGQGWTGCTGC VDGSTCEAVSPPYYSQCT VE01_04977 MVAASKLALFATAAASVAAAPAAEAGVATSFSVPAVHNGNYQRN GTLALLKAYAKYGLTPSEPESIINVLLGNLVKRQDGTVPAKPDSQNVEYVCEVTIGGQ TLNLDFDTGSADLWVFSTSLPATAQKNHNVFDPTKSTTWKKMSGASWSIQYADGSGSS GTVGTDTVTIGGTTVKGQAVEIANKASSQFVSGANDGLVGLSFSSINTVQPTQQKTFF DNAQASLDQPLFAAYLPFQATGAYDFGATDSSRYTGEISYTSVDNSNGWWEFPSTSYK VGSKAFTSPGYTAIADTGTTLILMGDEQVANYYKSVPGSKLDNTQGGYVFPCSTTLPS LTVAIGDGGDAVIPAKYLNFAPADNTGTSCFGSLQPSGNGQQNIYGDTFFNAFYGVFD ASGPSFGFAATA VE01_04978 MGSWAVGLLTLHPKREAKCDCTPLQPAGGLCLSVAPAPAYMVDN QHNYFHTAPDKGKQNGPLWRYSGSLNKYMTNLRGGTVVRGGYAKSNGTRRTTLKAAVT KRQSSSDYWLASLGSKGAQPLAGGDDYQFFRNVVDDFGADNSGDTDTTEALNAAVASW NRDSVGGAKTRCGEDCGNTFAQGAIVYFPPGTYKICSPVIQYYYTQFIGDPNDMPTIK GCDTFQGIALFDTDPYIPGGSGSQWYVNQNQFFRQIRNFIFDLNDMPESTAENDQDLV PTGIHWQVAQATSLQNLVFKMPTSSTTTAVGIFSENGSGGFVSDLEFNGGNIGWRAGS QQYTARNLQFNSCNTAVQMVWDWGWNWQQIEITGGSIAFNISGTGGDTGQGTGSVSII DTIISGTTVGILTNGLKSSPNIVLDNTVFKDVASPVMVDGGDTILSGSSDLWATGKRY NGSVGTTETGDVTAPAKAKGLLDSDSGFLYVRSRPQYEDLSTSSFLVATTDGGCKNDG TGDQQSCINSFLQKAAAADQVAYFPAGIYTIGGTVLIPTGSRVQGSSWSQIQGSGFYF SDMNNPKVMIQVGNKGDIGTMEIVEMLFSVRGATAGAVLMEWNTAASEQGAAAMWDSH FRVGGALGSDLDFATCPKFSSKDECIAASLMFHVTPQGNGYFENVWAWVGDHDNDASV FNQPDSTITQVTVYGARGTLIESHGPSWFYGSGSEHTVLYNYLLSGAKNIYMGHIQTE SPYYQPVPGAPAPFGAAASFPNDPDFSQCNITANTDNEQCRYSWGLQVIDSTDVTIHS AGLYSFFNAYYQDCIDTHNCQERVLEVKGSTGVVIYNLFTVAIVDAANGIDGSSIPQA DVQRGFTTEVSVWLPLPGGDNIDIVWVGTEVWETPTVTCSSPPCMLIIPTSSLAQDTT ITPSKYKTSFEYGGFVPTTIGGIGTTVFVTSTTTVTITIPTIVTNGIGYSNVNVTAAG PTPITIYPSIDIPPIGVTLPDGHGGETTRTVTLPPWPQVNGGPTIDYTDPGTVPVDTG GSSGVGKATTYYTPIGVPVTVSGATVTTLTFPATTGAITISCPAETSVVFATPPIAVA TTCTNAGSLTFNFVCPTTKVVTFLASTIAMVSVDCSLVTTWSTGQAASTTTPLPIWAT WPVYGQIIPVTTSIDKPQPTDDGVVVPCKAWFFFICISWGELHINAWHWVLPPGIYGP GPPPIGLIRWPPGITIKGNLPNWPKITIGRDNQITTEEEGECETQTAEACTTTTFVSA DSTLSSASMCETISGCSISVSDESTTVIGTQTPAPIGTWHDEVWATMTLGDAFSNSVY DALSAQMARDEASGDGTTISFTPGPTAGPTCAGATTACGGTLCSGYYCTPTPTGYPPG FQDPQDPSSGGYSAPTTSIGGSTTTTKPPTSTCTVTNVCNCNESGCDACSPTCCANGT CGSDVCTITGVCNCNESGCDACSPACCANGTCGDTSVAPPTSTAVDPCAGFDCRACGS PW VE01_04979 MFTQSLLHLVPLALLGTVIAAPSSRTSSPRAAQICYDNETTDLL CYTAPDNTPQDVAVADVTYIAAYLRAYGAQTKAGRLFTMAAADAPDCAEWVIYAHGTA QAIAKHIDSTVDSSVLFADIANTIDGGSGTSTTTNALVTCGTDGGSLGVVYNATNPAY SASTYPVGYTPAGILVKIVATGA VE01_04980 MARLLFPLGLVTALLIFLFRTVSGLSLNDLFIVQSGSTDGGCDT HFNQAAESGTLDDWHTEITFALDLVVNRLDTYDQDIKVRRALQIFFGIRNNGKASAPA RVEIDEIIGQFQRAKDFFDFRQIGGVPMYPLNGNRYLFCDSNFLIHQAEDTPAQDWNA QDIIDANGNIVTIGDVPGYAEALAENKTSEAWWSGDLTPVNGYYFSPTGGDYCDGAGL GLTAEITELNQPTRPTGIESIILCGSSFTNGKPNNYRDGDALIQAGTNLADVVPKSAT LLHEALHVLNGAGPTGFLQGDEIYDIAACINAAKATKKRNPENYIFLVTHLYYLYGEP EDGNPGTSINTNWDFAVVGNGANRILGALTP VE01_04981 MKLTLTLVATVLPLAAVAGPVVSRTNVNGCKPLGCYTDSASFRA LDINTQVPGGPSGQSPEACTAACIAHDLAFAGVENGGECWCGAFINNGQTLASNQALC STPCSGAPGEICGGAGGINIYDCTAALPAPVSYNGCAPLGCYTDSVSHRTLATNTQVV GGPNNQGPDVCTAACKAAGFRYAGNEYGGECWCGNSLDNNGGPAPDLNEQCQMPCHGD SSLMCGGPDRLTLFDCSPPAPPTSCGTPGICGTFVLVADASCGEFQECMCAFDADGSA VCVENAWCSNTACTSDSDCAGGGVCWVKSCCGQGICTVPSTICANPARVMFRSVPNSE RSECTGAYCD VE01_04982 MSLAFLLFLATPGVADDSPIDPYQYWDYSHGALAYNATYDANRI LCVYPLSGQYSLLNRLLYYALIVFAVVGHSHPWLVTGPLAYIMTYSSTAAVHALIMAI VSEKGLLDIDVLGTWAIISIGCLAVLPIFTTSKAISESQYSPVFGFWGTLMSIGVICS LVSIHREYPDELECRSSTNMLLTSQAQLLDHTFNCSYTCFGSSQPLRATSEIAIISKK AIFGHFNILQGALALTGAFGVISALFGCIPMHRKSTEAELRDTIRHNQPREGFETAKV ARSKRSARKHARDELNRGEYKPSTMTMCWTIFAVPSVAIVIILNEVFILAHDGGFESS EKPYVIGQWGPWVSVLLAAIAACMVKFYTPSWKARQKILLEEREAFKRRNGIEDQGHT DAKNESSKQLPARSIDDVEAGLKTLESPIIAAAKNGFMAALSNNVSNCSDKVAKVIAL GTASANGHTEAILRILDSGVNVNEKDQFGLTALIRASWAGHESVVKLLLERGAIASGW QGKMAVTRASQNHHFNVVQILLNHGASKGI VE01_04983 MLFKTTAVLALVGGVLASPMKRQSSCPGIHVFGARETTAGAGFG SSATVVNGILSANAGATSEAINYPACGGQASCGGASYSQSVQAGFAAVATAVNNFNSQ CPSTKLVLVGYSQVERPTLDSAPDNGFPKRGHGSEIFDTALCGGGDPNQGYTNTAVLF SASAVTQIKAAIFMGDPQYVAGLPYNVGTCAAGGFDARPSGFSCPSASKIQSYCDAAD PYCCNGSNDSTHNGYGAEYGAQAIQFVQSKLSA VE01_04984 MARTKQSTPGTGERRTTWTRFHLPRGQDWPTWSPSRSGPHRGPL TGVPGISNVWLGRKVEDSEQAALIILWRTKDALEKFQESPACDEFLQYLPENGEQSSL ESGALLQDVSLGDANDGSLSPAPSRFLSFQWVSGIGFETNMQRRVTFTALVIRYTSDS IPQSSRRATFSAVRKAFDEFWPKGCEDISSTPMQTHFWKQWAIVDNKDALVDSDPWQL GEQVATAGNEGGRMVLCEFRQWNGYSGATPEREEATANNPLTRESWAQMFAKVMPPVI AWAKERWDIQLLPEKEEEEGEYEEDSGNDRNMEG VE01_04985 MECHRRGPKLGIPLDIFEHTEVEINKDHGTVTLISTEARIASNK LLLRTQTCILGPPKPRTVSNKVHALSFCRRIGITLCHGSPMDGPMDNRFVKYKSSIYD MSWECWDCLSDSRIDFLDFGGPGIVIVATRWFNLGSGMQPGGAKSFLHLARQKLNPMY CSMIGWSRIKFEALNGPSTDEITAKNADRLVLLPTALLSRLDKNPKCKSDANFILPGP LKAQGFDGTNWECLDGNSDWWYLVPQEDQISHTSIFELINGYGTLGP VE01_04986 MTTSPVSNGAFIAGEDDNGALGHELEPSTPSDGLDTVTSSLHSK SEEEKDLENESELAPESHGRPVSAAGMSRPVTRTRSQNGYGCDDIETVEVAEEDDPFI VGWDGGDADPLSPRSRSNFQKWTIVLINSVAAGSVTCVSSIYTATYEQILPEFHTSRI VATVGLSLFIFGLGCGPMLLAPLSEFYGRRPIYLVSFAFFIIWIIPSAVAQNMATILV SRFLDGFSGSAFLSVAGGSVYDLYSRDDMQAPMMVFTASPFIGPVLGPLVGGFINQYT HWRWTYYVLLIWNFALWLLIILFVPETYHPVLLRNKARKIRAETGDDRYKAPMELSTK TIPHEIRISLYRPFQLLALEFMVLNLCVFSGFLLGILYLFFGAFPLVFRNNHGFTLSQ IGLTFLGLLVGMLIALATDSFWYKNYRRLVKNNEHDGGAEPEHRLPPAILGAVLVPVG IFIFGWTTYSSVHWIVPIIGSTIFAIGNLLVFTGIFTFLVDAYPQYAASSLAANSFVR SSFAAVFPLFGVQMYNKLGYQWATSVLAFMTVAMMPFPYLFFKYGKRIRATSRFAKPK VE01_04987 MTRDTPANGFNVDPQVLSALWAAQAPSFPRLPPDAPPELLANTV DVRDPQRVYSIYRASRRYNFQLLVERFIFQIRYGCRVPYCTTATCFTYRRRVAGAKPI RRYNATSARTLACHLATQDDPESALCPHMNAHPEAKQPGNPPASQHTKARSGESRKSA EKDGLAKEAVDLLVEDYNRRRLGAISERLPRSSSDEQISGADATRDKQLVVLTREDGE VEDISLSSHLDNQSVKIDPRSFVQNVFNTDAMKMLEWLTPTNFGSLASSLTGMINFLS SDSAPNPAETPPEASQGEAEPKNVGQQTLPTLQEGDVLGSLPASEIVPYKSKSGTPGT TTTDGTSADRQSTSATSGHSRHRRRGDLASSPPAPAFKPLNSAVLPEVRRRDSGEHDK SVKLHRGGSFSEDGVSQRRRSSDSHSSISSKKTRIGLSPSIKAANTFATQETPLPAPR GNELASSKTSSVTSMVGLGLGDNLAKGASVFNASEKARQESQPSESENPKDENLPQSL STLTVDSIELLCNILLADGILNFKTGGISSMDEGRLCKAMSRPPKLKIQRQPNTAKGW RIFAEQSLFYVLSDRKALIRSFSTESNVLLDSRMLIYCLARISRVAFDVLFDGLWSVA ADLYDMPRQLSDIQDVGKLQLGGDLPSGSPTTKEEASKIMIICLHALVAAAPYSQNLF VTRPASLSRGRGLSYTRHYPYASDYALKLDDVLSNELALRLARRLFAAISARRRFQEL ERLNNWETAGLAQGTDILDDVLESLESFDIERSPPSPDTQRLPDETRSATILIDWART VILQDWKATPIVPADGAFGGALALVKAIYDRRKPLLLTEQNFRAEFFADRLDSTEMPV EWLTFAPNRKSVHLLDYPFLFSKASLVTYFRAINFSRMSGAFDSAGTMTIRVTSIAYS AQSSLVTDPARRDHLNEKLRVATSKFLVLQIRRSHVLVDAFDKLWRREERELLRPLKI KLGEEAGEEGSDSGGVQQEFFRLAIAEALNPDYGAFTIDERTKMIWFQASSPEPLWKF ELIGLLVGLAIYNGLTLPVTFPKVLYRKLLNQPVTELHHIEDGWPELAAGLTSLLEWD ETNGLVSDVFCRTYEFSANVFGAPVSIDMSAPNPSPWPQFASLSHTKISSELASEAAE APMVDASNRNDYVSDYISHLATHSVAPQFGAFQRGFLTCLEPRSLALFTPSLLQSTVE GVQEIDIAELRRYARYVGWDADHRAIKDFWSVVRRFGTEEKKRLLEFVTASDRVPVGG MRNLVFVVQRNGEGEEGDVAEEEGEGTEGHVGRGRLPTSYTCYGTLLLPEYKDRETLR RKLSMALENAKGFGFA VE01_04988 MATMAASRPSAPGLGASEPPSSHPYTCNTCQVAFRNSELQRGHM RSDWHRYNLKRRVTSLPPISSDVFAEKVVAAQASSTAAASKAAYEKTCAACEKTYFSE NAFYNHVGSQKHLKQVALLRKSKNGATADDASSVVSSQVTATAETETSSEEESEEDED EEEVAEVTKDLKETSLNGETEEKSEDAGPKKIEVPLMRCLFCNYDSPTVQLNVNHMEK IHNMFIPERNYLVDLDGLIASLFEKINIFQECLTCSKYKSNVFGLQTHMRDKGHCTIP FGTEEEQLEIGEFYDFRSTYSDEEDDEDESADEATDRKASGGVKLGGRRIAKNADGDE EMEDPEGWETDSSTSSLDSDDLTAVPLASREHRYEKLDRHPHHSSEDPRPHKNTDGFH SHAHKHRHAAYYSDYELHLPSGRAVGHRSLARYYKQNLHNHPSPAERQEQYMIEAARG SDDEEEVDERVARRNDRERGRALNTRANGGRGMMGVSETKKKEVAVLEKRARKQEFYD RQKFNWGNNKQSNSQKHFRDPLLQ VE01_04989 MAEQISTPGSVADSSISKPSANPNNAPKDRSCPFCQQPFTSSSL GRHLDLYIKEKNPKPADGVHDVDEIRKMRGAITRRQPRNSTSRRDSTPAGTPRGGASG SPHTNGTPMQSPSMVTRQPMVDHKGKVKTTLNVATWHSTGVINNIPLANQRNDLNSGE GSDREGKRFPNRHMLAKSTFDQKQKMVEALDNARAAELALREILGSIRAAVATVQPHE SPFDFDPLSLDFPTLCLTCLPPPPTINQLTPLSSVSTSWTITPPVEPQYDSLTNFFRD AFHHSRVTRAMNNAKPPHPDDVLYAHHASPAMQASLNASAQEFEDKVNAHLHASFSFW MSLPPPNRAELWKLELARAVGQKDQQITSLTEKLEASAQENQHLRQQVEYLSRCQQPR EFQMRPPSTMHIGKRTAVELAEMGLRGGSVGWRLSDPDDNLQKRIESAVGRWREVVRS SRGGGMQGQRSLSGQLQNGQPNGAGDDMDVDADGDADADADADEEHYAGAQIQEQLSQ GPSRAPEAPMGAYSHNPGAVRNGGGMQMQGGNGGMQMQQGNNGGMQTQQGSHGGAGHE NANGSVGRRQGNMIAAQNGRAGGRTYPPY VE01_04990 MGTSPRLVGLPGALSSCNPTLCLAFKVATVPTTIYFVRHAQGFH NLTAANHSMPDPLLTKHGESQCAALAASFPHTERITHLVASPLRRTILTALLSFPSLV EPPKSLKIVAVPELQETSDAPCDTGSVPEALEHEQWAGKVDLSRVKEGWNDKSASSPW SPAPEKVEARAVVSRRFLQELGQEYEERTGQEAHIAVVTHGGVLHFITEDWTGFNKVK GTGWENTEWRSYVFGEGEKQESLVETGESSKRRAGSKIPLTADEERELASIGGLKN VE01_04991 MRPLHLARYARLPASHTRAVHIPPSVRSILKPIIKPIIKAVRPE EKEETRDTTGETHEYTLSSDDQRTAKDKESFDPKITRPELERDEAAREHAADHSNSPL DYSPANLEVSKQCPQTEDRAEGAPKDRRPSSQGHPKKGKVVPKAPEVKTRWLG VE01_04992 MGRQSGRASSMARNAGPPRQLRSTSQTKPKSGQQICKNYILGRP CHKSPNCRYFHDEEARINWGNLKQDEKKVGSQAHKALMMSDTAKPTPSSLTVPSKAGT ISPKQILTKSTISQTASTSDTSPSMLSTATTPLTDYVHPHLRKSSNSSSNASQPSSID SAAGKLSFNEWNQHRAVLAASFTPRLAGSTAMVGQDQLDGVLKYKTDMLTSGIVPLRE QHVKLLAAAKENAQAFISRFPVDVTNNIDRVAGGIAATAGFLPFDAGAGVKPESITDE MWAFMGERDVKNMSISTNSSKRSRASRKSINITAKVMGFIHRRVNTADTPTPLGVGHP AYDSGYEKPRVCLPNFGNRQASPNKPRSPLDQVQPALGLGIIHEAPLSSLPNAPRKDP VVFKYQSPQAATVRDNSAHINAWLNAAKFIAADDMALEEENIIRAKEASSQSRVEIVS KFVPRQATVTNSSYGEAVSGARLLSRPAFPIASDGVVVSKPLNELIEDAHTTTVAKTS INSENYSNDDVESNPDDIQSNFCHQVPNESVNFGRTAMAKAGSMKAELCINYIRGFPC YYGNECDRYHDEEIRNAAMMNPCMEYNSGQLCHNRKFCTLYHDVATHNKNRKEMTPAQ KTAPGISGSSIAPMNAPRCLEFALGHECPRMPTCTLYHDTVFRNATRFANDICFNFLL GLPCHAEMCKYRHDIGLRDTLNGVRSYRSMPFMDGACSNKTEASNSENHRHIQERPNV LAPITATIPIAISTAAPLCFNYLFGMRCSAGTNNCRFKHEEGLRAQVMKNTCRKFAVG YTCMAGRECRFYHDVKFRDTLREYKLRQVSAQEISKVDAKGLPHGTQKCTQETKKPSN LAEKKVVARTASIEPTSPAKSEGATAWGDFAAHIATGDAEAATRARTNSSGGSQTSLI TVFRDRADKAVNSTAPKDKKPGNTSMVPGKKSGSKSRVVSITSRDSSNTKPIAAREVI ESGSSNISQPFVNNTPCNSKLTRYCPRFHGGRYHCENEDKCGFVHDPKLQQIYKSKDP RFQNTKPPRHGAKTSQWAKNSYDCGAWSLTIVPMAPLAKTKGKFVRFPLLPAEIRLKI WGFCLALPYSPIVHQHFKNVRSTKPTARYVCFTRHPPLLDTCTESRVEALKYFSLALG TVTSPPRTYINYEASSVYLCTRRSGYFIPMMQSLLPVDLANIQGLTLKLRDWLINDDC VFRDAIWKFTNLKRLTMLISTSDEDEEFRTPVAKDVLMSTLAWQADELNPGFRMPLIH IMVLDGLEIDSDPEEYAPPATRGERIADHFHELQIQALFSSAV VE01_04993 MAMNIDMSQAQVVKDEQGRPFIVVRDQGKKKRQHGNEAVKSHIL AARTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILGQMEIQNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVAELDRIADTI EFSPDHKENLFKVARTSLGSKIVSKAHDQFAQIAVDAILSVADLERKDVDFELIKVDG KVGGSLEDSLLVKGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHKLDITSV EEFKKLQTYEKDKFTDMIKQIKDTGANLVICQWGFDDEANHLLLQNDLPAVRWVGGPE MELIAIATNGRIVPRFEDLSEAKLGKAGVVREMSFGTTREKMLVIEECANTRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSIAVEDAAVSSPGLEQ YAMRAFADALDQIPMTLAENSGLSPIAALASIKSRQVTEKNSRLGVDCMQTGSNDMRD AFVIDPLIGKRQQLMLATQLCRMVLKINNVIIAGTGEDDY VE01_04994 MPLTTSRAQAITKPVPSSRVVTKPIPQAQAEDPREFQIGQIRRR FKPRERKSEDGGTILEFDLKPSDPDFPFEMTALACLLVVPQGYPEESPSLRIRNSDIP RGFTINVERGFDGLVEARTKSMTLLALMGALDKNLEAFLSEKKAETIKLVANADKRHL ENLPVRAVNHEAVSSTSKGKAVQTPKPVQAPRPAPVYYSKVQKEEAQAKRNSETRQLE ARLGRLPLYKRSGDGIAYTLPIEPRKRGELPVPLRSIKTIVLFVPLLYPLQNCRIMLE GVKSEDAKATEAAFEERAKREKETTLMGHVNFMAQNMHVLAKTPLKVPVADKPVVQEP EPVEAVEAEEAPVVAGVVDEERSHIFHIPRPPEWAIEGEAESEESDGSDYSYDSEDFE GGPTDEEGEGEQETLEPLDTTERGTALSFPFLEIYGIELLETTVLNLTIKCERCRDTT DITGLRNGVKKTESCRKCATVLGVTFRRDLIHTNAIRAGFLDLEGCVPVDMLPSTFLP TCSTCSEPFPAPGIVAHAANTITINCRHCHASMTLKLTAPKFLRITSAAMPASGPRRK RDMLHLHPGEALPLNGRCTHYAKSYRWFRFSCCNKVYACDRCHDEKEEHPNERAERMV CGWCSREQAFRKEDCRFCGRGLVRKRGGGFWEGGKGTRDKAAMSRKDPRKYKRRGGGA LAKKKD VE01_04995 MPSLETPVLTVDANTIHRIDTRNVENLFSIWTLFSRCSGSIEEG RRLENLSWRLWNRETFCCAPGEANATTPAISISQRSSEGRYTADIPDLSGSIDSIDEE AIESDNEESASTSAPLAITRPRVRRQDSGCNRSRGKERHITPDDLEKMVITIKEKKDL EPLTMSTHSYLAPLANKITSPQITSPKLSNTATPIISAPEPEKASPAPSSPQKSVEST TTDTTSATSERSATSVVRGFSPSQISSSYRSIPLITTPASLPKSALASNTRLTAPKKH AMFALGGSSQSDNDSFQESSLESRMQQSKKNKARSNMFQLGGSSAEDENSLRDSMQKN SHRSSLSDALRRPEQKKQTSFKEEVATRTIEEMSDDVFETDDDIDESAIDDDESSDWE DSMEESGKSSIDDKLSFPRVESRRNLTSRRSLITTMLHQNDRAAALQSAASKSTSALQ RSRTSTPQGPSDAPSPESDDSAPLTMKSRMRPGQEVPRTGAQPIIMTTTNVTPHQAAL SPKTTRRQMLATELTASLRRHLLWERKQKNQTASAVLKRRHTAHDVANLKQYPDKVHL GTEDKGDHGSWNQYFGQGLGEYHSKGW VE01_04996 MAAFLASPPSAIHRENNTSLALLTRHLDPQQRPSGDTASSGAGL PDIRSRVLSLRSDYPEPVAAMPCRRRVPSAVDPNPQAADVELPAQQPPLTRRPQPGYG RDAPQPRRLECHPGADAPDANRQSSEGVGKMEAPSDRERAHQTLMNGWSE VE01_04997 MRPRDRLDTKVPTRVTIPIRLSANPNAPPFAHIPIQQNSTASNS SLQQQASHWPPVGDRIQHSETPISHNHTPSAGIATGTISPLEPMLEVATQATPFTYTR FSTAVDLIYSIPSALSVAPVMAPKPELYLKAVRHEMCQERPLGGKACD VE01_04998 MKKPRRKDDSPLSKRFAYIPTPDKHNLSQPSLPHGAKKSASRRT NSALSKQTKVFPNTSISKPNAQRPYDEAHLKKSTEFTINAPSTLDKIAQAGHIGLGQT TLEKLAAFRFKTPAVNAELDQATLDQAMSLNRPTDTANLETHLFAHEQSIRNGKNDNY TLPAPEDALSPVEHEVYADVSHQTPHALATSGEPEDDFDDLFAESANFSCSTEARAYV KNYGSVSNSIPQQDHSESFEVSSSIYRDSAFGTEMNNSSNIRRHEASCEGWDEGLDDE DFAQFNIDDLALPNILPTPRTLPHKASVHFPAEHGSDDFDDGVADDDLMEIMAEHENV HNYARHQATTSPDNGVKSSARFKNASRSSSRLQSTHDEYFMDDTDEAELANLAEQDNP VIVETHSSPSNWTESDVRSRDREVYDEKLTYSSPSIKHSDNIINSNQLRVQPSVESLA EPEDWSFLNHNPAVPKNIESLRNNAPQTALPMTPGPSGPSSANDDSHEYIPLTPFARS PFPEKVSNCSVIPGLTTCTILRTCFRIGECIRAGSFCNRLNQDAIIELFCRVTFSSRE DGTHKQIFQFADVFHNSPPFVNGVLANYLVSALQERESRELLTGNCEEPGMVRCLGRL KAQVGGNGWMLHVDNIRKSDWEEVRWTRRIVGVQEARKDQE VE01_04999 MFSSKKPYSSVTVAIERLTSEQFEEDDLSGIPDLVEAIGLQATG PAEAARAIRKKLKYGNVHRQLRALTILDGLMQNAGSRFQRAFVDEPLLERLRVCATSP MSEKEVRARCQVLFAGWGQTYKSTPGLERIARLNKELPKRKQVVTQDRSKVLKETERD PFEDEEDEEAQQAASRSTAPSPTPGAAPKSHKSKPSSSGISSSLFGSTTPTKPKKSKK GSKSKSGPFNLEAEKANMKTNIAESSVASINLLNALQRINREEERVSENQACVAQFER CKLLRRHILRYIQNIEAEEWLGALIQANDQLVTALMTFEQLDSSIDADSDSDDELAEQ AHAYRMLQEKGKAVDGAAAELAGLKLSLASAAPAPVAPPRPAAPPVVDNYDSVEEEDD DNPFGDANALESPALERDEPKWRSV VE01_05000 MNARRSEQRVALCSSNMGLMLYAALVALFAMLLLHQVYASPSTS FVASIHNDSIFVILSPIKLRPIIIDVLEYFLLLLSRATSIKPPSASELLSSGLSILTR ESLDKLVSTAHWATDKLSSSEISYMVTVAITSFLTKFFQVAYLRVFISTASLLLSVVS NLDFPTEHNSLRAARQQFKYKICAFCCVIFTSIDYIAFHFVGIFALRDVAHECWGLEG KIDCSSWLVVGCNTAGVAMAAVGFYTSGRFIWDGATNVLESWQFVEVAREDEAGRSRF DPGAMDRAVNAMKQREMFMKDEREEQVKEEALGEIIRLYEADETQVNDTNQPKETPDS GL VE01_05001 MAGAVYEGVAGGGDLFQGQKFFISQRVPLRAELIDNVKRNGGFV VPLEKNADVLVADDAKPKLAPAGSVSWKYLKQSVEKGELEDLEAHRINSPSKTRLAGG PQKLTRTPFTHEDSMAISIWVAKAEKLGFAARGNEIYEQFAEKNPRHTAQSWRDHWIK QLSHQPRPEIDMSSTDWPVKIKGDRPRRVIPPATQSKNVGTTPSASGAGRSLVPPSPT LLAASYSLEDEAPLIEAPSKDVPFTEEDIEILEREHPDIIKIPMGDWVVAWEAFAKKY TRHTAGDWSRYYCEDFHPRKLREGKGKDAISVKPKPNQGPDSTSKTYTTPVRAPASSR SHTESGKQTHRRGLGEEGAIVSKSPESATSKDRSKISASADKSKFLANLRELSGAIGC EIEPSFSLYGRNFELYDLWSVVNKPEFGGFQKVEESDRWLQVALKLGINTYRDEMAHT ALKQKYRDKLVDLDTHISAGKTRGKRRSFPTKSTEPVTPVTTISTQASEQTHAYSSAR KDGETKGRASLTESTEPITPVTAIPRQTPEQAHILASGVNIPKTLRQATVPPQESTNK DAATRRIRQSLGHGELAFLQSISEFARDCLPDPVTFEPIVSKRKIRLFDVWTASLPLL ARFDDIESREVWDDLATQLGFEVSMHPSASDELRQICEDFLMDFYEFFIQREQEKIRE EEALRQQPEEQGQSEDEEVVEEEAVEEEVMEEEVMEEEVMEEDDVSPVVESRPTSSYN HSKRPRISKGKERADEIPSTPEHIYNSHLNVDGKLPDHSNAQNKNLFETNIEYFPPPS PSKELDSSPSRQVLFEANIDHTPPSQNNDDEDATQSQNDSPLSQNSNDEEATQSLTAS QLKVIDEFFDRSTAQGFDFEIILRMMKITTLDTELAGKLLLDYANGLEVPKDVPGVWT EEDDIGVMSQVQSGDYKRTLKKHGKIRCLKRKMHLKEANDM VE01_05002 MSKSSSGTRRTPIGALHRLFMIHIPRFLFSLDQKISNGRVYASG AETLRFVMNERHPTVLILFILLLSVSEYLYLPFVFPAQTTATQAVIIPITLMPYIFLY LAAYSDPGFITNATHATDMRLYPYDHVNFHPSAICSTCDFIKPPRSKHCALCKHCVSR SDHHCIFINNCVGYGNTHWFILLLLSTTLLTAAGGYLGVIYISDIIKARYSSFTIRGT GYTWRDYANFWLWGIHVKPGAGGVTLLCVLSTALIAALAAYTLYQVWAGVTTNESGKW DNTSCDIEEESLYMRTLDEHRPRDPGVEPRVKWPVQPKLISMSCETKPPSNAKSLQGQ GYGEWVRVESLHDLENVYDIGFWRNIVDLFLPRSACETRYAED VE01_05003 METHPLDYQYDNLGIPNVAQEPFLPRPSKSFEFKATDCQKLHVL VAANCERDVSKAEALVVRFFGHAKVECRAICDDPTAKAVRFAPTLENSPSSISRGINN GEGTAQVWADWADLLVLAPASADTMAKMLNGITGTLILDVLRGWDVSKKIILVPGMTT SMWENPMTKKQLSKLRRKWNWVRVMPPILWQYDEKGAKKCLIQYDGFPDLVETINNQA ELLTIGHDVDITTSGDIEQARRNQKTETRLPSELWTIIFDYVGDWEVAQSIGIYTNLP IPREWELRESPKDELHEYIRSLESTILTKSVPRVIDKLDQAPKSMEYLSSLCVKLIIK FCLTDVLSYLETKFREVFWTSFGGKLLPTKASAVYGRNEILEWWRTSASFLKKDYTDE AIDGASKSGFVHVLDWWKKSGLPLKYSEAALEQASSKGHILVLEWWKEASMHQGAYIL EPESKNPSSRRTNSSYLYEPASPSFDSQSMDVGLAPLRLKVGKSVLYAAQNGQTRVVH WWDTSGIPYSHSDSVARLASAYGHVDVLETWKSLKGSKFAMSYDNQVLVGPTKNGFVR VLEWWRRQTSGEDWGWEGKRLKVEYKTCDIEEALEDSVRLAGGEGEDEVRKWWARNGL NLGVGTSEWMEIKTL VE01_05004 MTIRSHNPRYNVSPGGTRPAVVGLAKVLFLAASQMSGAVAAPLT SWIKGHEDLPKSPEDPDLWLYLTVAFILVISGGAFAGLTIALMGQDGIYLQVISTSGE GKEQKHAQRVFKLLKRGKHWVLVTLLLANVIVNETLPIILDRSLGGGWPAVLGSTALI VIFGEVIPQSICVRYGLQIGSVMAPFVLVLMYLLAPIAWPTAKLLDYLLGEDHGTVYK KSGLKTLVTLHKTLGSTPGDRLNQDEVTIISAVLDLKDKAVGDIMTPMGDVFTMSVDT VLDEETMDAILSAGYSRIPIYEPGNENNFIGMLLVKMLITYDPEDCKKVGEFALATLP ETSPETSCLDIVNFFQEGKSHMVLVSEYPGEDHGAIGVVTLEDVIEELIGEEIIDESD VYIDVHKAIRRLAPAPTYRRATKGQVVADSDIPKLQSLQASPVVGLDENSKGSSSGPR QLSLDSKAPGHSPVTFGTSPKATFMIRRSSAAADGGSVTVRGNATDMREHLKHLGPSN LASRPKTTRYNTVKIKPGVVKDTKDHRTSVHEDLVVFEDDSEMYSAPYGGEGEGLLQS AGRPASDGVLALQQGYGGVDHGPGRRTSSPLRAHKSHQADIEGPDKSQSTKGPQSPPR RPQLHESDSGGTISSLRSGHASPAPRKRGTARSGSITENIVDSNGVRKVVLQTTSSSD GDDALYSQDGDDGATNKKETNVNVQLVEPHPAEEVASDGQAEVDDVKKKTKRRRKRKN HKDADGAGPSQDA VE01_05005 MAGNGASDRLGNIPVLNTQTPEQNTSHPTSTSPSKTSRLAESLL PPFKEIPKDTSLNAESPTTPRRMPFPRPASLQMPQKDLANLESAYSRIPLSPKLDPTD SYGSPVIPRRSRGLDFSRAATNLHHSTLAEQSSPDSSPTITGRAMNIPGRRRSGQFSS ETSGASQWSFRPPGDRTTISSSLGSVNMLCSESSDSTSDEELMDTDDIDDSILTTPQV ASRMPMGLNIWSQPHPSPGNNWMNQSPAASSLINFQRARMRTGKSKKGSSSSSSRASP MSRSPPVGGSDAMNNSQFAKDLPSGTQSRRESISWAANQLHIDGSESDDGTLKSTLEN PENLPTTPARDGQRGVIRRAVTRRGNMLPKTKGFARIRAALAEEGAPVETEVRREATV IRQVRENDMDLEPSRPRALSTATLSSPSVRPSQGDGLEDALADDMINLANSNAGGSFK QQVVRNSKGPEFWEGFEDRKYRTPPPQFPTRESASTVSDDMSMDSQTFGLGNFSMLSQ ARDAADAQSQGPSASPTRATTPIPAAIDIRRVNNKRRRDDDFDPMSFKRRAVSPGMSV HNSPVIQSPMQRDGNPWGARPPSNSGSDKGAIESQSSGRHSGTKRVGLQGMTDTNDSL MNMSIE VE01_05006 MPPALSESESGSPEIEAQVTLEANNGRPPRSSNGHTKKMSQNVS DEEDEILAVTAEDKEEEEEDEDEEAEEDVFVVESIKNHMFDEDGEIRFQVKWEGYNRP SDMTWEPEENLVTATEIVEDYYNQIGGREFVNDEAARELEKVKTAPPRKRGRAPSGSA AAPKGKRGKVEKVEKHPKDTTPPASLDFKVPTGNWEHEATAIEQVEEQDDGSLQVHIV WKGGQRTAHPVERAYKHCPQKMLRFYEQHIVFGKGGGKKLMNKTVIDK VE01_05007 MAAPIDSNLPVANGTSNELSAAQKLMQKHEAHNPTIEEIADEDD VIGHGEAPKSTSVLEAINDTEEAVPTWAPTASAKAAGKQKATEQPAKEKAGLDTRSHE LFPELGGGKSQNAPSVASIWSGKKPAIVSSTSTNGTNGSTPHEGGSAPTSGAATPTSA STPSSGPGNFSIPGRHSERISLAPNQLLPRNQMKRPLADVLKDINRKSKATVTVTTGN AGLLWFSAVGPQDACRQALKDVVEQIGAKQSIQVAIPRSARAHIIGKGGSVIKALQEK TGARIQMPKVDPSAAPVDEDDDDATVDILIEGNALAAEMARREVEKIAGERTATVTHR MRGIPAEFYPFIAGPNNAGISSLEEGRNLRAHVPAHHTWRTQPPPQPTNAGEPLNFLP PAPNNHITLAGDRLAVQQARAFIEQQAQELRSQLAVEQLAINKGRHQFIVGDRGIPVQ QFLADTGCAIILPEDSEDETITIIGPSDRLQSGVDKAMDLASSMHSTNVDISRQHRNA PGGATAHARNVTKYLQRRKEIERLERQYDAHIVTQLLQDGVAPWELYSRDGKNTIRAQ SEITSIVNGHPPSRMANVPVDPFFHQHLRSDVVPKVQEAFGVYTVIPDDRDSSSPVLL VFEGPSSADEEYQIPRTQPSPAEIQAFQKSLQEARDYILNIVSGQEEIKTESVDVPLK FHDRLKKFIKKEQAARPSDQIPVRVNATGSIVNLRGPASSVDALVAKINDFVVQEISD EKERGFTMGFDFPQKYANQLIGKGGSNIRELRDKFDVEIQVDDGKVVLKGPKAKAEAA KSHIEKLGKQWADESTHILKVEPKYHRELIGAQGNQINKLQTRYKVQIHFPKSARPAR DDQSEAAASEATAPRAGRRQQPEDEVTIRGPSKGADEARDEILSLLQYLKDNSFSATV NVQQSQVPSLIGQGGKALDELRELTGAKIDVPGSRDAKSATGLVEIQVKGTKSQVAAA KKLIEEKKAVFDDTVSKTVEIEKKHHRTLIGAGGSNLRDIIVKAGGSDDRRELARTVQ FPRAEEDGNKIKVEGNKAVVDKIIAAMLEVVATREAQTTTVIDVPTAQHRTLIGRGGD AKKALESQFRVALDVPARDSGKTGIKISGLPADVALAAEHIAELTKQDEGETIMVPRQ YHHAVANGGQLFHVLKREHGVTVDHAGQKLPAKPSTKKAAANDAPLPLITDAQEEGED SHTFHTVACTPVEIEGEIPWVLRGDAEAVAKAKAAVAAAIEQAQATTTTGYLGLPDPR LYRYVIGQGGQKVNAIRKQSGCTIDVPKSGEGREAIEICGSEEGVEIAKELILKAVQE GATQSINRRG VE01_05008 MEEIKSTTRRLTKKPPPHLHQSVSRASDSTSNSRLDNLSIRSQR SSTSLQRAPSAPFPRTHNNNNSGHVRTITSPSFAAYSSSNSSLDRPGGGPSPILAGNE LHAPSGAQNVGKRHSNPQPRGLLETSSEEFVGAPFDGTGILNQMDASTASGYQNSLRR PPPPPLSHTTPLPQTTSPALRHSASFSNDRNEKNVSRTNENQIISPKRYSDETNQPKN FALRKKTGFSGFMNSLVGSPRRVNISAPENPVHVTHVGYDNDTGKFIGLPKEWQRLLN DSGITKKEQEQNPQAAYDIVNFYKDNAGKAEDDTVWEKFDHARAQDPRTPGTMSPPIT SPNYAAAMSPMMSPPASPRFPPTETSFENPRSPPPVPRSTPMMSTGPFVPKDTGLVPS RPAPKPPASGPGRAAPTPPIIVKDTGVPRQSTDLPALTYVPPAESQNGMAMLPEEHRS RSRSNSKAAGPSPYAQPPQQQAQPQPLSPQQIYQQQIVKQQEQAMTQAQQAMSVQRSQ SKRQQTQQPTPPHSQHQPARAVADPNGIPAPNTQARNVPGPRPRQRARQSTSLDIVDR LKRICTDADPREIYRNLNKIGQGASGGVYMAYERVTNRCVAIKQMNLEQQPKKDLIIN EILVMKDSSHPNIVNFIDSYLVGGDLWVTMEYMEGGSLTDVVTFNIMTEGQIASVCRE TLKGLQHLHSKGVIHRDIKSDNILLSMDGNIKLTDFGFCAQINESHNKRTTMVGTPYW MAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIATNGTPAIKDEA ALSPVFRDFLYFALKVDPEKRASAHDLLRHDFMKLCSDLNQLSPLVVSARKARQQERA MKGN VE01_05009 MKFQLSTLAMLGSFVAFTHGVCLKVSGNAHIIPETGDMQIFAVL FNDGELVCSINRATYDEQMWLDCHDGHYSAIPDDLSVVLYAHNKVDYKIPLKPESAGA DAWAWNAQLGC VE01_05010 MNFLQPIFALLFSITFSHACLTLDGVYTVSGAHSNTISATLNDN GKVTCKFSGTIDQDHYFANCIPTFASYIHRDLTKLAYSNDGREYVIDVKATRDFNNFE IYDRLSARAFCE VE01_05011 MQFLQAILAFVVVFSVTFSSGCLVFDATCNIGTSKIVGTMTDNG QKTCTFSGIVAKDKKSLFASCIEGFASYSRSDLEIAAYSNRGNEHLFPVAKEVHSTNP RNQYYTLSARMMKLFQTLAVISLFTVGYACVKLDGKYNWGTHDISATITDNGKNTCTY SGKLRDAHQFLSCIPRFSAFIDGSLTWASYSNNGNKGTIRIIKETTGKGADGNGLGKD EFFLAGQAFGC VE01_05012 MKVIQSLSVIISVVVACTNACIVLDGIYSSDTGAVKGTLVENDV QTCTLAGTLDRDAYLFTCINGYSASFIRKDLTAVTYRDNNGRTYQFQVQKYQPDKSDP QYRLSARMFC VE01_05013 MNFRLIALVVLGLFLNSSIGCLVFLGDLSPNTGIIHLVGEVLEN RVRVCAINSELKTSPIWLQCITNQYSAAVSNDFTRFAYSHGSAEYRLDVTKTARERLL GDAIRWTLKGQGYGC VE01_05014 MVTRIDGTEGFNSQSIEERNFQAALIMTECHFTRKPPIGRKTVN MTLATIKRTMEKTLNVSEIREVLAKNVDIWMRLQLVFYAAIPRLGARSLQETYSETAP RNVDIPESSTLIAENHATLLEDLTLLNNLLVIARNMLAIKEVAQDICSTVQLDKQITK LIVLGVNVTSKGYDGENVDNHTRGKLNEITELYKKLLVTCLQFLHNMTMGNDRLKMVF WFDMLFDNDLHNDAIHGLPNDGLRVDVVYEEVKNWLKRNSQKSPAAQVLLAKYTEDVP LGHNGGPLPPDFWGDDSKAEIASVNSELSQQPTTVPVWSEQAPTKAEQDRMYGRVSHE IDVWWKRVRDANYDNWVVPMETVEGAISRAQSCKDNAMTRYIPRVEQDEDLEFDHREV YEEEAEDEVHHEEGDGYSTHADDGHVEGEEEEEEDDDDSYVEGSLRGLLTEIPNILDT KQIEALHMTVKACIVDAMGSGLTKSGENLQKTRCKMFLALDCGKNLLREMLVLIAVWE QAESQFIFQITAQIIESFHHNALLPYAWNSLRILKDIVSPAQTILLRLINYMFRARKN TTIYSDVKDFNRDAKLIHFLYNYFRCRVVPDCIALIYAQAQIRGNRSHPQDFPVDLWD MERSKDGLAQYLDFIYVIADIPEMRPLLIEWETTYELIALLNALEAGVARKPLAEPRR TTPPAARANNGDASNAEGDKNYDPSQPQAPPDSQNVQPGPPPLHDTPHKFPWRGIKVQ ILIILTSLVAPSNGRSGPGNPVVQKQILKYGGIMALLNCCVYDGHNEYLKERATLCIK WVMEGCMEAQDFVRELSPLKNQKQDVEMVRSKGVDQVGPVGGEDVVAQSAPQLSKDNE KYEMERLSRMADAVEKMRIANVAAAKKG VE01_05015 MASKTESYKFNHTMIRVKDPKASAKFYEFLGMKLIQKIEQPQSK FDLYFFGYDSPKAQSHGKSWSDREGLVELTHNYGTENDPNYKVNNGNEEPNRGFGHLC ISVDNLQAACQRLEDGGYKFQKKLSEGRMKYIAFVLDPDGYWIEIIGQKPLEETADIT TTDVETYVMNHSMIRVKSSSSSLLFYQEILGMTLLRTAEMPEAKFNVYFLGYPSSATG AHREGLLELTWNYGTEADESFSYHNGNAEPQGFGHICVSVDDLDAACARFDEVGATWK KRLTEGKMKNVAFLLDPDGYWVEVIQNEKLKERAKW VE01_05016 MLLTKPLTTLLSSSLSPPVSTLLLLTPTGHILSAASALPSSILR ARATLALQIWALYASNPSALTDALPSAPANPETPDEDETSEKAPPVSSVAVHLSAGTI VIRLLSCGLLVCGLSSPPAEGEIPAHAGTPTGSQRGARPNYQAQHFLAPGSPLEGVAS SAVSDAGSVGTVGRRGNRELAVLRKRVEEVGKWLDEELGGFAMSEGI VE01_05017 MFPFITLEEHYVSVKARETDTVDDYASFPQHIVTKLKSLGDERI QDLNKGNVSLQVISHSPGTRSPTVCSAANDELAAAISKNPTRMAGFATLPMKEPEEAA KELERCVRDLAFVGALVDNHADGQFYDDERFWTVFEKANKLDAPISIHPTYAADSMME HYKGNYDDAIAVALSAYGWGWHADTGLHILKLFASGLFDHFPQLKIVIGHMGELLPFQ LERVIAIADRFGKKRGFREVWNKNIWITTSGMFALAPLACLLQTTSIDRVLYSVDYPF SSNEKGLDFFKEIEKSGLIAGEDLEKFAFRNAQDLLRVKAQAL VE01_05018 MVETKIMADQTWDVHTASEDMLTELCHQTEKLNGKIGGYKEAIR VVKLSNDIAVKVGRGVTATKARTQEFAHQNVDPSIVHVPEVYRFFERDYDPCWSSSVG YLFMEYVPGRTLAEIDLDVRDDIVPRISQIIVHLGQIEVRNDLKDAVPGPIGGGSPRG SLWGEDGAGETLTCVSDLNAWLNKRLSLQNDSPLVLCHLDLARRNMILRDDNTISLAD WGCAGLYPRFY VE01_05019 MIKTPSSRAVSRLLPLCTKSPSPATSSIARRSLATVVEPIQKDP VELDEITTLPNGVRVATEALPGHFSGIGVYIDAGSRYENEHLRGVSHIMDRLAFKSTT KRTSDEMLEALESLGGNIQCASSRESLMYQSATFNSAVPTTVALLAETIRNPLITEEE VQQQLETASYEIGEIWSKPELILPELVHMAAYKDNTLGNPLLCPKERLDKIDKSVIEA YRKAFFKPERIVVAFAGVEHKEAVRLTEQYFGDMKSEDTPELSRTGSETSLDSASEAS STSSSESASAPPSPVQQSSNLLSRLPFLKNLSTSASNQASVLSDLSLPPTPEQILAPS QYTGGFVALPVLPPPINPSLPPLTHIHLAFEALPISSDDIYALATLQTLLGGGGSFSA GGPGKGMYSRLYTNVLNQHGWVESCVAFNHSYTDSGLFGITSSCTPSKVVQMLDVMCR ELQALTLENGFSALQMVEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGSKVGVK EMCNKIDQLTVQDLRRVARDVLQGLVKNPGHGSGAPTVVLQEGEEGNGVKRKQLAWAE IQDRISRWKLGRR VE01_05020 MAGLVTRPASLAQYANTASNDWYSQCVPGSGGNPPASSTGGSTP TPTGGSGNGGSGLNDKFKAKGKLYFGTEIDHYHLNNNPLTTIVKNSFGQVTCENSMKW DAIEPSRNQFSFSNADAVVNFAQANGKLVRGHTLLWHSQLPNWVQSINDRNTLTSVIQ NHVTTMVTRYKGKIVQWDVVNEIFAEDGSLRDSVFSRVLGEDFVGIAFRAARAADPAA KLYINDYNLDIANYAKVTRGMVEKVNKWLSQGVPIDGIGSQAHLAAPGGWNSASGFPA ALKVLAASNVKEVAITELDISGAAASDYLTVMNACLQVSKCVGITVWGVSDKDSWRSS DNPLLFDGNYQPKAAYNTLINNL VE01_05021 MIYSETLLGALLLSSFIPAVLGGGMGWTGPNTCVSGACCTFSND WYSQCIPGNCQGGGVTTASTTVGVTTTATTQPGSTATSNPGSGSAGCGKNPGLTSGTK SITVNGKTRQYIIKIPDNYQSNRPYKLIFGLHWLSGSMNDVVNGQYYGLLPLSNNDVI FVAPNGLNNGWANSGGEDVAFIDALVSTVEAGLCVNPKLRFATGFSYGGGMSYSLACS RANVFRAVAVIAGAEISGCSGGTSPVPYLGIHGISDSVLNISQGRSLRDKFVSNNRCN PQSPPEPARGSRSHIKTVYSGCTSGFPVWWIAHDGDHVPTPQDGNGQYWAPGETWTFF SQFF VE01_05022 MGNDLASCPTWTLSEATSESYGHTIRAMKLSSFPKFGFVIYRCT YSDDAAWTQLLSLIQREAQEAIKELGPGRDWLGAHLEWTIVEDPTLDDATQEQVKRKF DGWAGGVVEEYERTSTDNVRGLPRFNFCVFVDEKCLASLEKPKAVVDGRKPPVFVVLV RAERGILAWVLQAQAAASQRSRSGVDSEDEEEEIFDDEDEEEVEDEDDIPLAAHKASW MYVETQHLLSLYNSLHADSGWEHLYVRPPGVYRRNEA VE01_05023 MNLLHFFAGSVLVRLAFALPQPQAGITTAASELCTKTVTSLGID HTVTDYKNWASSVNSVQGSASETGSQIAVHTTAFNFPGLGLITAYNFEDSHVLTSAGY KIIINTYTITGACPTTTVVIPPSPTAGDCTLHGDHWHCSSVNPTETAAPTCVAHDDHW DCPAGVPEPTTPPPTSTSISSDTSTTAPISEGECVAHGDHWDCPAGIPEPTTPPPTPT STSSTTSTTAPISEGECVAHGDHWHCPEGVPEPTTPPPTITSTSSPTSTTAPISEGEC VAHGNHWDCPAGVPEPTTLPGGGTTPPVTGGECVSHGDHWDCPAGVPEPTPPPGYAPG GTTPPVTGGKCIAHGDHWDCPAGVPEPTTPPGGATGGTTPPFTGDECIAHGDHWDCPA GVLEPSTPPGSTPTATASETTSGECVAHGDHWHCPDGVPEPTTPPSAVPTTLATLTNT NPSATTTSVPIFSAAAAVPNGPMSAIFGLGAGFAALFAVLL VE01_05024 MSRLPIEPSVKVAGGAERKGAWLGRLAGYLEGSRDDDHHHPLAP GIESFTTTTINSAKLVNTILPTHLIHCLQARHPEDRLQLVDRIAVEDNSLAVCAALEG LVRVAGVSSRWEGRAAVIAGGADDEGAFGVGWRLALALDDDGAGSRGEEEDGGDCDLH VDLFGGDLMR VE01_05025 MDLSKNFVYASSPSRVIFGKGTIQQLPSEISRQCLKAPLLLSTP YQVSQAETLKKILNGNIAGIFTEATMHTPTDITEKALEYAKSISADSIISIGGGSTIG LGKALSIRTGLPHICIPTTYAGSEMTPILGETADGVKKTRCDPKILPGTVIYDVDLTM TLPPSMSATSGLNAIAHAVEALYAQNTNPIISLLALEGTQALAAALPQIVNMPNDVEA RTEALYGAWLCGLCLGSVGMSLHHKLCHTLGGSFNLPHAETHTIVLPHALAYNSSEIP GVMEKLARVLPGSDGDAIKGLNVLLGNLNVERGLKNFGMKEEDVDKAANIAVSNPYWN PRRVEKEGIRELIRRAWAGEQAKADL VE01_05026 MIRKVQGTEVGMETLDQKQTEDAAHVEKIGISHDNTLKKASDCI TILIPQPSDDPEDTLNWSQEKKWVMLSVVAYCAVMPDMQAAFGIPLVPAQAIEWGISI DEAGRSVSGCVFMIGAGSLFSVPLVQRFGRLPVLFRSMFISFWMTVFATLAPSPISFI VARCLQTTFSAAPQVIGLSFIYDLFFFHEHARAIGIWAWAFVESPFIGPLISSIVANF RPWQDAFWVCTAMTGLACILVIMLVHETLYDRDISIQLPEKAAGPLVRRLEMISGAYG ARVKGRPSLAKSSMRMLVIASRPYFFLILVFYAMTFMWSVGANTTLSLFLFKPVAAGG YGFSQLSVGLIYFAPITATFLGELFGHYFNDFLARRYMQRHSGVFEPEARLWVVWIAT PFMVGGLILLGFCFELHFHWVAIAFAWGIYCFGTLISTVGITAYALDIFKDEAAEAAA LINFSRTISGFISSSGQPKLDQLEVLELKALSAL VE01_05027 MGSSMNVLDDELNIVVIGAGLGGLAVALALRTATSRHVITVLES APALAEIGAGLQITPNAAKLLIRWGLGDQLQKVTTVPEQFTIYRYSNGEVLGKRDNYG AEMLAKYGAPFWDVHRADLQIAMYERAKNLGIKFRFSAQVESYDLATPKAILQGGEEV HADLIIAADGLWSRCRSSMLGYIASPTPTGDLAYRIVLNFDQVKDPEVLRFLSKPQVH LWAGPDCHAIYYPLRNNTMCNIVLLVPDNLPENVARAPGSIDEMKEIFRNWDPILQKL LSLVTDVDKWKLMHREEMDAWSNEHATVAFLGDSCHPMLPYMAQGANSSIEDGAALGV LLSKLSKKEDLASILQVYQDLRKPRSTAIAKSSEKQRYYNHLPDGAEQKVRDALMKSQ IQEPQPGYPFYWIDPQMQSWVYGYDADLEAHTAWDKFLKDSKQKTLPGAQL VE01_05028 MLLFLGLILLGGVFFLNYMRERRRPLPKGCKPLPGPPGLPIIGN LHQIPEDYPWRKFKEWSDMYGPIMEVKMGKERLIVLSNSETVKELLERRGQIYSSRPQ TYMASEVLSDHLRPLLMPYGARWRRVRKFIHQMTMPTKATEYQSRQSRESLKLINDLL DEPTDFARHYYRYASGLIMGLTYDKEVRTGKEDYVQNIMQVNDTLEHIAKPGAFLADS LPILRYLPSILAPFKRIGKQAHKFEYNLFMQLINEIREKMNLHDSVTDCFAKEIVSSM ENRGITEEEGAYACGTMFEAGSGTTSGALETLTMALLVYPEVLAKAQAELDKVCGDRL PQFDDQDDLPYINAVAKEALRWRPIVASGIAHLLIEDDYYKDYFLPANSTVIGNSWAI HMDPVVYPEPDRFNPDRFIDESFPTATKSEGVEYGAQRGHWAFGFGRRACPGQHIGER SMFIVIARLLWGFNFSRAVDANGKEVEIDTMDFTTGFNSKPNDFPANIEPRSPERKAV IQAAYADSLRSGS VE01_05029 MVTATALVLKAANSNFQVERVELKRLQPEEVLVELRATGVCHTD ISVQQGKIEAVFPVVLGHEGAGIVREVGANVDNVQVGDHVLLSYSYCNQCRHCQRGKT YQCVKMAERNFAACRPDGSSPMEWRGASLRGCFFGQSSFSSVALVQATSCVKVDKDMP LASLAPLGCGVQTGAGAVYNVLRPVDAGMQSVVIFGIGGVGSAAIMAAKVISEDHAGI LTTIIAVDLSEKRLELAKQLGATHVINPSTTESVVEAIHRVTDPDGLEGAIDCTGVVA VINDMIVALGPGGRAVTIGAPPASAKMSIQVFPFINGCKSYEGSNAGNSNSSEFLPFL VELFRQGRLPIEMLQRQYKPTEVNEAVAAMLEGKVVKPVILWPEEQIQLVGN VE01_05030 MDPTEVNIPDVKDMNIDNITKNTILINSQGPNARVKYLFERLVT HLHDFARETRLSTTEWKTALDFLVSVGQISSDVRHEFILLSDILGLSLLVDAIDHPKL PSSTEGSVLGPFHSHEAETIESGKDISSDPNGETCLVICTVKNPSGAPIEGVKIDIWE TDSSGHYDVQYSEREGPDGRCVMKSDKDGNFWFKAIVPVSYPIPHDGPVGQLLKLLHR HPWRPAHMHFMFEKEGWDHLITALYITPDPYLTSDAVFGVKDSLIVSLGKVSAIQAAE YGVPEGTALITHDFVLVSDKETQSLRDQNALKAVADLFPGKKMKLLDHLPVPDVD VE01_05031 MLASGQLQELDLQVLQQQKQEQKKGKSRSRARLQIGGELTAERA YKLRAAKAELIAQKAQAKEARVARLAANQARKQLYRAGVEARKQEGLRKKRVKALLRA GHPIPPEDQDPIIDPEAGFQTGFQTGSEAGFEAGFQTGFQTGSETGFEAGFQTGFEAG SETGFEAGFQIGFEAGSETSFEAGFEFELEPTSQLERELQYELEKDGKWECE VE01_05032 MTSIPHIPNHGAPDDQLATRLLIPMAKRIEPRRIRKPRNKTLEA CQNCREKRIKCDGCRPCRGCRNKNIECSLAVARTDQNDHLAEYDSRLSRLEARQQLSE QSWGTVREVLGAAIGKPSTPSITELNQQTGCPEYYGRTSNLTLATSINKRLGQLDNNG LATLPQPRDESLSVNPISRTSVGLANPERLVEVCDYVVPLTASNRRYRTLQRDVADRH IESFLTTIHIYFPVFDMSSFRAKYARWRELFSSNRRFGHHNDDQVQQQSFCLLYAVLA LGALYSSGEEDSSSWAAWYFSEAQEIIGRLFDAVNLELVQAAMFMGAYAQHVIKPNLA YNLIGLATRMAFSIGLNIAGQGQSPSGTYLDEAKRTWCMVYVQEVELSLDSGRPMSLR SCDANVPFLSQRIENDAALLGDLATSKTVFIKYLTGIAQITRDIMTFVSYHIQAFGPP SHEFRARLDGSKTTITTPPLKWDRIDSFDSQLLQWRESLPSHLRFRDYLTDQNYSPET LSSWTSRQKSSLRVHYNMAVMILHRTSLQEAEKTTRDKVSIASEAKGEQAASDMVRHI YSSFKAVPDLRKWTYYCFYCLQATLTLLIKLVDEPLLESNLSVAELCELSIDVFQQID LRSADQCAKMLNRKDMPVQNPWSLCPVHLELPILFQLNLKAGIITKIRPL VE01_05033 MFTHATPSSSTLATTTSPSSTSSPSRSSRLRGLSYLRNYTHNHL YARDSPSTSSPGTRSESPLTRATSNPSPPSASFDPADFEQLAPVVTSDGLSTQPTTTV ESPTIQISPDTAFARSGWPTTVGGQSVASSILHREPGVNQEEPPMARTRSGSAAMREA GSDISQMNGGPDPHLHGSPPPSGPLHGPESKPTIRFSQHQDARAPRPSLIFSPMGRTL PTGKEIIRVGRYSERETQPNQPANLSTAAPVGFKSKVVSRRHCEFWAQDGEWFIKDVK SSSGTFLNHVRLSSPGTESKPFPVKNGDIVQLGIDFKGGEEMIFRCVKIRIEVNRAWQ TGINSFNVASHQRLRNMAKQIQSTTGTSSQDCSICLGAVAPCQSLFVAPCSHTWHYKC VRRIINGPHWPHFVCPNCRAVADLDADVDEPTEGEWEELKPSDVDVEADTTRQRQVSS EEDEVPQPISPPDIPEPRNLGQVPTTHVEPVSSSSPSGYSSEELMANLEINDRGRESE ARSEQSGLSAPIDIARSNRSGSKRGSDESGDRRTPSPGHRSPDVLSGADGPMTPRNDA GPFIFDGSGGHRVTAQAATPNMGAVVESMPPSP VE01_05034 MGFKSGIALKSVQWVIRAVQFCASAIVLGIYSYYLATLSNHSLP ISGYLRSVEGISGACVVYTGASLVFLWFLGGFRWFAFLAVILDIAFVGAFAYIAWETR HGVTSCTGQVVTPFGNGDANSKPPGGKGGVTALPELGSVCRLEKVAFAVSIVAIGFFL LSAFAEILLWKHHKREKRFGPSPANNYTAGSAPVKWKFWKLGNNKKDANAGRDMNPPY EPPVFPMSAKPANTYMNEANPGGTGGYGYSRTGKADYAKEQGLYGQQEQASGVAGTPG QY VE01_05035 MTSSSGGIRVAPPSWLASVVSGRTAARKKGGSWNKRLGKDNQNE ADVARMNPTADWEKLGERYYRKVQLYTEVFDQDLELENHIVTGAPYGGAIALYRDETK LHTFRGSQGSKSSIDVYSCSGKLIRRINWDKGSIKGLGWSEDEKLLVVTQDGTVRCYY NLQGDFSQFSLGHGAEEFGVVACRFYSTGFVALLSNNHLISVSRYEEPRPKLLAVPPD GVVHSWTLVPPAYTLSRSVEVLLAIGETIYVVDATESEDRMLDIGPFIHISVSPNGKF AALYTESGKAYVITSDFQNRLSEHDSKAKTLPVDLLWCGNDAVVIAWEDEVHLIGPNG SAAKFFYDGRVHAIADHDGVRLITNDVCDFLQKVPEVTDEVFRFGTVSPASILLDAVQ QLENESPKADDNIQLIKPNLVEAVDACVKASGHEFSIHWQKQLLRAASFGKSVVDIYN SDEFVEMCEILRVLNAVRFYSVGLPLSYEQFLRLTPEKLIERLINRREYLLALRISSY LRLPTDRIYVHWASQKVRVSSEDEATICRLIVEKLANKRGISFEEIARAAYDEGRGRL ATELLNYEPRAGKQVPLLLSMEEDEIALDKAIESGDSDLIFFVLLQLKNKLPLASFFR VISSRPIATALIESSAKGDDMELLKDLYYQDDRRTDGAHVFVHDALAQRDARGAIDKL TLAGKLLSDSKDTVFDLKSIQEAQTLLKMQEAFDRDLEETYTGLSVNETVFRLLRSGY SSRAKKVQAEFKLGEKTFWWIRLRAHIASRAWSEIETLSSTRKSPIGWTPFFNSLLSA GNPKLASVFIPKCTGITSAERIEMWTKCGMIKQAAEEAFKTKDLKILEDLRGKASRPG DATEVERLIAQLKR VE01_05036 MSTGAILGRSSNRPLSMYGAGQTPQGGGSDANGHGTAGDNGKKL PARVFQHIDDLLKAKPEVNVHAPIRRLVLDAESYSKQADTHIDFRRPDLALQDYIKAS IIAIDIIPRHKEYPDLKADRGELHRLYSALQKRIYSQYTRFEEVKVVIKKNNAESGIR PGSVNVVSNGIAREDAHSREPPVVPKNDAQAVKRPSGGDNKSPARTKPAVHPKPNALL GKAIGNHQRSQSEQIKRAPNLHNEDLESRFARLRAVDTQSQDQRSKAYPTRPFVKTGA EGFDGRSAVQSSKSLDRPSGPRDMPKASSGPPRPSKLAMDVLIPDMPRPPDAIYSPDR TSLDARSFPPPRIAPRPSLSEPRKPATAPTTSLSTRTTPSVSTDTLPNGQKSSSPRPP LDRKLFNSTTVVADDLVNYMKQCRILFVDIRSRSAFDSGHIMSQSILCIEPIALVEDM SASQLEERLVVSPDNEADLFRKRQDYDFVVYYDQSSSSNLYADETSNVEEIPLRDFSK AIFEYDYNKKLKCPPKLLVGGLDSWVQLMGPGALATSDTLQAVTPPIPHHDSPMRATS TSLSLEKQYQSRPLTQDEESKWQKKLMEPSDDFAGRFPDIDTFENTARASQNKSIVEN SMTDYSYVDAHEAELNSLEFREPARPALALSKRSYHGVSESVSSSVSAPQKSSWGLSE VDSISPSVSASGRTGLDNFGNTCYMNSILQAFSATPWFVTYLLDGSIDKAGAPPRKKG EVSDPPQLMVRNLAFLIRHLWSGQYNFVKPQTLRNYIYRICNRGHSNSRVLFGGPNQQ DAQEFLDFLLNIIEDETNPGRDRPEPAALTTAQEQQIRNEGHAAEIKFHRLRYAAAHQ SPITDKLLLCTMKIFKCQECGWKTTNHSDNSPYLNVSIPDGRESKLSDLLSRRFSKEG KVKEVYDDVKCDKCFAIHGRKTRRSVTEVITRLPDTLILVLVRHTADIRRNNALVTFP LDDLDMDDYYYDVPDAGRDRLGKRSATHYRCYAVVQHLGDNLHSGHYITLVRDRERTS QWWEFSDRRIRTIDAALTQTSNSYIMFYQRVE VE01_05037 MPGKASTSSSTPKNWPPHIPYLKTPAYSRTLSPIQLKALRTRTS TAQDTPQTVPGPSPLVRITPISNPSHPANGQSGLFAAKDLPPGSFILPYIGEVHGQGA AHEASDYDLSLDRDTELAVDAARSGNEARFVNDYRGVPGVVKPNAEFREGWGGKERAM GVWVLPAGKAGKGKGIKKGEEILVSYGRGFWGARKEVDEGDGGGDQVAGQVD VE01_05038 MAPQKPKRDRPVPTTSQRSKKRQKVETSSSYAPAGAGSDVRKVP VALDALPWNEVEMPDMFEDAEGFFGLDEVDNVEVIRDGNQVKFVTKTPIDETEFEGFD DDMADAPADDAVKTKPKDAKAKAKGARADSNTPKSAKTDSKQQAKPETPKTDDTNVAK SKKESKKEKAAKLAAKAKDSMAKSKDKKLPEKQQSAPNVFAALQDEAEDEDMTEWAEL NLSPAMLSALAKIGFTTPTPIQQEAIPEVLAGNDVIGKASTGSGKTLAFGIPIIERWL QDNEGREDETVTEKKTQKSATALILSPTRELAHQLTDHLTKLCKGLSDAPFIATVTGG LAIQKQQRQLARADIIIGTPGRLWEVLSSGIELSKSLKTLKFLVVDEADRLLTEGHFK EAEEIISKLDRHDADDEEEHVITRQTLVFSATLEKGLQQKLSGKGRLGDNGMEYLMKK LKFKGEPKFIDVATSAMAEGLKEGVIECGATEKDLYLYTLLLHHPNLKTIIFTNSIHT AQRLTPLLANLNYSPVTLHSNMPQKARLRSIERFSSLLVATDVAARGLDLPAVELVIH YHLPRAADMYVHRSGRTARAGAQGTSIMLCAPEEAQSLRRLAGKVGVIRTLDIDRRVA ALLRPRVVLAKKIADAGIAKSKGERGDEWARKAAEELGVDYDSEDFERKGKVGGRARK EKEARGMTKDEMGRAKAELSSLLSQRVNVGVSERYLTGVDVNALLKGGGQWLGSVEGM D VE01_05039 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFQDVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRSAWEDKQETARQTSLQQSKSESIPLDSSTDS AKAVVQEPTEPTKADSAPQPPKDIKIVAIDLQPMAALQGIITLRADITHPATVPLLLE NLGSAKADLVLSDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLRPGGKFVAKIFRGR DVDLLYAQLKLFFETVVVAKPRSSRASSVEAFVVCLNFQPPEGYHASLSEPLNVEQLE TMVNAKSSTKETLINSSSSTETRADGVVEVETNSDPDRWIAPFLACGDLSSFDADATY HLPKDRVTLDPVQPPTAPPYKRALERRKEAGGAYGKTT VE01_05040 MAKGTWYRENYMISTSPELIQPEAVNAAFESDAIYWARGMEPEY LKKMLSKSLCFGVYALPESSSELAGRSDPPQIGFARVITDEVTFAYLTDVYVLEEHQG KGLGSWLVECVNEEFASWPHLRRVMLMANLKEGEAFYAKMGMSQFKQGENGFAILNKQ GRGSAMPV VE01_05041 MDQGRFVELLQSIQIPDTEKVKAATTELRQTYYPHSESLLWLLQ ILASHDVQAIRQQAAVEALRLVPKHWATLDGPKPAIRESLLKAALNEQSSLVRHSTAR VISAIAGIDLEDGEWADLPGFLMEAAKQPQVGHREVGVYILFTLLEVVGDGFIDKLPI LFQLFSITIRDPESPEVRINTMLALSRIAMLIDPEEDRKSLKSFQDTVPSMVAVLKST IDDNDEDRAMQAFEVFQTLLGCESALLANHFKDLVQFMIELSVEKSITDETRSQALSY LMQCVKYRRMKVQGIQGMGEQLTIKAMQIAAEMEDDDDDEDEITPARSALSLLDILAS SLPPRQVIVPLLTSLPQYANSEDPALRKAGILSLGMVVEGAPDFVATQLEQIMPFILQ LLNDSDINVRQAALHGVSRLAEDLAQDMSQSHATLVPALLKNLDAAASQTDKISTGII IGSCLALDSLVDGMEAEISSLYISELVPRIGRLFEHPDFKVKGASAGAMGSIASSAEE AFLPFFEQTMKALSEYVTMKDSEEELDLRGTVCDAMGSMATAVGGVAFQPYVQPLMAA SEEALHLGHPRLRETSYILWSTMSKLYEKEFAPFLDGVVKGLLECLEQEESDLDVELG EEASDLLGTEVVVAGKKIKVVQPSTDEDSMDDDEDDDDDWDDLTAVTAVALEKEIAVE VIGDILSHTKDLYIPHLEKTVEAVMTLVDHSYEGCRKAAIGTLWRAYACLWALMEDHT GKKWTPGIPLKEQPSQELIKLGEVVATATMTVWGDEVDRAVVTDINRNIAATLKLCGP AILTQGNMLEQVTTILAALVTRQHPCQMDMGDDEDQEDVGETSEYDWLAVDTALDVVI GLSAALGSQFGELWKVFEKPVMKLASSQEAFERSTSIGVVAECTAHMGAAVTPSTATL LKLLLHRLTDEDPESRSNAAYATGLLIQHSEDVNTYGPAYPEILRKLEPLLQTERART LDNAAGCVTRMITAHPDKVPIADVLPVLVGLLPLKEDYEENAPIYGCIVGLYQAGNST VQELTPQLVPVFAAVLGEPKEQLEEETRAKLIETVKYIAKQQPALIQGHAVLAAL VE01_05042 MSSSIKQAAESARAKSAEALESSKRAAEKAMSDPIGAKNSLFQA PAMRAALPFLNGGLAGMVATTAIQPIDMVKVRLQLAGEGARTGPKPSPIKIARDIIAA GKARDLYTGLSAGLLRQAVYTTARMGFFDTFMKSFAKSSEAAGKKVGFSERAAAGLSA GGLAAMIGNPADLALIRMQSDGLKPLAERKNYKSVIDALASIVRAEGVARLWAGAAPT VVRAMALNFGQLAFFSEAKARLKGTSLPPTTQVLTASAVAGFFASAMSLPFDFVKTRL QKQVKGADGKMQYKSMIDCFRKVAREEGVLRFYRGFATYYVRIAPHAMITLLVADYLG FLTK VE01_05043 MATAPVPGAFGVLPEAQGVTTNAIGHSIESERPVTEDTLHDETD TSSSENNEKHITDLARTFTQASIRNEQGEHDNPFTSADPTLDPHNEKFNARAWTKTLV GITSRDPERYPTRTAGIAYKNLNVHGFGNPMDYQKTFGNYPLEIGGLFNKLRGKGQRK IQILRNFEGLVRSGEMLVVLGRPGSGCSTLLKTISGETNGFFIDQESTINYQGIAAQQ MHNDFRGECIYQAEVDVHFPQLTVGQTLKFAALARAPNNRIPGVSREQYAEHMRDVVM AMFGLSHTINTNVGNDYIRGVSGGERKRVSIAECCVGQSPLQCWDNSTRGLDSATALE FVKTLRLSTNISGATAIVAIYQASQSIYDVFDKVAVLYEGRQIYFGHKHKAKEFFVNM GFDCPERQTTADFLTSLTSPLERIVRPGFEHSTPKTPDEFADAWQKSADRAQLLREIE EFEAEYPIGGAHLEKFKEGRRAQQARGQRIKSPYTISVPMQVKLCVTRGFQRLRGDMS LLFAGIFGNTVMALVIASVFFNLQDNTETLFSKGALLFFAILINAFSSALEILTLFAQ RPIVEKHTKYAFYHPFAEAIASMICDMPNKIGSSIFFNITLYFMTNLKRTPSAFFTFI LFGFSCVMAMSMFFRSIGSMARSLPEALGPAAVIILSLIIYTGFTIPTVDMHPWFRWI NYIDPVAYAFESLMVNEFSGRKIPCTSFVPRGGDYDLLTLANKVCVTTGAEAGSDVLD GDVWLRVNYGYERSHMWRNLGILWALVVFGCAVHLLATEWISAKKSKGEVLLFPRGKV PELASASNDEEGAQDNRIDTNTLEATATHAAAGEAPPSIQRQTAVFHWQGVNYDIKIK KEPRRLLDDVDGWVKPGTLTALMGVSGAGKTTLLDVLASRVTMGVVTGQMLVDGRQRD SGFQRKTGYVQQQDLHLATSTVREALTFSALLRQPKATPRAEKIAYVDEVIKVLEMET YAEAVVGVPGEGLNVEQRKRLTIGVELAAKPALLLFLDEPTSGLDSQTAWSIIALLRK LANNGQAILCTIHQPSAILFQEFDRLLFLAKGGRTVYFGDVGQNSSILTDYFVRNGAR PCGVEENPAEWMLEVIGAAPGSTTTVDWPVAWNESDEKAEVRAQLADMRRQLIEKEVE TDPTSLDEYAAPMGQQLGTVTQRVFQQFWRTPSYLYSKTFLCVSTGLFIGFSFWDAPT SMQGIQNQLFSIFMLLTIFGNLVQQMMPHFVTQRSLYEVRERPSKTYSWKVFIVSNII VELPWNSLMSVLLFATYYYPIGMYRNAQHAGQFHERGGLMFLYIWSFLMFTSTFSTMI IAGMETAEAGGNIANLLFSLCLIFCGVLASPQVMPRFWIFMYHLSPFRYLVDGMLAVG IANVDVVCQAREILHLNPTVGKTCTQFMGAFVELAGGYLVNGDATTDCQYCSVGKSND LLKVFSSSYAHRWRNFGILQGYVFFNAGMAVFIYWLARVPKNSGKEEPPTEEELALQK SRTRNSTTAAGGLEKTATRDSARGKRRTWGSRGENKLATTEEIVAEKQ VE01_05044 MATTTTPPSQSRPASPSSSDADMDDSVSSQTAANYQFPTHRYKR QQTQANRIPLVFVACGSFSPITYLHLRMFEMAADFAKFNTEFEVLGGFLSPVSDAYKK AGLTSARHRLRMCELAVQQTSNWLMVDPWEALQEKYTPTALVLDHFEHEINVVQGGVL DVDGNPRPVRIALLAGADLIQTMSTPLVWSAKDLEHILGRYGTFIVERTGTDIDDALS SLQAWKEKIWVIPQLILNDVSSTKIRLFLRREMSVRYLIPAQVIEYIEENGLYEEEGA EGKGKGKTTTGGETAGEAAAKS VE01_05045 MNVFRRKNKTDDAVAVTDEVALEGHEDLAVIVAEKTLWQKIFPI LAAGSGLFSEGYVQSVIGSVGTILGQIYGPAYSDSNGAKNIAAIAFAGTVLGMLVFGY TSDKWSRRNSLLVATVIMIIFTALCAGSYGGGSLPGMIAALTAFRFLVGIGIGGEYPA GSVAAAEASGEVKSGTRNMWFIMFTNVAIDWGFVIGAFVPYVLVLIFSENHLRATWRV ALGLGVVPPMVLLLMRLKLQEPEQYKRESMKHVKIPYGIVLKYYWFRLFIVGLIWFLY DFSAFAFGIYSSTIVKHIIGDDVPLSTTFGWNTVINLFYIPGAMLGGPISDKIGPRYA LVIGVTLQALVGFIMAGCYDTLTRPGHIASFAVVYGIFLSLGELGPGDNIGLIASKTC ATGVRGQYYGITAAIGKIGAFVGTRVFVYISQAGGDDETKAAQYPFWVASSLCVLSAV LALFCLPHIGQDTITQEDTKFRAYLESHGFDTRQLGLSRDESVEGANLEAETAAKESA DLDSAVAEKSALAEKSSN VE01_05046 MVSRMELTQSRIRYLLVGAFITAFLLFLANTSSVRSHPAFDKPW GPGGEHPGHNPHHGHKPDEPPRPPGPPKPPKPPGPPTPPKPPAPPVVADSKPESTTSA APKETNAVAPAAPAKTELKDETKYLSIAEAQQFCEFRRFEPWANRDKKRKIYDLVLIN RELEWLDIRLGQMYSHVDYFIIVEAAKTFTDEPKTLYVESNWDRYAPYHDKMIRHTLT DEGMEFKTTWERETFSRNAMVDQVIPFLKDEQKVEIDDVIIIADVDEIPRPDTLTAMR NCAIPDAVTLRSRMYYYSFQWLLRGEDWIHPQAMLWKGKDQTMPADTLRMGSHKQHHM QNAAWHCSYCLKSLSDMVNKVTSFSHVEFNKPEFRDPEKILNRVRHGLDFFDREDSFF DRVEDNKDIPEFLKEHSDKYAFAVNRDPPNGNFQD VE01_05047 MLPTVEKDAGVSLLSRIPSAAYVLNWIFFSTIVILFNKKIISDW GFPYPVLLTCWHLIFATVLTQILARTSTILDGRKTVRMTGKVYFRAIVPIGVLYSLSL VCSNLTYLYLSVAFIQMLKAAAPASVLFVGYAFGTDKYDLKVLINICAIVFGVGLASY GEIDFSLIGFMYQLGGLIFESIRLIMVQKLLTGKADDPNSYKMDPLVSLYYYAPVCAV MNVFVALFVEMPTFKMADLVQLGPWILIANASAAFLLNVASVFLIGKTSSLVLTLCGV IKNVGIVVLSVILWGTIVSGLQWLGYSIASAGLVYYSLGYEGIMNACQQGQTMWESRG MNYRGKNLTVIVAGGVITTFLLFAWWSSGSAAPPV VE01_05048 MAAIDALVYHPALAHYLRFVATTVGRDKLLRTIQYFSRFYAWYL YRTNATPAAIKPFEAIKKQFGLVRKAMRLGKNIEHFKNAKVAADRKDLDPVLKYTAVG RQLGYGAYLTLDAAAFLDAAGIRPTPNAKNITRNSQKAWLTGLSFSVVSGLYTLWALK DREARLDKKDGESVVEGKKIQRERAAIQLQLFSDCCDLVMPTSSLGWTGFDDGIIGIA GTTSSLIGLYGAWKKTA VE01_05049 MEQEPDPYSYHAHPYQAPPRADPATLPPLAVPRPFRLCNVSHEE RATWCMQTAYELALLKNTAFHYGDAYSKVVAVEETKLVLTNIIEMNSKGRSTLAAILE AMVGLDKSMWSVVMEEEVRNELASVVRFDGHCRARLVAMNIIIEKIAETQQMIAVTEQ RAITEQRAMAEQRAMTANPWAQTWSSTEGPENSEVLSPEQEPVETEDERANAIEGETE APAETNVVEAEEALTYRGGGSDNQHANTEKTEDVAPSETAAAERTPRSHWRPQFGSMS LAEAENYSSWRGSRTI VE01_05050 MQKHSPAQVVSSILYNTLDKSVTKYTYIDFCAGGGGPTPCIERE LNLKLAKSKSSSANSELNQPVKFVMTDLYPHIADWKEACSKSKNLTFVPKPVDATNAP ADLILGDGKKVFRMFNLAFHHFDDSLGREILKNTLETSDGFGIFELSERTPPSLLMIT LMGLLFFFITPFYFWRSPGHLFFTYVIPIIPFVVVFDGYVSSLRTRTADELCSLLKTS GGDMDGWSIRGGRDMHTEPLGYVTWVIAVKE VE01_05051 MSALIICAILLFLSVFIFFGQSPKLRRNGKPLRKPLDTLPFAGN VFRFFQNRQELFSWFTSCERSFGFETFQISVPTLPPGVVINDPKNLDFVFRNEATFSK GEFFKSRSSDLFGHGIINADGELWKVQRKAGLQFLNNANLKVLAEVALPRDLHTTVRE LYDMDVNKPVDLEAVLLSLTTKLMGRVAYDMDMSSAEDFSQAFEYASSATGRRFQNPL WRVTEPIIARKLKKSLATVKKFGQDIVANSVEKRSNKDEKADGRLAGISGSLINALLD AIPDHEVVADAALNYLSAGRDTTAQGLTWAFYTLIRHPHVVSLIRREIEAEGAEDALT ADPWADDYESLRPNSLPYTTAVFYEVLRLYPPVPFEMKQCQTDTTLPDGTFLPKSSIV LWCPWAINRSERIWGEDAEKFLPERWLQDGKLLMKSQSEYPVFNGGARVCLGKKMAES ISVMVIAKLVLFFDFEDCEGGYRFSRNSLTLPMKGGLPVWARARRRAADM VE01_05052 MAKRNAARGGAAPRSGASVSHRQRSSRIPDVPEVYQDMISEALS NDHDSIDRPPKRRRTRQTLESHHEDIGEEHENRDEGVDEEQESGEEDFEDVLPPAAQT VYNSDSGSDSDDATFEDVGLYSDPFQHGVGGADEDERDDDQHIDLTLTRRIEAPQRTP RKKGRTYTKEERASHLMTHKMHLLCLSRYIERRNEWCNDAEVQGILKPLLREKDRQWF KTKPEWTQFRRAESIKKGLEIAGNIWLTNFKITSRGMRRAYWDEDGRISDFVLPPDAD RVLDKSDFKLGAKNMEGSRDTGAQLYCALLRGAGLDVRLVCSLQLLPINASSTKTRPP PQGVSTPRPITPTTPTSDSEAMNIASPTSPFASRASGAPFSARRRLGHPNVADYHIPD ATPPRRPPPPKPKQRRIIESPYPVFWVEVLDEAHQKWMPVDPLVTGTINKPSVFEPPA VDAENTMTYVFAFEEDGAVRDVTRRYTKWYSAKVRKARVESTDGGPKWLRRTMKFYSR GFQTDLDQIEDIELQTIEGREPMPTSIADFKGHPRYVLERDLRRNEVLVNPHEIGKVA SGRDANSVGGKGKKLESVYRRSDVKAVRSADGWYRLGREVKVGEQPMKSRAARRIAND DDEPGDVALYTEDQTVLYEAPPVVDGRVPKNVYGNLDVYVESMVPKGGVHLPYPDAAR AARLLGISYSDAVTGFEFRGRQGTAIIKGVVIASEYQDATEAVIQGFKDDEWHAKEER RSIAALRMWKRFMVGLRIKERIDAYEIEGEEKGDEYVQDDDEGMQSEEYDMDEAGGFF PEDEGGGFVPE VE01_05053 MPPQTRATRTNAPAGRVYESPRLPPTQVKFQPRRQKVYGRHNLG DVKRKKQETLTQIGFVNPLTDKEIDEEIEAYEREEKIRNKRRKTLTPSSKFYTQTLTQ LDFDSTPKVECRDTEDEDEDEGEEEQEQKGEKEQVQQEADTEVDGRTEHVSESPVPRR RRSQRGGIITVRCIKVEPTTSPSPSPQRPTIPPPASSPETTTKPSISQATTSMPPPKT PTRKRTLEVPSSLSPATPLSSQSISHGLRTHDQRSPLKPRSGNFNRLTSPRLPSSQSK LSLPHQSQISTQAITQDSPLSRVPDSSPFRPRQMTQSTQSPTAQVLSEFTAASTPTPS PPRRVAKTEIADSDADSDADLDDEDDEEEDDDCLAPLPPRPQTQPQLQPQPPVQVPSS PDAPPPRRRWAVSSSSPPPRPALAVKETVFGEEEDELGSQWTRSQLLPGSLGMDSLLA LPPGWGEGEGEGGWEE VE01_05054 MAGDGVIRGTTDTERIEAPVTVKAYLMCAFAAFGGIFFGYDTGW MGGVLAMPYFIRQHTGLAYPVDKFGKDTVSDAYVAYNKAFHISARDQSLMTSILSCGT FFGAIAAGDIADFIGRRPTIILGCGIFSVGAILQTASTTLAVMVVGRLIAGLGVGFIS AIIILYMSEIAPKKVRGALVSGYQFCITIGILLANCVVYATQKRDDTGSYRIPIAVQF LWAIILATGLFFLPESPRFFVKKGKLEQAAKALASVRGQAVDSDYIQDELAEIIANHE YEMAVIPQTTYLQGWANCFHGSITQGSSNVRRTILGIVLQMMQQLTGINFIFYFGTVF FTSLGTISNPFLISLVTTLVNVLSTPLAFWIVERFGRRRILIIGATGMVIAQFIVGII GVTAGAPDKNNQSAVKCMIAFICINISFFATTWGPSAWVVIGEIFPLPIRSRGVGLST ASNWFWNCIIGVITPYLVGTEKGQANLGAKVFFMWGALCCISLAFAYFLVPETKGLSL EQVDKMLEETTPRTSNKWVPHSTFAAEMHLAEKGIVIGDRPATAPRTETVDVVTNAKE DV VE01_05055 MGTPKYENGQVVFYRPMSGGNAESTESTGIITDILMEPGLQANM SVNASAAQPRYEIKNYNTGHTISVFEENILGKA VE01_05056 MLKRKRESNPRGPGGGGAEAMGQRRGEVEEKLVHGKKMLNRALK TAKGFEWQKLVKRITNAKAEGGDSEAQVARLERELKVLKDLEMQALADAHVHKTLLKS KTIAESGLLPDYVKPPVRKTGSEEDILAMDNVTARLYNTKPVKENMTHIMTSIYAVTG IPNPANKPKLKGKEAKEPPAKKSKGDDKEGATSKSKEKLVADKKEEKGVEPAWEGFDS GSESDGESIDFSKYEGRLGGSSDDESDSDSAEELKRPAKPVKRTIKSRGISVSVSGSD DEGEPEEWVLSDEEEDSDEDDDDNVIERSHSPPTREKKKQREPPKPLKPGSQFLPTLM GGYWSGSEEEATDVEDEVAPAPRKNRPGQQARRAIWEKKFGKGANHVKNAPPPKEKDV VWDAKLGAVSSEGSGRGRGRGGFTRNKSQVTGENASELGPRKSRGVGRKDDVGVLHPS WQAAKKAKEEKKAAKFEGKKVVF VE01_05057 MARPEETGHGSATFGTFEDLGLAIIGLGVEYPAFQLTPTDLRTL VKRHYRDSPAMSKVMTINDYTGIDMRSSIGTIDDPFVNRKKAPAIAELSKIFMKEGVA LAVTAAQKALTEARIDVSDITHIVSTTCTNSSNPGFDHYVCKKLGVSHTVEKVLLHGV GCAGGLAALRTAANIAMGSSFRRRPARVLVMALEICTFLVRSEMDSIDKFQETRIGVS LFSDCASALVLSNGIGAECVEEPIYELLGWGHKIIPDTDRDLGFNVDPLGWKVVLSPR VPKIAETAVDPIFRNLLSSIPGLPPTYNTPESFDWAMHPGGATILSGVEKAMGITPEH MRASYDIYINHGNSSAATIISVMDRLRHKDMDACAPDGKVKDYVVGCAFGPGMAVEMC MLKRNLSHRGKSLPTGVITLPETESEESRSDGEVELSLSEVLNGVDLD VE01_05058 MMFNSHFLVLAVAFGTSWLSLPVTGSVIPKEQIIESRQDTGNKW VDTWTSMPQLVEPGNLPPSPFTSQGAVFNNATLRQTLHVSIGADRIRLQISNTFGGSD LPITEAAIALPTGGEAGVGNIEPSPIAGLTFNGATSVTIPKGEVAYSDPIDFSVAAES MLTLTLYLAAGQTRNSITGHPGSRTTSWMQEGNQIDATSITGSSVAHWYFVSGVEVWV PASLSSFIILGDSITDGRGSDDNKNNRWPDLLLARMQTSQLTAIGVDNQAAGGNRVLQ DGLGPSLISRYKRDAIGQEGVKYIMIFEGVNDIGTAGTDQNTQTRLGDNLISAFTQIA NDARAAGILIFAATITPFSGPGQSYSDPTREKTRQRVNSWFISSGLFDAVVDFDAVVR DPATPSQLAPEYNSGDYLHPNVAGYQAIADAFPLDIFTATANKRTV VE01_05059 MKLFTFITTALSLTSLVSAATFSNPLKPKDGSDPHIVYTGGYYY LMTTTWTNLQITRATTLEGLKKGETKIVWTDKTASRCCNMWAPELHYFDGLWYIYYTA GTSADLNGQRPNVLKGGATPFDSYSHLATLMNTWGIDGSILRTTSANYFVYSCFSSAG LQSLCIAPLNSPGSVGATTVISQPTKSWETVGNPVNEGPVAMYYGGKTYLAYSASDCW TASYQLGLLTWNGGDPTKAASWVKTGPFLTSANGNYGAGHNGFFQSPDGTEIWNVYHA DANSAGACDGTRYTMAQKVNWNSDNTPNFGSPGALGTKITGPSGE VE01_05060 MENPILSDARKWLAANPSESVATASRIFKVPKSTLQSSITRLNR IGVGRGGQNKLLTATQTKALKEWITTQYKQGLGATKQMTFAVKYSKPAPTLPTIIPAS FQESEAQLQHWKVTLPVLLSSPSRQRYNNWVIGTETVLAHGQLQEFNLSILQRQVDQH KNRGRNSRLRLQIGGALTVDEARALQTEKAERVAEKEAAKEARIARQASNQARKQLKR AGVEARKQERLRKKRVKAYKKDGNPIPPEDQDPIPDPEAESESGIGSGSGSEGQFEWD GYENYE VE01_05061 MSHNDKDPQIIGYAQPWIVSPGEPVDIKISSTEKNYSHRLVRLI QGYSGSTHAPPVKEEEITEVPSGNRDDGCYQSACSGSHAVIPSWSEAHCAAEEGFEVD CHVQPYLVDVDHCQTIISCLDASSKTGFAVVIKDSKLEFLIGTGSNVDVIRSQYPINR WRWLHIRMSLVGKTITLNIRQLNRLAETAPSAEETTHNLLAPISLGSNALLFGAGMFE SVDKQSYKPACFFNGRLDSPSFTATGPTPRILAQYDFSRDISSDSIVDVSGNSRHGAL VNAPTRAVKGYNWDGSQPDWTHATYGYGAIHFHEDDLDDAKWGTNFSITIPSTARSGA YAVEVKCENGVSDMITFFVRPNQKSTARVALVLSTFTYLAYANERMFDMSRSSAMTTP DGVSIADEHEYFKGLDRRPDLGLSAYDVHRDGSPTAFSSALRPILNLRPGYVHWALGR PREFSADLLMIDFLERSGIPYDTITDHCLHTGGHQAASQYTTMISSCHPEYHSLQTLD AFSGFAKSGGSLMYLGGNGFYWVADIDSKRPHRLEVRKGDQGCRSVTFPAGERMHGLT GNLGGLWRSRGRAPNYLFGMGSCAFGTGKGKPYRINSKYAQDPALAWVFKGIDPNGPI GENGFGGGASGDEIDRLDFDLGTPTNAILLGSSEQHDDTFGLFNEESMFPMVNTLGSN CDRVRSDLVYYDTAGGGAVFAVGSINWYGSMAWDTYDNNVARMTDNVLREFVRRGKGQ GQQLKEA VE01_05062 MADQNIQFVGDAKSTAPSATHSDKPATEMVETMPVTLTEEDNTR IRRKTDRVILALLTWVYFLQVLDKAVLGTGAVFDLAKNANLTGHQYSLIGSISPIAQL AWQPFSAWLIVKVPHRTLMPILILGWGIAEASMAACSSFSGLIACRFFLGLFEAGCMP LFAIITSQWYRRVEQPFRVSIWYSTNGISTIVSSALSYGLGRIVSNVLYPWQIIFLFC GLVTIITAPFVYWKLDNDIATARFLTEEERQQGIERLRTNNTGVEDFHQFKWSHVLEA ALDLKTWLWLVLAMLPNLGSALTSVFGPLIITGFGFDKFQTLLLNIPFGAVQTLVIIA SCWVANKAKLKGVILLGFMLPVVVGTGMLYGLNRNASDRPALLVAYYLTAFLFAANPL LLVWVVGNTAGETKKSVTLSLYQAGSSAGALIGPLLFSADQKPEYRPGIAGVLGVFVA MIVVIVLQLFLLIYLNKQQVKRRIANGKSGVIVDRSMTTEVHTDGKTRDLLAEEILPM DLTDKQNDEFVYIY VE01_05063 MASALKSYPPGIHAPTVTFFLQGEQQEIDWATQEKHLAYLVKAG VHGIVVAGSSGESCALTLAEKGELVKRTREAAKANGNPNLPITVGCLGGSTRDILEQT ITGHKNGADFALVLVPSTFHWSMTKKAIVDFFEDVGDRSPIPIIIYNFPNLLSGLDVD SEMLEKLSAHPNICGVKLTCGNISKMTRVAALNPPSQFAAVSGQSDLIVSALAGGGAG TISGVVNLFPKVLIEIYNLYNAGKLSEAIALQKKVSGPEWGIGTSDVSGMKWIIAQER GYPESSRDCRRPFPKFDDPAKRERVQKYVAPLLALEQELYLKN VE01_05064 MSSLPLLSRGASHPRVHPQRSRNGCLVCKKRKVRCNEQRPQCYH CQRLNLECVWKDTVQQRPPPTNAAAGDPPGIDATSLEDDWLSPSADLFDFAQSMTDPP PGFSFIEDIYLPDFGDSIVPGLALHERSTDLDSQGSPLAAAQSPPQSLPQSLVTNVDE EYSLLLHATPILDPVENGPICASLRALFDSMATSSPMVRYAMAAFAAVQFYTRGKQVD YQTYYDKAANELSARFHKSGGSLAVNSNELRYVLTTIFFLTYVNFLTGRLDLAYLNLA KAHKALQTSSRGALGSLEQRIISWIRWSDARAALTGGEGLLVNDTSGIYPSSPSPPPD SGPHEVIYDMLCQPGIAFFQEVQTITGRIARIAHGHRSRGSVEDETEVMAIAAGILKD LSSIYDTRPALMDHAVSGNINSDTLAGPLASVIIRSYRTYLANFYVCYIHLHRVAHRH LDRSKAVDTALCKIKEIVHLMVNSNESIPVNMLWPLFLWGSEEDDYDECKWLLETIRS LQHVASNANMTADVLQEIQRRQREAGTRVDIRSVCLELFNVNFAII VE01_05065 MAALPEGVAKSLEETKVEYKRVGNSGLRVSSPIIGCMSIGNPEW ANWVAGPEKALPLLKAAYDRGINTWDTANIYSNGDSERVIAQAIKKYDIPRHKLVLMT KAFSCVGEQQFHAYPILDELRRTKDYVNQFGLSRTAIFTALDASLKRLETDYIDFFWI HRFDPDTPIEETMRALHDLVTAGKIRYIGASSMWTYQFASMQFCAEKNGWTKFVAMQN RYNLLYREEEREMIKFCNETNVAVIPWGPLAEGQLARPLQVRGTTTRSEGSPPLRKES TEIINRVEELAKKKGWTMAQVTLAWTMKRVTTPIIGFSSVERIEDALSARGKELTPEE EKYLEEVYTPMEIEGHF VE01_05066 MATMEKVFAGYNARQSVLNASSNPLSKGIAWIEGELVPLAEARI PLLDQGFMHSDLTYDVPSVWDGRFFRLDDHITRLEASCTKLRLKLPLPREEVKQILVD MVAKSGIRDAFVELIVTRGLKGVRGNKPADLKNNLYMFIQPYVWVMEPKAQPTGGSAI IARTVRRVPPGSIDPTIKNLQWGDLVRGMLEAEDRGADYPFLTDGDANLTEGSGFNIV LVKDNVLYTPDRGVLQGVTRKSVIDSAKAAGYEIRVEYVPVELAFQSDEIFMCTTAGG IMPIVTLDGKSINGGKVGPVTKAIWDGYWAMHYDSAYSFEINYEQNKTNGVNGSAK VE01_05067 MASFNSSDSIVIVGAGIVGLNVALVLAERGYGQSITVIAEHHPG DTSMTYTSPWAGCNFSAISGSDANALKWDRLGYSHLTKLASERPDETFVHRTPSTELW DENVPHDKIKAMSEYLEDFRILPEEELPEQVKFAVSFTTLTINAPNHLQYLYNRLKQY GVQFLRQKIPSILSAFASPTTKVVFNCIGNAAKTLPGVEDAKCYPTRGQVVLVRAPQV RSNVMRHGKDYETYVIPRPGSDGNVILGGYMQKGVGDGATYSYETESILDRTTRLSSE LREMEPQILAVFAGLRPSREGGARIERDELIVAGDKRVIVHNYGAGGTGFQAGYGMAM EAVKTVEDVLREIRKNDTRPRPKL VE01_05068 MLKEKRTNSLAFSQTDCHTCTSAGRACDRRRPQCSTCLGLGVKC GGFVTPLSWDNNRIWLGKPSQKTRYIYDGSRGNESPMASPKPPPKAFRFVDASSRRKR RRRVSPVSSPEEEICRASESESPEEIGMESYLAHPSGSLLDDFDPLNFGDCELLDTVI PNPQEFMPDWMAATFPGQESQNQMILTAQPSSNIVGHGSEDVFTQSLMDLLETVSTHP FESHQLEPPRLVASPTRQPPSEISTELIPNHIGNQHERLFQMYDTELCVLPLTSDVAF NPFRCRRQVLQGSRLLFHAILALCCRHQNHLTGTWAKEASEHRTKAVELLDSASKSDQ VTKIGLHVLEPILVMFTLDCTLSATGPWSGHLMRVRSVLDACGGPLALDNSRVRSQVG MLFWWDATLALISRQGIIIDQSYLDHLMLSQGQDEWSFYDLTGCPGDLFVHLVQLSEL AKQRELAACMTWLTFDLSPVIKIEREIQQWQSSLFADAYGSNFDTIVENASESDAEAD IEERFHAKQDRYHCAEAWRYSLLIYIERVFRWDRKCPRPLILTWLVRKTMDHVRCCRR TSQTQKQLLLPVFLAGSEMTDEEMRGFTRQYCKWWANRSRYNMFNSVSSLLEDIWEEG HSHAWWGSVIDRKTRSTGVGDPGVQFLFG VE01_05069 MRSSASVIVLASVIGIAVAQSPSSCTDAEAASSCVLKYADGDIS CAKIMGVKACYEDYCPQLGVPKELNSYIDICENGGALPGSGSGSGSGTGSETGTPTGS GSSSTSTSGSGSGSGGATCTDRQAYSDCINPLAKLDQTCEVILKAAKCFDSYCPQRAF QIEEEVAACQASTSGSSSGSTRTSSADDSSSTDFNSGIPGGDSTSTSSAADSTGTGFN LGSSNNSTTTDPTNTSSNGDGPVSTDGASKEGAADRLFAPVGAIVGSMIAIMAWL VE01_05070 MRRSLLPVEPWPASRDAPISDNDRLTLRFPIIISQDSLISSAEK LQELVGLPTLPPVVTTRTAPRRWAPRRGEVLEATPADREVQICHVDGDQLDKIEEATE GESISVWFRGMRRDAWMAESLKLVSQNLDIGESLSSQQTLSAEEANLEETQTAHTSPW SAGAWQAAHEAYSSGTDKPPPRFPIIISQDSVISSAEKLQQLADLPTLPQIETTRTAP RRWEDLDAPPADDREVYICDVDLGQQIKIEDTTESV VE01_05071 MASSLIIQQALFFRPVQKASSIIPFDPTDKDNDFGDHDSKIIYN PLLVAKFGSAFFRRGNEGYYTQICHPAKYFYKLPWYARNAAYVNIDAWDEVSDEDEPG PEVVAEEKSIRRCFTRSGASWRQMLVSQPPPPLRGYCWADLSPAWQTVSTAMVEPSRG GLRMGELYDMVQYHSGHREHNSMKWFPVTQRGLGLRFVFDTQEGVQEMMNEIDFAVEF QHVMGSGMYFREPSDVEAFDAEFRSDDFRYINANTEAVSEKPS VE01_05072 MATPKIQKFLAAMEAVYGNLGSLEARALGTWIPPPNSGGHRGRY LWTDAFGVVNFLTLHKELKEDKYLVLAKRLVVRVHDILGWTRDGKSRLPGATDENPLG GGLRIGKEESSGPDGDGQYHHYLTLWMFALNRLSIASGMATYNDQAIALARAIHPKFF INQTSASARMVWKISMDMSRTLVSSQGRLDATTGFVVYRLLQAAANEPKVLEREIADY QRVMKSRDTVDATHDTLDLGMALWIAHWHAGTELWADQLGENCLIAMNAIFGDERYKT RAVPHRLAFRELGALMGAKCYTHNVDVVTLTDSVIDVWIEVWSEFINTTVEDLRPITM VMYSAALLPTGFEKNGLKPEPGNLN VE01_05073 MNQQDAQMMQNYPDPTAVAAEGNGPFYASGNASQQQPQQQQPQP QQQQQQQTQRLSNPDDLQLAAQLSRGLEPMMGGGGGNDAAHSPQSNPNHGFSHEQQMM AEMSHAANMDHSQYQMGDGTTPRKRSKVSRACDECRRKKIRCDATTESGEEQCTSCKR VGTHCQFSRVPMKRGPSKGYIKELADRLNHLEGAMQNQSGEALHYPPHVEGAGSRRAS HDYSPPPPHTEGQPRKRAYSSISQDFTSGYQSQRQSGQWQALDTPRHHGAPATTYGQA NAAAESSYRAPLYSPNGLAPQPQWRNAPPEAGRASFDGLPAGEGAHGDHKLEWDEYIS EEYYKTIQPTFPLLPGPQGNVAARLANAPPLLKDAFLEALYVAVRPPVDSAPTRRAAS LVSACQFDNTATKTLSDSLLLLSAQIFMAIEAGSHGASSARSAGCQAVWLGAAVGHAF SLKLHTSNPAEGEDEDSTDKLSRRIWWSLVILDRFHASGTSSPLLIPDTSAVLRLDDI NVLGEQLYHLARLSSILGHVATVLTSPPSLLAFPSSATPLLSTLLTGELERFRESLPA ALTTATAPLMHLTYWHVQLLTLRATPGTPPATLLHPAVQITTLLAAAPPSPLHIHFTT LASATLLELLDNEATREEADRTLRSLFEGPVRTSAPWDKALRDLVARHTRAASPAAPS AAALTASQGLQHLADLATAGEAVEPKEGGTAVAAVSWDPATITRGGFLGVLGGEAR VE01_05074 MLIAGEKFACDACVRGHRVSNCQHADRALQHIAKKGRPVSQCTH CRTLRKSRSAHVKCDCGEKIHSKAACTHGKEDSKDTCCCSHGGRCACALKKEYLEAVP ESESDEGSIPESTEIRRPRAHTGQSESTGLTVLANGHHKSAHKHTHMAHKKCGHPYVV PRPHPIQGSTSTGLANRSVDNLPHLSNVEALHGESHIKDSIVSARQEQRKIKSEHGSP VAGPSSLEELNSHLPPLDLTNVESFPDFGFPISLDNYGLLQDIDQPIFSAGLTATSID WSHYDGLDFNNDSFAAPAFSQAASYTGFEFGSLEHPALTTTSTSGEISEVEDAGLYMD IGNNGMAQTTKYGSDYSETGENELYRLPTDSSYHSVQNQMLSTPVTSIDFDELLKTAA ANSYLDTNHGLPMPFTDNKYLPSAFDAPFTLPADDDAAWMAPYMPNQGAHHPNVAEPH DHRVWDQ VE01_05075 MADAISTEKPIAASDATALTPWSRLKKTFAYFAIFVQTEPSKLD TLLFVTGIISAIASGIPFPLLGIIFGQLLDDFNAESCAVEATSGADYQSDVNFKVLYI IYLAIAQFGFVYIHLVSWSLGGARLAQRLREQYLRSLLHKEPAFFDDHPGGEVSSRLN GDITMIRMGTSEKVGIYLSSISFFVTAYIIAFIKIPKLAGILVSLVPAYFSMSLVGGY FIKKYSSQVSDHFSAGSAVASEALSNVAVVHAFNASDKLEANFSSHISLARKDGLKKA LVSGIQAGLIFFIAYAADALAFWQGSHMIADSVASNGTGSTVGSVFTVIFLLVNATLV LSQMTPYLAIFAAASASFAKLKKDMDRPSRIDGTSDTGLRLENVTVGQFKLENVTFSY PSRSEQNVLQNVSLELPAGKKTALVGFSGSGKSTVTSLLLRLYDPTEGTVLLDGHDLR DLNVRQVRSLIGIVQQESTLLDRSILENIAHGLVNSAVPEHEHLGETLLGPQLRSLAA AIRRGRDATEAAIEEGPIVVEILGMIQHAAGIADAERFISEMPEGLGTIVGSSGTRLS GGQRQRIAIARSMVKDPQILILDEATASLDSQSEKEILAALDRCSEGRTVISVAHRLS TIQKADKIIVMKNGCIVEEGSPAELMSKQGAYASLVNLQNLDTESPEQRSSQDGSIGG ATAVEKADYGLESTDKGDISADVAKESLEKRMPSMSDSASEEDEPPVNQSLGYLISNM SRYIRPNALVAVVALAAASIVGGAYCADAVIFGHTVSGLSPCGTPDHIRSSGRLYGLL FFVLAIIEFFANVISWVGFGRVSEDAIYAIRTSVFRALFEQDVQWHQSAGRTPSSLLA LITNDGNQIAGLSGSIIGTVLSICINLVAAVIMTLIIAWRISLVCLAIVPILLGVGLA QLRALARFTEKHEKAFNESVGISVEAVNSIKTVAALALEHEILGVYRSTLDGPRKEVT AVSLYTSLWLALQYLVGNLAFALGFWWGSKQVFSGRYSQTEFIMVVFSLLVSAQLWSQ MFALAPEISNARGAVARVVNVIELGSSGSDAQGRPGDKKQDVEAVAETKGPLPHREGG TEVKLQNVHFAYPGRQSAPALSGLSVHVQPGQFAGLVGPSGAGKSTITSLVERMYVPS HGEIYIDGVDITKQKGISFRDDIALVPQDGVLFDGTIRFNLSLGARPGSSVSDEDMIE ACKLASIHDTIDKLPQGYDTLCGANGSQLSTGQKHRLAIARALIRKPRLLILDEPTSA LDAETEKVLQENLRIATQGITVLVIAHRLNTIRHADVIFLIEAGQCADAGTHNELFNR SETYRANVLNQMIAN VE01_05076 MTLPQGTLRTARLELRPLGPEHEELTRKLDFDPDVMKYVLFGRA LTLDESIETHKWLLNAATIVPGLGCWAGYVGDDFVGWWVLAPSQSNAGQDGPPQCNRE RAEFGWRLLPKFWRKGYAKEGSFELLRHAFQDLGLKEVGGDTMSVNAGSQATMASCGM KKVRTYYTKYDNPPPGIEDGEVEYVITKDEWKAQHDKNYESPNHTQPLVQSMRAQETV VE01_05077 MAILDKSHGIMDVNTPNNTKLPSSIEEGQTPADPTNEKTTTSGS LEKVSDSEAQEDEKPSEDAQNGVRKIEAVTLAWSKKSLAGVLILIWLVTLVNNFKATV VGSLTPFATSDWKSHSLLTVIDIVASAMTAAVYIPMAKLLDVWGRAEGFLLMLCLCTL GLILMAASKNLPTYCAAQVFYSVGFGGLAYSWNVIATDVTNLRNRGLAFAFTSSPAVI TAFAGSKAAEGFYNNVSWQWGIGCWAIIFPCVALPLYWVLNHNLRKAEKQGIITQEKS GRTLPQQVWYVIKEFDLPGVILFASGLILFLLPFTLAATAPNGWKTGYIIAMIVVGIV LLCLFVLHQTYVAPTPFLKSRFLLDRTVLGACLLDATYQISYFCYASYFSSFLMVVNN LTISEAGYVSNTFSAVAFVFLFITGYIIRVTGRFKWILWFCLPLYVFALGLMIHFRQP NGYIGYIVMCEIFFSVSGAIFILCVQLAVLATVDHQYVASVLAFLFVSGTIGGAIGSA VSGAIWTNTFKKALERYLPESAQPDLQAIYESLPVQLTYKVGTPERLAIQQAYGYAQT RMLAAGCAVMVLGFIAVAMIRDINVKKMSQTKGTVF VE01_05078 MIAPLTASCFPSCKYPLKITQDNSLTETRYVVDGNALFLTATEA AWRRKAASHFAGGGIIVAIGYPLTRKLYDARRRSLDLTPPTPTPVPGHGGADEFVDFI DKKVRPAVKARFPQTTSWREAIYGHSYGGLFALHVLFTRPQLFDCYIASSPSIWWSTR CILDEAKAFLEDNTLDGRLPSLMLFYGSFEQNPPQWNDEPLDHYEGRKQVAADFRMCD NILDLCELLRDCNRLHTVSLKPYEGEEHTSVMACSMSRSLTVFFEEWPLPRK VE01_05079 MSQKALLLRKVGTPLALGDRPIPQPGQNRLLVKVLVAGLNPHDQ RTRDAGLFVTSLPYVLASDLVGEVVTVGRGEHSTKFVVGDHVFGHTFVKDGDANDFNG LQQYALADARFVAKVADTGLADDEASTIPVIVLAGFIALFSSSGLGLPPPFSPAAGSF DFAATTLLVVGGGSNTGRATIELARLAGIGRVIAVAGLHNEAELKARGASHVIDRHTA DALEQVRAITGDDLIYAIDTVNTGQEQELGVAALSNSKRGTLITLRRADGEFDPDRIG TKTAGYERRLVMGISPVHPEVTVGFWREVPAWLKDGKIKPSNYKVVKGLDADAINEAL DSYRDGKVEKTNVHPWE VE01_05080 MSRYAEAHLNPSGPGDARPTALQIIKDEGVEGKLAGKVIVITGT SSGIGIETARALSLTGARLLLTARDLNKAKTALDRILEPGRIELIEMDNTSLSSVRAA AKAILQKSNNQVNVLVNNAGIMALPKLEYTKDGFEMQFGVNHLAHFLLFELLKLALLA STTAEFSSRVVNLSSSAHHVASINESGDYNFKKIEYNDWVSYGQSKTANIFMTNEIER RYGSRGLHANSVHPGMIATALMQHMDPAMVETLKTDEKAYKMMKSPEQGAATTVWAAI GQEWDKKGGEYLAECGKTTRGNNNHEITGVGFAGHAYDPEKEARLWKDSLKMVGLTDD Q VE01_05081 MRQDLFGDIFPDFVTPIPGGNESALLSTLPSTPAISGASNDREF GNPDDYTAGRRCLITWGDPWKIESWEVTPGFLKSWGWALEGCDDLIQASNRWRALRNE ELMTSP VE01_05082 MTHGPGGVRHYWLPNFADRFQQGGYSVLLYDNRNWGDSDGLPRQ ESNPPLQQADYYDAFNFATSFPSVDDDTRIVYKAAIVQCPAVSGETRSLAFKDRIPGI LKECARIITGSEPKRVPLIAENLEAAQSGTTTAMFPGVHAYEQVRGSYDCGGRWENSV TAQTQLNMLAFEGQSMVHRISPTPLLMVIPGNDILVTTTASQMATYEKAIEPKQLLYI DGAGYFDIYTGDWFEQNIRGQIEFLGKWLGSS VE01_05083 MLEQFRTGSESNAYDHAYNETMSRIDRQSANASDLAKKTIGWIL NARRTLTVAELEHALAVEIETSEFDETNITNIEQLTSYCCSLVTVDEQTRNKTWFPNI HDLMADSCLTYVSYDVFEDRFQTEAEIEGIPREYPFYNYASYNWGHHFREGPEISSNA LKFLQSQAKISAYDRDRCGFEPFTGIETRGVKTSDVKAEHIAAFFNLEHLMQELLVTN PNNVDILDSINNTPLFFAVIHGHEMVTKMLLDRGAHTDIICVDGMSPLHAAASQGHGA IANLLLDNNADIEIKNGSSETPLDTAASKGHDMVLTLLVDRGANIESKSNWGRTPFVT AAKRGHTTTVKLLLSMGANFKSTSEYREGASNVIGKNGETPLHKATRVFLPWQVNNEG VVRILLGRGANTEAKDTEGETPLHRAAKRGYEIQTKHLLDSGANIKARRDSDGLTPLC LAAFNGSESTVKLLLNRGACIDPKSHRRQTPLQLAAMNGNDMVVTMLLNNSANIDGSD QLGYTSLSWAAWSGHEKVLRLLLDRGANPEPLGTGPQQLPLVEAEGGHDVAGRALLKH GNNPDLNFLGRTPLHIASAEGHISEASLLITAGARPDTPDRFGRTPLFAAVCGGHLDM IQFLISLPGVEKCCSDVWGLTPAMVAQQRGMHEIHSLLYETSEAENSNLETWATLPSK DLTL VE01_05084 MSLNNMIDTESKNSTGGKKIMNDGTGTWLLKTERFQQWVGGEEQ ILFCPGIPGAGKTVLSSIIIDHLEQVFPNQDEIGIAYLFCNYRQQHALVELYSALLRQ AVQRKTSIPESTRSFYQNYSLKGSRPSEGEVLGELRSVLSSCTRAFVIIDALDECRIS DGGHSVRHPFIRRLVRLQDEGFKILATSRLDQEIAVYFEGVASLEIRASTEDIQHYVD MRLGDLPLFIRKRPNLQLVIKDTITEFAKEMFLLARLYFDLLLD VE01_05085 MSFGYSVGDFVLLVQLANDLRGRFAQAPREYKAITEEVESLIFT LHRIDGLDEKEFDSQQKDGVHKVIQGCQNVLEELSAKLNKLNVIANDSTPD VE01_05086 MRFQLATALTIASATLSVASPTFEAVSNWGKSNAGQKRPDHTSS RPNVKCHPHKPHVPPPSPAARHKVCYAKSHGDGKTDDTPYIMKALHDCNNGGHVVFRE GVQYFIGTAMDLTFLNHIDLDIQGMWLFSNDTDYWQANSFPFVFQNVTSFFKLGGNDV NIYGGGTIDGNGQAWYDLYAKDIYTLRPVLFGVDGLKNSILSDLVLRYSPQYYHFVAN SSNVIFDNINIAGGSNSANPAKNTDGWDTYRSTDITIQNSYIVNGDDCVAFKPNSTNI LIQNLVCKGSHGISVGSLGQYVGQFDIVENVHVYNISMTDASDGARIKVWPNTASALS GDLQGGGGSGRVNNITYDTMYINNVDYAIEVDQCYGQKNLTLCLEYPSPLTITDIVFK NFIGKTSTKYQPQIGTFACSSSTVCNGIVASDINVVSPGGKNEAYCLNVDASTLDVVC TDVFKGFN VE01_05087 MVCYQLRSWLHVVVGLLLLGQISFIQCSSQPPRRGGRLGSPGCP RQPHHVDPGPPPQPIGIEFGPEHVVAAYAHSPTNITILAMINGRNSSHAAYFENMMLL RLANDKRVIAMAKISRENFPVPTGKEIVLAVKNLLWHYLRNKLPAIPFFGGPKHGESD DGFDDELYYESDHESASWIWMPWIWMRSILSGVGDDIEDALIFALDKFMEVAGLITLN EVLRRKAKSLTDNAIRESFTPVIQELLDSALKNHNVTIDFALLSLPEYFPQKHEYIPI NACFSLGVKSAHAIIPKPLAALESVATKPEARILLLDQGHHHMSVQVVHYRRSAPTPF DAFRGEALEKTLFARVTAKGALHDQFMLKDKFNIVRDGWKVHNEIERARILIKDNILD CVSKDRADEDCEEDRHHNEWPLDLKNWWTIIEDFGPSSIPTVVLEWEDVKASEDEYVE RLSNSLENFLRASRKVRLDKENGGLSDFGPPQEVDTVVIVTDQPHGALLRRAAKLTVG DGVRIYGGRITDFSMAAEGAAILALKRRRRWDDLQEYEEGLTLDPPVCDVHV VE01_05088 MRFAKLSPLTTLIALISFIASTASAERLLASTSLSECIDNSNFT AKLFNVAFTPDNRTIAFDVDGTSTLTGNFTLVIEVAAYGYVIIKTSIDPCTTKELIGL CTMQPGPMPFTTHYTVSEDVVKNVPFIAYTVPDLDATVKINFESKTNPGVISACVEAQ LSNGKTVDQNGVSWATAGVAGLALVISAVIAGLGHSNTAAHIAAYALSLFGYFQAIAI VGMSSVPLPPMAQSWTQNFQWTMGIIGVEFMQRIASWYQKSTGGTPATILNSLTTTSV HVLRRSLTLAAEENSSGVSILKRATNQNVANPITGTYTVRGIDRVAFRAGMEATNVFL TGLMFFCFFLAATTLGVVAFKGFCELAVKSKWMKSDKFEDFRNGWKVVLKGIMYRMVL IGYPQMTILCLWEFTKADSPAEVTLAVIFFFGMTGILAWAASKVVLIGRRSKAMHNNP AYMLYSDPTSLNRWGFLYIQFRATAYYYIIPTLVYILVKGMFIALGQRSGSAQAIGLL IIEAGVLVAASVLKPWMDKSTNTVNISICSINLFNAVCLIFFSDIFGQPAIVTGVIGV VFFVVNAAFAFVLLVLVIVSTILASTRKNPDTRYQTMSDDRASFIKSQTALSTELDAL GATARGEMKGGFKPGLDLDDDSFESDSIRHHPANIGLPPSTANSYDGRGSPFDEKRVY QGSLAAESRHNSDVPLAASTRMGPSPTPQFMGRAGARGSGTSLASSQGGYSRANNASP LGGVRDQNTPSSPWQRGAGYDH VE01_05089 MDTTKEKTEVLTDHGDAALGSSSKVVGENARLATEAEHDLTFWQ AMKIYRKAVAWSVIVSMATVMESYDIQIIGSFYAYPTFQKKYGEQLPNGKYSIAAPWQ VGLTAGANIGIMIGIFANGILVDRFGHKKLLLASYALMTAFIFITFFAPNIEVLFVGE VLCGLPWGFFGILAPSYAAEVCPTVLRGYLTTFVNLCWVIGHIIAAGVLDGLISNPTE WSYRIPFAVQWVWPVPLFFILWFAPDSPWWLVRQGRFKDAEHALSRLSEFNDEDNIHV KRNLDMIIYTNQHEKEVSAGSSYLDCFKGTDFRRTEIACLAWTTQVFSGFAIQAYITY FFTLAGLSPGDSYKLALGTYSIAFVGTAISWFLQAYIGRRKIFLCGLAVMTVIMWIVG FLALAPSTGSIAWAQCVLLLVWFGTYGLSVGPIPFVIASEISSSRLRSKTLGLARNSC YIMVIISAVVAPYMLNPKQGNLKGIAAFPAASMSLCCLVWAYFRLPETKGRTYMELDI LFESKVSARKFQGYVINTLDVVE VE01_05090 MATRPNILFIMADDHASKAIGCYGANINSTPNMDRIAEEGMRFN HCYVTNSICTPSRASILTGTYNHVNGVVTLASKINKHIPNVAKHLRTGGYQTAMIGKW HLGEGSDHEPTGFDFWSVVSGQGAYHDPEMIEMGETRYEKGYATDIITDKSLDWLKSR DQDKPFFLMCHHKAPHRSWECDPKHADLYTEDVKVPETFDDDYKNRAKAAAEAKIRVD TDLTYFDLGLAQPDGGSEVGELISLTSTLRKIPNPEDVTKLRLIDKQSGEVFTFKTNA ELRHFKYQRYIKRYLRTIASIDDNVGRMLKYLDDENLTNDTVVIYTSDQGFFLGDHGW FDKRFMYEESFQMPFMIRYPREIAKGSVCDDIVCNVDFASTFLDLAELHKPSYMQGRS FRKILNGNTPDDWQQIAYHRYWMHRDAEHNAYAHYGIRDQQYKLIYWYNEGYDLPGTS AGGQPREWELFDCEKDPLELFNVFGQSQYTEVAKRMIAQLDDKMREIGDEPEHIP VE01_05091 MKIFALLSLVSRVTAIWPAPQSFSNGSSAVWLAEDFLVTYNNHN LHKRTEETRSTRISSLEIVQAAVDRARKSIFTESFVPWKFYPRNEIDKFEPPANGKSK TYIRQLEITQTTPDNSATFKPLAGQVDETYNLTIGADGVASITAVSSTGVLHALETFK QLFYLHSVSGTAGVYCNLAPVNIRDGPKFGHRGVNLDVARNWFPKETILRTIDALSWN KFNRFHIHMTDAQSWPIDIPALPELSKKGAYQTGLSYTPQDIEDIQVYGIYRGVEVII EIDIPGHTTAIGFSHPELITAFREKLWHNYCGEPPCGQLKLNSTAVYDFITQLFDDLL PRVSPYSAYFHTGGDEIDARDYVLDETVKSNDTAVLTPLIQKFIDHSHDLVRKAGLAP IVWEEMLLDWNLKLGDDVVVQTWINSASLDKVTARGHKALFGSNDFWYLACGHGQWFN FNDDTFAAHYPFNDFCSPLKNWRLMYSYDPTANLSAKQAALVLGGEVHLFTERSDPSN LDAIMWPRTGAAGEVMWSGRQDSSGKNRGQVDAARRLSDMRERMVIRGVKCEPIQMAY CTQYDGDCTW VE01_05092 MTTASFKYIDPTSYDPHATEPFKKPWTKVDGAGNSYRLKDYSRP VHDLTGHEKEFTTDNCGFAVYTAPAKETSFTDDKQVKEGYYGEVEALVRQKLPGVKKV VIFDHTIRRRAKASPRQPVQLVHIDQTPAAAEVRVRRHAPEDEIEGLLKGRYQIINVW RPIQHPASDFPLAVIDGRSIDPSDFVKVDLLYPKGASQTGEVLADPSSAASTEGYEVT GEQYVIAPNEKHRFHYFKDMTPDMAMFIKCFDSESELMTGRGGIAHGSGHTAFIDPQT PKEAPGRQSIEVRCLVFYE VE01_05093 MATPHESDPHRDANALETIETIGHASSSEDLTTSTSTDNHDSTG EKAPPNRPRFPIHGLEETRVIEKTGTNVQQEITEEDCYDELGFSFTSAKKWWILSVIF IVQVSMNFNTSLYSNAIGGIGAEFGVSDQAARAGAAIFLITYAFGCELWAPWSEELGR KPILQASLFLVNIWQIPVALAPNFATVLVGRALGGLSSAGGSVTLGMIADMFEADRQQ YAVAFIVFSSVGGSVLGPVVGGFVEQYCAWRWNIWIQLIFGVAVQLLHLLTVPETRTT VMMDKIAKRRRKDGTSPNVYGPNELVPFRERFSAREILATWIRPFKMFLTEPIVLTLS LLSGFSDALIFMFVQSYGLVYKQWGFNTYQVGLAFIPLLVGYFIAWASFIPAIRRNIK ERKEKPHDEKAQYESRLWWLLFTAPCLPIGLIIFGWTSTGPPIHWIGTCIAAAIVGIA NYSIYMATIDYMVCAYGPYSASATGGNGWSRDFLAGVLTIPATPFFQNIGGKNHLPYA FTILFCISCVLVVAVYVIYLRGPQLRKRSPFAQQLSSAREEMATGGHRLSRMPTHSRA NSFARSQQSVRVRQGMGSRQNSYVASRPNSYVASRQNSFVGNKQSQPSNSAN VE01_05094 MSEQHNPNVAAGLEPNGNFSWDDSFASESQSKRQSSHQDKKKYH CPCGSTMSRSDVLLRHIRKCQMARDTLESSGSTSRRPSRSKTACNECAASRLKCDSQN PCSGCKKKSLRCEYTRKGYSDPYKVFRIPAANPVVEQAQQAPEPHPHQPQNMNAESAA SILPTPPGNTISAAEQSGVLEFTGFDFNTPFDHTNATPLSGYSSAGMNDAFLDLDWDS LLTNLDWPENMVSANNAATASSDFTEISSVPKEAAWNFTEGLQLRQIDSVEAKCVEIQ NYIGAFQTGIDHTILSKYITRDRLVDCVQLYGKCFQSIHPILHLPTFELTKTPPDLLA AMMLVGACYSSNIIPPAIVVQGAIHMLLVLECSSHERAMNAPPLASIQATALLCQLLI LTQNPQAYYFATMYRARTISMAERAGLFYASDTPSHWDLKESSFDWFQWSQLEMRKRL AHHIFVDDIGANMFTRSSSHISPFTFYVDTPCYNDCWVAPTANECLEKLRIAPPPIKV ATAVKRLRSASSLQGNHLFDASDCGMLEIIVALHNVLFRAMEESLDDENNVPLDDATS STQSTDVNFSDLLNRDLLNYDIANIASKIVGKYGSASIRRVDDALDAWLRNWNARLRR DIYDERHGAFTHPLNFWLLAKLFVVLHFFRNRYQGNVPDMGEDGQPQRDSELHAFYNN NGAAQGRLAIQMQVIGWLSKLRKQREGSLLSGGSFISEVLNMQ VE01_05095 MAMILNDTGNGTDKSNKTEQDNSQQEWALKSKFAAFQERDKASG FPGRHLGITWKDLTVEVSSPHAAIHENVISQLNIPKLIKESRHGATLKTILDNSHGCV KPGEMLLVLGRPGSGCTTLLNILANQRNGYGKISGDVHYGTIKAEDAKRYAGQIVVNG EEEIFFPSLTVKQTMDFATRLKKPFNLPDGVASREEHRTEMRDFLLESMGIEHTVDTK LGDAYIRGVSGGERKRVSIVECLASRGSIFCWDNATRGLDASNALEYVKAIRAMTDIL GLSSIFTLYQAGNGIYNLFDKVLVLDEGKQIYYGPMVSAQPFMERLGFICDHGANVAD YLTGITVSTERKIQKGQERSFPRTSDAVREAYKGSLIYQQTRTECDYPLTDDAIANTN QFCKGVAMAKDKRLPASSPMMVGFSDQVITCVHRQYQILWGDKATFFIKQISTVVQAL MAGSLFYNAPDTSAGLFMKSGACFFAIFFNALMSMSEVTDSFTGRPVILKHKSFAFFH PAALCIAQIATDIPVVLFQVSVFCLVIYFMVGLTMSAGAFFTFWAVVVATTMCMTAFF RGIGASFGTFDEASKASGFIIVACMMYLGYMIPKPAMHPWFVWLFWINPMAYGFDAIL SNEFHNKIIPCVGNNLVPSGPGFSDLSHQACAGVSGAISGQAFVNGDNYLASLSYSHA HIWRNFGIVWAWWALFVALTIWSTSKWASSTENGPRLVIPRERAQTVTTQRQIDEEGQ VDEKVTMPSGSDAEATLGSEEGQRQANLIRNSSIFTWRDLSYTVKTRSGDRILLDNVQ GYVKPGNLTALMGSSGAGKSTLLDVLAHRKTEGTIRGSIMVDGQPLPISFQRSVGYCE QLDVHEPYATVREALEFSALLRQSRETPREEKLAYVETIIDLLELHDLSNTLIGQEGT GLSIEQRKRVTIGIELVSKPGILFLDEPTSGLDGQSAFHTVRFLRKLAAVGQAVLVTI HQPSAQLFAQFDKLLLLTKGGKMVYFGDIGEMANTVREYFGQYDAPCPVNANPAEHII DVVSGTLSAGKDWNAVWLSSPEYKAMNLELDQIIKHASAKPPSEDTADGREFALPLWE QTKLVTERMNVSLFRNTEYVNNKVMLHIICALLNGFSFWKVGESASELQLKLFAIFNF IFVAPGVLAQLQPLFIHRRDIYETREKKAKMYSWVAFVTGLIVSEIPYLIFCAIIYFL CWYYTVGFPATTSRAGATFFVMLMYEFLYTGMGQFIAAYAPNAVFAALTNPMLIGALV SFCGVLVPYHQIQEFWRYWIYYLNPFNYLMGSLLVFNLWGINVQCTEGEFAVFNPPDG QTCGEYTTSYLAHAPGHLVNPDATSGCKVCSFENASDYLKTLYLEEYSYGWRNAGIVV LMVFSSYGLVYALMKLRTKTSKTAE VE01_05096 MAPTNQAAWLTKAGTPLEVSDAPLPTAGPGELVVKNAAVAINPL DCHMQDHGVFVQQWPSVFGCDVAGEVYEAGEGVQSFKKGDRVIGHAINLVSGRPQDGA YALYTVIPANKAAILPDTISFTDGVVVPFALEAAVCALSLKVPGVAMPGVATPALALP YPSLEDVPASGKTLVIYGGSSAVGSMTTQIATATGIKVISVAGKHNFDFSKRCGATQV FDHKDPLVVEKVIEAVGTSEFIGIFDVVATPETYAHDLAIFAKLGGGHLASVHPPPPD LPANVTGGMIFAVNDIATPVWEEYVTPALKAGKLQCLPPPTVVGKGLEHINEALKKCK AGVSATKLVVEL VE01_05097 MASSSHILLFAHEHTDLLRAVHDLSVRARNHPQLQTLLNEASTV IQREATALSGVDSARIGGFEDLVELAERHVGRPSVVAEIVLFAEDHTSILSDKRIDKL SSVGFGIGLIAAAVASTATTTSEVLTLGLEGVAVAFRLAVALERTSRDIEVSEGTWAR IVSGVDEKELEEQLEKINSTLRPLKRAYIGQVLPEGIVVAGPPSTLDILSLSTQAVTS SASARCLMFGSHLPPVDDAQIVGTSPALNTLTVQRPQYSAHSVADSLPAETFGETLRL AVAGIRHKPARVEDAFRAVAAVLLGKDNEDVVLTTVGSTWDISKVRTILEQHGQSVSA GEYEPTPMPFGNDIGSVPRHEIAVVGMSGRFPESDTLDELWELLESGTTAHKEIPSTR FNVDDFYDPTRRTHNALLARHGCFIKTPGAFDHRLFNISPKEALQMDPVQRMLLMTTY EALEMAGYSPSENKKDPPRIATYFGQTVDDWKTINEQQGIDTHFLPAVNRSFAPGRIG HYFQWAGGFYSIDTGCSSSATALCLAREALASGECDAAVVGGGTLLNAPEWFAGLSQG GFLSPTGACKTYSDAADGYCRGEGVAVIVLKRMDDAVRSKDNILAVVAGASRNCNAGA GSITYPGEEAQASLYRRVLRQAAVRPEDVSAIEMHGTGTQAGDKVEMQALQSVFAPPG GPRREQPLIVGAIKANVGHSEAAAGVVSLIKTILMMQRNRIPPQPGQPFTLNPYLKPI LGTDIQLANGQAWTRNGTTPRYILVNNFDAAGGNASLLLHDAPSFAVEPPALQPDVRS HHVVVTSGRTVASQEANKSRLLEYLTQHPETPLADLAYTTTARRMHHVNREAYVAQST EDLLRQLQPSAAKDETKQSASSVVFAFTGQGSQHMGMGGMLYRTSPTFKRLLDSYQNL CDAQGLNCQFIDVIRASGQDTVSQTAERDMQVATVALEIALSEYWVSLGVRPTLLVGH SLGEYAALCVAGVLSVGDALSMACERAELIFTKCAPAEAGMLAVGLPLTTVQYRLRDL ATTAGCEVTCVNAPSSTVVGGPVAAIDALEAYLKSDDASVAIARLRVQFAFHTRQMDP LLDELESAAERVTFKAPTIAVASTLLGRIVKPGEDGVFNAQYLRRHTREPVAFLDAVR ACEEQGLIQEQSFVVEIGPHPVCIGLLSSSLQKVSLTGYPSLRRGRDDWATISQSVAA AYRAQLPVSWTEFHKDHLDNLRMVTDLPTYAFDNKEFWHSYKTRAVPDNASGAVSRAS TSGVSRLSSTSLHTVEQFRKEESRLLATFGVDLSDQNLAKAIGGHVVDGVAICPASIF MDMAYTAAAYLDRESRNSATGSSLSTYELADLSMLSPLVLREHDTVLPQVYVEGVLDQ STDVVSVRFLSRKEGVATPVEYGSCLIRLNQPDTPITQAWSRMQPLIKARVRSLDELV RPRQVHAMDKTLFYKVFSEIVDYSAPFHAVEEATVAADFQDAVMTLDVASKSELGTFT CNPFAIDALVHIAGFLLNADVHKPKNEVHIANHIGSLRVLGDLSSNGPFRAYTTVREQ DAKTGTSLCDVYLTNAQNKLVALCTDICFKKLERDFFAMLTGSARTFPKKPRTPQAIP RREWQAATGATSSDTSPSATPTSEFSSSSRNSMSDVVDLAADLLAIVAEQCGMSVAEL DKTKGTAFTELGVDSQMSISILGDFQRATAVELPAAFFTNFPTPADVRKELGSEQVEE VRKPEKKVRTASQPPPKRKSSEKRSPAPLGPSTHLLSLVADALGLEASDITPSTTFES MGMDSMLSIRITSTFQEDTGIELAAAFFSDYPTVAAARKELDGSMDATPQEEPSTSSS SHLPAVSAAKTVNSAESARQDKLDNAVSRAVLIQGQSRSKDAPLFMTTDGSGTVESYI HLSALPEGRRIYALESPFLASPETFDLSIQEMATIFIRTIRRIQPQGPYLIGGWSAGS MYAYEVAHRLTMQGESILALVILDMRAPSLIPTSIVTTDFVDKLGTFEGINRARDLPE DLSVKERAHLMATCRALSRYDAPKFPKDRQPRQVSVVWAKLGLDNRPDAPVAAMCRPG VEIGKKLNEMVLPEFEVYFNSWFYGRRQTFGTNGWEDLLGDHISVHVVDGDHFSMMCP PFAAAVGTVVCDTVAQAVAEY VE01_05098 MTTLTADIAEIQHILKRERYHRDTAQWDLCRAAFHPDASKTYIN VAWYEGDVENFLQQSAKMHKGKVNILHSSFDPVEIHVRGQRATSEAFCVITSLLTLDG VDYELASYMRLLTRLEKLSESGQWRMLSLESIYVRDRIVTAFPGSGSSTLTMSEEVQA YPKGYRHLALVMRYRGLKPQTNLPHEDDQEGVRELLDRNRAYLEGAE VE01_05099 MTPPLIALEEHFYSNAVFSSIGETFQRTLKAVPGLSEALLDLGD GRLAAMDRGQISLQVISHAFTPGNVESCQKGNDELAAGIAQSNQSHRFAALAVLPVSD PAESARELERSVSMLNFVGALIDNHANGTHFDSAAYDVLWEKATDLDVPIYLHPTWPS ASMAEQFQGEYPMPVAVSLGAAGWGWHSDVGLHVLKLFAAGVFDRFPKLKIVVGHMGE MLPFMLERCIDMSTRWAGWGSRDRPLRQVWDENIWITTSGSWSLAPMKCILENTRVEH IMYSVDYPFETNERGLEWFKQLEESGMLNSEQLEMIAYRNAEDLLKVKVEKN VE01_05100 MFRSFYSLAALALLFLGLALADDKTLTDKLVNIYKNNTLRRLPT TGACTAKNIRVRKEWGNLSSAGRLDYIRAVKCLKTLPSKIDPAKAPGARTRYDDFQAA HIIQTTVVHGTGSFFAWHRHLIWLYETALRNECGYHGYQPYWDWSKYANKSLSANPLI DGSATSMSGNGKYIAGRNGTLQPFPIPVENPPALYCPPGTGGGFVYNGPLVDWKLNLG PVVNNVVTNGLHVNPNPRADGLGYNPRRLIRDFNNTLLQDNTYAIVNKMLVNMTSMHE FHPYFFQVPHTAGHLFISGYDNDLFTSPGDPLFYFHHAQVDRIWALWQGMDFATREFA LDGTLTMLDLPPTRNATLDDLMKFEFSPDISINDRMSPTAHGLCYIYE VE01_05101 MSMPTTAQEDPRVRRRRQNRESQRRWRERYRQAKPDDAKKPEST QPGADEALLDTTPHISDLESRPQSKHSLELPDHNCYFAQHTSWLPDQPLGPSDAHVSW PPMDVNFYLQQGEGCSSTHSPNNELSGDISANGYLNRADEASIQASPEYFMSPQIRGQ FSHFPSAGTCHPSLLTSPISSSSASLGAVYDLANTGGRCKESETASPAVETIRDVQLL YSIGVKAGFLKPDDKVKYYLAAMKRIYCKAPTLMDEDDEGLSGSDIEEWSDEAMSDGE VE01_05102 MSTYAKNQPSGFKNTIERVAIVGAGGTVGAHITAALLKTGKHTV TALSREDSGNKLPEGVLVATVDYDDEATIVAALKDQQFFIITVAPTAPRDTHSKLVQA AAKAGVPYVMPNGYGGDIDNIKLGEETMLGPVGKANRDEIEKLGMQWITVCCGFWYDY SLGGGESRFGFDFDKRSLTIYDDGNTKNSTSTLSQVGRAVAKVLSLNELPADENDKSL TLSSFLNKGVYLKSFVLSQNDMFESVKRVTGTTDADWTVTHEDSKKRYEDGLAQVKSG NMAGFAKLLYSRAFFPGDSSDFSAKTQNELLGLPNESLDESTKVGIDMVKVLQQRFER MAS VE01_05103 MSTTITKRKRARKACIPCHERKRKCNSEYPCSMCTTYQYTCRYA GDTTTGGTTGVGVHASPLTKRGSPGGGSRMTAGRAAPTSQSPARRPHLGRGHHEADAC SSTMSPAGASAASTATPVGIFDEHKSRYAGASAATAFPHVLGVALGSNSPPTMRSFAY NFGIRPEEASNPHGLLGSLISEYELGLFSDIFFSAMAPIADYMDARIYAQRCRDYYHG SGSPAIAFGAIAAGVAALGSFLSPNRHPREPELVQYAKAILDDPASMRVLGIDHIIAW AMRTFYLRATTRPNNAWIASCTVMHLCEAVGLHDEENIKKMASVAGAAVVGHDADRLR RIFWISWAGHNLLSYEFDRSSVRFRAVSCGGVITTAGSVADQFVQLGQIIPVPDSPFQ LDCEVATPREELFERLKALDKLQVVHPFLVVTKADLAFCFYRRVYQLKMGVSDEIVKL VIDAGNAAGQAAEQLASEGHLFWNVIGSAFQYACVLLAMDTPAASVHIAPAFKGLENL AQAADTGLTREALSMARHLLSLTMGKKRRELAQLEAVDGSYEPLQVQQESDTNAAVLN VDWGLDWDQFLFEPHLSMLGPNV VE01_05104 MHQHPRPPPKGASPASSPSTNPYRTNNPREGGQSGHSRFGSDAP QYSENESAGIRGENVVASSGPSAEARRKLDQIVQNFHTKAATVILQSRMSFPTIRTKD QTTKFQLETDDTDAFREDLRLWKTCGGFENRPPPLILETYIDTSDLSNKQSLVIVDDN GKHWDVAEALNEAQDSKHGDSRHRKRHTEVILERWKIELKEGSTDETYDYGAILPTIY KKAIVFFRTLYATARFTPVSKFVKAIGKAPTSRGGLRINCRIINGESFVPAHHDPLTI PLFQGDGETTTRFNLGETESPAGPFSAEVCYRNDCNFRAADSEALLSERFMGAEDFFQ PTLASRREDRRRDTRVTEVGSLPVNRRFSVEVEPTQTYGSLSTFHGEGPTLAASPISA LRAARNMGSETNSPPAGSSYAKYAQPSRPTSRANESIAAARRPSVSFNPFKAGSLSGS PLPGTSAPQQGEMLPPGSSQSVPRSVGMSALSQARNRSSLTAGMPASLRGIPIQQDNT ISPSSSPKTVTINRYSSSFSHRRGRLSYGGTSRAEDDQGSSGKQSLSSSAQPGSGILA EATAGSSGSLQTDDDNISDFLNVLESNKNLPSFQQRGNEAATRRTASQLTRFQSMRES HNILTESLTSSMLLHRSSSSSSRQLSSVPPMVAATSISTSSSPGKPISPHTPHTPAIP SRLSANSIAEYSQPRRERTRSTAEEDRIDDVSGDEDDNGPGTTAIDIPLSPRPRQYAS RRSSSVAQEQRTLAVDDDDNDLPFHRSISLGADDREPPSLSDLRGFGRVPGTVPEETR DRSSQPAPGIGSAAMDGQLSCLDSDSPPVPRSSAPGSQQRPTRRPKVDRSSLSAQTGS SSSGVVDTRRAGSGEHERTNRYSFSRPLGPPFDDDEYPLLFDMSEIGRNSEQSRRSLE DGREGAGAGSERDSGGGSRRGSHRGW VE01_05105 MSNLLWRAYLEDDVDRCRNLLGNGAQLTSKGQGAPFGHGGSLGA IASSPGAAHGAASPRNVKSKKSLGHMGSAGAKNPTSGLTKADINSRDSQGLTILHRAV SSHTAESLDIALAFIEHPLIDLYVQDKENGWTALHRALYFGNVSIARAILNKDSTDTY FQGPGTTTTKGTPLVRIKDYEGNSAFDVYNSTIARRTLHPIEVSVKVDESDDSDSDFA EVADTESRGYDSIDGDEFYAFGSNKNLTLGFGDEDDRQHPEKITLKRPDHLIWRFYRE VLECQNEDDHPKKGPGPKRIEDLPTLIRNRPIIIQDAILSKLHSAILTTDPYSNLYMC GFGPGGRLGLGHDITSFNYVCVEGGALEEKKVSAVALGQNHSLAVTSDGGVVSWGTNT CGTLGYTLPRPPNDEEPINNTPRQIFGPLKKEFVIGVAASAIHSVAHTSTSLYTWGKN EGQLGLMDSDSRSLEVQPIPRKVAASLFTSNIQMVSAINRATICLLANHTVCVFTNYG YNIVKFPLYGGFSNYHLKSRTLTTRYEAEPSHISLVTAGGDTIAAVSSRGDLFTMTVS HNIDSSTSSASSTTNPAKIRSSLSQPQKIWSLRKGNWDGIKSVGVGEDGSVILCTQAG AVWRRVKRTKVKEAFSSYTKNKDYKFQRVPGLTNVAAVRSNIFGGYAAIRKDCDVMTQ ISIDEKTLWDDIAPLFCVGEELSFTTTAPPGKRVRYPWPPTVSIDHLDPLRQAVLLSA DFEADVARHIAKGSIGQEGYDAEISSSAADTKIPIHSFMFAARSPLIRDAFRRAKETG EFIIPEVMTIRASDGLLNIEFEDLDFITIFNLALYLYTDKVLDVWHFSRNVPDRGNRY RQIRNEIMHVATKLEMNGLEVGVRRMAAPLRRMNVDFAFALNDPTFFTDGDAVIELDG DEVTVHSVFWRQRCPFFEGLFGGRAGGQWLAGRKEDSEPVRVDLTHIEPSTFDIVLRH VYTDAGTELFDDIVVQDVDEFCDLVMDVMSVANELMLDRLSQACQQILGRFVNTRNIC NLLNDVAPCAVREFKEAGLEYVCLQLETMLDNQLLNDLDDDLLAELNEDVRENQLACL PFAKSGRAELLLHERHPSLVGELDEDRQRKLRDMAFRTSLRDEEGRLSSSFKTRLGGF GFDGASSPPSSSVTPKRQNTPHHTAATSPCIRPKDSIADLMFDMEDDESSPLNPSSPS LQPLGSTSTPLGGSNTALPPPAFKNKGKKSIFVRDSSILNSPDEPATPPRGSPGVNPK TWVSPGLPSTKLNMREIMSQASSNQTSSLSLGISAQREKDEAASRAAAPKLSQKERKK QQQQAAFEQEQLSKKPNLNEKPSGPWQVAAAGPRINLSDIVGGDSGTSPSGASSLKVP TPSPRPRAASPDTRFSGQRGKSDVSPQSYKSQSRGPAKPQMMKSSPLVTNRELFPALG SPRAEPTLQLSMNDIIGQQKREQDLVKEAVAKRSLQEIQEEQAFQEWWDKESRRAQEE ETARSEPAQSTPKKGGSSRGRGKRGRGGGGGGGGRGASNIVQGESRKEKDSGEAAQGR AGNKDKR VE01_05106 MKTGSFFALATAAAGLFGHAVAIQVDFTSPASVKSAASSIAFDL MSYYTGNQTGQYNIPGLLEQPPAGYYWWQAGAMWNTMVDYWYITGDTSYNEATTQALT FQVGDDQDYMPTNQTKALGNDDQGMWGMAAMTAAEYNFPNPPKGQPQWLALAQAVFAT QASRWDDAHCSGGLKWQIFRFNVGYDYKNAISNGCFFNIGSRLARYTGNDTYANWAEK TWDWTQKIGLIDAQYNIYDGTDDLSNCTTINHVQYSYNAGVWILGAAHMYNITKSDVW KARVQGLLDQSIKIFSDNATGIIIERDCELTEPASCNTDQKSFKAYLTRWMAATSKVA PFTADQISKFLLISAKAAAAQCTGGDKGRACGLRWVHDGQIGVWDGTTGVGEEMSALE VIQSTLIGSTAGPLTNTTGGTSQGNYNAGSNAKTAASLLDDWTPTTGDKAGAGIVTAV ILAGVIGGVAWMSMAD VE01_05107 MRLHLNLPTALIATLSVGTLISAAAVPQPIADTDVSEAHKLLPR TAYFRVWIQNCRSNFVIPSSGGQVPEYYYYVVAPDEASNTCGAGSVDGNPLPGTQFTS QQPWVHGWANFIYKPNNGNWWNIYFVTDQSKAVV VE01_05108 MALFQQLVEEADVSWAFTYRDFTATVVPFSFFTIASSLEAGPSY ETLLINTSKCAVLSFLLLYTFTKSNQINGIEEDRINKLNRPIVSGRVSLQGAYARYGV FTLGCLLLAFAMGVEAGAVTFMVLGDLHNFTDISSFGPAKDFTTTGGLTSGLYTAWVL GGGDKRRGINWIACLSISLLFTISIQHLGDVIGDAASGRYTTPWMLGKPYGKFCF VE01_05109 MCSDEMEIVEYRQYCPPGVQRVIASGTSAWIGEVDESTVLKYPL TPGGDRSRLELEYEILCKVGKHPRIIGFKHLSADGLYLERATNGTLHSFILSNHTTIF SQQRLAWCRDVAEAVAHVHSRRVIHCDIQPTNLLLDENLCLKLSDFQGNYLSETGEII LEGGSAEPCRFFCPRIDPFHADVKTDLFALGCTIYFIMMGHCVFPDIVDGEEGWHDRV RSRFENAEFPQDFHACSAITAKCWELKYMSAIEVLRDIEEVEMKV VE01_05110 MPGRDGGAASIRKGVEVDEWGLPVRHREVEAPTQGSEVKKSVEE EGQDDTKSSTHKTTSDKEVPEEKIKDTEDSNIHIKAGDKEIPKETAEATTKGKKVVTE DSSSDDDEFKDAPSEQIPETAEATGAPAVPKSGGKSEAPAMPKETSPETAKGEDTKTE GPATKSPTTEASTAEASATAASTKAEQVAAEPAGKQGHARAKSSVNSISKAGHKRDKS SVNRVSEWSHQQSAAQPEDKDTKEESEDEWQAMPAYAPFDIYDDDNKLVAKEAQDSDV EDATYAGLGGAGKGYTRVQLDEDAQSATSMDEHTSYLFKESNGGTTAAGFEDEEQRDV VSQMETTKDLLTEGQRIAYVGMTRLVLTSMVKAHEVIEGTSKGAKKETSVGTAAMRMF SQKMMIRLYAHMEISTPEQIMIEQLSEHGVEPRDLTPTLMANARVKNPMAEKAESVGE KTDSPRSGSVAGEKSSEKAGEKSSGDSLSSSQMEEEEAAAPPPPYVETDGEHLPEVRT PGQLPTTKNLDIDLRWTVLCDLFLTLVADSVYDARSRVLLEQVGSHLDVTWLDICRFE KRVTDALEMQQAAEKENWNEDEHKESRRKMALKKRYMMMGLATVGGGLVIGLSAGLLA PVIGAGLAAGFTTIGVAGTSGFLAGAGGAAIITSAAATSGGIIAVKAANRRTGAVKTF EYRPLHNNKRVNLIVTVSGWMTGKVDDVRLPYSTVDPIMGDIYSVLWEPEMLTSMGDT INILATEALTQGLQQVLGSTILIGLMAALQLPVVLTKLSYLIDNPWAVSLVRAESAGL ILADSLIDRNLGTRPITFVGYSLGCRVIFSCLRELARKGAHGLVQNVYLFGSPIVAKK DDYLKARSVVAGRFVNGFARNDWILGYLFRLTSGGISRIAGLAPVDGIPGLENVDVTE LVKGHMAYRTAMPRLLREVGWQVDSDEFSEIEDPDPENHQQRQRELINEIEEARKEFD RQEREAKGKFGFLKRKKKAAATKKEWETYQESREEDDAELSTKHDSVLFDIDAIRAEL ASENFEVKELESTLPPMKLDFSSPAASLSPAVPSSSLGALRDTKSADVIPTMGMYEES PSPAPKKSYTYGPDDGYKSYQSSPYLAPDDEVQMSFDTSYASPARSATGLGLSQSGLK VDEGGWGESRSYEVSPRVSKELRDKPLPPDPKDGIEVDMHRPPMRGNKTEPLGGNAWA DEDDEDEFKEKEMEMTFA VE01_05111 MRGKRSKQYKKLMQQYGLAFGFREPYQVLLDADIIRDADKFKMD LIGGLERTLHGQVKPMITQCSMRHLYNAAKEPGVSFLIDKAKLFERRRCGHLPADYPE PLSAESCISSVVDAKGSGRNKHCYVVASQDVEVRRRMRAVLGVPLVYINRSVMIMEPM AEASGSQRDREEKGKFRDGLRGRASGSVGMKRKREDGEEGVGEVKKKKVYGQPKGPNP LSVKKAKKEGEGVVRKVRAPRERSEKSEKSEKSEEGGADGEAAEGEVKKKTRRKRKAG AGAGAGAGAGAGAGAGAGEGEGGAPVAAEAADAGEGMEA VE01_05112 MRRSSILSLRRPFVRALPGRCFARLTERFGGLEGRELGGTAAAS TTSSPPSSLKESPNSPTGTISPPTTATTATTTTTTTAASTTPAPPLRRPSPFYLDTGI SLFAKRAPRPFPPPFLSPPTTSFSDALSTHQWSWDRRRGRGERKGEATVGGERVGGVT NGDDAVVVGEGFVGVCDGVGAWSTRKGGHAGLWSRLIVHFWAAEIERAANEGGEPTPI EYLQRAYEQTLEATTQPTEWQGTTTATGAQLHFENTDADGAERPVLYVTNLGDSQVLI LRPRNSKVLFKTEPQWHWFDCPRQLGTNSPDTPMGAAVMDKVVVEVGDVVLAVSDGVT DNLWEHEVVSCVVSGMKEWEEAEKAAKAGSVTKGRMQFVAEKLMNAARVIAQDPFAES PFMEHAIEEGLAMEGGKLDDISVVVGLIRRNDG VE01_05113 MSNFSNRDSRSSKTPSPPGDTIPFPSFPEKPEQQQQQDVYRSRS PQRGPQQPSNIRGSPTRREQVQRNRANSRPISMVQTYQPPLMELGQDTLPELQPVFTY LNSHSNKLYQEGYFLKLDDQNSQGKPNLDRTWTECFAQLVGTILSLWDAAELDAAGSE GEVLPKFINLTDASIKMIESLPTRSNDDQPLNNVLSISTAGKNRYLLHFNSHHSLLQW TAGIRLAMFEYATLQEAYTGALIAGKGKSLNNINIILARSTFKYEDWVRVRFGAGTPW RQCWCVITPPDEKEVHKLQKDFNKKKSAYDRSRPPVVKGDIKFYESRKVTKKSRPIAS ISDAFSAFAIYPQSKALIEPSTLIKLEGIITIHSDPPTSTEGFVFVMPEVHAAVSGFE IMLRWLFPVFDTFALYGRPDRLVADTNDTRSLMFAMPNERRYGYLDVLDVAALISAEG SQNWSEGEWRRRLKDSTAKRMVAISQNGGSRRGSRRNTLRDGFNDRASIRSNPSISWG PPPVDVPAPRTDSAPPLEGPYPPYPSATQAQHHSRSASEGFQNGFPLYEGSDVPPAPP PHSSGLRHEYDATSPPEPTYHEEDHSPSAPAQELRDLQLSPGGVEPVSRPPAFSHAPG SLPPSAKLQNSPELRRAKSRMSNGTLSQFAGAGGAAATQGVAQYRAGLEEMRVADETR RGLPRGVHAADDPTPGMNANMASGAEGVIVGGNRLSFERHPEALQMRAPEDAGAAPFY NPVDNTAQQPRTAVRPTVIVPAYPPPYIQEHAQTPSEDRPGSQPRSANRNSLQRKPLP AGTPVTPIGPLTRDPISPGGASTIGSLYMDQEAFDSIQPRGNQPGDPVARQATNLSHV SETSNYTNSPTEAPPIDQSVPARTAYDRPRSGMMRTVGGYGDSTPNTPSDIPNIDFGP TINYAATTNPLAKPSARTDNPQYQPQTQSQSQPPQPPTHRGQTHAKQPSRTLAWAPGM TSPLPSSPGGLTPEEFVAQRAAAAAVAQGHARQASASTLRANTPTPPIGTGGGRPGHV RNNSSFDALQREAARPSSRGAGAALGGLVGTIDARERERREVVRGVNSQGVLHAMGQR VVHGQGQAVSPGGRAVSPGPGPAYGQPGPGRAQSPGPMGAYGQGRHRSHSPGPRVAYG QPQGRAQSPGPMGAYGQPQQRNQSPGGMGVYGQQSAPGLPVTYQQQQQYFQQQMGPAF VVPDFIPRDTVDISLLNTQTLVKFSHFFNETQFLHNLRLGCPEMVVHATLPPTVKTDL IPLQPQSLLKEVFAGTVLLHAEQWRPAFDKWLDAVPNKGKPAAVELATPLLNFPLKYD TQAFTDNFGRILRFPEPQRRLAATALYTLRTKYSVPVGPWEITPNAFFGAHLRVAADA KKAGWTGYDVQSKFLLETAEAARLSTVYVTSESTLAAEFKKAAKLKNIMVVMKEDLLE GKDLEELNNMTWDQRGLVDYEVLLRSSMFAGIELSSFAWNIALRRHTLSRQKYRAAWD TNVKDGEKLSMKDEYSMLFGQKHGRELFVESMWP VE01_05114 MFVTYMSILCLALSPAIAAPLDQSSSLAQQSPASWRNDGSLVGG GAKSFAVLVSDPANAKVTNNQAVTITDSGTAGSDNHLTNCGPASAYPRYGTGGVWMNY PDMFNKNKQSMRNNGATDEEIGRIYNSINTIAAASLVDRRVILAVIMQESHGNPRVGS TTSHDGVGNPGLMQSHQGVTCFGQAAPCPQSIIDQMVRDGTQGTSSGDGLVQGINIFG NVYEALRYYNSGNVDKSNLCLGGATASYVADVASRLTGWVN VE01_05115 MLISTSIITILAAVGVTNALPNEKRITHDGIGSVNPNPTGALGS CGVSTPDSSMTVAISPFWMTQHAPGPYCGRKIQVTNTGPTTDNSVGGAGNTIIVTVQD TCAGCDENHVDLSVAAWNSLTNGHEFSVTGVSW VE01_05116 MTPGRTRSLSTISPNSNYRVMVPKSNTESEATINGIKSKLQALE NKINTETEFHQQDAKFNSFSYDMRSRDISYIYESELRSRQNELGNKFYTQKIWQLYSA IIALVAVFIGVLSALSAVDLARSNRELERLYKKKRRENKQREDTLAIEQ VE01_05117 MAGSKTSPTITGRGAENNPQPPTPNVATKDRFRFRSLISKNKNP TNGSASASNSVTTLTGNAAPGSSSAVPVTTPNPNSGLDTFATATEAPISELWNEAWDE LRKNTALFEDYEKRLTASSSSVGLPSFEKCERAQMMKVLLEKKIDELESGQWKIGFQN NQFAVKDLIEPVVGVIDWAKEYIGKAAQASPYSSVAWAGVCLLLPLVLNPGEQEAARA KCLEGIAALLRQCSIREALYRNSYDDNTERKEANLTVHISYREELKILYVKILTFQAT CLCHLSYRTGGRIIRDMAIWTDWDELSTAIDVQKERMGEIETQWRDFKLQDQWNKEKK RHSEHMNYLDPMSNEIRRIREVTEKAQNDKFRLGLLQWLSSEDFSARYNDIWSRHEES TGDWLIENNRYHDWKKKQSSFLWLYGKAGSGKSYLSAPAIHSLTGSCENSPYKALAYY YFSFTEQPEQDASRMLSSMIRQLCGARPDSPAWLNNLGSTFRDKGARPTLEHLEGALW NAVEGFNAVYLIIDALDECTTSKSTRATLMKSLVKLQKCSPPNVHILVTSRKEPDIEA ALDRMPLASEEKIDLFEFRDAVNHDMDIYLQQKLDSPEFDNMSDDTKTLARSLLLEKA DGMFQYVALQLIEIENSLGEDIPKLLANLPQGLDETYIRMLKSIDSRYYPIVYRILLW IAMSKEPLSPKMLAEAAVIDPRAESPFDPRKRMKGLEKPKGLLKLLPGLLREEEQVRR GNVGYRLWIKFSHFSVQEFLFSTNLRLNPIEEVSRYALHKDEANHFMAESCICYHLYA SQVKDVTKDNYQKLFPIWKYANSYWAEHMEDLDEELWTLPLKEKVLLALKPNTDSFLS MIRMCHIGLTYSYHPSINPDPYSANPVYYISANRYQRVLSFYLKELDTKQLDAQINCQ LIKAPGSFGSPLQAAAYHGGDKIIKLLIQRGADVNAQGGYFGNALQAAASLGQQEEIV MLLLEHGADVNAQGGHYHTALLAALHGNSEEIVELLISQGADINAQGRYGETALHAAA CSSSEKVIRLLLELGANVNGQGGYHGNALQAAACRGREEIVRLLLEYGADVNAQDGGY GNALEGAAMGRQSGSEEMVKLLLKLGADVNAQGGMYGNALQGAASSGNKGIVKLLIEL GANVNAQGGKFDTALQAAAACRQEGVAKLLLQRGADVKCQGGLYGNALQAAARDGSEK LVKLFLEHGADVNAQGGKYGTALQAAASSYWASVEIVKLLLEKGADVNAKGGEYGNAL QGAASGGLVDIIKLMLEHGADINAEGGHLGSALQAAATTGSEDIVKLLLDHGANVNAQ GGFYGTALQAAASPSPYGSEEVVKLLLEHGADVNAKGGKYGSALKAAQNCYEDDIMDL LLAHGAAEQ VE01_05118 MEPGELEKHTTNEDLLGGILATLKPVELLLSQQNHPRPSGLSED SAHDSGDAERHSDREDDKNEGQSGNEQEGEGGVNEENQEDEKEADDANQADENEAENE NQQHDDEAEEWTSWGRKGDVESVAEPAMSNIANMPEFGEVIGFGDRIPHNPTYPLLFS QAFLEGFEPDELDGLVKLDIIDFPIVDELYNTRSGTIPGWKEPLKWLRRLSPRLLTRF AL VE01_05119 MEVITTAVNIIVDHWQKLYDEVQKECDGENISFMDGEKYVHLLY DDSNFRRSRFYFWAIGCLSSFEQSVAETLWELSMFRTEAREKVERRRGVIARSEKVEA TYQQAIDDFDQAYKNLDGIRDQLVKKRDEMKVLRDGLFSASGVMESRQSRILGENVQL LAFVTIFFLPLAFSASLWSIPGVNEKYPGIVIPGAFAAIIGFITYFIVFNLNLLISGL RRLFSVPRSILLARMANEKDNSKYDSEDNPQGDPEGSSVKNTTSARSSVNNSTNVRNS VSNSMREVGSVNNSRHEGRSVNNSINEGSSVNNSTNEGGSVNNSTREGSSGNNSRIDG NGKKKIIVVNDKLSTDWPKRAKAFEVFPRQDEGPRPSNWLLLFYAIRLLVLKTFDLII GFLAWLREVIASDPSNQDPDTEMANRPTPRR VE01_04421 MTRQQTVIVVVPFAALVDDIVSCGEAAGLNCKEWINEQSGHELQ QLIVVSADRAVEGGFRHYAKGLELEGQLAHVFFDECHVTYTDTSYRERLRELWTLRYL NCPFTGLTATLMIELEDVLRERLCIENTMIFRRNTARKTIRYQVRDSKDEAPSEIAIK YVQQATLSAGSRGVIYVRSYDTGGFISKELKCPFYRAKADDKGEVLQQWIGGEGGWIV ATGALGTGINIKGIVRIIHIGRPYRLTSFVQQSGRRGQNGE VE01_04422 MSIKKVEERVEQAMLGWQPPSPNLCLVRDDLTCRRAGWSFLREE KNDVRFAYKALSRRAWSALALGLVKGGRWISAGCLKYAELGAQLSNEIFTSVHVIAGL LARGLEITAVRVCNTEQAIRNVFIINGRVAIVFEYNKLRATNNHSFYIINFYEPKSAS EPASILAMGAGHSTRMLLTSYAIDRSYPTRLQPELLELYLRLSTLWQQWNEQHYRDQC RSDSLLRLLQLRAPVPSPRLEGCSTKRGLGIEEQSHQTLDLPAKRHCPSPPSPTEKRR LADLELSRYQEDLLAVQGTCLLCRALGNAWDHAFPTCWRRSEFFEARSRARDAVGRRG LSAEEWLLEQFQQRFGDVDEFLGWCGRATSFGGGKAIWGVKVAAAALIQFELY VE01_04423 MPDPGPLIRCAPGITPHWPLPPNASRPPFSWRRAAPPPPTVYTV VSVAPVSAAFEDMAPNAGAGIDAACVPAGAAEPLVPSKDALLLIQEEEEDARLLAADE DYEVDGRSCVDDDETTLWLRYTKWPARLANHPLDILSASTLQPTSSDDDYVLGDWAGT EFTDAGRARYIAIWKRFICYIFRVWATGEPLRQEIYGVQFCEREAALMESVWEALPDS KGDRLEGLDAGSSPRSQLAEALLQLSITFWTFRSTTGDLAPAVLVHFTGVLGVHRRAL AYKSAYSYTPMLSALIWVGRLLLLEYALPLRAYSTLQQPWPARDTYSD VE01_04424 MVRIIAGSFHHMVMALLLDRRGDDVMITEEVVREAARNSESGTE VMKLLLDRRGDDVTITEEVVKTAAGNAGSGKEVMELLLDRRGDEVMITEEVIKTAAGN SGCGSWVIELLLDRRGDDVTITNELSTQQHGMRNAQCDISTGNVIINQKDGNPRGMPS VE01_04425 MPPRTRRLPREDITYSVAQDREVNVLHQLEYCDKKDRFFDCLYR KRNLMQAVVAHHLSLQLPDACNIADMSEWLHGSFNVCVPVTISAWQGKRVLLRFPLPY RVGDSFQPGNGDEKIRCEAGTYAWLDENCPEVPIPRLYGFALSTGQTFTRLESLPFVR QYIQRLRRHVLSWLGYPVPSRYVRHDIGRLGLADAGYLLTEYIEETQGEMLSNTWLEN QHDSRLRTNLFHDLSRIILSISRIALPRIGSFVIDNDGFLSLTNRPLSIEIQTLENEE VPTNIRRDYTYTTVDSYIVDMLAFHDNRLRIQPNAINDIDDSVSQMSALGAMRTIMPL FLRRELRRGPFVFTLTDLHQSNIFVDKDWHITSLVDLEWGCSRPIEMVEPPYWLTNKG VDQILPDEYNKVRVEFMTILEEEELLAASNTTERGGLRLADVMNQAWEMGTFWYTLAL SSPTGLFRLFYHHIQPRLISIHDEDPDNVMPYYWAQNVFQIISRKLSDKKEYDLQLRK AFDDSTIE VE01_04426 MSLSYASTLNNSIKCRILPNITNGQFHLVRLLEFENQSQWIARI QLCKSTERLAKKLQREVDSMATVRERTNIPVPQVFGYKTNDSNSVGVAFILMEFLPGN VAMDADGGYKTHNREIPPQHKTNFYNEMAQVQVSRDDIGTLAKDRNHYQGQDGNYDIG PLPDLGGPFDTATAFFEAWAAKAKFPKSRDTI VE01_04427 MTVSRLETLPIEICRIIIDFITTWTVKDLSCTSKWLREACLPAL FRHVEFPFSEAGFDGLKSLVKSDAHYNVVSFTYVVPELPKADFDSFKFDLLTPDSYVE TAKELYDAGDDADESPS VE01_04428 MRLPPPEVTSQWPKPNYVDPVRRGHALTIVQLIPVVLGTIFVAM RLCARLVITQARIGLDDVLIMLAWVFSVGLTGTAIQNGKPQQANGMNSNDSISGTLDS IGDDSHMVFEGLFFYSHVWNEGPQQRVFPLQRLAERQAHVR VE01_04429 MPESDIAEMARRGHLKDSNALRGQEKDQDPQNNPILKNFPRVSH WDFTDWDPVEQYLLNHFLEVVSRSFVVVFDDENPFLQEILPKASNVRSVRHAVLALTA CHLCKLYPNFEGSVVRHQSLALFLLKKDLESGNDIENTLVVSLLLCLFEICQGNSRKW ILHLYGSKALIDVSLSQGTGRKLSQFLLDLYKFICCKAMITCEKVPVMPCGFESLQNV EPESISSIHPLVGLAGDLYNKLSEISHLAVRRMSRHATATSAGIFTAKASELEAYLQS WQAPKYGHNRRLFNEAVYAAEAIRWAALLRLYQVVDGCVINPEKRQNALSHVEYFISQ IRPGSSLEAQLLFPLFMAGLSATRRAELLSIEYRITVLESTVGTGNITGAHKLLDLFW EKSQWGTGNVDWEFLLQEKHANVVLY VE01_04430 MDIKYGTQDESIIDFIKQAIEERNAILHEINRKIHENPELGYEE FKAHDNVASLLENLDYKVTRHAYGIQTALMAEFGFGGRVIAFNAEYDALPDIGHACGH NLIATMSIGAFIALAETLKKYKIQGRVRLLGTPAEEGGGGKLKLIEAGAYSDVDACMM IHPGPEDGCAGYTGDAYMPTLANKKFTVRFTGKAAHASMSPWQGVNALDAVVLGYNGV SALRQQILPAQRIHGVISEGGKRPNIITAHTSLDYYVRSTSLKSADALMERVVACFEG AAIQTGCKVETELINTYADVRPNKPISTLYADAMNKIGSPVRCDVNSPPVPGSTDQGN VSYECPAFQGYVGIPADPGSYNHTAGFTAAAGAEIAHKLCLEPAKGMAVAGWQILSDE SVATQVWKDFEEDSK VE01_04431 MANMVRSVSSTKDAEMTLHIETDKGDVSLRILEGQTIPLTEGLT PEEDRRILRRIDICILPALFVTFLLQFVDKSAMGYTAVLGLRTDLHLVGQDYSWASSL FYFGYLTASGLVAILLVRLPVGHFMTIAIAIWAAILMLTSLCTNASGLWAGRFCLGFV EAAIAPGMTMIISMWYKRSEQALRQSVWFMGNVTGGLCGGLLGYGIGHINSIAPWKAL FLIFGGLTLFWSIFCWFLIPNSPVGAWFLEEQDQYKAIERVKDNLTGIKNNHVKFDQV LEAFKDPKVWLLAAIQLTQNVPNGALGSFSSIVINGFGFSTLDTLLLQMIGFGFQGLF CIISAIGCTYLPNTRTYFMAFNTVMSLIGTIMIRQIDHNHIWGRFMGYCLTIVFSANF PLILATITSNIAGFSKKVTATAIVFIAYCTGNIIGPQIMFSREAPSYPSGFAGILVCF SCSIILIFVFRFYLMWENKKRDAAVSEPSQSIQIDGERISTSALNLLDKTDRDLPQFR YVY VE01_04432 MACRRMQHMSVMSRERRQYMRKAKDLRLLHPWYLLTVVISSAGG GLGHLAVQYASRAMGFRVIAINGSSKEALCKECGVEEFLNFMQHTNEELEATIKKIAN NGRRANAVLVVSAANKSYEQGLKFLKP VE01_04433 MAGSASIANSPGILVDVVPEESRALAYSIWSIGPLNGPVFGAVI GGFVTQYLGWRWTNWLILIFAGFSFAMLCCIKETYPPVILRRQANDLRLKTGDGRWWS RHEQNIPLREMLRVNLSRPLKMTFQEPIIIFFNVYIGLIYGILYLCFVAYPYVFHEVR GWTLGFSGLAFLGIGLGGFLTIVAEPLIKRMIRSHKPDPGTRSPPPECMMSIVCIASV LIPTGQLWFSWTCLPTRIHWIWPILAGVPIGAGNIAVFIYATTYLANTYELYAASALA SNTVVRSVLGGVLPLIGSRLYQSLGANWASSLLGFLQIAIIPIPFVFYKYGDRIRKRS SLIASIQEEKTRNALLHGSGGLLRDEC VE01_04434 MPKSHSLHSHHDYPEVLSNPSHLTSNSLRYYDTLTRVSSGEPWY SDDRERHQSLCKRFADSFRRDPNRRTINPAINSTNQYNHDGALDAHKAVLATANTALA RKLKGRHLQMIAIGGSIGNQVRRQEGYRIQ VE01_04435 MFGHAAVVELLLAQDGVDPDAEDDNSETPLVLAAMYGHDAVVKL LLNTGRVVPEFKDAKYGRTPLSWVAEKGNAAAVEMLLGIDGIDPDSKSAGSWNKNRTP LSYATERGHQTVVELLMATGRVNLDFKDNDGRTPLSYAIAEAQTGTIHFLLRYGASPT TIDIQQKGLLHHAIVNVNCALDIVKKLLMLGAPTNLVDIDNMTPLRHTVRFNRQDIAE LLIQNGE VE01_04436 MGSRRTLIKGKEGKESDDFYRMKRGDVQFTTGGTGIAHSEVNES DKPVHFLQIWALPWARGLTPRYHTKTCDEAKKREAFVPILSPIAAGKGAGAEDEAAAV PAIPGTIPIHADFVMAAGIIGAGKKLNWSVRGETDAKAVVKSKIDRKVYIHLPMTNDG KSKIRLDGREDSVLEEGDGAFVTGVQAGDVLGFESIREVEAEVIVLDSD VE01_04437 MSLKPITLWGHDSGSNAWKVAMVLEELSVPYTVKMIDFPDMKKE AYESINPNGRVPSIEDPNTGITLWESGAIIEYLVETYDKQNNFNFALGSKEYYEAKQW LYYQVSGQGPYFGQAVWFTLYHPEKLPSVVDRYVNEIRRVSGVLNGVLQGKEFLVGGK YSYADASFVMWYAIAPLFADRINLETDFPALNAWLERIKARPAIAKIIKDREAAMAAS K VE01_04438 MTDPTPKSVLVTGANGYIGNATARAFVRAGWTTYGLVRQESLLP SLKAEEIIPILGSPADQTFIASLDLSVVFDVIVSTTEEIMNYVPHYNEVVSLLEVLAE RNQSHGKKKPLVLFTSGCKDYGMMDEMSDSPGLQPHTEESPIAPPPFALNRATHAIKI FEHACLFDAVLLRPTNVYGLASSYYGDFLRLAKEGKEKGVLEFSENPKTILHALHVDD CGEAYVALAEFPHREKLNGQCFNISSYRFETLEEIAQALVHEYNIQNGVKWLPVPQGR ADVDFARRLIGFSQWTGSDRLRELTGWKDRRVLFSKGLKQYRLAYEAAITRPESRR VE01_04439 MSDFNRTAGMTDELQNAPFGTWTSPITAELLSSGSIRFEGLQVN ASTGQVYVLESRPAEGGRYCIVELLESGAEDILPEQFNAMSTVHEYGGGSFAISPDGS LIFTNHPTNEVFSLYPKSGNITRILPPTETLRFADFNVHPTLPQWVLAVQEDHSSNVV VNSIVAVDANEREVFILAQDADFYQHPKFSADGKQICWTQWNHPDMPWTGCELYSATW APRERASGILIAGEAGRESICQPRWGPDGTLFFVSDKTGYWQLYRLAHGATRPQIVSL KGLETAEFGSRESYLANCTYVVLTRSTIVATVNKDATSRLILIDLDTEQYTELNLGLV DIQRDAIRTLSATEFIVIGTTLTEPQAVYYVNTRNQGEKRLLRPSIQFNIPASLISES QHITFPRCYGENQVGSSHAIFVPPKNPGFQARRGCRPPVILWMHGGPTTHVAPGLSLA IQYWTSRGYAYACVNYAGSTGYGRAYRMLLQGEWGVIDIADAASCVSFLISQHLIDGS RVGIVGESAGGYAVLQALCVYPDLWAGAISLYGVSNLKALAETMHKFESQYVQQLVLK DGQTMEDVGPVYRSRSACYNVDKIRAPLLLLQGDQDTVVPESQTKEMQQTMKEQGKDV EVVIYKGEGHGWERENTIKASIEKETQFWARTLLQKAMR VE01_04440 MIKPITIWLTPPGPNPWKVVVILEELGIPYIINSFKFDDVKKPP FININPNGRVPAIEDPNTSLILWESGAIIQYLEEVYDKERKLTYDSLNEKYLLNQWLH FQTSGQGPYFGQCGWFNVLHQEKLPSAIERYTKEVHRILGVLNNALQGKIWLVGDKCT FADLAFLPWNARLDATLLTPPGEDTLKQYPNVQIWHNRMLGRDSWKKVMTTRDKLMDE HGLQPNGMPKGINNMTEYEEFMKN VE01_04441 MKPIVLYSRLNEEITLISHTAFGPNPWKVAIVLEELKLPYKTHI LKSDELKLPEYERISPNGKVPAIEDPNTGVSLWESCAIIEYLVDTYDEASTISYSTLP GKYHIKQFLYFQASGQGPYFGQAF VE01_04442 MSSLDPPYKAIATRKKAKQNALIPPQWRITVPHPAPLSVISFPH RSYILTPLELEITSQHDATSLAAAIGSRTYTAHAVALAFCKRAAIAHQLTNCLTEIFF DDALARAKFLDEEYARTGKTLGPLHGVPVSLKDTFKVRGYDASIGIASLAENPAQENS LLVDVLLQQGAVLYCKTNVPQTLMALDSDNNVFGRVLNPHNRRVTAGGSTGGEGALVA LRGSVLGVGTDVGGSIRIPAMCNGVYGVKPSRGRVPFARQETGSRPGGAGIALNASAG PIAASLRDCELFLATVAGARSWERDPEVVYGGWEEQGTAGQETKLLVGVLWSDGVIQP LPPVKKVLDETVQMLRSEGIEVVELHAPALKECPSLVDKFYRMGGANYMFDLLEKTGE PLTEWLGPRLKRGKIIKMGGLVAIHAQKEALEAEMLKIWTDAKGRQIDAFICPVAPHP VPPIDRWNSVGYTSSFVLLDYPAGTLPVREFKEEDLHDEWTDDSEPLGSWDEYNRTLW DKNSIDRRVYLNTPLSIQVVAPRLQERRLLQAMSIIDDVVKRRVDIPHARL VE01_04443 MNANPSPSEYTVPAQINGIDVILKRDFQVSSPSTGKGLYLCSSA SPEDAIIAVSAAQAAYTLWRFTLPGARRDILLRAAAAMESRAQELGQYMIDETGSSSF WAQDFNIPLAADILRDVAGRVSNIVGMVPTTNEVGRSSIVLKEPYGVIMAVAPWNAPY ILGVRSIAYAIAAGNTAILKGSELSPRCFWAIGDIFRQAGLPPGVLNVIIHKPSDAAA VTRAVIQDPRVKKINFTGSTSVGRIISELAGRHLKPVLLELGGKAPAVVWKDADLELA AEECAKGAFLHSGQVCMATERIVVHRDVFERFHNILAAATHKLFPKAKVLINKASVVK NKWLVEDALSKGARVLYGDFDSKSSVDMGPIILEGVKDGMGIYHTETFGPVVFLIAID TEEEALRIANDTEYGLTSAVFTEDLRTGLRFAKGIEAGACHINSMTVHDESSLPHGGM KGSGYGRFGSIGLDEWLVTKTVTYKD VE01_04444 MANRVDVHHHFVPQFYRDAVLGAGGDPSGWEIPQWSKESDREFM KKYGVSTTILSLTAPGACILKGEASAKLARDANEYAAKMRDDNPSQYGFLAALPSLLD KELALRELSYALDVLKADGVTFFTRYGPDNHYLGHPDLRYAWEEVSKRKTVVFVHPTH PVDTNLISKLLPQPVIDYPFETTRTAVDLLASRTVRDFPDVKIILSHGGGTLPYIIGR PATVLPYLSKDFCTEDVIEEARNFYYDTAVAGSENVLTILEKFAKPGHVLYGSDYPYA GPGIITYHTDGLDAFEYKNKGLLQEINTDGSLELWPRLKQYYGK VE01_04445 MALLHEISPKILVPESAGLYFGEAPRWHNGKLFFADMIGQKIYA LDLSGKLEVFLEVPNQPNGMCFMPDGSLIYSSMFDAKLYRYYNGQIELFADLSSLMTG YCGDMVIDAAGRVFIDDTGARVLHGEKPCPGRLLVVDTDRSVKVAAENLVFPNGVTID REGTSLYIAETFAYRINKFNLSESGELTNRQEVWDATEWARLRGTQSEKFSSVDGFCM DKDNGMWLSLLADEVFARRDPEKGMFTHMIKVDGHATACTLGGDDGKTLFMLANITPK GDDLFTAMVERRTRCIVLTATVDVGHGDARP VE01_04446 MRCLASLERPSPDLSLPVIRVVAVAPGVVKTALWLDNQEKMKLV GEATEEWATPEMVARVMVELVEKDEYEGGTVLEVGKRGQTRRVQVHMDPGPSGGEYTP LNQLEESRDIWRRLIQDGAVSA VE01_04447 MSIAAILALGILAGVSAMIRIPYLQELKFTNDYLYIAAYVNIWS TVEAGLGIIAASAYTLRPLFRSFLRGYSHSNRAGHM VE01_04448 MDSSTETKKSPFTPNLTEVLSSIPCVPDLSHKRNLASHRKLFEW TPEQAFTDPQISHVEKRIPGPDGDIALTILRKKGPRSTSRPGIYFLHGGGLVMGTRYT FLQAVFEWVKQIDAVVISAEYRLAPEHPSPAGFEDAYVGFQWTADHAAELDINPKKLL IAGASAGGGLAAAVALKARDDKGLEPCGQLLLYPMLDDRCATKSMEQFSTDGTWTGVA SKAAWDLVLPGRRGSDKVNVYEAPGRAIDLSGLPPTFIEVASTEPFRDECVNYASNLC INGVETELHLWPGIFHSSEVFAPDAYQSQGAFATRLAWVKELLLERD VE01_04449 MAEKVDVLICGSGSAGVCAATWLARCGLSCKIVDSRLGPLLNGQ ADGVQCRTVEIFESFGLAEELLRESYHVLEVCFWSANSQDELIRTNRIADTPAGLSHQ PHLIINQARVHGILIDAMRSFNGQEVEYGYTVKSVQVDSATAKDPEAHCVTVIATKDG RDEKIDAKYVLGCDGAHSTVRRSLGYTMIGDSTNDVWGVMDIFPRTDFPDIRKKAVIQ SPHGSLLIIPREGGSMVRFYIQLGRGVIAKEVKLDDLHSAAARILSPFKLDIASTFWW SAYSIGQRLADHFSKDNRVFLTGDACHTHSPKAGQGMNVSLQDGYNIGWKLASILKGQ ASPDLLYTYNIERKKVAATLIDFDRAFTKSFSSKNTDAESFIERFIRAGRYTAGLTAK YDESSITNVSGSTQHLAAELTVGMRFPSTPVVRLCDARVMQLVTAMPSDGRWRIVLFA GDIRDAAKAEKLKKLGEFLFSETGPVQTYTPPTADIDSFIEVLVVLSGVRHDIDAGQI PSVFSPIIGKWRISDIHKIFVDEEIKDEGCGTAYDFYGVDSNKGAAVIVRPDQYVSMV TAIEDHDGLRGFFSGWGRVQRYIQHLRNRIHELENDQPEGRTGLSGLTEVNRDDNNPF PTPETLREVNAIPLVLSSSHGQPEPLRSAFEYAFTGEHLLAARNQPSHNSQPEVLFGL EVPQSSRDSSSDREKHQNRDDAVSAMGAASHISDRVTTAQEHFYGNSSAVSFQHQVQE TLRRSTGEPDLVRSQPSIMKRLKQPSNALSPFLDQCSRSKLEALALPPRALADHLLDL YWNRVHCLYPFVHKPSFLRSYEQLWARESAAERDDYCSRQAIGLGGSNFGLDTFSCAL NAMFALGCQFSDLAPEEREALTDTFFRRGKHYLHIDILDDGDLALVQSLLIMAQFLQS THYPDRCWNMVGLACRVAQGIGLYLDESNENRSALEIEMRRRAWYGCVLLDTVVSMTL GRPTITSGQSDVPLPMVVNDDSLDVASTAFLSPNSVNPPLLEFFVQAVKLNKILAEIL TDVYKPWSRLGRRNKIGQQLRNNSFDTIIRLDYTLSDFESNVPSQLHWTRRDPTLDRT EIVDRQVNVLHARFTHLKMHLYRPIFNQLCIESKMGCSKGPSGDSERQDMSNNMLYSH LAPHCAVACVGAAEELIDIIDHASQTTATGAWWYNLFSMVLVLAELCPTVLEPEKSGS VRQSWDQCQRVLERMSRHNDAAKQCAKTLSSMHQQVFSKSNTQAARNPITHISGTTTS TYPTAIETGVQIPQQIGLYEAADAQPPVSNDYMLTDVQIQDMISQNMALQPPWNLDDT GWGDLLSQNHS VE01_04450 MATRRSHTNTPVLIIGSGISGICTAIDLIRRNKSHDFIIVEKGS QVGGTWNDNKYPGCCCDSMVPFLYLLYKAVFYLDPLVWSHLYSFSFDPNPDWSREYAG QEEILHYLVNVAQKWGLFQKIRFNTAVSEARWDDAEGLWKTQVQVTGDKEAEFGKEYT INSQYLVSAVGQLNVPSYPELPNLDSFKGKVMHSARWDWSYPMDGKKIAVIGNGATAA QIIPELAKICNTLTVFQRTANWVVPKFNTEISEIRRKAYRYIPGVRKAHRAKLMDIRE TIYQASVVENSDAKAELKKMCLALLEEHLPDNAELQEKLIPSYPPGCKRIIMSDDFLS TLTQPQVTLETHGIKHVTPSGIVVEGNTEHPVDVIVFATGFRTLEFMYPIKIYGKFGR SLANIWQSGTRAYLGMTVESLPNFAMLYGPNTNLGHNSVILMVEAQSRYISAMISTVL KASIRGEKLEIMVKAEAMAYYNKDIQERLKLTSFANPACHSWYKAADGTVTNNWCGTV VEYQQRASNIDWSAYDMTGRGAGMANKEKKLHIGRVVEESQYAKLAFWAAPAIFAACM IKGFVTS VE01_04451 MSHRSNAHYNYVPDIYRDEEADEANNIWKEVSERKAVVIVHPTH PVDNNLIRRLLPRPIIDYPFETTRAAVDFIASRTVRDFPDVKIIFSRGDKALTYLISR LATVLPYLSKDFNPKDVLKDARSFYYDTTISGSENILLVVTIRSRLTPFCRT VE01_04452 MAHKLSCLSRLLQSPSKSLNQCTRTWSTKRGYASRSRTQTSQRL KDKVAIVTGASSGLGRAIALRYAQEGAHVVCADLRPLAPSAIGIQASKATHELVKEQD GVRSLAVKVDVSDSQSVQDMVQAAVKEFGRVDIMCNNAGIAFETKAPRPLGIWETPDD QFDMTQQVNLRGVFLGCKYAGAQMLKQEPHSSGDRGWIINTASILGLVGTYGTSSYSA AKGGVVNLTRAAALDFAPHRIHCNTICPGYTHSVMIEGLNKDIVNKVSAMHPLKGFGQ PEDIARVAVFFASEDANWVTGVSMAVDGGYTAQ VE01_04453 MAFPDRQIPTKALVVEKAGSPFVLQDITLDEVRDGEVLVEMKYT GLCHTDIVVQQGLMPVGGFPAILGHEGAGIIRRLGGSMKDSSLKVGDQILLSFSSCTT CSFCSQGRNGSCPHITAINFTGTRLSDGSNPASLADGTPVRSKFFGQSSFSKLAVVSE TSVVKCAFSSEEFAIMAPMGCGYFTGAGTIMRVLKPSKKTTVAILGMGAVGLSALMAA KAIGVERVIAVDIVDAKLELAVSLGATDALNSGRITSLAETLKGLVSDGVDQIVDTTG ICSLIEEGIRGLGHGGVFALVGVARPSQKVSVDPLDMLLSCKRLIGVIEALSDPVELI PELVKLQREGLFPVEKLSRAYPVADIDKAIADLKAGRVVKPILSWESV VE01_04454 MEVQGQGAPQNATQRRARPPKRHKIAIACDACREKKIRCDGQKP TCGNCKRKHKAGDRCKYVSDSSRASADREHVRYLEDRIRMLESDQASPSRIAHAVRHS ELAALHRADEVPSVPPISNRNLDILSAEAEAHPSDRPTSTVSPLGPFDDRLQGPDGRP FPEQDGHVACSSVSAMGAASHISDSVTAAQELFYGSSSAVSFQHQVRETLRASTGESD FVYPKLPATKQSRAAVHGLSSFLGEGLSSRLEALTLPPRALADHLLDLYWARVHCLYP FIHKPSFLESYEQIWARDSAVDDRHVSTEAVGLGGSNCGPATFSCALNAVFALSCQFS DLPSAEREALTSTFFLRGKYFLHIDILDEGDLALVQALLIMAQFLQSTHYPDRCWNMV GLAYRVAQGIGLYIDDGNENRSPIEVEMRRRAWYGCIMLDTVVSMTLGRPSITTGQSD IPLPIASNDDSLISLSASSADNVPVTSFFVQALKVNKILAEVLTDVYKPLSHLGGRNK IGQQPRFCFFDVIIDLDNNLSHFERNVPEQLYWGWRDQAHRSTEIVTRQRNVLHARFV HLKLLLYRPILNQLCVESKLGVAAKGSSDRERQHATSNMLYGHFAQRCAEACVGAAEE LIDIVDHASQTAATDAWWYNVFYLFSSAMVLILAEVCPTVLASRVPSTVQKSWDQCQR ALERMGLHNDAANQCAKTLYSMHQQIYPTSIR VE01_04455 MWNGVIEEVISTSYQPNGHEQERKMSNQQGLLVGGDTSRTAQQV QAALERFFIMNEEYNKVICIGEGCRKAIKAQAVQRHLQRRHNVEGVLSKRIADVIGEE VGWRWRPSNKRLPVNGLGPQKGLEVFKRFQCRFCNELPARTVEEVEHHLYEMHRETEG HIWDEVPGIVEVAEEAAPAEKDAVEEAAPAEETAMDDAAAEEAAMDDAAAEEAAMDDA AAEEDTAREMDPKKIPDDVTEDSESWSEEEGQEEGEGCKEKENFEGWEGDCFGWRWRE AEQAGWDEMAEDWVVMY VE01_04456 MSDSDKQMLELLEEWSTLFIDNAKYQRLICKECMVGVTVGFIGK HLKKKHGVKMDMANKVEKHIRASHWGWVDCLGLKPEDGKRAQEGLDVFDGIRCKFCRN FNSRSVVEVEEHWKLMGHGEMKGCSIEAVPMQSWVGREGKRPELWVVEKEEREKDCQV QKGHTEEFGDWQLEIIKEGLKRVGLGDEWVVA VE01_04457 MLYHAKVYDRSGKDKRLSEWKSGSKERRVVVASNALGLGIDTGD TRAVVHAGMPRDLANYVQDSRRAGRDGLPSEAIVLLPEESAKRRRGINSRELGGARPV VYRHKKETGDREEEEMAREVEEYV VE01_04458 MDQFIHLPEFRVIICKKCQFAVLPSEIDAHFTREPVHGLSKESR KRIFEKVAKIEGLIRNKYMLGQVEFKYQHRNTEAIPGLEEPKTDGLGCTFEKDGEKCP FVSRFEQPIREHYRDVHGWVNPRRKGRPKRDSKKEVLWEKGVHCQRFFTHGLHSNLFR VEDKKKPAPSPEGPEVNREVKKKIEVSDKSQEPNLWLRRVKFDENLRGLDRDKLRALI EPVDAQEEEELVIIHQSFDRVMDECQKHVVEEVVGEAALFRVNATEYGKRGENPFYMD LKDRTHLKLDWEKEERPPYRFTQGQGKAFVKLMQKAEDFEGVEVKEELSREEREQIEE LDRACLRFCIELLDHRLVGNPYDSAIISGLSILGIRPGET VE01_04459 MKSLLYGLAAFSLWQTGLAVKDSNGNYILKPVTDPSLLSVRDPE RTITILEPILPSEMGLHRRAGHFPVGLQNDTSIFWGQGGNGSNVMVNLTLATGETQMI LSMDHFKEELASVICDDDLTLTFKDETTYQDAIDDWEWVNFEEKRTFIMIVNYGGCSS ESGRQPWVVTAATYDNANFRVEFTANQTEWYDLNNPYEIEWGTYTPLSQSALAARWNP FDALNDLTSPNSSPEFDVNLAHSIPETLWEKTTASGLDLTIGCDACGTTGKITIAGKL KGSLLRLSIDEAYVQAIPSNIRADFNPSFTVAGELVGGWKKSWDILKVPLSEFSIPLV FSVGPELRLSAGFELSGVQGSATVKTGISARIPDSATAKVDFHGSGTHVDGWKPDITT KPITFEAEVQGTLAIYTAIGVDIGMTVFKKIDFGVGLELQVPKVTMTLGAEFNSAGEV CPNRPEMFGVKFDASIGVDLKIQGWNGDKDRPFFEEDLWNAPDLYKFPHVCIPFEFGT KSPISLTKLVSVPTSTKVASTTSETPALTKEPVTTGEPSKATSTKEPATIEPSKPTTS TKLTSEEPSKPTTLIHSTTEVTTIEPTRNQTAITVVEPTTTGGSSTGTANPTSIERTT TGHPPIGTGPIVPSGTGPAVNTYPPTSGKPGGPILSNSTGIWISKQSSPTTTPIIGYF PQPPTTVTAPITDYFPESTAGPYNPGKPDGNQQGPGEGDPNTGPTTTTITTSPTYPTL VPGPGKPPGNYNSTITTSTFTSSYNISTSAIHYTTPPVPTTSLPVYGYGYGNGGPEDH TKGYRPRGRLARHLV VE01_04460 MRLLSNELPMNNKPNYPFANQEHKVKELLHWLRVAKSTALFLLF AVLGNFVLMYGLIRYPCKPSPAECSTYCTAINAPRMVELPPPIEVAKLIPTSSSPVST EVVVRQSKIEVRGADFKESKDLSVRPTSEVSTHLEDRNRWWILAMSGFWTANQFIAWW NMVEACKDFSDASNVINCVWGAVTTAITAAGAFWGGQQTFGRLQVWLSNNAIQFGGFK RDEAGLELLDNLSTILSSPVTHLGAFDFAPLGLNGTLSTRTSKDPIDVFGFTSPEGLP MHFSFLGHLDNMDGNGKKQFAFKFGIGPGVVPVKGREIFNHQYFTKGGIDFLLNENIG EGGVLSTKYDYAQMLQEVECLMRTGSTAAGHFFQIFDNDRKGTIAGGAVAPFRGSDHW SAITQMYHGKWPLPLDKRF VE01_04461 MMNLATSLSQQGKYGEAETMQRQTLQLQSLRQQGKYAEAEAMDQ QTLQLQETVLGKDHLALVAYRCRPLLTGQFYHSVRTSLCTSTVHVSAKPQQATGLPHT LTNKAV VE01_04462 MVQHLFQSLAVAALAITRVTACDECYGPTDFITHERIVRRMQPD AQGASYGPTQELEWGQINFLQTTDTHGWLEGHIKEQNYGADWGDFVSFTRHMKAKATA MNVDLLLIDTGDLHDGNGLADATTPNGAASNPIFENIPYDVLTIGNHELYVSDIAYET FADFSTFYGDRYVTSNVQIFNQKTNKYEYAGSKYRYFTTDHGIRIMAFGVLFDFTGNS NASIVTPAAKMVKEQWFLDALNIDRPIDMFLVIGHNAIQGSTSTTGTVLNAIRAVHPD KLIQVFGGHTHIRDAVIYDHAAVGIESGRYCETVGWMAISGIESDNYYGASLPDGVPH PTKSAFDKPKTTSTSTASSTTSTAATTQGKKDKPDHPDHPKHPDHPNHPGTPTTTTTA SPAPTPSGYRFARRYLDWNRLTFAFHAETSQDKSFDLRQGIDITNHITDTRKKLNVTS VYGCAPQTWCMSCLPFGSPGNIYTLLSKALAAVIINPERSTIPRIVIANTGSVRFDLV QGPFTYDDSFIVSPFTNTFKYIPDMPWSVASKLLNVLNGGAYQRRDERQETDMDLGYQ FPTRDNTEACLDPGTVSPELSKRSTRGIVRRQSSTLTPGYNTTDDFGNDGDDTKHSEI PYFPQPYDFQANASFPADGSAPATVDVVFLDFIGSYIITALRKIPGGEKYTSADFKDY LPPTFLSNQYLPEYAKIAPDWQKDMPNCPVGKGVGFAKN VE01_04463 MLALRASARLAGRRIAISGRRLPSSLPRAAVSSALVAKRQYSAP GSDTTTRSTVIQLLSNIGSKREVQQYLSHFSSVSSQQFAVIKVGGAIITEHLESLTSA LALLTNVGLFPVVVHGAGPQLNKLLEDAGVEPQFEEGIRVTDGKTLAVARKLFLEENL KLVEKLEEMGVRARPVTSGVFGADYLDKEKWNLVGKINKINKDPIEAAINAGCLPILT SMAETPDGQVLNVNADVAAGELAKSLQPLKIVYLSEKGGLFNADTNEKISAINLDEEF EHLMSQWWCRYGTRLKIKEIKELLEHLPRTSSVAIIHPADLQKELFTDTGAGTLIRRG SKIDTATSLSQFEDIEQLKDVLVRDREALDARATVNRYVDGLKDKQFKAYFDESMDAL AIVQPSTDSSGIANLATLTITKSGWLTNVADNVFAAIRKDHPKLVFTVKEDDENLTWF FDKAEGSFTKDGHVMFWYGTESAEEVTQLMTEFTKHGRSMHGEANLDERLYRAANAAS AISGPNAPRQQARAFSTSARPTSRIIRGRTTQTRGYATTNPNPPLGKHNSSNSQPAKV ALIGARGYTGQALIALLNAHPNMDLRHVSSRELAGQKLKGYEKRDITYENLSAEDVRR MEENGEVDCWVMALPNGVCKPFVEAVEEGRGPQNSVIVDLSADYRFDSKWTYGLPELV SRSDIARAKRIANPGCYATAAQIGIAPLVEFLGGQPTVFGVSGYSGAGTKPSPKNDVE NLRDNLIPYSLTDHIHEREVSNQLGVEVAFIPHVASWFQGIHHTISVPLDRTFSSRDI RQIYQDRYAGEKLVRVTGEPPSVKAISGKHGVEVGGFGVHSSGKRVVVCATIDNLLKG AATQCLQNMNLALGFAEYEGIPLD VE01_04464 MSSQPYDPYQPSGNGAGSAKGPRAHVDTEQLQQEIDGATAKMQH NVDKLAQRGENLNSLQDKTDNLAVSAQGFNQGANRVRQKMWRSNMKWKIALIVGIIVL LCIIIIPIVGIPGTAYLRAAH VE01_04465 MHLGIYAAFVVGALLSICGISSAIHVDLSSSDAIKLSAKVLAGD MLSHYHGNEPGQIPGNLPYPYYWWECGAMFGSLIDYWLYTGDSAHNDLVTQGMQFQVG PNNDFMPPNQTKTLGNDDQCFWALAAISAAESNFPNPPKDKPQWLALAQAVFNTQAQR WDKSTCGGGLKWQIYTFNNGYTYKNTISNGCYFNLGARLALYTRNDTYADWATAAWNW MSEVGLIDEEYAVFDGSDELKHCASINRVQWSYNVGILLNGAAAMYNYVSTFLILAFT FTLGIIISLLVLAVKQMFIFPADYSQTGGTDTWRRHTSGLLKTTSSTFFRDKVMFEPA CELDDTCNTDQLSFKAYLSRWMAATTKLAPFTYGTIKPLLRASAEAAMSHCNGGNNGR TCGLKWSNWGNWDGSNGIGQQMAALEVLQSNLIHATKGPVREEDGGTSQGNPSAGGDG RGNKDVKAGPWSQPAGTGDRVGAGALTAVMAAGVVCGVWWIVC VE01_04466 MNVTTSTSNSTNATSERLGWTETPNTRGSIDILYTCLSTIFLCS WTVLHLNIPSCSDTSKKIFLRKLKWMGIAIIVPEFVTALAFNQWKQAWTIKRRLKTVS WTQAWFCVSGGLRYSEIAPADPNVPGSVEGKYRPRVILFCDDKGRRIIPPEAIPSIGL PSDDMIKAENKTDSLSQLIVVLQVSWFTVQCISRAAENLPISQLEIGTVAYVGCTMLT TAFWWYKPLDIRLWKSYSDSEVAILDEVGSGLDSPKAQICLGYYRLPNFVLPSEVRAK YQTNRTGSQNGGYEWVEYDGEDLFGFDGNAIRESVIGSILASLLGSIHIAAWNLQFST KFEKYAWRIGALIVTILPIFALGLGYLIVVVDLMRPIRWLGKVSDNAT VE01_04467 MASHANENLDYDISELVRTDLREKLLPPIVAEILSSPPFVKISG VANVRDISRTRTAVNIRQGKLVVDVGVKTIFDLRKPSEREKYPGPEIPRAETVWLAYA KTPQKTDMKAFAKDDGGVSAFVDMYDDALKVLQPTFRAVFTHVRDQPNKPFLFHCTAG KDRTGVLAALILQLVGVPRDHITHDYLLSRVGTEPVRQSLSSSLQAGVNDRGSNPTHF SPGMLAFMSVKGTTITTFVESIESRFKEGIVGYLKTDLGFTEEDIEKMRVNLAPSH VE01_04468 MVRNTVTDGASESREGLLRPSLDQAYRSSSDSDLDATDYLKYQE PPSTESSEMKPLSKLRRSCTRRSKCCLMIAVVVIIIGIVVSGGGWWVYNMVPVDGESP PWYPSPLGGEIDTPWKESYAKAAKLVEKMTIPEKVNVSTGVGWQMGLAVGNTAPATHV GFPSLALQDGPLGIRFADNATAFPAGLTVGATWNRTLMYARGKAHGQEARLKGINVLL GPCVGPLGRMPAGGRNWEGFGADPYLQGVAAAETVKGIQGEGVMATIKHYIGNEQEHF RQAREWAIPNALSSNIGDRTLHELYLWPFADAVKAGVASVMCSYQMTNNSYSCANSKL LNGILKDELGFQGFVQSDWLAQRAGVASTLAGLDMSMPGDGLVWANGQSLWGPELTKS VLNGSVPVSRLNDMVTRIVAAWYQLGQDDESKFDRKGPNFSSWTNDAKGRLHHGSPDD TNLQIVNQFVNVQGEEENSHAEIAKEVAIQGTVLLKNSGILPLSRVGWPEGATQDRKF RVGIFGEDSGPGNGPNACEDRACNQGTLGSGWGSGAVEFPYLITPVSAIKAAVDKAKV DVTDSLTNKAPPGSSSMLKDQDICIVFVNADSGEGYKKSDGIAGDRNDLKLHKDGDKL VQQVTNGCGGGKGDTIVVVHAVGPVLMESWIDLPGVKAVVMAHLPGQESGNALVDILF GDANPSGKLPYTIGKSLDDYGSGAKVLYLPNAPIPQQNFSEGIYIDYRHFDKYDITPR FEFGFGLSYTKFEYSDIQVTTVKGKSALPDLRPNATAPPTYDQTLPDPSSALYPSGFR RLAKYIYPYIDNVSDITTGPYPYPDGYNTTQLPSQAGGGEGGNPSLFDVHATVSVTLK NTGGVSGAEVAQLYLSYPKSPVPSDSMGGMRRGGWFDTDMSDFPVKVLRGFEKINLKP GEIKDVHFKLTRRDLSFWDVREQNWVMPIDGAFTIRVGSSSRDIRLEGKF VE01_04469 MNALTPLSDFAPQRLPMAPPMPPTGFIDLPVVPGYVPPELFTNF PPNAPAFFSTNLRSRSIRLVPKPAPQYAVRTNNPNDIYERANFYRDKYPGFVHTIQSL PIAWEDLYTYFDPLDIWMEGAGFCFNVIHRLAATNIEKRRQIESFVNEWSVVNLAKLA RVPPNTPVMAVFEPEDYQYFDFDNLPRTDMVDVCNFLAQRCYALQPQLQLIREGLARS VEIDGNNFAPPVPTRPGPKAQHQIPWQESPNSDAIGLGIASARESAGEYHVHRREVSL EKNRGMVRYSQVSQTKIEPIYTYNPHRISRSRPMSLAGPIPTAYTPPRDFKDRALAND NDQDRVISGSKPGVNRNRAYSNVPRNKTFTKYEAAAIVEVKTAIPDTQNPAEKYYYIQ NSATLVNAVIEEGRSIYIRGFTDDEFTSDLVPTMMECCGEIEGYRPLKDFAFMTFKAD TSATEAIQRFNGFFYDGRVLIVAPYRRKAEIDNRNGPNRHRGDSFRGNQRYMSDHTGD SSEAGEHRRTYKTTERDQNASVSNNGDQMPRKEANTPSKRYNTPSKQNLTIKKAYIES GTTPRGGKGHRSTSSGQSTKTTKSFDTGKLRNDSIPGIPTSKGSLAVSENLSVPEEGK YGTSFSSYSSSFTHKESIFDESPAETLLYHTMKNSESSSGNKESFISSDVLSASPERR DPSTTMGESDRLGKKKKKKLKSIDFIAIATGGTGHSTRKTAAEVMSAEALKSEGISDA NNDDAGSYNPPKLDSETKDEESSTQPTSNKGLAGVGEFGGRSPLKRPTKVNRGSPRKL TTDEGSPRSSKKKHGKTDSNNSSLGIETRVKKYEGYELTTNEIIYNPGEAPQSEAGNQ VKVKFFKRNKSNIDLKENTHSERVLPATEVNILTDPTHWPSLGEEKPSFRIDQSTTGH LTMALLNPALDECVVTARRNSMAAIISQKTRIVPAVPLLLRSVTMAETRSVSSDSAKS DDTIITVANTTGTRLWPAVVKWAGKPPSADVVENQPESAAPASCP VE01_04470 MFRFRKGLDVITLFHSPTAPASMRVHSLLKQASAAAGETATEDQ ASDHTQQTKSSVQTEFELNVIEDAPTPDQLKSILEYVGENGAGKVVQGATSGKDAFTK WKKDKGSFQRPLTVDWNNGKVVAGANESEILKLLESLPKE VE01_04471 MPPLILHNVPDDELYVGEDGIQRPYAMVFPGSETQHNTRARKPI PESGSFGRSVRRSRSKTGTPARKEDPNILVADAIFSSYVAELTKKPTPSGSSATDKSR RPSGSQQSLTQPVSQLDRNSDTDHEPNLVHHPVHRIPTEVILRGFPSAQQYAAISHYE TLAGTILEDYPRDPALSQLKFKAGRGDPTALRARTLTPEERAKALSFAGGENWIKITF ESEEAAEVAIEESPQTVMGFSVYAELWRGGPPTELDAVPAPGYGGRERHTLGAAAGRK DWSAAGRDRPFGSMPRNSTISSAGRWASIPSPPSPDTSEVTLDTATISETQSTSTLAA GPQPPARSKDDDVFCRRIPTAKKMQLLPASDALLPQQSYSQKLLASIPVISWITGDII GSEVPRKDDGEFDWALASFYWRLMWWLDFWLGFFGGDIAGSNKDD VE01_04472 MDMDKLKKMQHGKGTPRRKVKSKPKNFGVDDKKLQTALKKINVQ PIQAIEEVNMFKADGNVIHFAAPKVHAAVPSNTFAIYGNGEDKELTELVPGILNQLGP DSLASLRKLAESYQSMQKKEGEEKEDDDDIPDLVAGETFDEKVDVE VE01_04473 MAQPLSGSPSSSFFVMRPTAQSLPTSLAQQMNRASTNASAEVSA GRSAGYGQPCLHTDQTVLLPPQGPSQIPGTYASAAEAWDPITTPAHSSRDFMSAGNRG QAHLLEGIAATDACQGTEAPLNTAGSSSPAGNTTGATPRTRIKWRFNKRNFCKLLRKC FRRREKLESARWTIKGYMAEDIQSAARIRDLEASNQDLKALNLFLEGRYIVLEARNLF LESTVDDLNGECQALWDARTNLEHMHQLDAEKLRQKDHLISTLLDSLTGRGL VE01_04474 MAFQPVEYQVEASPLLHDDKGNFWTPLYSHDCSFTPEIFGKVMR NLILNPNLNSTFIARADITLDTPYTDDAVYDPIPMLLDVSGFTVDTVTIRSLIPRNPL VDNPMDQTCLIYSQKGEIETKSLVIYLPHFKSPSESPFYHPAVHGIAFLHTFNSESQQ GQVSIHYSFFDSAPKTEAVQRTALHLLRILHKHGNGLLNGYVKKVHHDVVLPQAKTQQ TYAKLKTMYAKDLITSWVEVTDPAKHVFEDLGIAAFLIELWAEMYPNGDNWPGFVDIG CGNGLLTHILIQEGYTGWGFDARKRKSWDTYSPKTRENLKELVLIPSVIQSHNESLDN KTDLTNEQDTIQGTHPGTFPKGTFIISNHADELTPWTPILANLSESPFIAIPCCSHNL TGARFRAPPSKEAGVSSSAYASLVAWVSKLAIDCGWELEKEMLRIPSTRKECLLGRRR VMPFSEIDVEDVIAAYGGASGWEENALKLVKVGPRGH VE01_04475 MRTYDDTFSGTKIYPGKGKIYVRGDSKIFRFQKGKSESLFLQRK NPRRIAWTVLFRRQHKKGISEEVAKKRSRRTVKSQRAIVGASLDVIKERRTQRPEARE AARKAAIASGKEKKSAAESAKKANKAKTAANAAKGGSRITSKQGSKGAQAKVAANTR VE01_04476 MVRKAPKPTPWGMYAKMTIGGAILCIGGPALTMWLTPTEEELFS RYNPELQRRSLENRQQKQEEFDNFVTRLKEYSKSDKPIWEAAAEMEAKKKKIADAVRL AEQKQAEQTKTPLRGVVDAIEAARNDEGAEGKADVKR VE01_04477 MAYNQGRPNDGRQPQQQYQAYGPGGGGGGGGGGGGGGQYQQDDY GYDNGGGGGNGYDQGYQQPPPNARGGGGGGQGPPPRGYPPQNQNYPSGGGYPNGGGGG DPRAQARGGRGGPDLYAQRPPPRGDSRGYGGGGNAPPPRGDSRGYPGQSGPPGANMGR GGGRPGGSDPGRGVARKPMPGPGAPQVDGLAQQFAGVDINGGDRRQAPSQGGYPPQGR GGPQQGYGRGAGNDGYGQGGYDQTNAEGNPTSPIRTGFNANGQQYPLEAPGGSAPYNG PAGRGIPRPATASSDRPGPLQRSYTQPPLPQGGGGYGGYDDGYGTAGYEQNNGRQGHD SVIDLYDHYFDSSAQDPHNRSMSLDQSRLGKSDERSNIPPLQGAASQVSYQKSVDARA GAEAQSVVYGVAASPGLDDYSHRQGNQGQGYQDDGYGQQQQQQQYNGQAQGPQNGYGN GNGQDQGFGPPARSATENIGAGGRATGPGGLPAHPAPYRPGHQQPSANQMNSKPPPVR NYNPSIASADTAPSSYPSTTSTPAPPAEPEAELTVTPAELERLRAMVSNNPMDEAMQL VLARKLVLASETLATTIPDPKTRAKTRERWVMEAHKILKKMVAIPNMDAMFFLADCYG RGALGLETSPSQAFTLYQSAAKAGHAQAAYRTAVCCEIGQEDGGGTRKDPLKAMQWYK RAATLGDPPAMYKMGMIQLKGLLGQQKNVREAVMWLKRAADLADAENPHALHELALLF SAPQSQDTSVVRDEAYSLTLFRQAADLGYKFSQFRLGAAHEYGLFGLVINPKESIQWY SRAAVQEEHQSELALSGWYLTGAKDERSGQMILAQSDTEAYLWARKAAMAGLAKAEYA MGYFTEMGIGSTQDIEGAKRWYWRAAAQNFPQARERLEELKRSGGKGGLPNRDRISRS KVGKQNEGECAVM VE01_04478 MSRKPIVAGLNRRQSSTPHYQTFPTAPPRSRGHALSSPFDSTND QDGISNADDEHHNNNHNHESPLPRRQLAVLAVIALAEQTALNSISPYLPQMVASFPST DPSQIGLYVGAIGSSFAAAQLLTNYFWGSLSDRIGRKPVILLGAILTAVAFVGFGFCT KLWHAIVVQAIMGIVNGNQGLISTCLGEITDRSNQGRAFVWLPVIYGLGAISGPALGG LLVQGGSSAKKQSYPFLLPNLVAAVILVVEFTVILIFLEESLEEAKDLPPLQDRVRAF FSWMWQFAAGAIRPTYTRRGPLHHHGHRRAYSHSSTVSGTSSTSPSSSLLRDIFAGPS NSIPIKDLLSGTTLLLLSTYFVFQLSNASFNALYPVFAFADPPLGRNIPARDIGFSLS AAGVATIIFQVLIFGRLRDKMGNKATYRAGLGLFAVALLATPTVPFADAKPPFKFLTG HMWMWAHISLVLLAKTVASVGGLSSALLLITNSAPEPECLGALNGLAQTLSAAGRAVG PVIAGGLFSAAPKNGRSGGWIPFGVFGGVAVLGFVASWGIRGEELEGEEWDEGEHDEE EV VE01_04479 MHIQLPTLLLAALASTALSTPLSNKKRFDANTDPSTLTLQQKLD LAPTEVDRIALLPNPEDHVFSFQDATIGVTTGLGGHTVKADRSTFPALIGSSGSMTVG FIGPCGFNTPHTHPRSAELNIVVEGTLKGSVTAENGAPHMQHTLEKFQMTVFPQGAMH TEWNPDCVPAVFVASFSNEDPGVQQTLQTLVGFEDEVVRAAMGGDGVIDGKDLESFRK YIPANVALGVESCLQKCKIGMTGSRAVASYIMRGMGDDGEKQE VE01_04480 MKWPKNPFPSSRRNAYREPTHHGRLPLYILQALQLLASVGVLGI MLYFVNQLRGSRMTIPWSFIIHLLVSATSTATIILLAVYAGAARPPARTLILNGVLLG LWALSLGLLVHVAKSTITSHCSSATWNNSTGMMVCRLYQTLFAFILIALASTILALTY LTHARHSNPQSYTKTSNPALLFPRTRSPPTAEDDASEFTTLAPSPTPLSAPSYASSFR SPLPDDNRSLGFPASPSPRGGGDMGAKMGEGRRGVFQPPLASPGLLSPGMGGMGGMER GKEEEEGGEVTEFSYLGGRYDRNERDLSA VE01_04481 MLDHTGFAVPPSQYEAVIAFYTAVLAPLGITPQMNFPGQAVGFG PSKTEARFWVSSKEGATEKATAGGVHVAFKAADHEAVQKFHEEGLKAGGTCNGKPGIR AMHPNYYASFVLDPLGNNIEAVDHIPHD VE01_04482 MRFQILTLGAVAAVATAAVTSKLPKPDKDGRYTISAPGITAKFI PYAATLTNLFVKDKHGIERDVVLGYDNTTFYPVDPGHPVYNAIPGRYANRIGNGTFTL DGVTYHLEKNDGPNTLHSGTNNWSYHFWNLTALTKNSITFSYHDAAFAEGMPGRVDAS VTYTLTANTWHISMSAESPDVRTPIMLTDHTYFNLDAFANPATDLIWNHTLHLPYSHR NLEADSAALPTGNILEPEENSTDDFYSAPHQLGFASGNADWVNHCGGGCHGYNGQFLF DDNVPKGAVVAELSSAWTGIKAQLRTNQAGLVIYTCNWSDGTAPIKSTQGLKGRKAVV NGDGCVAIEAQDWVDGINHPEWNRLDKQIFGPGQEYKWESSWTFGTL VE01_04483 MEGQQGIKRKAEASPERTGRAMTSKLIKENEVDKDITTSTSTST LSSDSPKSDHSANTSVSSRSDNGSDDEDEDEEYDEDEEYWGDEHEHDDDDYDDLGPPE REVDEQRHTLGGSEVTFKLFIQDDDEGFDDWMHTIHVHCSSDGTEIGRAFGSFVKRDR ITANFWRETEKPCQELSSVAFELFDRYGRLNREFVDHTVRKGSGCWGSELDVGSLFII EHVLMERDWRRKGVGKKMVESLIAKASAEQKIPETLASNSSADDRRAKSFLNKNDDKA NKLAFTLVSPGWLNGDISQDLIGKTPREQRDIQFAAHDISVAFCRSIGFRRIGASSCF GLAVDPNHEAHKISINVDYNPAEEEVDDEDLEALHTADKAFFREEEEHSLLMELRECV KHYEEVNKLPNAKEEWKKVDKKLNTVLHIASCEYKLKTVEWLLKNADVCQTLGSARNI KGYTALEGLQNVLEAKRTTKERGMMTICISDHFSGFPIDAVNCLAALQGIKVPSQSQS LRLKFGCTCGQCVDGFFSVRMKEALLSKAEMCADMLDEYDDGHFWVEMHRNLTRHVAP DILHNFKTNRSLRHGFVNIFGHAADAMLRGATPSVQNVLDAWINSGEWPPVTGSYFHR GGTAVDALRVMFEAVRAEDHWAGNNIFGVDEELAALPHCRNDLEFGMVALMCGMPNL VE01_04484 MLRGENGSPRENQDIPQRPHELASITPTVRDDEVRTHQAEPRRR KQKSVASQNNDSNGASYDVPEGLANLNEVDAPSPDENPHEQLQYRRSFLETTRSQQKE YERDQRRRRLSGGGAGEGGEGQESPPDEPKEAVPGHERASRVATEIYILSYLILFSIL GTLARIGLDALTRYPGAPVGISVLWANFGGSLLMGYFSEDRRLFMDSDKGQNGATPEE ETCENVSSPETGRGGSGGAVANEPDSEALRIAARKVHLTFKKTIPLYIGLTTGFCGSF TSFSSFIRDGFLALSNSSPTIISASGSSSVIPRNGGLSFMALLAVNILTLCVCIAALK FGAHLAIALHYITPSIPVRLMRRIFDRITVVVALGVWVGAVFMAIWPPDRPTGPAANG ETTWAQETWRGRVLFSLIFAPLGCIARFYASVKLNGLKPSFPVGTFVVNIFGTIILGM AWDLQHAPLGSLGGRIGGGLVGCQVLQGVMDGFCGCLTTVSTWVLELTSLRRKHAYTY GVASVGVALAFMTIIMGSLRWTRGFADPVCSS VE01_04485 MATQVSETVELEQYRQRQSSSPTPAQTPKTPNHPTGLPSSANTS YFDPADPDNVVLASLLADSQVPDGGYGWVVVFACSVLCFWFVGTTYSWGVIQAALVEQ NLSSPATLSFVGSLTCACNSLFALLNARIIRWAGARNTALAGIVLFGGGQILSGFTAH SVPGLFITMGVIMGMGVSNLFMVVSVTPAQYFNKKRGIANGIVYAGGGLGGTAISFAM DALIQKLGIPWTFRVLGIAMLVTGLPAAWLIKERAPIKTTTFIEWNLFRSFKFTVLFL TGVVATFPLFVPPFFLPLYSTSLGLSPSAGAGLVAGFNFSSAVGRLACGFASDRFGPL NTLLMSLLLSSLSMLVLWPVSTTLGPLIAFVIINGTGNGGFFSTIPTVVGRVFGSARV SVAMGMMVTGWAGGYLMGAPIAGYLLAAYGGEHSTLKAYHPAIFYAGSMAFGATILAA TVRLSVNRNILLSL VE01_04486 MASILAEKPWSADAATASEKLPVSRSEKLSWTDISAAKKEEVNA RIPSEWLIPEDLLPPTSQTKVDDFVATSGFFTEGEIKITASSATDITANIVAGTWTAE EVTKAFCKSAAVSHQLVNSLTYTQFPEAITAAKELDEEFSKTGKPRGPLHGVPVSLKD NINIKGAPSTIGFVAYANEKEEKNSYLVDLLVELGAIIYVKTNVPTAMMMAETVNNIF GTTTNPLNRKLTPGGSSGGESALIASYGSPLGVGTDIGGSLRLPASTTGLFTVRFSGN RLPNFDFKAGMPGQEAIVSVQGPIARTLDDVILYSKSIIDSEPWFKDPKLYPIPWREI QLPQKLKFAIIWDDGYVRVTPPIQRALETTVAKLKNSGHEVVEWDNKPIGKVYNLLRR LFTADGGKTIRSQLEKGEEPAPKFMDNFLQSQEIGVYDVWQLQRERNQIWKEWLDQWN EIEGLDGIIMAAAPYISAKHSKYTHAGYTGLFNLLDYSVAVFPCGVIGDRDIDVRKAD EPPELNDVDKATREEYDPNEIHGLPVGLQLIGRKLQEEKVLAMVGRVLEAVNAI VE01_04487 MRYQPLYGNGVQEVDQKPKRAEIHKKLAFDDIWAANLAVPEPIE ACVHSLIKRVVWEFSSSPAIRSWDGDLTYQQLDQVSTKLAHQLIGVGVKPRTNIALCF EKTWLTPVVIVALMKAGAASIALDISQPRERLRAITAQISPSFILSSSANEELARELG MAEVVVVDRKMLSEETIIARSLPTVSPSDDLCVFLSPDGSAGVVVTHREFSSTITYQQ ETLGLNHNSRVVDLESHASRVGWYSLLVLTCGGCLCIPSLSGLRDNIESSIIALQADS VLLSPDVGRTTPEYAKISHLVRPRHVADVVPPSDATLKHQFGTDDGDTVNVHTVNVHT SKSYTENQYTENGNTENGHTENGYTQNGHTENGYTENGHTEFVHTEDGYTPYESTRNG YSTNDHEKKKGETYIEEGPDAVLTVKPQLNQGLERDNNGGCLPHKQDEPPTFVHAKEQ VEIYGNHVEIHQDPIGSVRTTQDRTELSHADSYKPAITPFSLLGLRSSDDREQVCSHA AWLCHIQASQVLDIMPCTPLQQGLLALTAQQPGTYVANYVFEVGQDIDTKTLCGAWDR VVAANAILRTRIVSLPGTGVVQVVIDEGVSWTISTELDSIQGDQTSNGPTIGLGTPLT KFAIIEKAAGRPSQFIWEIHHSLYDGFSMPLLMREAELAYFNKPSQGLQPMTAFIKYI LEQDKAVAKIFWQKMFAGTQGSHFPPPKAVHHPRLERQISLRIPGLDWGHSDFTPATL IRAAWSVIAANGAGGDEALFGATVTGRQAPVPGIELMAGPTIATVPIRVVLDRDATIN QLLGSVQCQAVDMIAFEQTGLQNIAQFNDETALGSNFQTLLVIQPADQGEGEGDPGRP FLDEPVGDYNKTQGRDFSTYSIIIEFQLELDGVNLCIDFDSSVIGQQQIENIAQSFKY TIIQLSDGSQGNQTLRGLHDDQWSLNRIWTWNAVVPKPIEACIHDLVSEKVRENPSAL AVNAWDGNLTYRELEDLSTNLAYHLSEEGVSGTIVPLLFEKSMFMPVAALAVMKAGGA CMAMDIKQPLERLSAIAAQVNSAVILSSRMNESLAIQISAGIKEVVVVGANQSHPAPF SLPPVSPSGILYVVLTSGTTGTPKGVLITHRNFCSAITYQQKILGCSNTSRVLDLASY AFDVAWSNILHTLTAGGTLCIPMQSELGNNLPRCLEKYNITYADMTPSVARIVSRAAL SKLNTLILGGETVLPSDAYLAGENTKVFNAYGPSECTPTATLGDATADCIGHGAGVCI WVVEPDNPESLAPIGSIGELYLEGPLVGEGYLNDPGKTAAAFVEDPAWLLRGVPGRLD QTGRHGRLYRTGDLVKYKENGSLVILGRKDTQVKIRGQRVELGEVEQHVLDAVLPLLL VEGSNEDDIQVIAETIQPEGANNAILLAFISLGNAEERNMTEESHSTAIRQVTDALTD RLMEMVPIYMVPTAFVPIYKLPIMTSGKTDRRQLRAVGESVYLQYIKDSSMNDPAESL SDLETILQQVWMSVLGVSSQEASVNKPFTRLGGDSISAMQAVSQGRLHNITFTVGDLL KAKTIRNLAALCRVVSQYDLEDEQEDETDGESFEISPIQQMFFDAYPNGLNHFNQSFI LELQRPVETIALKSALETLIRRHPLLRARYSKSSDSGRWTQVIPKEADAQSFAFVEHF VAHHDEIDKPGQLRQESFDIERGPLFAIDLFNIPDNNQIIILSAHHLVIDLVSWRIIW NDIEEYITHGTLLSQPTTSFRTWCRRQANIGRNLSPLAVLPYPIPKPQLEFWGLPLSE NTYDNVEMHSKLFDLDVSDGLFGGSNTSLKTEPVDIIIGSMLYSFLQTFPERDPPVLW IEGHGRDQSDDLPFDVSSTVGWFTTIYPLLVRLGRDSSVIDAIRIAKDNRQKIPGKGQ PYFACRYHSESGREVFHGHDVVELLFNYTGRYQQLEAEDGLFGVPGYLFKADTGISEI SKLATRPSMIEIDANVDEGMFLISFNVHRKLKHQDRIHEWIETFSDTLRSVTEDLMRS AQSFTLADLPLLSLSYSGLDTLLTEQLPSMGIRTDAVADIYPCSPLQEGVLLSSQKEI STYATFSVWECVPTDTTSAVSPSRLEAAWRTVVSRHTILQSVFSLHPEDNAFIQIVIP ESHIRVTQMTVDDNPSEALNRLEKPKFAANEPEHAFTICQSQSGEVSCRLDANHTLVD AASMSIIVGDFIAIYDNYVLPPAPAFRDMIRYISSTPSTQRIASWTKLLNGVEPCEFP VLQAPPESEGEGHSIPIPVDVTFGIAGFCKTLGITRSVFLQVAWAMTLSQFTGKFEAC FGYLASGRDSAVDSVETMVGPLANLLIGRVDLRAPARRVLERTMGKSIEHLNIQHTSL AEIQHQLGLSGRRLFNTSLSIHASNKAKAGPERQKGLSFKSHNGEDSHEYDLTLHASI EEDVIKSAIEFRAPYVSLNAAQETAAIFIKAIQYLLNINIDDTSFPNSEQSLVSSFFK HNVGTEEQLTRTFWQTHFDNIQGSHFPPMKTATYRSWPDREIRQSAQSLNWANRCGFE VSTILRASWSILATRILGSNEALFGVTDKDDKIVVPIRILLKPDDSVAEFLQEVQRQA YEIAPFERTGLRRIRLMSDEASLGCDIQMLLHVVDHSSRVAGHQPGPIGETKDSWQDS LDSCAFIIETQVQENRTDMCIKFDSSVIGELQVTRIINQFEHILHQLLSLDMREHKIR TLAVASPRDVCDIWTWNATVPAPVKACVHHWIIQRAREQPLAPAISAWDGDLTYGQLL ELSTNLSYELVKMGVGHGTIVPLCFEKSMWMPVAALAVIQAGAAAVALDPTSQPEERM RTITTHVKATVILSSVENSELARRLGINEVVVVGRDQLSNQTNSQSDETHGPLPERYL GLPSVDPSQLLCVIFTSGSTGVPKGVKLLHKNYSSAIAYQRDTLGYIKGARVLDFSSY AFDVVWANLLNTLTAGGCLCIPSSDERQENLSECFVKYNITMVDLPPSLARHTTGLSN LNTLVLGGEAVLPTDAYLAGDKTRVVNAYGPAECTPSAAILDLSAASEVGLGRGVGVC TWVVEPDNPDILASIGTVGELWIEGPTVGDGYLDDPLRTAVSFVQDPAWLLRGVPGGR PGRRGRVYRTGDLVRYRDDGSLLFIGRKDTQVKIRGQRVELEEVEHHLRQVLASKAAD IQVFAEPIQPRGTENKMLAAFVSVDGAGEEHDSKVKRVSIGVNDHLSEVLPLFMIPTV YIPLQNIPRSITGKVDRRQLREIGSSLTAKDIAMLCRLDGERRAPETDMERLIQRLWA EVLQIELDSISIDDSFIRMGGDSIGAIRLVSVARQNGISLTIRDVFQNPILRDLATVC AQVPAGSAIGK VE01_04488 MPASRTPCSIAVEDTFGPIVAGPCLGGFDFTLLFEEAILTILPL GIASIWALLRIRVLRHQTSKVRSLWLLPLKMILFATYVFIQAVVLAVTTIDGIPTTRL TLWCHASTIVVYGILLSVSYLEHTRSVRPSTLLSVYLGTSILLDAARVRTLFFISGNE VVARLFLAGFSVKILIFVLEVSEKRRFLRSKWQDASPEETSGAINRALFIWLNHLFLQ GFRTLLTVDVLTPLDSDLLEASKPSALVKRWEEVDKSSDNALLWTFLAHYKWSLGAGI IPRLAYSGFSFSQPFLVQRVLDFTAETRGPNSQNIAYALVAAYAIVYIGISLSFSVYQ HKTYRLLTLFRGSIIALVFDKTLRVNSSAAENAEAITLMSADIDRIGSSMTLVHEVYA SFIDGALAIFLLYKLLGVAVVPPLVWIIVCLLIGLPLAKAAGNAQIPWLEAIEDRLAA TAKVLGAMKAIKLTGLTDIVSSRVGNLRLAEIHASLRHRVLVIFSAVVSFASTALAPV LGFATYILLDKTNDSLGLTEGIAFAALSAFELLDGPMVVVIDGFEHIQTVINSFRRVQ EYLLTEERADYRTSLEDVRPSSRSRISRYQRYDENITAEGSPRSNLVGLELEVIVEDA SASYIAEDDPVLQNLSFEIPRGQITMIFGPVGSGKSTLLKLLLGEMPYATGSVATGFT RAAYCPQSPWSTWGTVRSNIVGMSVWDEKWYNTVVSACALLEDFSELANGDQTATGAQ GSGLSGGQKMRLSFARALYSKNPVMILDDVLTGLDRATERHITDAVFGPNGLLEKLNS TVILATNSAHHLSFANHFIFLDDGEIVRKGPVDTLSVDGGVVLEKVMSQPAATTPRPE PEIPDEAQDALKELELLRAGHDTGDIQIYTYYADIAGWWTIAAYLLGCVTFVFGMTFP SVWLQWWVNANADHPNERVGYWLGVFGALAVVTILGCALADTMFNMVVLPKTGIRFHE LLLSTTMQARTSFLTSTDAGTTLNRFSQDLELIDSDLPQSIDETVSQFLSVIVSAVFV FIGSGYVIAVLPLCIVALGLIQVYYLRTSRQLRLLDIEEKAPLFSQFLETVNGVACIR AYGWSEEYTEQNYKNLNRSQKPYYLLWCIQRWLTLVLDLLNAGVATLVVALATNLEGG STGFLGVALFNIVIFSSTLQGLVTAWTQVETALGAINRIRSFILNVENENQEDEVGTV PEDWPERGGIVFKDISASYEVSLGPVLKEISFSIQPGEKIAICGRTGSGKSSLISTIL RMLEIDSGSITIDDVDISKIPRQEVRSRLNTISQETFFLHASVRENIDPLETASDERI IEVLRAVSMWDYFESRDGLDGDVEEEKLSHGQRQLFCLARAIIKPGQILIIDEATSSM DSETDELIQRALRNEFEGRTIIAIAHKLHTVLDFDRIMLLEKGRIVETGNPQELLETA TSAFRALYESLGEDVQ VE01_04489 MAAPFPSPTSMWHTNTYHTLSPTRPELSAQGKNVLVTGGGSGIG AETARYFAEAGASRIALLGRREQALLDTKASIEHKFPNVEVFVAATDITNKSEVDEAF KKFVGDQKIHVLVNSAAIVGPRESVQEADGDKFLDAVQVHLKGALFIAQAFLRHSSTD AVAIEINSSAALVNFSPAFVSYSVAKLAVFRLWDSLAFANPALSVFHVQPGIVDTAMN RETGGVDAVGFEDHVSLPGSFNLWLASPEARFLKGKFLWANWDVDELKARAKEIQSSA QLSIGLAGWPFGDPNWKATWN VE01_04490 MAGFSSYIGQFRAEGDGHRHKSLRNGVKRNRPRLSCAPCCARKL KCDRGRPCEKCVKRNDDDACTYDSPPETPQNNAGAWVEPQEKLLQLEKLVMQLMESES CGIQRADPHIITRPQTDDAIPNLGTEGHLHQDSSETRYSGSTHWSTILDNIQELKTTM DAIPSTYTDHEDPVDLGIPEGQIIFGSPRDYSMQQILSESLPPRAQVDRYLSAFFKAQ MLIAPYIHIPQFQRQYEDFWKDPLRASPLWVSIMFSLCCMSAKINELPVPAPSVFEGQ SNARTVFLNAAGQCLVLGEFTRPQSQVMEALGLYAQCKYMFSLDPSRELCIILGVLSR LAYMMGYHRDPDNFGNFTIFEGEMRRRVWSACRQFDLMVSFQFGLHSCIPADSWDSKA PRNLLDSDFNEETSLLPLSRPQTDPTPILYFVVKDKLLSGFTKVCSHALSLKVQSQEE ILDLDAEIRQMYSTIPESLRIRPMEQSLADPPFLIISRIYIEFLHQKSLCILHRRHMA LGFEYSTKACVNAAMTIITYLIGVNKELQPGGQLYANSSWALTSFNMNDFLLAVMILC LSLSKWKKQNPGKRVEEYPSIYSQLDMLRKAYAVCVEKSAVSKESRCVVSPVKSILSQ FETEPPSHTSTSTGSYTNINHANCLLLNTAPSLGTNGITSLSLNEPQLVSFALGEPTT TPNEFNPFEDIFGDFENVDWTYLSQYLVGPNMFDRQMEHF VE01_04491 MSNAPIILITGANTGIGFEVIKALVDSPNAYTILLGGRSIQKAK DAVKLAKEQFPNSQSTLSAIQVDIEDDASISKAFEEVSTKYERLDVLINNAGALFDFE IQSGRMTMREAWNKSWNVNTTGTQIMTHTFVPLLLKSSDPRLLFVTSGTSSLTETESS DMWVNKVPAKGWPKQAISMPSYRSSKTGMNMMMREWARVLTEDGVKVWCISPGFLATG LGAGPAANKEMGAGDPALGGKFIKDVVEGKRDQDVGKVIRSGSNQPW VE01_04492 MDPVSIAASSASLAVFCLKVAAAVYTTTDSVRNVDTNFSGLHQE LMGFSGTLSAIEKTWKQNTAVLMAYSGPDATLWTSVTENLDNSKLTIEMLEKALTNVK KGGFFGHGILSKPTKAVKLSLSMSDITAYQHRINSHHIAMQGGLQMISLCLHIKGNAS LQSLDTSLANLGDMIKLISQRAEAALQGNNISSSDPSQEENGNSNIASGLQSMARAAE AVFTSASTVIDGRRSTIYGGSVIGENAFQNDGGIMWGGSVLGSPLTEEQYSGIENWIP PPAKDKEDGSTSQPSRGNLPTTGNPTKSNTDFLGRAIDAVKQAIALDNAKDYENAYKQ YYICLELFMLAIRFEKNSESKRIMQNKAAEYMDRAEKLKAYLHTQATGIPIRKEVPVA SASPANPGIPLVLPPSTSIRRFEDYIVQAENICGADNVKIITEAEETHKKLLGALDIL NLNVSDRDNLLLSAIVRPRKVSEAQEIVRLCNTFETPVWSFSGGSDDDYRAAIPRVPG SIGLDFGSYMNKVLGVNESGAYVVVEPGATHADIDKYLVDNKLNEKFTIDWPKYTERL ALGNAVEGNISTLYCGKEIILPNGDLLKTGLIQYPGTRPEVEQLEFEETQPLDSSFGV FNGKLLSEKGLGIAVKLSICLTSNPADCQPYQIGFPKEGDLPKIVYITQKLRQTMSLQ TRPSLYYIPLDTMSPNASSDAPLNSIEPDGINTNRWILHGMIYGEKGVRDALCQVIKE AFGQVEGAKFYFPESVHHDQSSCCVRWNQHEEIPIAEQKWTTWVPKGSQVFFRLTSKI SGEIAYAQLSITKKRIQDAELDFLGAITIDERHMHYTVYIAYERHDSDSCRRLHKLIL TLVDDCEKNGWTEYWTYGALMDQIAAIRDVQDDALAKINTAIKDAVDPAGIMEPGRNG VWPTRSDRSVWKRMADRSLVSSSVVRANI VE01_04493 MSTTTRTPVYFVSHGGPNIKYDTTHPAYARLTTLGREITTTIKF KAILVLSAHWEGTATTLSINSAPSTPLIYDFGGFPSHYYRAKYPHTGSPQLAQSALRL LADAGISAKPATRGLDHGVWVPFSILFHPETNPVSVPIVQLSLFDSDSGDAHYALGEA LAPLRDEGVLIIVSGQAVHNLPDFFASRGSLKPRDYALTFDEALKQAVESEPAERKAK MGELLKRPDARKAHPTFEHLLPVFVGAGAAKEDRGVRLWTLCEGSVSWGMFRFGDLPA ESAGEKEVEEKAT VE01_04494 MSAPLAVPAMNRHTATVIFLHGLGDQGAGWIDLAVNWRRRQKFT ETKFIFPNAPSIPITLNGGMRMPGWYDIVRARNTKAIDDFSTEEDEAGIMRSRTTIHR LIDAEIASGISSERIIIGGFSQGGAMSLLSGATCEHKLGGIVALSGYMLLKNKFKDLV PEGNANKDTEIFMGHGDQDPLVLTEWGRMTAAKMSELGWKVDLKIYPGLKHSAAPKEI DDFEDYLHVWIPDLEEEPAQ VE01_04495 MAATAGSRSPSPSPSKLPPVPVSPTYSYASTANPISAYNLPAPP PPQRHYAVLTKNDLELSQTAYSELLTTAKQYRHALAELSKCASQFGSALESCARLKEA RSETLHINGGSLGNSITAQGTCTADNLMAASGIHQLVANHQQILSETVYRSFEVPLLH ELDGWRRSMEEEELAYQREVKERSREIRRMEKEGLKLHKQRKRDVARFRGHLVDLTTR LDELTAVHAGHSRALLRDSQEASVKIVEASSSLVRAEVDIYESLARKGWSGGGLDELL DKGVDLFANDLDGSHGDGTKLFSILPQKSILAEANADRPHHRRGDSMLVEGEQYQSLT GAVTGDRDEAASIFSQRTTTEGHFNKSRGVRPFSPPPPVRRLGAQEEGARTPLMEEEE EALEGGENPIIAGESAAGEQEDDSATIREERGRERSWSVTDDGVLSE VE01_04496 MADTAASHASSTPPNEKASAEHHVEVVSDGPNRKLEDGKLEDGR KSGDNGFRTDGDDEDHEHEPPMTLNRAMSLVAMAFLWTSSQIPVYLFGGIPPVIYGDI GGPDRWIWFVLANLLALAAVCPFVGALSDLFGRRWVSIGGSVLIIIGMIVCSTAKTMN PFIGGMAIAGAGAGVNELTALAATSELAPTAKRGKYVAILVLTILPFAPSVLWSQLIA QHTSWRWVGLLCGLWSFIGLVMVVIFYHPPPRVNSNGLTRRQVLARVDYVGGLLSISG VIIFIAGLLWGGYGYTWTSVHTLVPLFIGALLLVLFAIWEIKFAPYPMFPRRIGKDPR ILAMTLVITFISGANFFAVILFWPTQAFNVYGHDPVGVGLRGLPIGFSILIGACVVLW LLSVFRGNNRALLIGASIMMTAGTGAMAAATRDNLPAVYGILVVAGLGIGGIVVPASI MTTIICPDDLIATVAALTLSIRVIGGAIGFTVYYNVFYAKLVPKLTAYVLQVCLLNGI YDKESITTIAELIGASLTEHILHVPGVDGNVTKWQLIISAGELAYSEAYPYVYYASIA FGCVAIIASCMLGDINKYMDDHVAVVIH VE01_04497 MAALPKRIIKETERLMAEPVPGISAIPHDDNLRYFDVKIHGPSQ SPYEGGVFNLELFLPDDYPMTPPKIRFLTKIYHPNIDKLGRICLDVLKSNWSPALQIR TILLSIQALLGAPNPDDPLAPDVAKRWKEDEPAAIATAREWTRTHAIS VE01_04498 MEKIREEKGIKSAFDSSTFDANVSIHVQKAATDIGSATISPSGR DVAIASKTGLDIIDLDSPLNPPRHLRHGTSWNIADVQWSPFAARDYWVACTANQKALV WNLAMQEDTRQGAIEHTLHAHTRAITDINFSAHHPDILATCAVDGYVNAWDLRRPRRP ALTFVDWDAGATQVKWNRQEGHILASSHDRWLRIWDDRKGAYPVKSIEAHSSKIYGLD WNRVSATQIVTCSLDKSIKFWDTSAEEPIPERVIRTSFPVWRARHTPFGWGLLAMPQN APGNLYLYDRRKEGVESDTMAPAAAVFNHGERQVKEFLWRSRGSINEDGMDNREFQLV SWGDDKELKLQRVANSLLEKVGYVKGSQMTKKLNVTRKGAIYKTFRNVERAEMEKKSA TIKAPRLLSSSHDKENSYSVLSDEIKKMSPTKRFRSRMGINGSTMRGKPMNSERRSQI NWMSGIKFTANGGGDDEDGQGKIPARRLSVISPNFDMEGDWDTPESLHDEIIRVHQQY SKVTFNDVNMDTRSVTASMNGPWGKDGESVFIKATIKFPDQYPGPKIPTFTIGKSSLI SDETNERISQEVQQIATGFVGRHRGCLEAALCYLLGEVNLEESTQWLKGADDVDNLDD GIADVSSSDEDDDDIPATNSAMMSQELDPTSTEGILVASRRHANVPLPRLSGARFSNN GRLICFFPPKEERFKSLLGSVALDKSRVKDGPSFNTFSRLATESLPPRSKFASLNEEE DFESDSSQGSGSESSSDSDSSQFQVSVAFDFWRKIHGTSYRKGLSTNRSNKSSGAGTG TGTGTGTLSKGRLSKPKNTIAMYDVSDYLPGKLELAQEYAVFGEGTDVCNHNAMIAMK YGHQERADLWTYAGMLLQHEVPLEILDQSHRKEPILVIARDMIRHCRRDSQYDSGIDM PFDSKNLAISGRVKWGYSPLAKNLIDDLFDHFEKLVDIQMLAMLSCVFSEPSAVDPLP NTDIRLTQPQTPLSMKTPAFSLDYFPSDIAVWSAYQKTPYSSIPSTPRAALTPFYGSL GSSNGPWGSDPASASFSCGETPPLRSTGGSLERLNEKLRLQSQSLSTSPDDRRLLQRA NSGLAASFASNLKNPFYNTGSSSPPNRKRPSPVESMISTLTPSAVTWGNTTYLESVRE KSPYAKSAYSDDDSTTVNETLVPVTGISVTMHNQSAFDDEGCMSTPLLEFEHTAVFKS YRMLYAEMLFAWSLPFTRLEILKFDSLPEYFTPGPPSPPFSKPNAASIHSVDHDMPPS PILLGKQDNHQPVSDRGLSITAYCLKHEHRLEPLTSPASTLGGAVGRCERCKMTKRQL KCVICIEPITGDYAACLSCGCASHQICLDAYLSSWDDEEATKDDMTCAGGCDCNCTRR AGMGVVESWEVMMGALEKMRKKEAKRAKGREAKVPPRRLTEEWDEGSDWEGARESRAE VPPAKTPLRGTMGQAMGQAQLNYSTLSRRLGQVRAGDGWMGVGSGLRKKGSASSTERG G VE01_04501 MSFNASSIVASTKLSALLEKLHALSSAQENSYSQSFFYLTRLGR YLLFGESWSAGADDHMRDKFVALEPDKCQFVYLLARSMGALNIVEAGTSFGVSTMYLA LAVGQNVAQASAGGGVATKGKVIATEKESTKAERAKEYWKEAGEEVEPWIELREGDLL ETLKVEEGMPEKIDLLLLDIWTPMALPTLKIIQPRLRRGAVVIADNVVMSKILYKEFL TYIRSPENGFKTMTVPYSGGLEVSVYLPDDQSE VE01_04502 MSTPSKVAGGCLCGAVRYEVNFKPDHDFKSNAFICLCTQCRKQS GALAMHFFNVTLPSFTWISPTPSALTNYEIIPGNHRHFCRTCGSFIAWQGDSNLSPDG EAQLEVCAGTLDEEFLVGRKDGDGEVVGGTGFGEVLCHPEGNIGWAQNEVGKVTAGLC GTRYKYGTDAGIKC VE01_04503 MKLQLVVPLKPGDGRNKGDKGVEELNGQLWHVSEPLDIKDARSV KFHCISYVWGQGRERPGSFFDNEISISDKTRPALIAAIRAIKAAGFEADGPIEEAFWI DALCVPYADGPDRYGTLERSVFTDIRCEKMDILIFRSMGHIYSAAESVIIIIQDPAWK IILEASSGSTPDALSYDDMQALEGDKWITSVWTYQELVNARSIHFAPIYPEGYDSIVK GERFFNCTGYSLDQWKKRNDKTTSESLIEFPTLNTFEDTLADLATSGYLGRSVFQVLA NMACRTYDPFFPANRLLASLGALTQEVSWGPPTMSLSDLSEKVMATCEADNDYSFIYT TDERDETPGLQWRPDPKQIQTDLSKPVHLIPLLSWSSWGEPFGATQTGHKDDAGFWLD NMIRLQPSDAPGEEVGRFLENWLYRPKDLTQPGAASKGFFKHTESEKLSFDEAMLKAL KQMRFSGTQAPVICEDGFFFSLKPLDERQDVELFAASSIRWLFGSPGLVRWKEGDKTK YSTGVFTGVVRHDQAKAILIV VE01_04504 MSAHGGSGGQFEPVKEKQAQPLPGSERAMKPTSESTALEGSEGF VEYVGSGKLKDKKVLITGGEYSSGYHIWSRRFAYFVTANILQMFAVTKFALPHMKKGS AIINTTSTVAFRGTPDMVDYAATKGAIVSFTKALAKHLMPKGIRVNAVAPGPVHTPLQ PASRPAEQMEGFGKKSGIGRPG VE01_04505 MASIKVNLNSPVPYVKPNETYRGSHPPRQRLQVQLRIASEPGQS RLIKALSNEFINYMADEKNSEPFSVAWISSNSAASFDHLASRSTEVAITHHVAAEGIA IKQGVVEKSVPAWRDHWLLVGPKSDPADLSSNKSASVQDQFAQIFLAAAETAGSAKPV RFLSRFDKSAANVRESSLWAAIGQVPWAHPHASWYHQYQDSPLGALEAASTLSQYTLT ERGTWFALNDAARDKLEIFSGGVGTEDELLLNPAHALVGRHAQNKEMANEFIAWLISY SGGQRVIREAEAGIERGQPKSPMARFAAPITPPATPPQLKWKYF VE01_04506 MLFRQVALVASAILPLSFAQVSNDFESGWDQSAWPIYAPDCNQG GTVALDSTTAHSGKNSMKVTSPGGYCGHIFFGTTKVPTGDLYVKVWLKATKALTASHV SFITMSDSSQAAGKHLRIGGQNSILMYNRETDDATLPDLSPQGTATSKALTAGTWECF EYHIGTDGSIETWLNSQAIAGLTTANNPNANGWGTSSRPKITAVYFGWESYGGDTNTF WYDDIAIGSTRVGCGGSSPTSVPASSAPGSTMVTSVKPTSTSSAPSATTTAAATVPLY GQCGGNGWTGGTVCLSGTCKSNGEWYSQCVP VE01_04507 MAELAGTAVGAISLGIQVCQGLVEYYGSWKDAPKDVARMCQSIR SLEERLNAVNAVVKNNGIASQAGSGVQSGIDSCVTSIAELQSQLIKVQEISGPNIWSK VHGHGRRLLYPFRESTLLKLNGIVSEMRENLSFALDVLQLQSTESSTEQLEYVSTQVD SLSTFILSRHDDQESIAIVNWLSPLNFFVAQNDILRRRQIGTGEWLFETLEFEAWLAG RDRILWCSGQPGAGKTVLASSIINKLQIRQSSRDVGLAFTYCNYKEHDIQTLSNLMGS LVQQLVQCYGAIPDEVRVLYTQYNARNIRPSEDELSRALLSLISKFSHVYIVVDALDE CNPKTRGKFIEKLQQLPTNLRLLCSSRHLGDIQEAFADASHLEIRASDADVALYRHRS CKYLNWSSSDTIVDKLVMKAKGMFLLAELHLESLKTKTDIKSLRKALDVLPDKLEKTY DDALERIQRQPEDESKLAMRVLSWITHAVRPLKVEEIQHAIAVMNFDSDDTTLDEEGL PDEAELITVCGGSAVIDQDSGVIRLVHYTTQDYFERHRSRIFPTAQADILCACIRYLS LESFKNGPCETNSDLRKRQAKFRLLGYASCNWANHVCGELDDTVEERAIQFLNNKSLL SNATLIRLLEFPENLSTLSSSIQTWWVSAHLHSVFWSDMIRTEIPGITIAAEFGLTSL IDKMLKQGNSINEGGIQGKAALHEAARNGHMATVELLLERGADTEAKDVNGRTALLWA VQAGYESILRLLLNKGANIDAKGHNGMTALLWAAESGLEAIVQLLVENGADMEATSLY GYTPLLFAGSKGYVSMVQLLLQNGANIEVTDKYGNPLLFVAARNGNKAVVRLLLEKWF AMKDQGRDAVL VE01_04508 MEDGKPVEGSLYVYAPNKVAPVFFAVAFAVSAVGHTWQCHRYKC FKMIGLHPLCAVLFFAGYTLREYGAFDYVYTGSFVTLITFILSQVFIYICPPLLELAN YHVLGRILYYVPYLAPLPPGRVLSTFGGLMIVVETLNSLGVALSTNRSPNHNSQELGS QITIAALAIQLVVIVIFFVLAAIFHRRCVKANIHARAISTPLATLYVSMALIFIRCIY RLVEHFGNTTVRVGDAESLMALSPILRYEWYFYVFEASLMLINSVIWNVWNPGRYLPI NRHIYLARNGKTELEAKVQKAGRPWLALLTLGMFFREEQEYHPFLELDGYSDANARV VE01_04509 MSGRDCSFSSQSQPSLDRLSASSGSPHEPIIRIHNNRSQSGIAI PDITPFTASSISNSGSPEGLSANNHHDPTLDETVNPMHMELLMHLLLEKEMFSLGIKV GDYSSNITIALKLGLKSPYLLHQLLAFSARHLAFLHPYRSASYLHQAVTLQTCAVSLF NAAWTDFDQSNCVEVLLFSSILGHHLLTDTLAKRDEGGLVPFITHYIQCVEVHRGIYT IARTVWPSLMDSELGPILSLTAEFTSRLPKGSHCQQIRELVDCSDGLGKEAKTACQIA IDYLQVGFDAVVADEETHGVRHQMIFSWTLLVPPELTDLFAAKQPEALVLLAYYAVLL HHGRNMWQVGDSGAYILGIVVEYLGPEWDRWLKYPHEMVASGLEDPT VE01_04510 MNESAQDIEQRLPDENETPNGTFNGSPGPQLEKRNRPYVCNHKP CNRKNFSNKSGLERHKREVHSSQNFTCPIRSCDRSKKGFHRRHNLGEHQKRVHGIRSS NSPRALSINSEELSESEESTPSPPYEIEAEGASQDIKITDVMPTCREDLKIKLRGLRA MREKIDRDIKSMERVLRIMGGER VE01_04511 MVKIESLSWTRAILASVLISPLVEASAIPPTSLDHPLGLGLRPN TAVLDSFRLFEAKLQLKLGSPNLKTALNHLTAQADGWLTKGPFSVTSKTIEPPGGDKH DYASQAPYWWPNPNTADGCPYIQKDGVRNPDVDNYTDHGDRGDMFQSSYILSLAWYYT GKEKYALHAADILRTWFLTPATRMNPNLNHAQIIPCKNTGRAIGIIDFSQQYTAVLDA AAILALGAPGWSKTDASGFKQWNIEFLDWLANSPFGIEESAAKNNHGTFASMQKAGIA VFVGNKTLAKQEVLNMQGRIDAYISPNGSQPLELIRTRSWHYSTFDLVAYTRIADIGK KIGIDLWKYKGPQGQSIQGAVDFILPGATGGAAAWQYPELEFYAYAASDIVHAAADAG NLKALKALSKLQTPPGGDLWALRPAVEQLDAISN VE01_04512 MATRLEATAVAPATAVAAAINDGKTHLLLAASGSVATVKLPSII NALKDYPNLSIRIILTKAAAHFLGGQSSEQPTIAALAALPNVDAVHQDEDEWVAPWTR GAEILHIELRRWAHLLVIAPMSANLLAKITGGLCDDLLTNTIRAWDVASSDQKAASIL VAPAMNDRMFTHPLTATQLSILEGWPWFEILPAQVKLLACGDLGQGGMCDWNEIVRVI ETRLAATDISQAKGET VE01_04513 MPTLLAMTNLSRSLSHTFAVFLFALIFSCADAATSNLFGPSTAI TSRYWDCCKPSCGWADKAGFVDKSPVQSCDINQNPLLDNSQGTGCNGGHAFGCPTNAP WAVNDTFSYGFVGTFLVGGDESSWCCSCYQLNFTSGAVKGKSMIVQASNTNYDSPNAN VFTLGIPGGNTSYAGACGIEYSVSDSVFGTKNVGLLNRADCDNLPAALKPGCQWRFDW FNDAEGPNVEYKRVTCPKVLTDITKCKREDDDKVEADAIKANSPSAASTLPSMVPTAI SALLMWCMLQTLG VE01_04514 MPSSYPLQNLCLAVIAGTPAIAGCFVGLRIYARRRARIALGWDD YLVVLALLFSFGLIYPSIRHVIIWHVGIHIWDVDQYNLEPNYTEYHKILIAYNLLYTP ILPLVKASIIFLLLRAGWVVTPIRRTLYGILAFVIGACLGPWLALIFLCPPLTGETSE PTVYGGLSCLTPKQGGIIYVFLVTANMFTDLLILPIPSLLVYRIRNASLQSRLTVIFS FALSLGVTAMGAIRLKLNYQGILYKHHESDWTYTIVYCLSHAENNVAIVIACMPPLRS LILRWASNKDEEALVRDQSDTINRRIYGESFTLRPMSATEDAKDQFTGTSKHNEGF VE01_04515 MVGLARHVDSDEIVQRLHGMHMAEAGKRHVHTNGGTSHITPYST RYASRSDIPKFQIPQQGAPADAVYQILKDELDLDGKPNLNLASFVGTFMESRAEQLMI ENISKNMADSDEYPALMTMHTRCVSIIADFWGAKKDEKPIGSATTGSSEAIHLGGLAM KRRWQEKRKEEGKDSSKPNIIMGANAQVALEKFARYFDVEARILPVSKRSNYRLDPDL VKEHIDENTIGVFVILGSTYTGHYEPVEEISNILDEYQQKSGVDIPIHVDAASGGFVA PFTHAGAGGPKWNFDLPRVKSINTSGHKFGLVYAGVGWIIWRDESYLPKHLIFELHYL GGTEESFTLNFSRPGAQVIGQYFNLIHLGFSGYRLIMENCLANARLLSKSLEATGWYV CLSDIHRQKGVHDYNDEDGGAFATTDNPTSAAYNAGLPVVAFCFSDAFKKDYPHVKQE SVSQLMRARQYIIPNYALPPGEEKTEILRVVVRESMSFDLLDRLIADLCSVTQILLEN DEMDLKLLRARQTMEGAHGRVGKQGDGGDKGNDMDGGVHRS VE01_04516 MKLINILPLVAISAAFVLPEETEVGQQKFVPKKSKTFFERISSS FGDRYQKSISLTENALDEAISVAESLAKKAKGYSLSTYEAFDAEAWIDSASDVVDEIN PFMTAHIVRIAQIIQIVRTAQIVQTIRITRITQTTQTTLIIQIIQTTPITRLTHLTHH IHPIPLVPLILLTIRSLIMATASRTFDDLVQLLNSTEANYTIFAPTNDAFKHLPGFHK KPSKEIIKAILEYHLSPDLYPLRRLLLSHTVPTSLKEDGLGGNAQRLRIGLGLRGLNV NFVTHFKAVNIGATNGIIHAIDHILLPPPSILTILSHLPGQFSTLQLALTKTGLASDI AKAHTTGATFFAPDNRGFQILGPKVLAFLFSPRGESYLKALLKYHIVANQTLYSDAFY HSKKYIPVGVPLHVDLPTLLEGKSLGIDIARFGRLINVRINGYTDVAVQDGIARNGVL QIPRHVLIPPKPPGDYEVEVEAEAGDMTVEDFTSRFGDLVDESLEKENKARKAAWWEL VE01_04517 MSIPAIYFAFHVDTALYIWGKLKEAPRIFWLKTEHKPKKKSDDE PPVRNQNAMIKI VE01_04518 MDSSSVATPAEDARPNLNSDGGEAPSTDEVLQEIATPTHQSDAA DPAAVTGNNEQSISHSDAVSAGENLTVTEEATPSRDSNSGNNTAVDGGQQEPDTRIHQ SDTADAATATSSNDGGTREIIIQSDSLTRSQNVTETESATTVPNVDLGLVDNGVRVEK IPINNGPPSQSDGRLPLQSDAELDTERNLEPGSALGAEPDAQPAQELDPAADLVPDPE SGPAPSPEEEQEEEDDDDEDETRDTYKVRLAQISSEDLTSREVELNQAFGQAIVDGNL EKFIRLLGEGAKIDSRFDDDEEDGDPNQTTLFLAARHDWPEIAAKILELKYDKDFLLD DETNGWTAAHIAAQNNNIDVLRQILEAGDRIGIKHTIVNFENRDKETPLLLAAREDCL DIVNMLLDNDADWKIESTNEGTPLHSAAYHGSKKTFGRLLAIDGAKNLIGKQDNDGWT VLHSAARGGVEVDSLLGDESILKVVTKRTQSTPLLIAALNGKKDILISLLKAGSDLLA KTNDGRTVLHMAAESGSVETLEELVGKLDRDTILWKDDKGGTALSVAATEQEYDAVCF LMGLEAFALPRLKLGSSAKMNQRDVQEVRRFFIDYFEDKSESELDSLMHWHLVVHWAV YYGWQSVARTCFNRQGNLCDLKTKSGETLLHVAACNGYPGVLEQLMDCFKKRGSMETN VMAKISDKTNDEIIPLHFAAGNGYVDTMTSLLEGSVSDEVAAKSKNDKALLYKESWAQ ILVESRNGETALYFAARNGHQNAVSFLLRWLEKHSELQKIIRKKTKDGKTPLSQAADN GHQGVAKTLLEVLTEHDFGRDSKVAWDELTEVARTGLEEYVELIVDKKILAPNILDNG PQGLFPDQKWTGLLWAVYYGHYKVVWWLLRRNGPTILTSPIFEDATDMVDTLLTKLNN TASLTLEVKSEKERQYREIKDCLYSPPRVENVYDQYDPDGFPSVPQPSGKKEMVCKKY RATIVDFYNKAGHVSFAALSRTMLETIYDKSLTLDEIMTGAGMDRKEPKSLNKASGVD QPIQNAVGNPIATEAPAAGAADAEAPAVPPATRQPAPETPAAGTQKHAAATRQEQNSK KKDVHEDGYRFRWIHVPANNMEWIEDLLSRSFSTNKEHRPVSNFVRGNRHELQSAYAD KSGVYQFSRSMKPLCTRQPLKTDPERNMQGNIDPTSRGEVATKLGTNTVPNTEQVKSL QGRELGAEMRRTSSGVSHIALYMPYLTFSKANLGNGASVQLPNSLVDAYGRETIHELR TLDRYYYSSLPEEDVQKRNGDQVLTKYITLKKEENSIGRPRDAPPVDQQHVKKKPRNR QHKNPKENQHKKSEEDQHNSPRRDETGLILQVDQLWLWVIDGDKIITSSSYQTDGEPD IILKRVFKHLIDGRDHDRHPPSSPEQLMQLIVSSATGVIEQRKVILPEKKLSLSILEI FENAIGDVEDGELKLFSKFKETLNKNNSTDEGYSIDEEIGYLVLIKDILDELNILKSL IKDQKKVWHDAFSEYTTEIKSLTKDPKKGWHDAFSEYTMEKDYLNSREPGEILEMLEE MITDATRVERSINDLLDLKQKQANLSEAQSSRKQAEETAMQGTTLMVFTIVTIVFVSY LNTN VE01_04519 MSFSIDNELTWRATAEVPCREVDGMDWERCAALHNLIVGLGWAV TGKPETEMPRVTWWQRHITDQALEDKWSTRLSPSLKLFLQAAFETPPGQNFFYYSTGL AWPDRLFIQGHDEEEIMCLYQMTNLSLGSHRDGLNFDQEISRAIFHCDILDSHITMNG RTEWDPLEVVLSAWLDMIDTGKVVARPQPGNGPCEAEPWEMLPFSQHDLQRALNGFDN LVFRMECLIENPSLQTKDNPEDQEKLLALVKAKFEASRSRDQFGLISNEVLDAAGLGE GFVRDFLTMVRRPKKNIKYVAPGLRLPTADDFSPRPLQDFDMPGCFQYHNPVLPVPLF ITDINSTTPVFEHYPFEDIPNLPHGLWTGYVDKESDHVFEDSCSLCLPFEVGVNGFAR HADDSLIGDNQEGRVARPNGRRNDLYQLGYNHYGPAHGPQLGDILDLWQNLVGAGEWE VGEEGVLGGIEKFKEADTEEHYEEYQLFVKW VE01_04520 MEQAQSSAPPVQASSLPDEELKTPVVDTPAPIVATSHQESKVPE TDVPAPVTATSHEEESKAPEEDMPAPVVPAPHDEEPDVPRAETPGTEQGPNEHDHVEI DFGEVDSTFGDNESSTTSLASSIFNYTYENGRRYHAYHNGEYPLPNDAKEQDRLDLKH HVFKLVLRGKMFRAPIGPNPQRILDVGTGTGIWAIDVADEYPSAQVVGIDLSPIQPSW VPPNCEFVVDNAEEEWPYAPSKAFDLIHWRVLSGSIKDWPRLYSQAFKHLKPGAWLEA QEHDVRVSSDDDSVDRATEVVDWFSTVDKAAEVFGKKMDVADKQKQWMIDAGFVDVRD DIYKVPLGRWPRDPRLKEMGLYFQTQSVDAVEPVSMALFTRALNMSPEQAQLMMVGPR QGMRNPDYHLYIKFHFVYGRKPFEGERV VE01_04521 MEKTPESVAQEIAAIRQSLKEVSAAVDRYAEIATKNVSQAGTTA AGEVSESHTALFMESHKFMRTARGPLDMVFSHSENSSHSGALRAVMEMGVFDAIPLDG STTATELAEKLNADKNLLVRLMRCVTIVGPFAELGPEEYAHTAYSKMYLVPEIKGLFK LMYDEFAPPNTKMYEFFREQGFQTPNSEVINPYCFAHKTGDQSIWEYLGQRPDRLSAL NFGMAAQSEAASWTVGIFPFGSELRKVETTDETVLLIDIGGGKGHVTKQIRALTEGVK GKIILQERPEVLAEITDPLPGIEKMEYDFFKPQTVKGAQIYYIRRCLHDWSDTDCVRI LKNTASAMTPGVSRLLISEIVLPATGADVEAGWMDMTMLSVSGSERDEGHWSRLLAEA GLKMERTYHAPGTNYGAVEAYLA VE01_04522 MVQPAGDGVPLLYTSIVLLAVTWLTFTTRISVRIWRKNSGWDDL LMFIGLLLFTVTACLCIVCSFLGSGQLAAGVPPNETMKGIKLFFIAEFFYASGAAIIK CSIAITLLRIADTRRSFVWTIWAIMAATAISAIVFIAGIANICHPITTLWGETTTGSC NLKLNSDVSFFFSAIEIVTDFSLAILPAILLWNIQMKARVKFSVGIILGLGAFASCAT IVRLRYLTLYDNPAEFMFGTGKIGLWSIIEEGIGITAGSLHALRPLLSLRIFGGGSSV DNTGASASASNKFKQSNGHQAQRNEINLDTFQQLGDTDADSSRHILKETEVSMTRSEG TEKGPGKGKWDRTQM VE01_04523 MAEFLIKDEDLTSLKDKVVVLTGGSSGIGLATVTLLLSLGASVV SGDINPPAESPASPAFTFVKTNVASWSDLRTLFKTAHEHHSRIDYVFANAGIGPRADY LSLASNEAGELQEPSHELIDVSLKGVVNTAVLGVHYMKTQSGGGNIVLMGSSTGLQPL RAPDYSTAKHGVLGFGRGYARLLDVASIPVRINTLMPSWTNTSVIPSMEIIMPGISQP MQAPVIVARAAVYLMATTERQGEVVYVADGKYTEVEKTILYPAYEKIKGEGNKSDDQV LENILAFAAKAAAAGQ VE01_04524 MASQDDSGLTLLGPLTTIFTPPATCHDLTVLSISTVYSTVVTVT KTISDGSAVSVYTVPTITTSTTAYLEKYDYVLDDSCYPEGYTTYSAYYSPGRCPAAWE GVVTTAQNGETTIQCCPTSFTFSGELCRSSVTESTTTSVVLYKNELRVDPTPTPSYIP DEMVWATGIIVRYRDGDFPTTTASKSSSATNSIAAPTSTFTPSDPSSSESNSGLPLGG KIGLGLGIPVAVIILAILAFLLFRRRRAATTPTVAGPPQAPPQQQQAYAQPPPQQYSQ PPPPQQYYQPMEQSVPAGYWEAKPEEMARASEIYTPSAAGSPRFGGAQSTGYAPVAQV GAYAPAHETGYAPVAQVGAYAPSHDTGYAPVVGSGQEGYGQEARAPSAPSGYAPAAAP EYAQQASAPIVPSQRLPVPPVEGASRQWSGGGGPLPDPAELYPEYAAGGDDDDSVHGG RR VE01_04525 MAETVVTLENVAQILENDTKVKVAGIDVDGQLRGKLMSKKKFLG VAKEGFGFCSVVFGWDMHDKTYFKELKISNKENGYHDVIAIPDLRTFRRIPWEDDVPF FLVSFFDPDTKEPLSACPRGILDRQLKKLEGEGIGAMAGAEYEFYQFRAPQSGNAPIS ERNNSSTATFLQNNPVDSLPSLTEGMFGYSLTRPLHNKDYYYGIFDACEKFNTNIEGW HTESGPGVFEAALAFGDIKGMADRAGLFKYVVKSIATTYGITPCFMAKPRQGLPGNSG HMHVSLVSSSGANLFARESTDPNPPFPDVANLSDMGRHFLAGILEGLPDIMPILAPTV NSYKRLVENFWAPVTVSWGLEHRAASIRLIAPPTAKASATRFEIRVPGADANPHYVLA ACLALGWRGVTKKLELTLPPLGKGEDVGGESDAGKRLAKNLGEATRRFMAKDSLAREV FGDEFVEHFGGTRQHEVRLYDEAVTDWEVKRYMETI VE01_04526 MAATPPPAKSGLTINSIQMGRLHRKNAIVTGAAGGIGLETSILF AKEGANVLMADISETALEKAVEKLRQLVPSVHRVEVRVCDVSKEADVEALVAQLDSWG GVDIMFNNAGIMHALDADAVDTPEAVWDLTQAINVKGVWFGCKHAVLSLRRHNKPSGS IINTASVVALVGSATPQLAYTASKGAVLALTRELAIVHARDGYRFNALCPAPLNTPLL QDWLGDDKEKRHRREVHFPSGRFGEAVEQAHAVLFLASDESSFINGQDFVVDGGMTKA YVTPEGPAKAGPKNNAQLSEQVDAIRGTGIVDA VE01_04527 MDRLQRLLWKKENRNCQHCRGLQDGQHRIPAAALIHGAETGCPA CHLLYESISPADLADQRITLVHVRLFGDSQVCHTFDPGSEDMSRKLCAEEGFSKGGWV SGPEYPTTAFYPPVERAVELFTLEGAPSPWPKIRTARTILATANTDEFHNLIRGWLRQ CETQHPGCKDYHPSILPDRVVFVGEGRDPYLVEPPKGTREDYIALSHRWGGQVSLQLK TANLDDFKKGIPFSSFPKTFQDAITVCRNLSIKYIWIDSICIIQDSKDDWDIQGSKMD QVYSHCRLTIAADAAENGDSGFLETPERRDFHKATRKISCTSPAGQKGEIFVRPWRQY GSIGGFGRHYNGWEPDSLQPPQRLKQEGSYLLRRGWVLQETFLPVRVLHFLPDEVTFK CTVSSRCECQIPLHASVAHRPLDLEEPREITPRDLKEFWREVIEQYTQREFTFHSDRL AAMAGLASHVHVRRPDIEYYAGLWSDELPSTLLWIVDREINFGRLWGNESHRNTPGVA PTWSWGSVTGRVTFLFWHLSYGRGKWAESEPDLTDVKVTCTPAGRNRYGSVKDAELTA EGYLCDVRVWLTGGSEWHFPFRMETQKADGTVEKTNGFLYPDTEEVLGGLQAGRKVGV EMAVVGVYESRMFLVLREVGVGKLVFERIGVIHCNILDRVVLKEWGRKERFTIV VE01_04528 MLIKSDVPSTVAPTQEEISSLLSTIFTADSSAASVDASYALTQI LLNSVGYRGLHAYGIVAEIKKAAADKKSGLKRESAQNLLGALFERFPTPQPITEVVFL IQDGGLVPCALDALADKGAVVRDAAQYALDALFVLLSSEALVIALLPVLSLYLSKRTG KWQGTVGAYKLLQRIADKAKLEAGTTKEEANDKDMLRDSLGSKLAGLIPIVEEGMHDL KTEVEKAAINTMNSLTTLLSNDDVAPRIPLLVATMQNPSTETLQKAIHALSQTTFVAI VTSPVLALLTPLLERSLNTPTTAQEVLRQTVVVVENLTKLVHDPVEARTFLPKLLPGV KGVQDRASLPEVRDMATRALNVIKKAMGDDVSGASHGEIARVTIEDVTKVLDAEIAKA GGLAGDASAIFKLLGPYIGAMVAEDANHRQTPRIAARVTPYLPQVLKTPEAAAAIGDA VQNFYVEEDHRKYGKPVKEDDGEIEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCG RNGAGKSTLMRAISNGKLEGFPSQDVLRTCFVEHNQGEDADISILNFVAKDPAIASAG MERISEVLAEVGFTAGPEGRQSEKVGSLSGGWKMKLALARAMLMKADVLLLDEPTNHL DVANVKWLQDYLKTHTEITSLIVSHDSGFLDEVCTDIYHYEPGKKLACYKGNLAEFVK QKPEAKSYYTLSASNAQFKFPPPGILTGVKSNTRSILRMTNCSYTYPGSNKPSLHDVS CSLSLSSRTAIIGGNGAGKSTLIKLLTGETIPTTGRVEKHPNLRIGYIKQHALEHVEM HLEKTPNQYLQWRYANGDDREVHMKQTRILSEADKEQMKVEIDLGDGRGGRMIESIMG RQKWKKTFQYEVKWKFMLPKDNTQISRETLVDRGFSKLVQEFDDHEASREGLGFRELS PKVISKHFEDLGLDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLVLDEPTNFLDR DSLGGLAVAIRDYKGGVVMISHNEEFVGALCPEQWYVADGRVTHKGHLAVSADRFEDS RPGSGIPSTVASMASSVVSSAAPSTANSGAEDTGDMTFRARKKKKMTKKDLKDREVRR RLRHIEWLSSPKGTPRYPDTDDEA VE01_04529 MASTEPQQQPSLISATLPGETTPDVTDAEKQSPKARSSWLTELK RDVNLNHTDIPVIASCFSSGLCDSSAFNAWNTFVSMQTGNTIILALGASGQPVSQPYI WLKSLTAIVFFLIGCYFFAQSRRIHPHRRFTLAASFLVQAILIFVAAALVQRHVVPSP SGYHELDPGRVAFIELLPIGFLAFQSGGQIVTSRTLGINEVPTTVLTSLFCDLMSDPH MLARDNVKRNRRVASAVTLLLGGIIGGWLSRSSVGMSAALWLAGGVKVGIAVGWAVWR AKEEVPV VE01_04530 MCVTTDTVHEACRHVISITPPCFARRHPGIPFTRCKRTSILCPS CAAVFNEAHIDSFSGARLANQFRRRENYAGVLIPSLGPDGIRMMDRDGNLFDRMIPRG SSWFTETGGDREGEASGAHPRRQLMRFRELEFLRDRPETRPPRSPRGPETPRQRERRG STREPEERGRQRTSPESPPPTRERRGSRDPQPPSEPRGSRDPRPPTSRRESRGPQPPT SRGESRDPQPPRSLEVSLTPRPPRERRGSRDPQPPTSRRESRGPEPPYHPRDSLDRSL PRRPRSPEGLQAPRRLRWAGNRREPIDPRDPQYAQFPNDWRNPELLRAPRRWGDHPED KNRNSNLF VE01_04531 MRQSIALAIAAIAGVFAAPASYSHARGNSTMQGQGDFFWGGAVQ EGPQGTGWNFVQGSVVVPNFSGGDPRQSANMWVGIDGRYCSSAILQTGLVVYGDGSFW LWTEWWKNVMQDYQSGLTFAPGDTLRFTVHSTSYTSGTTTVENLSNGEWVSQTYTSET DYPLCETDAEWILEDWTQNGQPVPLYNWGTISIFDTAARNPNRQVTAAGADIVNININ GYILTESNVQSDGTVSVTYIGPN VE01_04532 MARTKQTARRSTGGAAPRAQLAGSYQRGAYLFDYGNLPVQQHDD VSEEEPIIPDIPIVLNAATTSGAQTEVFSTPDVLVIILSQLPHSSLLNAKLVNKTWAS LFDNVEIRASLFECPRPKESALYTETYSDLLMDKFLAPWPTNEDEYADKHLSWQLRQL LVCQPPVEALEIVQEVNQRYGGALEFRTIIPRPNGLRMGFLYDAIRHWHQVERSPVKL LWNRKTGDLADSMHYYPDGPGYKTFGDKPCVTIWGQKGVGCGQYGGLTYEHYHFLQDN TQTQKPRVRVIESDDEKVKFSMSEPKSVKLNMMLLLASDLKGRFNKFLGELLPKQNQP QV VE01_04533 MFAPYFLFALCWSICLGSVAAGAAPLNSNLQIRNAPAKPSPETL WAIRRGLSSAVAVKNVTTFKGNTSLDSSWDGAVLFHYEDGAEKGNNLSVSASVDIICT TCYIKGLASVQFTIDGGFNFSEAVGTVKTEIGEEVTNITNTVVDYIKDSAKNVTQSVI TGDFDGFDFPPFDVDFDIDIPKLPECNLKFQFDGLELYMEIDTILSGSATYTLNLYTS NTPIGFAVGKELLVGVVFSIDLIISVDAEIDISTGFHIQLNDGIAIDIHMFDHDVSSI TFNGGNFEFLPVTLVGADLILTAILRLGIRSGLEFSTPTSFIGGIPVKFSTGIEAGVW ADVAKLVTNVTAAPDREDCPLQVVEEYTMLVGANAGASLAIGEHSWGPEPSTQVPIWY TTLVDICAGAKTTAAAAAVTSVAVVGRDEGMTTTVTVVTQTAIVCLSTGLVECPASLQ SAVKNVVTSTLIAPVPVGTAEAFPTTTVDAVVRTKAFGTGAKEIGATTGSPVSYVPTV SSTTTTSEPTSSGSTSARTDDNIIEGKTKGVSNKVIIGVSVGLGLPVLILIIAACFYC YKRQRYTPVQMTESPYIGGKSNFGTPESDNSGFDVAHRG VE01_04534 MQISKIITTIVATACVSTAAPNAAPNDGGHGGDHSNNGNIQCSN GSNYCCQSQAGLLAIGCVLQNVLGACANQQVCCSNTGSGTQNCVNQNGLQVNVVL VE01_04535 MRFQTTSLVAAASLASTVAAHGYVDKLVIGGTTYTGYQPYSDPY YPTPPPRIVRAVPGNGPVQDLTSIDIQCNGYSEGGVVGSKPAPLVGGPVAAGSEVSLN WTLWPDSHVGPTITYMALCDGDCTTWQPGTKAVWFKVAEMGRVGTSNVWGSTQLMTAP STYKYTIPKCLKAGYYLVRHETIALHSAYEYPGAQWYPSCHQIQVTGSGTSTGPSSKV SFPGAYKATDPGIVYDSYKAQTYTIPGPALFTC VE01_04536 MLRRIASTLPRKAPATLTSALSQQPRTRLLSSAVPRMAALKPAN PPISAQLPSDGFQLLPESEKAGAAEDALYDQQIKDVEAWWATPRYDGIKRPYTAADIV SKRGSQLQSYPSSVMARKLFNLIKEREAAGEPIHTMGAIDPVQMTQQAPNQEVLYVSG WACSAVLTTTNEVSPDFGDYPYNTVPNQVQRLAKAQSMHDRKHWDARRKLTPEQRAKT PYTDFLRPIIADGDTGHGGLSSVLKIAKLFAENGAAGVHFEDQMHGGKKCGHLAGKVL VPVGEHINRLVAARFQWDVMGSENLVIARTDSESGKLISSAIDVRDHEFILGVADPSI KPLAETLQAMEQSGAKGSEIDDFEANWVKNTKLVSFDEAAVQAFQARGVPQSDIDAYL AHTAANRDLSVTKRNEYAQRFTDSPIFFSWDVPRTREGYYHYRAGMTAATKRAIEYAP YADLLWVETGDPVVKDAARFAGEIRERHPGKGLVYNLSPSFNWSGHGFTDETLKSFIW DIAKHGFVLQLISLAGIHSTATITTELSRKFKDEGMLAYVNLIQRPEKELGVDVLTHQ KWSGASYIDGILGAIQSGSSSSKSMGEGNTEGQF VE01_04537 MAMNARTTTRAFRALRPSTLRPIVINATRSYASSSEPSLKDTMK AVIPAKRELLKKVKAHSDKVIGEVKIENTLGGMRGLKAMVWEGSVLDANEGIRFHGRT IKECQAELPKGTSGTEMLPEAMFWLLLTGQVPTVGQTRQFSRELAEQGQLPDFVNSLL DSLPKDLHPMTQFAIAVSALNHTSSFAKAYEAGINKADYWEPTFDDSISLLAKLPTIA AKIYQNAYNGGGALPAEIDTAQDWSYNFAAMLGRGGEKHEDFQDLLRLYLALHGDHEG GNVSAHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWVLQMQEHIGATPTP ASVKDYLWSTLNSGRVVPGYGHAVLRKPDPRFDALMTYASSRPSIAASPLFQLVKMNS EVAPGVLTEHGKTKNPFPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLVWD RALGLPIERPKSINLQGLLDLAEKK VE01_04538 MLDRASGLLSKLRWRNVVLTIVVLTIIHFAFLDDTLQNAYKARA GKVADAAAHPELSTGANAKPAGNAATPDASSKNYADEFGPIEPSPSTKKLPAATTIIP TPTSVAPFDAGDTTEHVAICLAIKDQYADLTEFLTHHYHHLGIRRFYLMDDGSSPVLA TLNYSSFLDPKAITHRYYHPALHERYQQLASYTDCLRLFGHKHKWIAFIDADEFLYVS GNETLLDILKPYDDDDTVGAFGVNWQIHTSGGLLTRPPSARKGFTRCIEDQDPNHPAN VGTENEHIKVLVKAPLAISPDSPHKFKLKPGVRTVGEDGDTVDRFAWRVPITRNRVSL HHYATRSREEYEAKISRGNGMGDPKGWDWWKHVEAIRTDECLELAVLEP VE01_04539 MEGSGSSAGGGGGSGTGQRTSCDSCKRSKLKCIKLPGNDKCTRC TARNTECQVTLVSRKKREKKIHYLSAIEDRMKRMESAMNASGIAIDDGDPEAAIPAVS AQSALEDKLSIFMISDDGAAGFVGPSSGFSLFSPQGLRWISEKTGDNNFEQVIKAVST SCEHQATGGQDTFRPLAEGEREPLPPKDIANEYMAAYFDNFNNSFPLYDKESVMERFS RDYYMVNREKDPAWYASLNVIFLIGRSITMHEREANGLCEKYFRNAASVFVELLFNGP SLLAVQAMVGMAFILQASEDPHPSYMLVGMAARLAQAIGLHRRLDGYGLKERDIEQRR NVFWIVFGLEKGISIRSGRPSVFSDDDIGVELPPKTVHAYKDLSSSDSVLGSYRCVAT IALLESRVYTKLYSARSHTKSDLERLKWVGSLDKELQQWRDELPIEIRPEKPIKCHSK HLMDVIMIHFAYYNCLVAIHRGSSHHGSWIYSDKPTTVRDARNIGLSPRVFESSTICL TAARQIIGLLHYYENCDQTDVHNLGIVTMCNYYPLTASLTLFANILQNPSAPSATSDL ALMNRVTRILIDSLSSSCNAKAAIHVDIFKEIIRTAAQFVAKARGEPAGTYLDPSTLP APPVDCYYSPCATSTGVSPSASGTDASVSDALPRLEPYTSNTSQQTSLPPLSTPSPAT SASQSTTHLPFVQRTPSQQQLQSQLEYYGPKQGLPGTPGAGIIPPAYDPDFSSTIPSV DLSAFDFNNYAWQDTGAFPGAQGVEAAGGAGGVEVETFAATNGTDGGAGIGYGTGATG MFVDEGAFAPMVFQWDLADIWQGGGGAFGK VE01_04540 MASQTLSTATSGLLFGAALSAAGVASPSIIISQLRLENFHMLEV FLTATAGSALIIHLTKRAALAPCPPRSPAAWGYFPYDGNIAGCLLLGAGMALTGSCPG TVLVQVGMGLRSGFAVLAGSLVGGALFVGYSAGFRRATPAAAKGEEKPKLTFAQRCGV AHFPAVLVYQAICLSVVGAALRYLPDEQGKLLNPLIGGSLMALAQLASLLLTTNTLGV SSAYESFGKLFWRAVTPSSSPWPSVSPLVFAAGVAGGSAAFWRLSGTFPAKGVEVGDA AAVVGGVLMAIGSRVAGGCTSGHGISGMAGLSVASFVSVVAMFGGGIAVALGGKFLGF VE01_04541 MDIPDVFPTPRERELWTKALQTPDQVTEAEKVIILRLADVATQA ASVFKVSGLTPGELQSKALTTPESLTEEECHLISNRYHIWNPYQACANSIMHWSNEDQ TAHWEALCAVATPRDDALSLAVGLRSDHFAAARMKKSREDMATHAQDIDRPCKWVRKL AGPPAQGEPTTSWGFVILSDSSIDSGCDDYSWNKFLSVMESCAEKSLKQMNGGMDIIP TKKFILADGHVSENNPEILRSNFQQRRLQQDILDTRILSNTFLLITPEVVTSCINTST PWIWAYDADFVPSTATPPSLASGQEQERYQGRLRVSLYSLFTWFYAVRSEGLYGMSRF WEKAQNQGQIWSVATSLGRYHHPFVLLEKGSSYPWNAYELENGS VE01_04542 MRFPPPEVMATWPEPNYIDPVRRGNESIIIQAVLVALVVLFVSI RLYARLAITKAGIGLDDVLIVIAAIVGLGLTAGVILAIHNYGWDIHVWDLPPKDSITS RKVSWASMLLYLTSSSLTKISILVFYLRILVEKKDKLITKITLGAMIIYYVVLLIVLF AQCRPLPYYWEILIAGAKGTCLDEGVHMVTAGVLNLIFDLIIFAIPLRSLFTLKIRTT QKLQVISLFSVGLIVIAAASIRLYYNVVVFLQTYDVSWYGYVAWLWAAVEVHVSIICA CVPSCRAFFASWTVRGSSKNRSGQGTYPSKPGGRSFHNLDAEEDARLTSVKMGSMARV EAGSVKSGVSGESEETREGVRVQMEVLQYHEGVGDKRGGVMV VE01_04543 MVGVPGRSKGCNTCRKRRIACSLDTPVCKQCIKSNRECGGYQRE RLWVPNNQVKLEGGVALGASTPTASGTLPSRTAWKASKDTTVALVWTSAQNKPHYDKV VSPTPRLGRMVDSYRPFQQQLIGEFMHAFIPHYGTPAATEDSSWLLCLPGMVGSAPAL EAALMALSTSQLGRTHDCMELVHESRRLYCVSLRELGRALKDERVYQDETLAACVALG LYEVVECPAESDKGLITHSEGCMRLIEARGPDAHSGDAAHLLFLSCRYSSLMQAIPQN RATFMSDKEWLEAPWKGRTKSLTDKFMDVFMLGPTILEAAQSIGDLKTPSSLLACLAV IDSCWRADAAMRALYAELEASVDGPLFWPELSTLDNPADNAETGKLFPVAFHFVNFRV ARICELYWAMCIILWGGLFHLYHAVALMEPLTPDENECACSTPVHVCPSGFNIADLPP LQDRDVMTAVRNICQSFEYCVQPANRLLGASSIAFPLQVVISTLREFPGREREIWWCS SALDVIDRKGFRILKFAKA VE01_04544 MSAINRTLRAASVQSIRLPTRAVRAQALNRINFTNPAIRTSAAL RTSSFSTMSKLQSGAPPAPVAREYDPEIKDIASFVHNTPIDSDLAFDTARFVFLDTLG CGLEGLRFKECTKLLGPIVEGTVVPNGPKVPGTNFQLDPVNAAFNIGAMIRWLDYNDC WLAAEWGHPSDNLGAILAVADWISRTNRAGGNLGNGKIITVKEVLEAMIKAHEIQGCL ALLNSYNKVGLDHVVLVKVASTAVISKLLGLSEKQTADAVSQAWVDGQSLRTYRHSPN TMSRKSWAAGDACQRAVNLVLKVAKGEPGVPTVLSAPVWGFYDVLFKGKKFEFQRPYG SYVMENVLFKVSYPAEFHSQTAIEAAKAVYHKLEAMGKSAADIKEITCRTHEACIRII DKQFKPMDNFADRDHCIQYMASVMLVYGRLEATDYTDGGEAATSPLVESLRQKIKCVE DEQFTRDYHDEKLRTIPNALTVTLNDGTVFDEIVVEAPLGHRIRREEAKPVILEKYKR HLGPHFDAARVKELVDLGNDRAKLEGMAIDEYVDLYTVKDSKFV VE01_04546 MIAARQSAQTRQQSSIAPQALTVPVATGRKRIQPEMAQSQFMSN PPLLTATLRINSTATNQGVTTPRLDSTSQQPWNRQQQSSYEQPREPTTQRQLSSRQQL PSYNMPPPQRQSPTREHLPSYSMSPTQRQSPTRQQQLPYHNPSSARQPAPRRQPSSQG LLVTTQPPTDPEKIAALNLKLETYRQIIVDLMKPTISQQQPAVSAEQIRSSLRKAVSN PQPRTTATPYPDTPPYSPPPKQDIASQSQLNPERFELPATSLQQSRPVQRIITTPPPV DTNPYRNKRSELSAATGNHRRTISQPQMERPRWPSTPKLSEAPSRTLGATEVPKSEPP RQPRDSNDVPVPSGCCILDRRAIERGLGLMAEYLHHNNADLTIIAVGGVVSSFFLRAW ATTTDVDALGSTLTTAQRRLLASAVLYAKSRGPVPLGDGWFGGESAVDMRPEVARDVY ELSEQQNDIVYCSEGLTVLAPRWSYAFMVCVDRLSRGMGRPYDMGNAVLYMHQYIKIG KHKLIPILGIMKWGEGYDLHVTLEVLRSVKKHYLRHYEREAISE VE01_04547 MAEPGQEGEPWPPGEPQPVIIPMLAEYSDGTNSDLAKVMLEEIY RDYHSKRFTFIVAPDRRLVPVLYWQRDMRSRIKILFTALPGSQRDKIIFVAILSVSPT FIEAVRWAVDELWTFLVGHLTANKSSIEFFARSRGVPWLRAVRELIPELFRRNPEPHV SLFTDHIVGFYPSPNSISDEVLHLNLNAHGPDTYYLSTAGAIVPILAPSYNDAQRSDL QVIDIEDCTYDPNLFRQYDRTPGWPWQTWPRHPQICHPPDIDGVYREPPRCVTCNNHF AAEEDWNNPFNGCQCHAFVQAWKGVLVQIVEYPPFPDQPNVVNRGVRSLQALGANELI GEYTGVLLPDTDYDPHSEICDNTYQFGIYGESEEHCVAVISARLSGNWTRFINHTDDK DKQNVKFINVQIMNRLRVMVKAIKEIKLGDEILGHYGDGYFKSRQLL VE01_04548 MATKNFTKGLAPLRLQLQGKPTGHGQHARHLIYSSSAPIHRTIR SPLSQCRQYSTPPPSQTPDVPTPRHNDLRSQLNASTPPPPRSDHPPPPPPRSQRKSVR PLILASGFLLLGLSVGKFSTGLLAPPPLPSPESPEGQKLTTALRADAEALPLAKSMAG EEWESWDAYTTPGGDGLANRLTSGPLGGYGGIGYQRVFCNKTTGEYVVLAWLGRGLSG WPGVVHGGLLATLLDECLARTGMRGLDGGVGVTARLELGYKRPVKSAGWWVVRSWMEE GADNGKNKRKAWVKGRVEDLAGSVYTEGRALYVVPKNVKLAGLGDKF VE01_04549 MTPPNILIYLLRRDLRLEDNPIFHAAATDAAKTYTHLLPVYAFP AQQIEVSGFIPEDSEEKSPYPEARSSIGKFWRCGPHRAKFIAESVWELKETLGNVGSG LEVRVGMLSDVLKDLLAGFKFNGKGVNIAAVWMTAEEGVEEKLDEKDCKTICDAAGVD FKLWKDEKYFVDDDDVPLKDISELPDVFTTYRKLVEPLLNAPRALLPTPAKGTLPPLP PMSIIPPQSPPFVIPNSYEDLESSLLSPVSQSPLPTFPLRPSSMPPSPTLHGGSQAAQ SRLLHLLESGAITTYKDTRNDLMGADYSVRLSSYLSQGCITARQIHNSLVSFESGDSN LPSCASTPGFGKGETDGTSAVRYELLWRDYMRLCTRKYDALLFSIGGFRRDFSQPWTT PSTDPASSAALARFLNGTTGTGLIDASQRELAHTGYTSSRARQNVANFLAKHLELDWR LGAEWYEYALEDYDVSSCWGNWQYVAGVGNDPRGDGRSFNPIKQSWDYDPKGEYCRAW IVELGGVGEDNGGLELSPGECFQAWTMAKERQVCLGIQGLEWVRTPLKPIEFTVERKQ KGARRADAVDGQGYRGGRGAGRGAPMAQHRGRGGGYPGGGAYSAGGAPYGGGGPYGGG PVYGGGGGYGGGGPYGGGYITYNTRGDWNPRGNEPPRGPSLRGRGGNGGRWGRGGYGP RIRGPAEGHPNGAVADAGVDGQSTTA VE01_04550 MAAPSGPPTSGSYPIFLSPALLNQGLSNKDLITAIKYSPPPPAP ATSALLKPSTSDQHKYNLTMSTATSTSFYHGPRSSGAPKKAARYVLVWDASRSGWELR PVDSVFEMAFVRSSGAEGEEGGPTQDESPVKAAPKGRRKSVAAPKEEVKRQKTAPRRR RESLSESESDDGLTIEYPGGRAPTRAFGLGLGTPLGGREERTVERVDVDMDEDEDADG DGDGDGDVEALDLGSPAQGGMEEEESEEEREEEVDLEAELERELAKGGGESSESEEE VE01_04551 MVDINSPSSPDTGQDATAAPGHGSDPKNMPPTNTSDAENMPPSN VSDSKKKNPLRRATRKDHGHHEAEMKKTDQESDYEFADVSDPEEQYDFIMDKMMNMDE DQYQAYKKKHPRPNMSSSGSCGPEVAFKYLREMYDEEDEEDAKEAASAGSQPTKKQ VE01_04552 MSGKYAFTKGLKEVRFLLCQTGETSNATRSFLARAYPTMKKNNP TTPIMIREAAGTQPQVFARYDFGKEKSELLAGLTDKEIEEKVTGLVKSEA VE01_04553 MATLFRTARPILNNATRAQFQSAFARNTARAGRRFQGTSSEAQG AAKASWFKTAWDSPIGVKTVHFWAPVMKWALVLAGVSDFARPAESLSLTQNAALTCTG AIWTRWCLIIKPKNILLATVNFFLGCVGAIQVTRILMYQNSPEGKAAAAAEKAKAEQT PEPVVASA VE01_04554 MVYSLAYRRPAYVEPEIYASRQPGSETEGKEESVRSGRSGKNAG IPAALAFDKIVEGGTCAPCTLRDFMDYMLYVEHSAENLKFYLWLNDYTERFNNLTESS KMLSPQYIYVSPERNSPVTMPLPAVPKRQSFANEVFKGTVFDNDPFRTPRSSDSDLTD RPAGFSLPWSNVRIPGRKSPSPTKPNRTLAISAFAENGMRPPFSTQPFRAEICRVIST YLLPSSPHELNLSSRDRATLLAALERTTHPSAFIRARAAIDDSLRNQSHPNFIRWAIA NGNPPRISFAWWGGIALLFLGTLVAMLLTLSRAPRGYRILAAIPWVFGASTFGMAREG LCVCLHAMRARQVRPWELFGDSEAEVEMGKAELDGMSITTSGLSVMMGAGEAPWVGRY KKRGLLRRVFERQVRVVEPAVREVQNTLFVQALLVAVLGTAVATGVFVAMPSGNFF VE01_04555 MPTSTLSPDQRPPYPPFTLETAKQKVKAAQAAWNTKDPKRVALA YTPDTIWRNRDRFLQGREEIEAFLTHKWFVESGYRLRKELFAFSGNKIAVQFWYEHHD TSGQWFRTYGLEDWTFAPDGRMEKRMMSANDVAITEEERWFKDGVDVDSVEISAKHW VE01_04556 MSAPQVGDALPADTTFSYVPYSPENADFKACGMPVPYNASKEWA NKKVVLFSVPGAFTPTCSVSHLPGYQAALPELKAKGVDIVAVVASNDPWVMSAWAKAS GVKDDSILFLSDTDTKLASTWGWAAGGRTGRWAVVLENGKITYAGKEEGQGVTVSGAE AVLAKL VE01_04557 MEQHENIVNSVAAAVQGFSERGKPYRIFHGSSNSTRLRVATNTI DISALSNVVLIDEKKRTALVEPNVPMDRLVEATLPYGLVPPVVMEFPGITAGGGFSGT SGESSSFRHGFFNDSIIEVEMILGNGEIVKASATERPDLFHGAAGALGTLGTVTLMEL RLVEAKKFVKATYLRLNGVSEAIDQVQKETGDLQSDYVDGILFSKYHGVVITGQLTND IPDNCTPTTFSDAADPWFYLHIQQKTENLAPFASVTEYIPLAEYLFRYDRAAFWVGRQ GYTYFKYIPFNSFFRWLLDDFSHTRTLYHALHASGVSSQFVVQDLAIPYSTAKVFIDF ISQEFGIWPLWLCPLRAASTPTFHPVTSDGDVKGEVASTSVSRPMLNIGIWGWGPQNY DEFIDKNRALEKKLDELGGRKWLYAQTYYTEEEFWKVYDRSWYEALRSKFKATTLPSV FDKVKADIDGGRSENKGWAQRISQMWPIGGFYGMVLALRSGDFRLHRDAKWKLQ VE01_04558 MSKIKYYRNTPPNSDYTGWNGKGVVLDLGGIDRKAIHLGDWNGD GFCDVIVTDKATGALDVYYTYWDRASDQFSFSAKTRVVGSGCTQGWGVGLYDLGIQFA DIDGDKRVDYMCLEPNGRVTGWLNKAGGLQWVDQIKFSEDKDRANHKFADVNGDGLDD FMWIDKFTGDTQVFYNLGQKQISGSSFQWENKGQKYQGSSSGPNLHFPNLGGQGRADL TDVNPKTALGWTWFNSCPTGGDDGAIVDPGLPIAPGDSPPGGDGGDDGGDDGGDTGGD MGGDDGGDTGGDTGGDTRGDDGGDTGGDDGGDGYTTGFLIYAVTDTDLPATDFPQPSI NNGFIFLNTPPNCNDVGNAIFQNDSEDLSGNSGGVRCDGCGIPGLDGDPTLLEWKNKM GHYTWYLNRNGDFVDVNDEVKGNCVVDHSDDFMCTFFPNPSGQTGIMQLRCRSNMNAN IVNGWHD VE01_04559 MVRGTEKLRVKQVELRDLISEVLQRLHPNGGQTQGTKAEIAAVD ALKKLQAEIPQSCQIPNSSTPPDGDSVWEPLGGFDNVPLLGLFKNDALGEEHCEGGFT TESLFDSEEQRAVEKWNSLAELKAAVPNSRDLSFLFESGQAVWRIWQRSFSGIREVDT GLDGGCHIPSLQSHISSVAKSNDPVAIAKVLLCSALSAQQIDQGSDITESLLSISPKE LQRRTLNPVEKFLREDEQSACSLEGLECILTQTRIYINEGMPHKAWLAFRRAISYAQL LGLHRQQMGFEDGKSRQKLSLWMRMWQGERYLSLVLGLPSSAVENLGDLHELQKEGSK VPSGEYLLLKLGAVSGRMINRDQHPTKSDYATTIQIDQDLEECKNSMPESWWEMSIGP DLPLASAADMLAAKFAYQFLRILLHLPFMLQSKTNQRYEFSRIAALDASREMIKYYQI MRDVDRPLFNICCLADFQAFTAAMVLTLSLLDQAAPSNVSLASHQTNGDWKIIVGITQ VLKRVSKSSTSSVATQATKVLEDLYHIRFNESDHGNEAYHAVIPYFGKLTINMRNAFQ APVLEQMQVEDQGILTDMSNAATNSNYHPDNQNIYFESYLPLSEFEPPWQNMQNEWLG STTDFSLCDDWGTFLCNDEFNFTE VE01_04560 MKSNLLPFITEKWAGVPQPIARFDGKTVIVTGANTGLGYEAALK FVALGAAKVILGVRSMPKGALACRQIEEQTQRKGIVDSWELDMGRYSSIQQFANRAGA ELQRLDVVILNAGVASKEFTIGHEGWQSTLQVNVLGTALLALLLLPKLKLSKASTDTS RLVIVTSEAHRWVESTDIPDTVPYGGSILQALNARPADKTTWNGLDQMAKSKLLAMYV ARSLAALATQPSGEIDVIVSTVCPGACKSELAREFATGFVASAGVRVYGAIFSKSSEE GARVYVSAAALGPECHGGWYKITALTTPGELVTSNEGIEMQDNVWSEIVEELRAKVPE VSRCL VE01_04561 MAPGDTPKPNQAPGKPTKRKDAHTNPNHKPNTSRVPKRQKTFHA RQILTQASDPSLSAGELNLASFLKAREFEITALEDGMRRAKGALTQRAFQQVPWDMRR RTASHNAKRVPKRLARRAKREMKEDNTPTVVARRRRPGTARGRVRKEGLARMRMLVAA TRTKKEGKNKEDGAEATDGILGRKARPKAATGTLKRPPRPASKFRKRQIHKSWLPTHM YHAKRATMTAPGDPLWRFALPITPTQKCYRPTHRAGGERGALAWDMSYMSTIGIEGTA GALERVLGELGVSFEGKKAEKWREGRRTWSGLLSRADQAQRKQIGPATIIWCPPPPVV EAEEIQTDKSKKAKKLPRHRLFIRIHPAAFLEVWDEVLRVSKMQYPIVHVEDLRFDIG SIELTGPSATEALIGTLHRFDERATEHGSVFKSLAGVTNAASLPPNALLSFSILDPRL RYPPRKIDLPKPHDETAAFTLLQTLATWPADELPPSSSLFDRDIRHKATRLPSQKALN RRKSLAPPGAFPPLTPNDPAIPILLYPSRTSASSSAQGTWTLLAPWKCISAIWYPLLH FPLSCGGNPRFAGLQELRQIRFERGVPWFPGDFPGTNAGWAWEEKERTRRKKEWDARP KGKKVSWKSLDLGAGRVGEVGVGWACDFARLMGLEAQDEMEDVKATLSTDKQTDAVSE TKTKSAPIQQIDSEEFEALLVDPKRQIPSTSVATVRVTLFSRGVPQPCARIYRLPLVS TTTETTSNPQATPITAVTTPPPSSTPADIRASWLALVPPTTKKPGNPKPTNPRATKLP PTATPADRSRALAQELVQTPPLPYPPPVPERAHPLVSGEEDLIGFVMTGNFNLAAGAG TGIGALGVERVVGGVRGGGKWKGTRGERLCVVRGVGESVGRLGWWEVI VE01_04562 MSVPSALLSACSNWAPGSAHCGKLGNKYCTVECQKSHWLEHKKC CKSPMSKDKWSPAYFREGRTPAWEIGEAASKFHNRFGGEKYLWGKTHAMDVLNLEQNE GLNYADDIALLFVDSEETNTPLDIAEALIHVWYSASISTSTLSLLQNRVKPLIVELCG KISDKPPNAVLAKTWKFSTGQTLRLVLKKKDWLRLQGFCDIPNGLTPENAAKIRRAVT LAPERADFRDRWYYKDASPSMRIAKQRFREDGLLLPFGNPRGDFDVPNPWLMSDQANP SSGWLTTDVKQTSSPAPEDWYGKLYFFLHKVLKKFLGRLKDLRVSFDIYNVDAKELPL NLKQGIYSRIEVANISDAYYLGIRKTLGLLSPLLQLPQQNPHAQRHVEWKRVE VE01_04563 MNPLLQSQVNPVPQPPPSQLEDYSWTVDSADVFVRSGPNGMNRF APWGPGRAKEIDGASASASEDESPRVETLPLFPHPAISLPVPELKFDFRMTVTHSPPV RLKTTSAEIKNWIPIMGGTWSGTCGSGIVAPGGHVVHTVHNPSYISEVKMDYIMQTVD EPPAMIAVHVEASRTGPLEVLKQLGPGCQEGSDPRRYMFRLSLRMETADERYAEKVNF GLWVGSGVKNEWEFVFE VE01_04564 MSPTNTAAWLTTEKATSLTVGPAPYTPPSPTQLVVRNRALGINL VDWAMQMMSSALFPWTQYPTILGSDIAGEVVELGSSITRFKPGDRVVATATGLTSGTA QGAFQTYSVVAENLTSPIPASVTYSQAAVLPLAISTAACGLFQKDYLALQHPTVTPKP TGETLLVWGGATSVGCNAIQLAVGAGYEVITTSSPKNFDYVRGLGASAVFDYSSPTVT ADILAAFAGKKSAGAIAIGGVDPAVNAAVTKACVDIVAGSEGRKFVAMAVQFDPTQLP EGVGAKFIFGSDLKDNEVGPAVFEHFLPKALEEGVFKCAPEPLEGGHGLESIQEAFEL GKKGVSAQKVVVTL VE01_04565 MSSPDLADLLPSSYKSLITSWLAEDCPSLDPAGYVVGSSPRTAT LFAKSNGILAGVPFFTEVFTQCGCTVTWHLSEGSAVAPTPGNPIRVATVSGPTRQLLL GERVALNALARCSGVATASNEMVELVRGAGYTGILAGTRKTTPGFRVVEKYGMLVGGA DAHRHDLSSMIMLKDNHIWARGSITEAVRAARKVGGFALKIEVEVDSEDGADEAIEAG ADVVMLDNFGGEGLKIAAKAIRGRWEGKKGVLLECSGGLTRENVREYVCNDIDIISTS AIHQGVPHVDFSLKIDH VE01_04566 MKDYAKIINDRVANSFVGRRFRLEGSGHRYERKDSRFLTEIRAG LATFFAMAYIISVNSTILTDSGGPCECPAGMTSCDDDPGYQSCLAIIHRDFVTGTAAI AALTSVMMGLCANMPIALAPGMGLNAYFTYTVVGFHGSGPVSYNLALTAVFVEGLVFV GLSLLGLRQWLARSIPASIKLASSVGIGLYLTIIGLGYSAGIGLITGAKDTPVELAGC VASAMVDGICPNSTKMRSPTMWIGIFCGGMLTALLLMYRVKGAIIAGIALVAITSWPR NSSVTYFPHTPAGDEMFDFFKQVVTFHPIEKTLNVLHWNLSGASGQFGLAFITFLYVD IMDCTGTLYSMAHLAGAIDPVTQDFEGSAVAYMVDAFGITIGSLFGLSPVTAFIESGA GISEGGKTGITAITSGICFFIAIFFAPIFASIPPWATGCTLVIVGSMMCKAAAAINWR YPGDAIPAFVTLAVMPFTYSIAYGLIGGIVTYAIINTTVWIIEKASGGRCVPPGKMHK EPWTYKLVGGVLPPWVVRAARGKRDFWHEDDAVVEMTTVRSSDNSSAGKGETVLDAST PDAEVTDKGDAYRDAAAKTHGHSL VE01_04567 MTLVQIAVVTVAAVIILLTALAGYAYSQIRLLSLPFPLTLPLLI TLLPILTTLTTTYLTRLLRPSNLPTFTTLLTLITTFETALATWALTYLPASCGLEERW SALYRAKNADAIRRIQDRWTCCGFNSVVDRAWPFPHGKSGADQCREVLGREVACGRAW AEEEKRMAGVLVGVAVAVFVVKVAILSTQMRSTAWTRPAWMRFMSGTEPGDLEDSRGG RESERLLIGEGARVEEEYHDEEGDVDGTLRGTDADADAEGVNRPRLEPSGLTGDGAQW RE VE01_04568 MYGRTLDWVQRVPFGLYIKHGPGKFVPRGEGPALKLVEQYTKVV APRLIDLLTTESKTYLVMTRIPGIPLQEAIQSFSYAERSQLAADIRGCVSQFRQIPNP NDAAICSADGGPTFDYRLEDPAGPFDSEEAFNKHVIFRKSLRSAIHDHRHKIFFSHAD FSPTNILVERGKLSGIVDFGCAGFYPEYWEYAKGIYGHFGLETAWPGSLSDAFSGLYK DELAAEKLLWENGNSF VE01_04569 MNSNIVERKYEDGGMDIIPAPVSANRWYCGIYIVVSDTYGMLYH VSNKNDDSKWIFQERMTENVVASHTIVAALHIATDIQEQRLASVHDILVATPAIADGG MDPKSGLHFESSVWVMEVLDRLRAAGLIQNEPAKDVVEEAYRLANLASLIASKEMRKS DGLIALGGRS VE01_04570 MEEVNELFEDLRPQYPTTTTSIKIGGNDYHIELSEIPYLAAFAN FERTKAQNQQKELVHGPIAHFDTALEGMEFGFRQCFRSIPPDLAQHHALCETYEFLCI DVLGGKSLNEIIGGLKTGRRVHKGASKSSNSIKRDRSKARDSAFQLLYFILRGEFEDE MKDSTDVYNAVMFIVSHADTFQWRTRKVVRAAYEDRFVVSVKQIAGLNKWEEDEDEDD EDDVMSEEEESISSGYYSDYDPFYN VE01_04571 MNGHYSDGGLYILLSPLGGGYYHHALYIHVSHPYGMLYYLNPAT ATSPSTLCDQLTEDIPTSRTIIAALLIDPDVRDHRLASAHDILVDTPVPPVSTSASTS ADIASASSAWVFSALTRLGAAVFGIDEPVAPLMEDAVLLASFAASNGSSEMRESRTVR AKRKAAEMWEVRKCGVSGCETCAMLLQRGCMDG VE01_04572 MSKPSPVEHVEKISGHSKACCTIPPIVPEKEYKAKGTYETIDGI KTYVTGSPSATTGIFVVADIFGYYPQTLQGADILSCDSTYRVFVPDFWDNDPCPLEWY PPTAATADKLGNWFKQHGDFPAGCEKTHRFLESFKKASPKIEKWVGLGYCWGGKIISL TSAENTPWAVSVQCHPAGVSGEDAAKITIPHVLLASMDESKKDVEAFDKNLKVVKHVE TWDKMIHGWMAARGDLDNPEVVKEYEKGYQTVLNFIKKNL VE01_04573 MASDLFLPEAPPLSPAWLAYEKSKGHVEAPSPQEDITPADALRL RQRSYAKTCRDRNERLTSPTGPSHALLSNIQTHPDSTQSPDGATIHLRIYIPTSLTHP QDFIIYYHGGGLCVGDLDSEDLQCRRLAKDLGIKVVSVEYRLFPDHSPDTAVADAWAA FIGIAKLWKYNTDQEPGRVYIVGSSSGAQLAAQTAQRARGTPYLISGLVLRCPVTVDA SKGGVSIPQRYEDRHTSFSPSFGTSLLGFDADNHLPMARDMPLNAESFAGMPPTFLQL CTNDIFYSDGACFAEALGEAGNELVTDVMVGWPHTFWLKAPELEEAGEADGRVIGWLR QRAGAE VE01_04574 MPSFSSLLKRSRPKRKAEQPPQTVETSDNTARDNVTRDNIANVD TNKKDRSSRRLSTRGDFFRSFLGRTRSSTGPRPGADGDVQNKQTPLQSPTQGKATPAS DPNKPLPPPPPFNDSESTKQPVKSGIQLKRIIQPLTLSDIHKLFSGAPQFFVRSEGHH AGAPHPSVAFPWNEELEIRDLSDHGQIEEKAWGCVTTTPHIIRKQKGDAVVEQATEEK ENKTPHFVPRCKERPNMLSMQGLERGTVGFQAALEVAVADALHDDKPHEDEKTLQSLR VKFLKGKKRGLRPLSEASVVSKLTEMGLAYHAKPPVSKTSVEMYTELFTQVSFPPTRV TDDDDPTSFHVQIETLVSVLTEPGIWVDFSNVEWRIRLGQTLWGPSYQPDQEEEVDVD GEEEEHRHESQQFWLLLQILLSSELVVRLDAVSRSAQQDPGLITAEEIQRFERDVTRP VKWSLLLARLWLENIKITQSVQQPSEQQPPEHEKRHTGWLSSIKQAVTPQHEEFDLIA LHTVDFQGRRQRRQINGLIRFSRKMRWPGAERIAEKFAIDLEKGSDGTGSTLSDRASQ AGTSLSMTTQRSSYFAGIGRPGIRRGLSMGGKPNMFHPSGWLSKSYLTGLILPGEGLS HLMMSTLLENDEVAVARLGGDANLYGGFVYSGQSFWSTACIIGRVLAAGKGASECMGW LSSPVKPKGVVEGWVSVDVELEPRSDGEEGPHAARIWKKSTVERESHVLGDGDARTIL PGDFTLPRADVPDPVNLEVNLESLDLFTGLDSAESSPLEEDGPGVETADKPNVRTYSA MLQFTVKPSDEPTKSMNFALTYDVQFVTAHPCVPSLHTRLVQSSTNPGSPAAQLDSTS SGPHTLFTGHALHKSYNFSRHTLSSVVFASPAAPPPLLSLHTSSTEIFVIDCTEPDPP EVEALDAHPAQSAHVSRKRRFGSDLEMLARAWCAEKGYNALVSRKGRNCIACSIREAR ALSWKIVLRFG VE01_04575 MHFSKLAVIAGTVALATAAPPKKAKRAGNFEFFGVNESGAEFGN LNLPGVLGTDYTWPVTSTVDTLVADGMNIFRIALMMERLVPNSMTGALDATYLADLKK IVSYITGKGAYAIIDPHNFARYYGEVITDTAGFEAWWKTVAAEFKSDSKVIFDCNNEP HDMPSMDLVVQLNQGCINGIRAAGATSQTIFVEGTSYSGAWTWTTSGNTALAALTDPS DKIVYEMHQYLDSDGSGTSEACVSATIGQERIQAATEWLKANGKKGIIGEFAGGANDQ CKSAVTGMLQYMAKNTDAWVGALWWGGGPWWGDYMYSMEPPSGIGYSYYIDTLKNLG VE01_04576 MPFFKSKTADADTVPEEKWIPYRENGEVKYMRASEAQDSGSSGG ALRHSKPSAWGNMVAPVGFVAQAPQLLQEKYTDESIIVAIITNVVPAQKPTVVEGKKS FMGKFKKDKEPSTTKAVRMPRREYKKFFAKDKDGKYIGTEPQREWTQEELEDEFGQYQ KEV VE01_04577 MAPSQFKTLLGSIRRKPSRAPDGKTDEQAYGRPMEEKTSIIHDL THLGLKNTRTVAEAITTLASGEPMDDKDLLLEKGVAMLQSLPTNSGLSETISNNFIGM LWKDLPHPPPTTAGPTARYRQPDGSGNNPWIPEMGKAGSPYSRSVPPMKPKGPNLPDP ELVFDQLLKRTGPFREHPSGLNRLFFSFATVVIHECFQTSRTNPLINETSSYVDLSTI YGNNANEQKRVRTYEQGRIFPDSLASERIMMMPPGVVAVLLMFSRNHNHIAENLLSVN EGGKYKDWASLDDEQKAWQDEDLFQLTRNINVGFFASVVLKDYVAAILNTPRADSVWS LDLGGEIKNGGQRLERGSGNVVSVEFAVLYHWHAALSAADDKWMEDVIRRHIPDLQSI DDVSAADFKKVMMTEGHKLMSTPPKEWTFGGLQRGPDGRFNDVDLAEIIKDCIEEPAH AFGAHGTPASLKIVDIMGQLQAREMFNVCTMNEFRRYLNLKPYEDFEDWNPDKETARA AELLYGHIENLELYPGLMAEVTKPAMPGSGVCPGHTTGRGILDDAVALVRGDRFLSYD FNSTTLTNWGFAKLGAIPPGAYGGMLPNLLFNALPGAWTGTSTYVLLPFYTPVAAKGI LKGNKVIDQYDLDRPASDKVVLGIHTHEGCKKVFEDRENFRVMYQAAIRKCTDGHDFM IGWDDAKRHDTRSSILHKVFFEEGFEANLTKFFRTNVSRLIKEKSLKYSSKRRSIDIV RDVTNVTPILWLAERFAIPLKTKHTPHGLMSVPELFMIYLVLFMYQSFNIIPANEWKL REGAMKAAPALRAIFEAHLKTQQGFKEGIVDWLAKGSAFEVGPDADRIYHALNDSKLP IGDLVGDCIGMGAPVAGNLTQQASLLIDLYLSEGYEEYKDRIIELSHKDDAASERELQ GFVFEGMRHAGVVPGLPRVASKDVTIMDGSRGPISIKAGHTILVATSKAAMDPIQFPN PEKLNPHRPFKDYILLGHGLHFCFGARLVGASLAATLKEVFKLKNLRRANGRQGHFSI VEHEFAGVKMRHYLDSNANESPIPTTLTLEYDE VE01_04578 MNGHATNVPWRQKFYPSQTCAEQVLNYKSLAPPVEEPRPDLPPS IRAVRNPDQSSPGGRTIIICLDGTGDQFDGDNSNVVNFVATLKKDDPNQLTYYQSGIG TYDGRGLKSGISALIDMAVGSGLGTHVKDAYRFIMENYLEGDKICLLGFSRGAYTVRC LAGMLHKVGLLPKQNSAQVAFAYRFYRNDTAEGWKMSAEFKKTFCTNVQVHFVGLWDC VASVGFIPRTLPFSKTPTNTIRHFRHAMALDEHRAKFKVCQWQHQDVVVDPAKITVKV ESRPNRPRQMPTATSNPEQLTSLQNGVLKRSSSTPDFKLKRSLTSPIDFMGKTLKVAQ DDKDQKRIRLEKQFEKDDRSVHEHIKTDTLEVWFTGAHADVGGGAVPNEERHMLSRIP LRWMIRQCFECETGIIFNTAPLAETGIDVLTVWPIYKTPTKPVVGPSPIMVGQYEEKK LPPLRRRSTALGLEKDYEDMGNDEKREIIANDIMNEAERLQYQADMLPEHVEDHFDAM AAINDQLVLAKSWWVLEFWPVKVRLQKKHTEEWEKVVRWNLGRYRPIREEEPKMHWTV QMRMNDKAYRIRNRVDINAIWQVAT VE01_04579 MLRLTLTAALLLPVSFAASLLPRDGYKIPSTSFDSQTTFNTYWA YNYPWGTDHNGAARMASSQVKVGGGQVTLTAAPTTGQAPTSGGLAIHYLSGTIYAKEY FTVAANGGYDFTGDFLASTAKGTWPAFWLTGANSWPPEIDLAEWKGSGKISFNSLGIN NQWITKDVAYNSGSWHTLKMEVRDLNGVDVQTKFYMDGVLQATQTGNAMVGKPFWLIM DYQMEGSSGSPGPTSSTTFALKGFTAYSYND VE01_04580 MLPNHLKIALVYDSRSTYRELGYSEIDCADLGLDEETPVISAAL EHHDHHVTLIPGIKPLVQQLAIGRATEWDLVFNVSEGFYGTARESQVPGLLEAYQIPY TFSDAATSALCLEKGRTKLLFSSILY VE01_04581 MAQSLPLGTGSNSSDQFIESLDRLSKKTGVLATIVLDRTSGSIL NTSGTLSSIRSTSGSSQSVPAAVPDDASSGPKDQNGVDELAGMVWNYMNATKDLVQGL DEQDEVKLLRLRTKKYELVIVPDSKYLLVVVHETPSA VE01_04582 MGSSARKKAEKKKDFKKPKLRVGKTKAKPDNFTDTSFKSRSITI NQQSLTTSAPASSVQFEHYLSLASSSKSDAQRRDALSYLITQVSSQPVNAPIPVSAAI MLPKLYPLILDGSQSVRTQLLKFLRLLPAKDVGDLAEFALLYVRAGMTHLAVEIRNDA LSVLEWLVETAPEAIVSCPGGWYKTLDSFMRMMGWAISVGSTKWSAAAKVTFGKGGKS FPRQITVLAQFLKAGLARMATEELFQRGSCFPLWDADIHVIPVQASPFAHLNLFGPGR DEESEMYTERESRQRVFRLRFQTSVDKGIESAKKEGGEMGRAGSILGKVVTESMDDYD EMGNPTDHS VE01_04583 MPPQRPLSSSNPSSSLMVSQDDYVPPVKYDPSVRVKAERVESPT AVGDNDDDDFEDVDDEAEGLLADADGVPMYSDADFKIRQVASPFIVQRTLVSLYNLSK TKYLKLDPEYQRDVVWDETRSSGLIASVLQGYFIPPIIFNVLEEWETSDNGEEVMRHC RICVDGKQRLTSLQKFMEGKIGVSDSSHPPKKWYFCHPKVGGVEKQSNHNILPLNTKK FFESRMFCCYEYQKLTPSVEETMFQLVQRGMPLTPAEKMRAMSTPWANFAKQYESDYP AVLSLGRQSRASGFRQIMSIFCQIMEVMSPSGDKKKNREGAGWKPTYQATPSNLTKLL MDTPSLNESVKRKFKRVFDKYAELIASCSEPDKGSPTGLAIKKDSCFAPAPEGLRNRG VTHVKTFSPLEVVATSILLLRHMDDRTNQMLQGDIMEMRVYLRQKNKDLRLNNTCWAD SYQFIDVDLIQLRGGAGASRRRAAAPVPQENLPIEIDSDTPDNQAGRRTSARRNTDAS SALPMRGVDQNDGVRSTRSGRVGMSSLTASIANGSPIMTNGGVFSPEPGWEAPMAPMA PMIQTIKPRKRLHESDGNAAPSMRRVRPRD VE01_04584 MASSSRGPPRLSTAPSSIKPRQLSHLHAQLAQLSANLADLENLV RMTSVQAECVRGLGGWHGGLFMAASKVLGEETVAGGGQEGSQAGDT VE01_04585 MFVDALKDFAAESPAAASFACVLLVVSLIYYFVQRPQRLNFPVV ELGDDLGYDRALDEGFSKYPDSPFVLPTNPPMVILPHACVNEVKSLPENKVSFMKDVQ HMFTSKVTGIGEESHEILSAVKIDLTRHLASVLDGLQDETRYAINSEFGPCEDWTPQP VYFKLTRIVALLSGRVFVGRPLSRDEAWLKATINYTWACIQASDACKRYSPYIRNIVG PYLKEVKDLKKHRQDGADLLQPLLNDLLEREASEKPGLNGFDDQQGTFCSWVLKYTAE KDRASALNLANSQMSLSFAAIHTSSMALSQVVFDLAARPEYIKGLREEIKEVLAEDGY DIDGDGFTKLKKSSYTKLRKLDSFLKESQRLSPPGITSMQRVTTAPLTLSTGHTIPTN TRFAFASEAIHRSTRTTTFSPEYNPASYAPPSVFDGLRFYKLREMPGKENRHQFVTTG EDSLSFGHGIHACPGRFFASNEIKVVLIELLRNWDVRLKGDTKREGGDRPPSFIKESA CVPNMQAVLEFRKLRSEECV VE01_04586 MADNPFATGTPTSSSSGDMSGMDMSHGSSSTMSMVMTFQNDPST PLFSTAWTPTGTGSYAGTCIFLIILAVLFRILLALKARQEARWLDAEMHRRYVAVAGK PGLKETVALHKDAKAVVLSENGVEEEVVVVQRKGEMTRPWRVSVDPLRAVVDTVIAGV GYLLMLAVMTMNVGYFLSVLAGVFLGSLAIGRYTTNYEGH VE01_04587 MASIDSIIQGVNITGTINESNKKILTKDAIAFLALLHRSFDPRR KELLHRRVIRQAELDRGALPDFLPETKHIRDDATWRAAVPAPGLADRRVEITGPTDRK MIINALNSNVWTYMADLEDSSAPTWANMIGGQVNLYDAIRRQVDFKLGGKEYKLRTDR ALPTLIVRPRGWHLEEKHFTVDGEPISGSLFDFGLYFFHNAKELVKRGHGPYFYLPKL ESHLEARLWNDVFNLAQDKIGMSRGTIRGTVLIETILAAFEMDEIIFELRDHSSGLNC GRWDYIFSVIKKFRQNPNFILPDRSAVTMTVPFMDAYVKLLIQTCHKRQVAAMGGMAA QIPIKDDKAANDKAMEGVYADKLREVKAGHDGTWVAHPALASIASEVFNKHMPTPNQY FVRREDVKITANDLLNMNVPGQITEEGIRKNLNIGLGYMEGWLRGVGCVPINYLMEDA ATAEVSRSQLWQWVRHGVSTADGKKVDKAYALKLLKEQTEELASKASKGNKYHLAAQY FAGQVTGEDYADFLTSLLYNEITSVGTGSPASKL VE01_04588 MGKVHGSLARAGKVKSQTPKVEPQEKKKTPKGRAKKRIQYTRRF VNVTLTGGKRKMNPNPTA VE01_04589 MAKAKQAKGANVPHKALHSRLSYLYQAATYLSAQEKPSTAPAIP TPPPVPSQPHLQNASNESSHNQAPAEPQPASSQFLTRVLLSDLRTVSMKMQIRLSPGM KHTICKRCDALVQDGSTCVTKIENKSKNGRKPWADVLVRTCGNCGCEKRFPVNVERQP RRPNRVSKATTQVG VE01_04590 MSTEEDLIDYSDDELETKTTAPAAAGNGEAKEGDLTVSGGNPDK KGSYVGIHATGFRDFLLKPELLRAITDCGFEHPSEVQQVCIPQAILGTDVLCQAKSGL GKTAVFVLTTLQQVEPVSGECSVLVMCHTRELAYQIKNEYARFSKYMPEVKTAVFYGG TPIQKDAEILKNKDTHPHIIVATPGRLNALVRDKHLRLGSVKVFVLDECDKMLDQIDM RRDVQEIFRATPTQKQVMMFSATLSQEVRPICKKFMQNPLEIYVDDDTKLTLHGLQQY YIKLDEKEKNRKLNELLDDMQFNQVIIFVKSTLRATELDKLLRECNFPSIAVHSGVSQ EERIKRYTEFKEFNKRICVATDVFGRGIDIERINLAINYDLPGDADSYLHRVGRAGRF GSKGVSISFVSSEPDQQVLKDIEKRFEVALPEFPEGGIDASTYMAS VE01_04591 MPTFSSLPPEIRALIWKATVEPRTVEVRMLPLEKGKGKAKVRHL VSPTPVPAPLQTCREARGLGLYAQAFAEVEEVACADGGAEPRYVWLNPDIDIVSLGPT RIGWFKAVAGSIRRLQIERETTRVTFEELVEVCQFENAEEICIICADGMEVWHRVAED HFWPCELEKVIFIDALDGRVMNSVEMDRVFDEVAEERDRIWRLGEQERLQLEAGRQLE GERQLEDE VE01_04592 MGDAMLMEVCVVGGNAVSAFLSWRLQATNACDVTLVWKSGFDAV SQYGISFKSTAFGNERFKPRHVVRTPEDAAASKEGAFDYVLLCVKALPDIYDLAAVIE SVVTPQHTCILVNTTHTLGVEAHLEQRFPTNVVLSLVSGAELTQLGVSEFEHKASTEV WVGAANKNAAIPASIQQDMAEALAMTLSTGQVDCKVSRNIRQQQYERMIGPIAFHPLS VLMETPSHAALLEKTAVLPMIKDVMDELLRIAEAQGCTFPKGFTEKTLEEMVRPSESN SIMYQDFMARRPMEVETYLGSPIKLAQSVGLKVPRIETLYALLHSVNIVNQQKPKEAP VTPAVNGNGQFPTRLSSAPIPRPMNGPNGAPNGMPNGMMNGMGRGRGRAPSMGPPPGM RRGGPMNGAPNGYGRPPPNGYGSSQQSRRGSIDNELADEFSHVVLYDDIPEGVSNMGN GGDDMALRERELMLRQREINMREQEMRMRRGPPPGPRRGGATPSIRNGGFDDDDDDDD EYFDPTSRPAPPLIDPDNFDMMSVTSRRNRKAPSISQSKMRSNPEGDSRRGYGQRPMQ PGRNRSSARLIGNLPGTHDSIMDDPLMGYSSNRYGNVDRQSLGQDSRAASLTSARLDE LQFAGGHMNGPFPRRTSQSPGNPYSPQLGRGNGRPSPPNGHMGYPPNGRPSPPGGMRQ PIPQYPPGQGNMVAPQQVEQHAGVSALHLPKGPVNNRSLTGSASASAGSGDSAHIDSE NSAHSSQSSLGPRFPVGVR VE01_04593 MSSINGKNVLVTGASIGIGKQIALALAENGANLILFSRTESKLT ELSESIKSKYPGTKVYCASVDIQDYEGVKAGVQNALKEMGDIDILINNMNNTNINGMM FMTYAVLNASFMKKKAGTILNISSITGLEVPLFPGESVYHAGKAAQEAFSNALRNELS GADIRVLVLGAGCVATNFHSLRVGHDKSQYEKFFEGFTPLEGEDIARGAVFMLEQPLN VFVKALDIVSTGVMITLDLKNRQLVKMTHYSIYDHELPIYQQSFFGSAMQVLPSKVDE DGFAALNAVLVEEDCIFLISKFNLASPPGRLKRTPLAVGWEYDW VE01_04594 MPSFKWLRKKVLRKKKRGSRNKDLLPLLPADRPSILTPSTSSEN LVSFDNYGLFQRLPYEIRRSILIEAFGGRTLHMDLEFNHPLVRKSGTQTEISHCDLDS NLIRDTDIRKGWQWFGCVCHRREDGHCGSLFRSLFGTREGELIPKGRPWEDTCWRGIP NPSECGLLRGKDGPSKCFIGIIGWLLACRQAYADGIDVLFATNTFHLKSPDLLEHLQR MVLPQRLRAIPFLEMCWLNKYSRSQLRVLWDDPTTEDSELHALCRMVPQAFPNVLRLD ITIICDIRPTGDDFPDITWATRRVRARTLKLSALAMEHSIFGPIERMLRTLGPGREFS ITISMCAWEILADKHRVLYGSELKIEWYCSGIEGRFWKVLDPVNELGYWICCGDNQEM RMRYLDCGMGQGAENVRNAEIQEAAQIVEDAQIATDAESAENAQNAA VE01_04595 MAFSPDGNLLACAVRVGDARDRTVKLWNPATGKELQMQSPMESG DFVNCIAFSPDGKLLASASDEKKIRIWDPTTGCLLLILIHKRGAQSVAFSPDGRLLAS ACGGGVIKLWDPHTGYEIRSFVAHSVCIFAIAFSPDGQLLASTSLDTTVKIWDLATGI NRQTFAEHTRSVVSIAFSPDGKLLLSASLDKTIQIWESTTGNVHDTLVRPGEIDSGIA LSPDGSLLACAFSGTGAVDMLELHGFK VE01_04596 MIEPMARTAERMPKVIVWIVAEESEEGLEKMEGVAVGVVSRRVG TGVGEVVEDMELRVGRSSVSEEVEDMASDMLLDIGGNVGMPYLDPGNNGAGG VE01_04597 MEPPQPLTARRPAASSLPTFQLPPPDSKYPPYTSTAPNTQQSIL TPPVSAADAAAAASNGLPPYGQQMGYWPPVAGAVPFYAFSNPSGGQGQTQSPGQQQGQ GHQGNQQPPIYNRALYAPQPPQSNYPPRPQSSDESIPPHQQQQQQQYSMSLPYPSSSS ASDQQQQQQPVQQQHQAPQHDAYGPRPPPTPTYAFPPTTAHPFPSYTQPSPPPARLSP TTTTGAGAGGPYRPFPYALPTLSGAVMSNVHSPGSQLSLLSAPPGHGMSQQQQHHGMQ GSYPLYASHGVPHGVGHGGLQQYGVGVGGGMQLGLDRPFKCDQCPQSFNRNHDLKRHK RIHLAVKPYPCGECEKSFSRKDALKRHVLVKGCGKPARPTSTSHHQSSSISAPSTQRR YTTTDIKTEGTLSSDAESPGGRRGSDE VE01_04598 MSTSNYRRPSTARPPLGDATSRVNNTRTTYPSPLGKIPETMAHN GRAIYEEENTYNKSDTESVQERKIIITPRPTNAVDPRLSAIANGDGVHRPNRDSLISN ASTNASDGKRRRKTHIGPWHLGKTLGKGATARVRMAKHAVTGQPAAVKIVQKKSALLS QAGSLASLNKKDAKVKDSGENRRMPYGIEREVAIMKLIEHPHIMRLYDIWENRTEIYL VLEYVDNGELFDHISICGLLPEEEAMKYFRQLMSAVSYCHQFNICHRDLKPENILLTK DGQIKIADFGMAALQQTPEHRLVTSCGSPHYAAPEVIKAVPYRGDKVDIWSMGIILYA TLSGRLPFDHPSVPRLLQAIQKGNYRMANAIGPEAADLIKRMLQVDPRERISINEIWR HPLIKKYEYLDTYRGGINPRSPDVQYCERPVDRVSDIDKEIMRHLKSLWHQFEDKQLV TLLLDDNPNDQKLFYGLFLKHRDNQLENYTPEIGYSNSDYHHVRPLSKIKKLSTRQFT QTGPGPNGYKRQISKFTVVSNTGERVERGHARKSSGYAETESGETVKSYDPFKDSRHQ NLTMNGTTHAKVVIHRNPISRLQEDTQISRVGGSRSSNRYAMQPGLARPRVYASRSSL ASSTKSGGNPVRAGQRYKRGVSFTNMRHPAMRTVSETEANERNRLRSKLMEEVPEDSP NVIAQLRSRKEAGTVAHPLPQAYRRAQEDVRQVSSSLAKDCDEAFNRSHGLSMLSSSA DYGSDSHYSTPFTSFERGNTDTDPSLFQLKQWEAANPIGRQSVYESRPLPVPPKRSES VEEELVEARRAAHSRKVTGDGVHSPRYINRMVSHIDRLMLPNQTYLSEGERRTTSAPN PTTTSGRGIEGPRPLPNPQNYSRARTSERSDGYGQYLHRERTNRVASHRMGSAPEPYN GRYNERELVVTNPDHRPVTARAVPLSSSPVKMPAPLNIRKVKRPAPPPTPPPTQPLPP LPVETPLMTGALNAAKDTFTKFEQLAPNFSTESKGRKSKNDVDPFRYDGVKRTGFGTT KKKKTAWYKRDSKQEDSQFAPGEVEINPNCLPDPKKKGFNLGNLLKKFGPKEEEGLPL GAFKLYNDNRSTESIGDDVNYYQPKLVNYRDDPSRQIEPQQNWLARLFLVKPATSYLC FNLTKRRARQEVAQLLKDWRQFGIKDVVVNKPANLVFGKVAPVNYLELKVVSFAIEFL TVIEHGKRNRLSIARLSQEAGAASSFHRVVATMESVFQTRGLLVGEERKRRMMIKTLT SA VE01_04599 MPSLSTGNRRRRVPDPESSDEGDDSPAPTNSRVSGASKRARTGL DSSQLSDDGLDQSAMNGIALRQNGNGHSQDGFQPGAITRVKVNNFVTYEDAVFNPGPN LNMVIGPNGTGKSSLVCAICIGLGYGAANLGRAAKFSEFVKHGKDQATIEIELQRKPE DRSNYIIKVRITREGDKRKWWINGSEAPLKNVQQLVRGLGIQVDNLCQFLPQDRVSEF SGLSPVALLHETQRAAAPPEMLTWHDELKNLRKNQKGIQLNLETDEETLRTQEERQEG LRGDVERLQERAQIQEKIALLESSVPFVDYNVKRRHYIECRERAREAKNQLVALEAEF APTVQAVNNKEEYHRRIDVAVKERKKDLQNSERAADNFFAQVEEANESIKELEQKAKS ERDGEQKRKQQYAAIKEKIAALEAQLKNEPPAFDGPEWNAKIRAEEHKCREIEAEQRQ VTEKREDLDRQGLDVRARVAEKERELATLNSQQGKNISLLRSISRDAATAWEWIQANR SKFEKDVFGPPLIECRVKDPRYANAIESLFQKNDFMVITAQTSADFKILDEQLLGASN LRLADINLRTVSRSLGQVRNSPMPTHELEKLGLDGWALDYVDGPEPVLSMLCGAVRLD RIAVGLKDLNESQYNALTSSAVSTFVTGRSHYSVQRRREYGPGATSTTTKSIQNARYW TGQPIDTNDKHELEETLKGLRENFDVMKVESGGLKEKLRVLGEQRSTILETIKILKAK KNEAQLIAGRFQALPGKIEREKENFQAVKLVSDNYRARMREIEAQSDELVLKKNALSI DYKALVQGIRTAHLSLQEAKFRLIEAASDVESLKEHSSNITRRVQEERQNYERANEEY KNVKAQAIAVHNQCISILAEGNNKEYFETIDKDLTIEQLEQDIDAEKSKLDYIHDGNP GALREFETRQATIDRLTATITSARAELTSVDASIASLRSRWEPELDKLIASISAAFAH NFEQIGCAGEVGVHKDEDFDLWAIEIRVKFRENETLQQLDQHRQSGGERSVSTIFYLM ALQSLARSPFRVVDEINQGMDPRNERMVHERMVDIACREHTSQYFLITPKLLTGLRYD RRMKVLCIASGEHMPRDHRTLDIRSVIASRRAIMMPVR VE01_04600 MTEPLAQAAAASAQTPAPVATTTAAETAEPVATTTTATTAEPVA TTTTTAEPTAAQLHPVEEQEHQIVVDENDALADFDDEAFDSGSSAGGSAFTSITSSVL RGEVAEGGRTYAVYGKEEYGLPMDDTELNRLDMSHAKYYGLLEKKRFLAPIGDSPQKI LDLGCGTGIWCIDVADEYPSAEVIGVDIAPTQPEWVPPNCRFELDDMEEPWMWSKNSF DLIFLRDLIYCIRDWPKLIDQCYTHVKPGGWVEFQAVTALLGSDDNTVPPGGALEQFA SNLITSSRMFGTPIDDPIRWKGWFEERGFVDINLKIFKLPINTWPKDTRMKVLGAWEM ENLLSGMEVMTMRVFVKALGWTEEEVLVFLVNVRKEVKDRGIHGWWPYYVLTARRPEE GETA VE01_04601 MTEPASSQDHKINSALVEAEKLVAQLKSYTGTTAEHLALLTQNE AIRTQLEEPFDSVNRLLEELALTGALYMAIEIGILDKLPADGSSVSAADLAAAANVDI SAVTRCMRVLANKSFVVETAADEYKHNALSQVCRPDGLGALFLLSMDLHKGWAALPEY FKTHAPEDLYDLKKSPIAYAVGKEGLSYYEVLNLDVTTRNIWNKAMQVADKAMPILGM FPFKEMKEQVEREPERAFVVDMAGGRGQALLAIQEECPGGFGGKLILQDLPIVIDSLA PEEIPNIEATVHDIFTPQPVKNAHIYFMRRILHDFYNPVCLEILRNTVPAMGPDSRLI VCDMVIPQPVEVGGNAHPYWMDFSLMLISGKEKTMREFEGMFEEVGLELVKVWPSVLG ATVMLETRLKR VE01_04602 MAKEKSINPAQAQRKAEKAKAVKKGKAEAQTRRTEKLARRNPER LQTQLSSLLAIESSGTKLTAHEVRLKEELEKDLKAVRKARETLGDKAPAFGRGGGDRE GRDREGGRDGGRGGGVLGKRRRDGGRGGGEESESDVPEEVAGVPMPRDTPPPIPKEVL DKWYQARRDRWAANNPQQAGRGGEGRGEGSSANAMPLGANAREVGTGGREEERRRVPQ PVVEAKTVYEAAPAIRDLRKEAVSAFVPAA VE01_04249 MGLPEDPTEKSSSATPSSVKPTFWVEVAPPSTRGAKCRLDGCPA IIMPGQYRIAVYPGLHDFRGHQSPGLIFTVKDFYHVVCFEKIADFSQADFVNQVQPVT WNTWSFRNLNSSSVLDGNYLLDAGAERLTISWKEAVKKLIDERDGVETKDDAETKDDP SAAVRDLLDNAGSSKYVPQEIAGANAFELRLLSSTLAPNESDGPEDTDEWNLFDEYLV ARDDDQKSLDNRHTLGMTLFLWRDHVDKKRIEEELDPKAIRAIKRLAVTPMPDIQGAF LRGL VE01_04250 MKRKSFIEEDSDLDDVSSRKRARSQQREDLNETDLNVTPSKPRP ARPQTNGASSEKIGIGSFEDAITQESLPIVEAVTPKRGRGRPKGSKNFPKPDGATPTP KKLPKGKTLFATPSKPLGLLTPSKARNAADRSARRKSTRTLIERTINGEASSDEDDDV VSRYIYDSDKEEQADATESEAPQPEGGSVEPTVPETPSKRGRGRPKGSKNRIRTPSPP RDMPPHELFFAQNRGAAMKTSNNNLSSLRLLDHEEYFTLLRSYEDPHAKDLEFLSSIH ERSFPQWDFELSQNFNICLYGWGSKRELLTSYANHIYAQNNEAKIVVVNGYNPSTSMR DVLNTIFSLIPNAPKKLGTQPSEVLDRLLTHLSTSDVRITLLVHSLDGQPIRRAATQS MFARLAAHPQISVIASTDHPSFPLLWDSSARTSFNFLFHDCTTFSTYSAEVDVVDSVL QLLGRSGRRVGGKEGVGFVLRSLPVNARSLFKILCVEQLMVMDADGEMDGFGGGDDED GDEEGHLGERMGGSRSAEVGVEYRALYQKAVEDFVCGDEVSFRSLLKEFHDHQMITSR KDALGTEILSIPFRREELEAILEDLMS VE01_04251 MALKGPSISTAVKGLIRPSVQQKAPKSLRNYSAPISGAIPAAKQ KYVPTSGKYPRGFLVSGTHVGVKPSNKSNPDIGLLVSETPCGAAAVFTKNKFQAAPVT ISRNMLNRRKNTGIRGVIINSGCANAVTGKGGLEDAESMGAAADACFTAPGDGKGGSS IVMSTGVIGQRLPIKKILDGIPAAHKALGSSHEHWLAASSAICTTDTFPKLISRTFSL SSSPNTEYRISGMTKGAGMIHPNMATLLGMIATDAPISPALLPTLLTSAVDSSFNSIS IDGDTSTNDTVALFANGAAGGQEITSASSADYKEFQEVLTEFATDLAKLVVRDGEGAT KFVTIRVTESATVEAARKVASTIARSPLVKTALYGKDANWGRILCATGYALISEPGLP INDVEEIATDRTNVSFVPADGSAELKLLVNGEPEAVDEERAAEILAMEDLEIVVRLGT GEAEANYWTCDFSHEYVTINGDYRT VE01_04252 MAHNYDVGTRAWQPDPTEGWVASEVISKTQDGDKYNLVFELANG EQKKVEITAAALEDDSDTSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYSQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGKQRATQAPHLFAIAEEAFADMLRSGH NQTIVVSGESGAGKTVSAKYIMRYFATRESPDQPGSRKRGQEAMSETEEQILATNPIM EAFGNAKTTRNDNSSRFGKYIEIMFDKQTNIIGAKIRTYLLERSRLVFQPLKERNYHI FYQLIAGATEAERQELSLLPVEEFEYLNQGSAPVIDGVDDKAEFEALKQSLATIGIQG GQQSDIFKLLAALLHLGNVKITASRTDSVLSPDEPALMKACALLGVDPTDFAKWTVKK QLITRGEKITSNLTQQQATVVRDSVAKFIYSSLFDWLVENINHGLATDEVLSRVSSFI GVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNAHVFKLEQEEYLREQIDWTFIDFSD NQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNYAADKNKFYKKPRFGKSAF TVCHYAVDVTYESDGFIDKNRDTVPDEHMAVLRSSSNQFLGQVLDAASAVREKDSASA ASNAVKPAAGRKIGVAINRKPTLGGIFKSSLIELMSTINGTDVHYIRCIKPNEDKKAW AFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSSGWTSEIRKMAN AILVKALGTGNGQSLDKYQMGLTKIFFRAGMLAFLENLRTTKLNDCAIMIQKNLKAKY YRRKFLEARNATLLFQSLTRGYIARKQTEEIRKTKAATTIQRVWKGQKERKKFNAIRN NIILAQAATKGFLRRRQIMDTRMGNAASIIQRTWRSRQQKRSWKQYRNKVVIIQSLWR GKTARREYKTVREEARDLKQISYKLENKVVELTQSLGTMKRENKALITQVENYENQIK SWKTRHNALEGRTKELQTEANQAGISAARLSAMDEEMKKLQANFDESAANIKRLHEEE KELRESLRLSNVELERARLSLTEEEQEKVTLRQQVNDLAEQLEIAKRIIPMPMNGEAN GAAQVLQSGLINLVSSKKPKRRSAGAETREMDRFSAAYNPRPGSMAAMGNNMHRQNLA GAPGDNIEFELENLLADEDGLNDEVTMGLIRNLKIPAPGSTPPPTDKEVLFPSYLINL VTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINPGAFWLSNVHEMLSFVFLA EDWYEAQKSDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMVIPAIIESQSL PGFVTNESNRFLGKLLQTNSAPAFSMDNLLSLLNNVFKAMKTYFLEDSIVTQTMTELL RLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHLMQATKL LQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINTEIMKAVASRVTEKS DVLLLTAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQAMAQQEKI DNAAGVGSIDEDEDQEHAEDNEQVVELDAEENVAANGV VE01_04253 MRTKPNIIITGTPGVGKTTHCETLAASLGLTHLSINTIVKDRGC HDGWDEEYQSWIVDEDKLLDEIEEEVKLGGYIIDWHACDLFPKSWIDLVVVLRVNSTI LYDRLKSRNYPELKLQENLDSEIMEVLLQEARDSYDEEIVVELTSNTSEEVDSNVARI EAWVAQWIKDNEGAEKEDS VE01_04254 MPPSQLNFITGNKHKLAEVQAILGDAVDLQSRSIDLVEIQGTIE EISKDKCRRAAEEVQGPVLVEDTALIFNAFKNLPGPYIKWFFESLGHDGLNKLLAGFE DKSAESVCTFAYSEGPGHEPIIFQGRTEGKIVPARGAIAFGWDPIFEYGPAGQTYAEM PKAEKNKISHRGKALEKLKAWLLEAADSSKA VE01_04255 MAGASCPFCGYEAKTEYDILYHMEDLHSEGNSPFIPTDAHPVDA TQEGHPSRSSQAMEDVGYVECPISECGETVPMAELDGHLELHSMEESDRDSHVDSPAW KWEGATEPADSKFDTSLPTALRNLDEGSDPPLLSAVDRQAKAKESWRGILNMPKSIVT PHVATKSKSGKGRLGTAELGPHAYEDKMPAWLHNLLLSDGMPRTTTKLNSSGGIKTVK KIGNYVPGVLTVLETLIEQDPDTEYAYTCHPSVEHVSKLKNEGGFCGYRNIQMLTSYI VNNRSQGCYHFKEKMPTIFQIQEFIEHAWDVGINTVGRAETGGIIGTRKYIGTPEAQA MLRSLDIDCDAQAFKTDGKLAADEILMGNVEEYFRASGVDSTSKIRRTQLPPIYFQHP GHSMTIVGIEKKLDGSKNLLVFDPMFHDAPDVIKLIGKTSWSVKNPAEFLRAYRRNMK YLKKYRAFEVLR VE01_04256 MAGPGAHPIHIHPARPLYRFAATGLGASMWFFSEARRTCVIGLE APLGPLNDEDIQLGEWAAYGAWTRTDGHVACFDGVQIIQVKWNTDQTEISIDVKLVWA VE01_04257 MSSWAQQASPDANAVSQGYTNMKTTRPPPPTKSFHGCSRIQDYE ILRKLGEGTFGEVHQARSRKTGAVVALKKILMHNEKDGFPITALREIKLLKLLDHINI LRLEEMAVEHSQKSSDKRKRAIMYMVTPYMDHDLSGLLENPGVTFSIPQIKCYMMQLL KGTAYLHDNHILHRDMKAANLLINNKGILQIADFGLARHYEGPVPRAGGGGGEAVRDY TTLVVTRWYRPPELLLQLRRYTPAIDLWGVGCVFGEMLVGRPILSGESDARQLEIIFE LVGSPTEDSMPGWRALPGAQGLQPPHRGPTIAQRFKEYGPGAISLLTDLLKLDWRKRV NAIDGLKHHYFTENPLPANPGEIPTFEESHELDRRSRGGPKQGLPPAPRGYAVGGEPA NAGNRPYGNGDNYGGMNRSHQNGAPHHGSGYAPPRNQPHPNDRRPGWSHSRPDSRLPP RDYPPSSQTWGGGVDGSRSDRPHEYRDRDRDRDRDHLPSRARGGGSAGIAGRDVDTYI PSYGPESGRRDERPRDERPRDERPRDERPRDERPRDERPRDDRRRWDERDDRRHPPHR ERLDYDDRARSGRTRSRSRSPLRDRDRERVRDRESLDREVYRR VE01_04258 MEALDRIAGIPPPTTWKTVSASIFHYSTLPIVKIATGIVNTVLV VSAPLIHLVSYITHVLLLPLSLFGNLETFYIYFGVASIVGLLAGGVVYSISSVLVSIL GLKPTPTQKTPRTIQGSQGRQQQESSRRLRGTAVPKGRLTTGYEETPFYGEPLTRENV GYLDKGRQPRGLSSQIIPEEDSGSDL VE01_04259 MGNNPSAPPKSSSASPASSTHGQSVGSASDRRREPKLRDTIQSQ RGAAPPESSQVQARGTTVPHRTRNAQSQNAQLNNNNGQYSHSPSPSQNSIPPSSFVAS QPLPQQTPPSKPPLTLSDEPSKPVAVPQPVNAAVPDTPTEKSPYSASQSDQSGLATDI MSYHLTRPPRLPLPIEEEVHTPGSPILGPASSQGPVPEFDPLHGSGDLGRPLSALSNT TEDDEGDELPIDRTKPVVPTEFHWFGPAEKVYVTGTIFQWSRKSKLYPIPGKKDAFSA IIHVRPGTHHIRFIVDGNMLISPNLPTTVDFGNNLVNYIEVSADDLPKDSQAQGQAQP SKSQEGRQQREEAKPAGQGADAKQPRTKPMIPHEHYTSTVPQYLLDLDKAEDSPAYQY AASAIVKLPTPPSLPGFLGKPILNAQTPVKDDNSVLNMPNHTVLNHLATSSIKGNVLA VSATTRYKRKYVTTIMYKPTSDDTT VE01_04260 MGYAIGLEGSANKLGVGIISHPSPTTPALILSNLRHTYVSPPGS GFLPKDTALHHRSHVVSLVKRALAESGLKPADIDCICYTKGPGMGAPLQSVAIAARML ALLWNKPIVGVNHCVGHIEMGREITGAQNPVVLYVSGGNTQVIAYAEQRYRIFGEALD IAVGNCLDRFARTLEISNDPAPGYNIEQLAKKGSVLVDLPYAVKGMDCSFSGILASID ILAANLLTNPDTRDEATGKVITTADLCFSLQETVYAMLVEITERAMAHVGSSQVLIVG GVGCNERLQEMMGLMARDRGGSVFATDERFCIDNGIMIAHAGLLAYETGFATPLEEST CTQRFRTDEVFVKWRD VE01_04261 MDDQFCSKTETFAKWLTHVGVQISAKAQLTDLRADGRGRALVAK GDFAEDELIFSVPRTSTLSVKAALPELLSGRQDISAEDVECMPGWASLTAVIISEGSR PESKWAPYFNVLPTKLDSLVFWSPEELAELQASAVLKKVGKDKAEEIFHQSISKVTPE GTDVDIFHRVASTIMAYAFDIPDIEQEEEEGANEDDLVDDDEQKTSLAMIPLADMLNA DADNNARLHYDGEELEMRTIKPIKNGEEILNDYGQLPRSDLLRRYGYVTDKYAPFDVA EVSTSTITDHIYQDLAGELKVYLRASEIEARLELARREDVYEDAYDVGHATEEWPCIS DELVALVYLLLVGEETLAAIQSSKMSLPSRSKMETELVGKALQRIFERREREYSTTVA EDENLLQAGNHSNRVQMAIQVRMGEKVVLREAKKEAGKFQGDNKRMLGREKREREDDE HVSQKKSRHR VE01_04262 MTRSTQPGLRFRTRKLSPKQPLSVIREDQIDSDEYKELVDNQYK VETGVEKSEENEYHLQEALAAALGRGSKEEAKKIVPAPPSEETKDINYDELYAFKFSK SSSYIRFSQTVEECTGCQYDMTTDDDVFLKAYNQKKPPTGQCSEDDFEKIMEAFETTA DRQTPFAAVDNTVAGFEMMEFALKQEVDKKAQAFAKDIYDYWKTRRQCSSNRPLQPTL KFELHQDSDDGDPYVCFRRRDVRQTRKTRARDIQVTDKLRKLRKELEDGRQLVLMSLQ REHAKRDLLAIDRSIFEQRGKVKEIRQRLGIKVDDEVLINQKPQKRKPVEVPQLQRTP GTQIRIPSSRTDGRTIEADLTLLSDVLAQKENLLQMEIDSKIQQHQRWNQNHIDMTRE PLSDARTPPPDSSFRLATTQYLMTPPASVSEESCENALEPREMRGFSPPPEEEPHGQP SYRRRIGRLGRLWIDRRGLPSPPADPTDSRSDRWKYDQDDDDDTPMYDVDPYDTNALK FRATIPYTDRNRRPPPEIAGANGPVANGTAANPGNRPSISLPSQKPT VE01_04263 MGNTSSAVIENIVEGSNFDRDEVDRLRKRFMKLDKDNSGTIERE EFLSLPQIASNPLATRLIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVY DIDRDGYISNGELYIVLKMMVGSNLKDQQLQQIVDKTIMEADLDRDGKISFEEFAKMV ENTDVSMSMTLDQF VE01_04264 MDGHGPTRRQNEPRQYASSGDRFAPSQDSGRSFSDELAARRRQP AVAGPGSSSQRNALSNYSFYAAHEPSFTAVSSVDQMTYQTEYAQEPRQQQTSYASYDS NLTYGMGQQPLGGLMYESSQQFNQQQSAAGQVLSNTNPFYPTESMANQIHSGIYPGPA GSIGLPQTRQESTGGPEISATIDHGYRRCSADLGQIFQNISDGRLAVAGQLLSSFSEW LISHVEDMELTVDDTAQEEVRSKLWDDFNNAWLALMHKQMTLTRGLLEAGITLQQPQL MTAEVISKICTDLLKLDDEYLEKHGLINYQYGVLEDQIIESAIICLDILENYGSQQEG VE01_04265 MKAASIPGRLVRSSQVCSSANVFRAFPRQRHGLQASARHSSTIS DPPVAAPQIDFAQMSRNNSKNLHARVVPASPSYFTGLPQFMDSLLKLQALLRKNETLP VVKPGQAPRVSWQTLESYRSSLGEHVRTSKYQKVIQILHRLNHIHPTLKTPEVIEAIE AHRRTIDPFANIARPITIDRFGRALGVGRRKSSTARAWLVEGNGEILVNGKTLVEAFS RVHDRESAIWALKATERIDKYNVWALVEGGGTTGQAEALTLGVTKALLAHEPLLKPAL RRAGCVTRDPRKVERKKTGHVKARKMPAWVKR VE01_04266 MAFGKLYTSEGNPRSTAIKAVALANNIELEIVNEDPKQGVSDEY LKTNALGKIPTFVGTDGFVLSECIAIAIYITSQNEKTTLLGKTKQDYASILKWMSFFN SEVLPSLGSWFQPLQGRVPYNKKSVEDAQKKVLQVVSVIEAHLANNTYLSGERITLAD LFGTALISRGFQFFFDKAWRAEYPNVTRWYETVYNQPIYTNVAGELNFIDEPTLKNVA PKKAESAPKAPKAAAKPKAKEVDDEEEEPVVEVPKAKHACEALPKPTVPIDEWKRQYS NLDTPVALKWFWENYNPEDYSLWAVDYKYNDELTQVFMTANLIGGFFARLEASRKYIF GCASVYGAANDSVIKGAFLIRGQDALPCFDVAPDYESYQFTKLDATKPEDREFVNEQW TWEKPVVVNGKEYPWADGKVFK VE01_04267 MIPVGMRKQAHKALPTFQEMASQRIAVLDAAIAALNSREDTNSK KLKKLAQHLAEKADLEVQLSQPPGTEATRGPLILGDETSIDSTMVTPGSIPGVKKHKP KKKKNQEGPSSQAVNQGEGQLPQPIPKVQVTTRKLRSQKVTATATTTSTTTTVTTTAR RDPIVPPSAGSGGVPDPSPAYLERSLLAPQQAVKPQHILLVLDLNGTILYRPDRRRPS HFLERQSTASFLDYIFSRFQVMVWSSARLENVRLMADKLFPGPRRQYLVTEWGRDRMG LTPEDFVRRVQVYKRLEKVWAEPGIQNRHPLQATGATWDQSNTILIDDSLEKARSEPF NLVELPEFKGDSEPTDVLQHLTMYLDDILMQKDVSAYMRQNPFKASYAASYAASYGAS DGATSEGE VE01_04268 MSSVKRKALESSLQRRVRARRDASEEPQELSESSDQDDGASGSE DGVDENLANNNESDDSGDAEDSESEGSEPGEAASISFGALAKAQESIQRSAKGKPGKE TKRPKKVSDDPWENNEAAERKAGRKDHRDFSRSNKNAPTEISSKKAVSRKRDVVPVIK RDYRDPRFDPSVGQVDDTKVNKAYSFLNDYRQDEVKALKEQIKKSKDEHEKEKMKRTL HSLESKVKAAARKAKESEILDKHRKEEKQLVKEGKTPFYLKKAEQKKQFIMEQYSSLK GKQLDHVIERRRKKVEGKEKKNLPYARRDFGGDN VE01_04269 MSGRRLLDAAALFNASRGIARKHVALRQEQFDRFTKTSSIAKAV KSEAARYTETARAASFLASRLNEDKPQWASEAAETNPGDSAQKVAPHPGPEGAKPNEA RHIIQEEASIPSRPDGTIPPSKLDAEKLEGNHSILSEQPPKAANAHPSQPIEPSRHSR DNHLTSDEARRLQRSYENQIPSYAADGKADTSRNDTPNGIDNDSSYKPLQYNSPILSS LPRVKVPKHPSHVQADDAHLNNQGLNSESYSSPVQAPTASTVGKIDTLAEEEEIPEGI NTAIFSSSRVAKSLGGRAHTNVRSSDKVTPQPTPTQIPRGVPSKTAQQHDTFTSDAEV RSPETVLEQKDEDTLIKIIAEASAPKAVYEMRESKVPSSRMGRLWNYGGLAAGMFGGA IGESLRRVTGNGGEGSFMLSAANMDRLVAKLSRMRGAALKMGQMLSFQDSKMLPAPIQ EVLLRVQDNADYMPASQRNKVLAADLGADWRDLFDTFDEKPIAAASIGQVHTATLKST GERVAVKVQYPGVADSIDSDLSNLAVLLTASRLLPKGLFLDKTIANARIELGWECDYL REAQCGRRFQEYLADETDTFIVPRVIPEASGKQVITMEFMEGVGVTKIQDLTQEQRDW IGTNILRLCLREITEFRFMQTDPNWTNFLFNAEKNKLELLDFGASREYPEEFITKYTQ LLNAASKSDEETVRKLSVDLGYLTGHESKPMVDAHIASVMTLAEPFLATSPEVYDFRD QTITERVKGLIPLMIKERLAPPPEETYSLHRKLSGAFLLCARLGSQVRCRELFVNSMN KGGYN VE01_04270 MALPPSNALVRRRTDTELMPPPPKRIKRPQKVLDEEAYTEGISH IIARDFFPGLLESETQSEYLDALDSKDQVWISSAGRRLAHVMTPGRKRGRRGTSIQTR SGSETPKGYAGDTPMSVVSDVSSASTQADVKEEVDTNMSLDAFQSKYTSEDNESFYKL LDKQNKARAEKYAWMWRGNKLPSKQMLKQNEVETKLLTSGQSLVDDGGERQRLAIRDV SEKPAMVDTWKSKPDNNFMFGPEGVEDSIETVAQRAQTTSKAAPKGVVYNNTRMPGLV TVDESQVPPSPSLSAIQDAIAGRPRTTDSEPGYTGSETPRVNGYAFVDEDEPEPEERT QSSPAILLGKGDATPNPFKIKEHSKREGLHHRMVDRVARMKRASSQAGMTGKVDASPV PKFPSSPRVGSGGLTPAAQRLWSRVGKSGMASPGFGNKTPGTTRSRSTNLKPKWTPK VE01_04271 MTSVQAPNLDSSMNHDTEREDISMTGVEDAESRTELPIAPKKGC DITTKTIKNPSFSYAYLEYISDGQPTTDLDILTVRSHLTSGLNQFLGLTGAAISVDIL KVDQKGCWIRVPREDLRAVIAAMGQWVGTGEGSSKVAWKLKASGNWLGSLVASQGIQN TWAT VE01_04272 MTAPDPAGVALKDQGNKAFAAHDWPTAIEFYTKAIEKDPNQPTY YSNRAQANIKSEAFGYAIADATKAIELDPNFAKAYYRRAVAYSAILKPRDAVKDFKAV VKKLPGDKDAKLKLAEAEKLVRRAAFFSAIELGEEPSAAEGLDIPSMVVDADYDGVRL GDEMTQEFIDDMIERFKTGKKIHKKYVYQIVLAVKKIVYDEPTMVEVDIPEDATLTVC GDTHGQYFDLMELFRLNGFPTDKHYYLFNGDFVDRGSWSTEIALLLYSYKWIRPQAFF INRGNHETDDMNRAYGFEGECKAKYNERTFKLFSESFSALPLATLIGHQYFVLHGGLF SDDKITLDDIRKLNRHNQRQPGQEGLMMEMLWTDPQTEPGRGPSKRGVGMQFGPDVTK RFCDNNNLTAIIRSHEVRMDGYEEEHNGKCITVFSAPRYCDSTENKGAYINIGPDYKL DFHKFDAVPHPDIKPMAYAHSSLMSSI VE01_04273 MSSRYIPPGQQRNMRACMVCSIVQTQATFHKEGCPNCEEFLRLQ GSMDAIADCTSQVFEGLITLADPSKSWVAKWQRLDGYVRGVYATKVSGILPEEVVDTM ENEARIRYIPRDGSAQED VE01_04274 MPRAEAGSTKQLNNQLKSKGLQRLRWYCQVCEKQCRDENGFKMH TQSESHVRRMLLVGEDPKKFINDYSNQFQRDFLLLLRTSHGEKQVQMNHFYQEYIANK EHIHMNSTKWPSLTEFAKHLGREGICRVEETDKGIHLAWVDNSPEALRRQDAVRKKEM QDKGDETREQKLIRDQVRKARADAEARGVHDEDEGDKELKRVEGEKIKLSFGSKPAAV NPTSELETQGSATKREPLSASTATASNSGVASGDTTLATAEGDSTANPVKEDIPSAPA KISLQMGFQSKPKNVFAAAKKNALGGKKVAQIQQPKKMSEAERIMKEEIERKRGRDGG GGFGGPAPKKPRF VE01_04275 MASNNNEATPLVVDGGKSMPKKRRNQKWDEIKTSAFQIYMVEDN DLAVTMAKIETVYGFKASPRGWKGQMKEWGWHKNIQQCDMQWIVAKGTKRAREGKDTD YFYGETQVTAQKIENFKRRKTAEVPDNMPTPPNVRYNTPSNADSKLHSIEGYAGIVSY SSPLSQMSDLYMEEANIAESLCSQNENTPTVAIEPPAKYKSTLDECLSNKDDPWTPFS LYRLGDYDAILAKHSQKLEQVLEFEACLQVEDSLGELSGIEDLFLIEPYSTMDWEQEN SSDALAVDDAFGLSLTLAEYRHKQECSVLEITNSAKHMHQCLLEHFSHENPFLDEGAE ATAHLNHGLPLRREGKWTSCAGQLLQDCESAMNSGAHDSQLILRLGSDFIKLFLNVDN ESNESDSLVETFRQELRQGLGQNSDFQDILKATRDVAIDQYLLANYPDHCVRPKYLTD TTQFGWLSGAANSAHIDKDLSSTGSLSASVSHKYGLTYSCGEISGISDSIFMAC VE01_04276 MPAIRHASKRKAPPAGFSDIEDSLLVFANKMKDAENAPTTAAPK HQALWPIFQVSHQRSRYVWELYKQEKISKQLYEWLCKNGYADAMLIAKWKKDSYAKSS YRDNLSRFKSQPVQRPSSPFDKISTTAPSTPVRPTSPFSPADIQHTPPLATPHQHSRI PTTGPGFRFDFLQPLQLLFVKQCRVPPILAFQRRTTDLGVELAINETTDPREVNKHIL RAVIQTHEGQAMRIQAIAPAMSWSIIDICTEWAVPLRTTQAFF VE01_04277 MVINPTYLAQRTRQSVNWNDAQRRVAKSYREWIRSAPEIQTMYS LNISVGELRTKMREQFERHRYVKQLPVVDMLLFQSHAEYQETLNYWKQLPHILKYFRA EEDPTAHLPKNFMSGFLEGRN VE01_04278 MASTEDAFDGDVSMGDVAPAVVAQDQIDPTEDAVLAALWAKQNV RVLPGSSDTAASFEFTNEDHTLGNALRYIIMKNPNVEFCGYSIPHPSEPKMNIRIQTY EGTAFEALEKGLDDLMDLCDVVAEKFVSEREAFGRQ VE01_04279 MAPSPAPHDRNAYVDIAPSNSSPRETRISAPIASNHHAPRSEST SPRGSSGSKGSPTSLRNAPPPKIIVKKEPSSPGLPTSRHRPRKLDLSKNNSSAANSNQ KGTAPLTSRETGGLAIQDVGLACLSPGFVTQDPTMREQLQRSISVREQQRHIIESRLQ QTARPGDAPLDSAKEMPGPSAFGAMKTPGTSRRKAPPGLSIVAPSHEQFANERVIQSA PLNQTFTGRHQPHPLTRHVQNQPSNLSNTSHIHHVPANQTANRLPPISDVFAAENLGQ HRDAVNGPKTSIYPPSSNAAASHHNRHTGFPSPTQAPQQPPHSARPREYRSAEEAQAE LAGGRPELIPKIVHYGGHQPPTPPSPQTGNAMRNGAGRRRGREEYEEGRSPPLGQGPA AARRVVGGAAGAFGEGRDSEEAVRMKKEEFLRLCDRAWDLFHS VE01_04280 MTAILPHRDADKATSSPTISRKSSFDGGAGAASPRSRPTSGQGT HDTTNGAAPHSDHSHRPANEKQSMTKRLTRMFSARDTNKTGSGSESGGTKSPPKATTP VPGEPSTAPTSRPAPPSRQQSSSEKIPTMPKPKEKPKEPQWPAQRYILVPDVPGGHEH HLKSAKRQEKLSDMLRDMLIGKKKPMEAHEGEQQLSLMSSWVDQLKREREALASTDKK GGPNTTATLVEKYGKCQEIVGRGAFGIVRISHKRKEGDNEQLFAVKEFRRRPDESEKR YSKRLTSEFCISSSLRHPNVIHTLDLLQDSKGDYCEVMEFCAGGDLYTLVLAAGKLEV AEADCYFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITDFGNGECFRMAWETD AHMVSGLCGSAPYIAPEEYIDKEFDARAVDVWATGVIYMAMRTGRHLWRVARKDEDEF YERYLEGRRDEEGYGPIESLARARCRNVIYSILDPNPSRRITASQVLKSEWGREVKLC KAGEEGI VE01_04281 MEPRSASEGGAHIVDQVEPANKQTQCSGEDEYAQPVPLAQQAQP RFLSNSSKVPMSGYQSPLRQHQRTPSMHQPVKETPNARSEYKNSEDDGKSQHHINQYI IGEEIGRGSFGSVHLAADQYGNEYAVKEFSKSRLRRRARSNFLRRPHAAFRSGKSPTG SGLNSPLHHNPMPGIGGDGPEGSLHLIKEEIAIMKQLHHPNLVSLIEVLDDPEEDSLY MVLEMCKKGVIMKVGLGERADPYDGESCRYWFRDMILGIEFLHAQGIAHRDIKPDNLL LTADDVLKVVDFGVSEMFEKDPEMMTAKSAGSPAFLPPELCVVKHGDVSGRAADIWSM GISLYCLRFGHIPFEKTGILELYEAIKNDEVDCTQVDDPNFVDLIHRILEKDAKKRIT MDELREHPWVTKDGTDPLLSKEENVSDLLEPPTQIEMNHAITSNIGGLLIVMKAVNKF KSLLYKKHPERAGTLFGGHKQLFQPPAQMHESDFEAKHGAQRTKSKRQDHFSTITSTK SFPDEHDLPDAVTSEPGQATETSSTSNPLDSAAPHANNNDNNNKSRTSPPPTFTTTTT TTPSPLPSPSGRGQAHDPLDEAPLYLRVGSGHADHPDTDSDSIAQSPAAAEFNIYDKA YREEVARIRGVSESTLVYLNRRVSAWDSAKTGGRAAPGGSGAADPGAADLDSAAVSDP ATTTTAPAAVADGAGGAPDDAKSATPFSAAQPANQAPGETHEA VE01_04282 MVVYSFYIFDRHTECIYSKLWARQDNPSSIAGPGHTKGRPVSDS SSISNDVTVDLGPARARPARLSAKDDAKLIFGTIFSLRNMVRKLGGEDDRLEHLQLYC LIGFTNTIPFSFISYRTGQYKLHYYETPTSIKFVMLTDTQSLNMRNVLHQIYVNLYVE FGIAIQS VE01_04283 MGKLSDARERMSSDRIAKENLRRRFQQNQEDCTFTILALLPTAT DNIMAELETERITFALQEQKASKLAREDRPSDLGSTPPSVTDDDGRSNISQLSESGVH ASQASLPPTTTDEKAPQDSAQPAPTAQKSRKSKLQLWNDLKISAITRAFTLIYTLALL TLLTRIQLNLLGRRSYLSSVVSLATGGLQDSFINLENNDDDNTDQAYGNDFETNRRYL TFSWWLLHRGWREVMFKVQDAVQDVFGPLSPRDDLSLGRFSELTLEVRKRVEGATEAD RQSTRWLQYLLPSRDQEDYVLQQSGMSAESPESTTLASSNASPLRRLLDETSDLIDSP PFTYVLTLLLDAGFSTLVDQKVAQQAFKVPAPPSDPNSEPRIQEIFDPKPMKLPIVLA TLTRQAHQIGNGVPNDYLQAMEMVGDLEAFAAVVYSSNWENEITPMGDEGAVKNGKAK ESNGASDQAESGILGSSIVDIGEASAFESAWGKATESSGGVFESAK VE01_04284 MEQRRPPEYILEVFADPSSVKDVVKGILHTIFFHRFFPSVRPRT HDVLDLTLPVVGDAELETLIEQKTSSLIRQLDTTSDLGSRSSGVRGQIAVQFFEKRRR KAWFTKGEEEVCWEQWTLDVTLAIPRTESERAKVRKAMETMLLKTAMKIVTTVNREKD HIPPITTSEANPFPYQISTNPKGDGWGARIGIF VE01_04285 MSPSLLENEDGLRTVSLTTCHSPIKNNSRGGWIVQKFGGTSVGK LPFNIAEDIIRANLKGNRVAVVCSARSTGKKVEGTTSRLLEVYSVLESVATVKDTESV HYDSLVQEYKRLVQIIQNDHVHAAETSIRDLKIRNALSTEIISECKEILDYRLAAQRW HLEIDSRSKDRIVSYGEKLSCRFMTALLRDRGVDAEYVDLSDVINFKSPGGINQEFYD SLAEALTARVLACEDRVPVLTGFFGTVPGGLMDGEIGRGYTDLCAALVAVGLKAEELQ VWKEVDGIFTADPNKVPTARLLTTITPAEAAELTFYGSEVIHHLTMDQVIHAQPPIRI RIKNVKNPRGNGTIVLPDPVLAPGQQLIRTRSVSIPGARKTPKRPTAVTIKNQIAVIN VHSNKRSISHGFFAKVFSILDKLRLSVDLISTSEVHVSMAVHSVSEDDLLRARRALED CGEVSILTKMAILSLVGAEMKNMIGVAGRMFSTLGENNVNIEMISQGASEINISCVVE AFEANRAMNILHTNLFTFLE VE01_04286 MAVAKSVVGRRFRLEFSGHRFERKGTRFLTEVRAGLATFFAMAY IISVNAGIVSQTGGTCVCTSTTDSLCKDDPPYLQCVQEINLDLVTGTAAISAISSFAM GLFANMPIALAPGMGINAYFSYQVVGFHGEGSVSYQLALTAVFVEGLIFVGLTLLGIR QWLARSLPASLKIAGGAGIGLYLALIGLTYEAGIGAITGGTADPLQLAGCVPKLRDAV TGVCTGEKMRNPTMWLGIFCGGLFTAFLMMYRVKGALCFGILLVSIISWPRNTAVTAF PDTELGNLSFDYFKKVVSFRPLKHILAVQDWHNGGGQTKDFMVALMTFLYVDILDCTG TLYSMARFCGAIDEDTQDFEGSAVAYLVDASSISIGSLLGIPPVTAYIESGAGVSEGG ATGMTACITGLCFFISLFFAPIFASIPSWATGCTLMLVGAMMTRAAVDINWRYIGDSV PAFLTMAIMPFTYSIAYGLIVGIISYIILNGSAWILSWVTNGGIVPYDYDQSDYWSPR PVGGILPGWLKRLARGKRDFWREYDYDDQIEQGNRHHDSFPTSDQYTVSESLERKASA SGASGVVETDLSTPAMARLRDSITIATKPVTGSSVSEKY VE01_04287 MASVVYVFAVPIPLLPFVLSLVLVPFIGAFVKFILRLRSQKHGQ VTKELKDGLDIVYEGSKAEIDIVAVHGFGANPNYAWTADVGSKVRFRWLERLLPKEVP NSRIMTFSFQSNWLLDAPKTRTTLCATALLDALHNKRQETNSTERPIIFMGHSFGGNL IQQAIVNAHLHEGREDITMATAGVIFFGTPHRGSKDASWGRIIADLGSYSGFNSYDGI IKDLEEESTHQIDLLHSFSVWLRRMSVETVCFFELKETDYGRKIGLTGIRRKIVVTET SACIDGYRKVSLDVDHLHLNQFKGSDDASYKKFQPEIKRMVDGAPAKILRRMNPRQIV YNDEQIRSTANSTEKLRCLQALVVVESDVILENLRRTKGDRVEGTCEWVLINSQFTEW LNADKSQLLRMTGGPGIGKTMIATFLVDELKERAQYSESDLFAFFLCDNKDERQRTAT AVLRSLLVQLLRQQPSFFSYMQPEYEKYGEDKFKGVFCLDFGALWMVFEAILRDPAER SITIIIDALDECEEESKSNLVKVLHDFFDPNSRNNKAKSNKVKSNTLVQTVKIIIAHR PEPSIEKGFLHGTQPLKVDTGLINCDLSKFIREKTEKLRDDFDLDSEKADQIRGVLER KAEGTFLWVSLVLGEMDERDTQGNITGLLDKLPSGLPETYERILRKIKLAHGNDASFI LRIIFIARRPLKVDELAIICLLGLGTYDKTTLPTIQDLNEWRHEYRCCGAFLKVDEEN ETIHLVHQSAKDFLEDLSRHDDLAGFYPEAGASNFDMLQVCWKYMSIEKAEKAALSEE VIAHEAGMISDKEMILAGKRHDFHCRRWFDYADREWRDHALTAYPGWVDLPGMEKSIL DKLPFFRDEWLESAAREGQEAAVEQLLDRGASMLSVNGIVLRTPIWHAAINGHEKVVG VLLNKGARVDLKDMYNRTLLSEATRRRHEKVVDLLLSRGANVDLADIYNETPLICAAR SGDEVIAKLLINSGANVNAEATSGLTPLILAAQTGDEAFGRLLLGSGADVDYKSGSGL TALSMATWHTHGDFARLLVDHGAEASPEEQEWLDSLSSAAERT VE01_04288 MKLLARLSLLAIIPSSLAVPTNDNQIASRDVVLNALPMLKPQRS LSRDLVNLDGLWKFSVATSANSTAQPWTGPLVTDLECPVPASYNDIFVDRAIHDHVGW VYYQRDVIVPKGWSGEQYFVRAESATHEGQIYVNDRLVAHHVGGYTPFEADVTDLVTA GQQFRLTIAVNNILTFETLPPGKVMTSALTGKRTQKYYHDFYNYAGLARSVWLYSVPK QHIQDITVVTDYKGNTGMINYNITVAKGTTRKVQIAVIDESGKTVAEGSGATGTINIP SVKLWQPGAAYLYNFRASIVDSSKKTLDTYNLATGIRTVVVKNTQFLINGKPFYFTGF GKHEDTNVRGKGHDQAYMVHDFQLLNWIGANSFRTSHYPYTEEMMEYADRRGIVVIDE TPAVGLNFGLGTPGSVTFGPDLINNKTQAAHAQAIRELFHRDKNHASVVMWSIANEPA SDDKGARAYFEPLAALARSLDSSRPITFANVFFATHVTDKISDLFDVLCLNRYYGWYT ETGELADAEVALTTDLQGWVKKYNKPIVMTEYGADTVSGLHTVLGLPWSEEYQVAMLT MYHRVFDSIKAMAGEHVWNFADFQTSMGTGRVDGNKKGVFTRDRRPKAAAHALKERWT NLKKK VE01_04289 MSSTRHRQQQPLQIYQDPESDRSYVPSGSTLPRLASPLRPIKNA SSRRNIVLNAPAAQANNGSPHKNALHSSSSPSRSGMSNKLNMHAMPPPRGAMRSTDSL EKKQPLQARGQGSGPQKALFTTASNIYPAGKENYQHQYNDADDFYANDAIYGQKTQRK RALLEAPMIQSKKQRMMPEPTRPAAEQFGAVKDDGTKPSHSYATLIGMAILGAPGKRL TLAQIYKWISDSYSYYSAAETGWQNSIRHNLSLNKAFVKQERPKDDPGKGNYWVIAPG EEEKFNKDKQPKKGTIIESGPIMSASVSLPAPPMIQERPQSSYRNSTPAPSEFAPPST NSFMSIKPATKMDNELSSDATIPASDTYQPDEEAPEHELYAHAGAAQPILSSPPALMQ SSPPVPRRVRLRDDTPPPVVRFARSSAQRSHKRNFASMDDSGYFSSLNSSALRNPVPD RHPVKRGRAEEEIARLRGSSYDSPSKTSRRARHTPCAESIAWAAPSSSPLRRGLTYDS AAMLPPLTPAVKLTAPSRPPPSVSPTTNLRLHRERVRQLVGSPMRDSIHAADDCLPWS PAFNLAEPLFPDLETEFEMFIDATAGDFLAVPGNGSPEKRTAKRGRFDLAPSALGDLS SNGVNSFSRRSVTSTPKLNFTPSLVPRVFHSPSAALGLGGASPSKFASPIFSLGGSFD IGSVGGASSSVAGGVSAGASVVQEEYYGAEFLADGVAEDAGMDILQGFAKIGAKGRGG GVGEQMKGGVGVRSGVRRSFTSRF VE01_04290 MSKPSPLLQPRLLPSMITTGLVALLFFTIFAGNLAHAAELDSIL PDDHNHERLLDPFVSARGEGEDGEDMIYQVEFTGGGEDLHARQLPMAYEIPNNIARPY NLNQGETMYFVFLNETVWGPHAPAGSGSTGLPSVGLSPRWEGGGEGGGQGALNTADGE ESSIHKRANATRTVYITLNTCLQPSNAKGSSGPQGPPPQLTMYVGDNQTALGASQPAD GQLTVAAEFGFANLTFDASKDVYIAVKAPNTTEYEGVYNVEVGVSIDAPFHSYSGDAA DLFFIDSDSSSALLATKNLTLDNSSSPVYQKWMQLSPPPYVIFANQQNQMSIQGVSRS YCGLENYAQIAGTQKGLRTDMVKTIMTNVTLGTHPKQQFYFQGLSASSQYYGILALPG NATERAPGGGGRVWQSMNFTTQSDGNCAILFNLTFCSDVSYAVPGNPTTFPTLTSLAE FYDNAAASAYSNFAKALQQIPCEIPNTGQYSLVRNCDHCAAAYKAWLCSVTIPRCQDY SRSDPWLQPRNVVQPFPNSSMLQDSNFLAASQEILYLNSSRNPAIDEIVKPGPYKEIL PCLDMCYNLVQSCPAAMGFECPGPGNGGFASSYGRRPNGSSSEDGQITCNYPGAAYHL SV VE01_04291 MKGRKRQLSRGSTTSLHSAATHSLHDQQADGNPAEYQKQWFENE QQQRFAGGGHVTAEDMVMQSASQLRDPRDYGIDPALGAAVNHTLGYSHDGSYRPEHAR RSLPTDGYGTSFMEDDSPMLEGRSDEQDDVDSLAGANGAPKKASKSSAANELEMRQLF QNNKHRKLPEVATELHGNERGPQSERMRQVFAMIWINQVCDKGKGSVPRGRVYANYVS RCATERVTVLNPASFGKLVRVLFPGLKTRRLGVRGESKYHYVNFSLKEDQPNLIENPS TQKYQPMKTAEAKRFAQEPSLPSHTPVDKQEFPGMAFSPVLEQTNKPASSFVQSRSLY NQSVLHNVQDLEYNGKITQHLCFVPPADNSMHEYEPITLPKIEPFIPAGTDPDSATAL TALYRSHCTSLVDAIRFVKQKTFFYLFTSFHGTLTMPVQKLFAHESIAPWIEECDFIM YQKMIRLLGHLTLEVVPQQILDTLHAISERLVSHIQTSFHGQPAHVIDAKVAPATLFA GLLDRMCRVNLTAHAAANMLSHPPNRDQMYQDWISMVRLRKVAECIPTRAMDDVARLL LTELRDLLDPVTVAWDIESVTPYGDMSARSGNQRESSIHLDSSTENVLDRWVNFLVSI PGRFSYASPADIIWCVERVGTAVMRDLTIKSGQSFGSWWVTKCWIDEMISFMAEKGGF MEYKTSNTRRNENIVQSHQNRAAESNPNVYNGLPMDDFERQQYMNGSNDHGITIPGPM DTASSAAMNVVSGHDDSGIGMRTPDDEFSMSKFNFSDAQMGTPNMDGTINLAQAA VE01_04292 MSFQDKAQAHLSQVDKELSKYQVFNNFEKQTSIPKVYAVLGVVG LYFFFVFFNFGGQILTNFAGFILPGYYSLEAIFSAGKADDTQWLTYWVVFGFLTVVES LISVVYWFPFYYTFKLIFTLWLALPQTGGAQLIFRSFFQPVFSRHFQQSTASNLRSKV DSATSDKTL VE01_04293 MVKRSREDSDPPPLSENNDSVYATDSSGASPHPTDPNAYEAVKI VHLDPEHSGAATVEVMRCSLPGHRPDLSFHSFDDYEVHYSKSHVNRCVECRKNFPTKH FLSLHQAENHDPLVGVLRDRGEHTYACFVEDCDRKCSTPQKRRLHLIDKHSFPKEYDF FVVNDGIDRKSSMLRSGRHRRRSSIMQQKIYNESRGRNRSGTMETDNTDEASETTMAN TETDGATRQDVASPVLANAKAAVPEDGDEDAEIEGLTGAISALRFVPPSVKFGRGRGR TGFSKS VE01_04294 MAANAEVQWHAAKQIHRELRAKLKERESLIGDIEDLISELRVAC ETTIFADFEYATAEGVETALWEAHSSINKRYRKIVARLKTPEQKRITVERRKWEKRYA DFLKLSQYYYKGYIQRLASHFDGLEELRIIAHRLTLSTLSADPPVEVSEDLKHLILLS CHSTLLRLGDLYRYRNDLNTKDRSWEKANAHYGLANDLYPDSGRAFNQMAVISLADGN HLDAVYYLYRALAVKEPHPLAQSNLAVEFKKITTTWLGPAQKRDSPVGNPAAGMVLWF VRLHAQLYKGEDFPNHDELENEVLSQMTVLLKEQSLEDILDRIVIINIAAEYFAGVRL AEAESDEASERCVQAYYFYLRLNVRMLFMLLQLLQPELDDANHGHNAPDVTGKEKQTS AESITAVTRRILPALRQYSTWLVATAPVISAQVGTAPICIHIKEMWSMYCTTLSLLVA VFPVAELPDIDYLLEEDSATVGFKPFRDSTLCRLYTDSEDKLKKRSTDPGVERHHPNV EMMARIREILRDGMVLATNTEYPIYAVDGQFRFFEDGPPPSALEDASPMTQPSELETQ ASSRFSYTGEHQRVIELSSEFKRPPSVDPSESHQSLSTDMYQMVDDLVTPSKMITDGN VNGSAETSYGMHSNTALEVFASFQGMNQEPYQPTPPRISGFPGFAPSPFSPRPGELQG SGHEWPPVTRGTGKENYAPMTSFQHSSNNSTPHSNWERTPSTANTSFPRGGPSFNAAQ QLQQSLEAQHQPSGFSNSSSIYQNTPVNHLGVNGNQTPYNLPRKLGPGYAPRSEYEKQ VMLQSSAWNGSQPAAYARNDPTPPSGQGS VE01_04295 MAATNEYSLLCLENPLLDIQATGDQALLDKYGLKENDAILAEEK HLGLYEDLLTNFDAKLIAGGAAQNTARGAQYILPEKSVVYIGCVGNDKYAATLQEANR QAGLRVEYRVDAEHPTGRCGVIITGHNRSMCTDLAAANHYKIDHLKQPEIWSLVEKAK TIYVGGYHFTVCPEAIQAVAEESAKDNKTFVVSLSAPFICQFFKEPLDKSAPYWDVVI GNEGEALAYAESHGLKTTDIAEIAQHLADLPKENTKRERLAIITQGTLPTIVATQGKG TKSYPVHAIDPKAICDTNGAGDAFAGGFVAGLVQNKSVEESVDMGQWLARLGIQELGP SYPFPKQTYTRSA VE01_04296 MPLIGHIASLSRLYRCLKITALACGSEVAPKRTHLSLASPSLRK FAPTQPLLYVEIFKVFPFLNRLDHQRQRRRPPTSLVNMGRVIRNQRKGRGSIFTANTR LNKAPAQFRNLDYAERHGYIRGIVKDIIHDPGRGAPLAKVVFRDPYRFKLQTETFIAN EGMYTGQFIYAGKHAALTVGNVLPVGSIPEGTVISNVEEKIGDRGALGRTSGNYVTVI GHNPDEGKTRIKLPSGSKKVVLSSVRGMIGIVAGGGRTDKPLMKASRAKHKFAVKRNS WPKTRGVAMNPVDHPHGGGNHQHIGKASTISRYAVQGQKAGLIAARRTGLLRGTQKVK D VE01_04297 MSDDSSELSSVPSEDESDLQLKKKGGILKFFSKVNPKTEKPKKA DSSPPPPEREPSPPHEYVLADNPDIAFIVMFRSRFTEAFPKSLANFGPQELERGVVDT VPGEHVEHFLCALLGLLLNRKQDVKAGHYNRALEEAVQTHKSQWAKDWESKNPLLGGA TFSSMGPTERLTLLRTLVLWSLSSSDAVKGIITASYKQSRHEDDLNQPLSVQPWGSDS YKRRYYLIEGLDDTAFRVYRESNPAGLKRTWWSVAGDIEELKQLAEKLGTADNGQRAR GLSAKMTAAIPRFEATEEKRKRREYRQIRKQQFKRPEPNFSMYEGRTRGKRIKYTYSD DEDDIYSDSTTRRSTRNTGTHTPAEPAGPTVTQSGRQVRSRLGGAYGESMTGSNQGPG VAVGGYDGGNESLGEDDSINGRPSRRAAAVSRDSSSRKGGRNIEGYNSVDDMDDEDDA SEQDYGDDEEEEDAVSLASEGEEDDDDEVVDDDVDMVDELDELDAKKSLVVSLPIKTP TPEKKRTVQLMPFPAKDSMDPNAPEPTHKSPELRGGGNGASASTTTQQVPGAPQPESA AEVTNGTATTNGQRDTPSHLSPTLAYRGSPEKPQSFPYPINVGQGGQ VE01_04298 MAEYVVAGANRHPAAADWHGKVLAYGADRNIAIWSPQGGHHGVT RLLVGHTDTVNVVKFMETTAGLLLLSGSVDKTIRVWRAADSGDDFKCIQILEQHEGSI NCIAVSPNSNIAASGSADATFKIWEFDAETQNFVLVQSISTKPRFFSLALALSPLNGV PGSYILAVAGTSPIVQLYVADPAPKARLEFKLKATLTGHEGWIRSLAFTNETDKPESD LLLSSASQDKYIRLWRIHQGKELPAVAAAGADPAAGAFLPGKSLSNKAHKFVAGSEDY SATFEALLLGHEDWIYSTAWKRRENTLQLLSASADNSLSIWEQDPSSSVWVSVTRLGE ISAQKGSTTATGSTGGFWTGLWSPNGDTVVCLGKTGSWRLWNRNPESDQWMPNFGVGG HIRSVTGVAWSRRGDYLLSTSSDQTTRLHAKWIRGGGEPTWHEMARPQIHGYDLNCID SLGESQFVSGADEKLLRVFSEPRAVAKLLQDLCGIEGDNVQAMPDAANMPVLGLSNKA IEAMDDDQEMEKPSEHDREGLDPATAVHKSTLVMNHPPLEDHLSRNTLWPEIEKLYGH GYEISALAASHDGSLVATACKASSLDHAVIRLFDTKTWNQVSPPLTAHSLTVARLRFS ADDKLLLSVGRDRQWAIFERASEGGNEYKPLYADPKGHSRMILDAAWAPGPEYIFATA GRDKQVKLWAKRGEGSVEGGYAHVTSIKEDGPVTAIDFAGALLQDNRSWLAVGTEAGR LAIYLISLTDLTVVKKVNVNASLCPAKAITQLSWKPVSETTDIKEEEFELAVASEDCS LRVLSLGRLLSASP VE01_04299 MGSHPPSVSVTHLSYTFPNFATGLYHVSLNLPPGSRTLLIGHNG SGKTTLLRLLSGKRLAPPGTVKIGGLDPFKEHTDGVTYLGLEWVLNPIVRTDIGVNEL LKSVGGDHYPDRRDELISVLDLDTEWRMHAVSDGERRRVQLAMGLIRPWRILLLDEIT VDLDLLTRSNFLGFLKRETEIRECTIVYATHILDNLAEWPTHLVHMSGGKVKDWGPVE KFEIERTTANTGNSRLGELVLRWLKDDLKARGPRKNQGSEGTAYMQSGMGGYGAEKYK DKE VE01_04300 MSSDLPLQIGETLQSLSINRNPSTTHDINPSTAASEKVPVTVEE TTLDAESYSSSLAGSDIDDEEEYSYNIIRPTRRRQSLPPLPDLRFEQSYLASIADAET YQMVAYITIRDQVILPLLQGTMWTLALQGWRHWNRGTKLSGKNAGARIRRWWWRVNNW PLDQSASSIIRSASKDKKFAFKTKEFYEMQQSSGGD VE01_04301 MSAVDMFFAAPPVTRTLTALTFFISVAHYAGLVPGIFNWIYFHH SHIFKFPPQLWRFATCFMLTGERFSILMDPYYMYIYGKKCETGSSKFTKPGDFFFYLV FVCLALLGVNYAIFGSAYILTSALFTAFAYTATQDEGGQTRIFILDVPTRALPLALCF MTFVSAGSMHPALVQATGILVAHLYDFLTRLYPTFGGGVNILTTPTFVRRWFEPKTIS VSHKAHGTSFQPAAPRAAASGSTAPGGVLPESWKSRGSGHRLGGD VE01_04302 MAAQPPDEDIEQMVMMASISRDEAIRRLKGNNNDLNLALNELFD NPNSTKYNWEEGAFNGDRDGAPNNNTGISFQVQGADDPGGGSFYGAPTRPPSRSSNTS PLGRIISLDKEHAAADPRATLAQDEIVDIDLQRALAQSAQEAGIPPQQYGVTQGPVQF GPANKPTYDENQWGMVRGNSSVQDFFPDPDAIDRKREDSMPAFLKPSAEDNRLAEMLT IYHEIPLIREILLDRTNLIPNYGNDPGWWAGKAIELPDSAIPESNDVDWGLPTPQDYD EFIRETQRLMAFLDKSDRSYGSAEPLVNNAALRQSQAIDAEQKFFEALNQIYSKPDER VPVSSLFSEAVQPGLGESALQSRHFAMLDLDIPHSSIVEDVETLYDLADYALWMMSGL ETNTRAFLKELGEVIAFRITGNEGGKGIKVPATWYPDRYMESNVEASLEMSKRKAAIR DRMKKICALENKLTHYTFPMLKTVKVKDLLGASMRHDKDLLPPNTLNGTDSDSFETEL SSETRRTQRLDISAELQNVLESIDKKLTALADEKEKARESLRELSKLYTKPATEAGQP PIQKYTLCGVSISNHITYVRRRAEPDLIEMDLDADGTGKDADQWWKIEYSPSGSRQVN VVKVAQTEVLFAASKEIKCCIIVYASEAALAEPRRPLPEPLENFVRADNLAFRAEFST NPELIDNSTDITSPRSPPKRKFNSGSEDSSAEDGNRVLLGGLNPAPQFKIDGGASATT HDQHQAPWSSTDDPFGTNQQRQDVVMEKDGQESGIETSQLSDGKSVEMEAKSGMPMLI PALSNSSEGRVDTSDGMDVDDLPARALDKA VE01_04303 MAPTYPWGGSKPKQTTKLNNTSFPSLKDNEANKPAPESEYNQIQ EDELLVLGAIYGDDFEREDTKPGAWKKLEPTFNIRVTSATENLSVVLHVVFTATYPKS APVLTLKFPRSLREGTKFKLQKVVETKAAEMAVERPMEPMIHEIGEALKEILDGAAEA EAAGREIPSLEEERAMHETAVALKAAKEEEEQAARERAAQIAEEERLTADAQVLVARY EKNTKDSRRKSKAPVAYEDQIVDEDLEEMRAVVAFDEPIKLIDDFDNERTFQAVTSYY RIRQGPVSECFTARPVLKGDPIQLLVLKKTTIRSSENNKKTEFRAQLAGLEREISTLM KIDRDNILRIYAYKLTKESDTNAASDGLWTLSILTEYGNKGSLEECLDISGSLMAERV KSWAMALLDALTYLHSQGITHRDLHASNVLLVRSPLGVVTPKIADVGFQHRLHTLKNA TSPGKLELARSVYWVQPENVNSERPQYTDKTDIWDFGIIFLQMIWGLGVLREFSAPQR IPEEQVVSRSLEDMIRKLFSPDPRKRPRASDLKMSTFLTTTEGTDDEVSMPLPKSGSS IALTSLSARRGSKVDHRPLHFSRYHEDFIQEGRLGKGGFGEVLKARKKLDGQFYAVKK ITQKSSSSLEEIIKEVQFLSALRHPYIVQYHNSWTEIIPDTGDADADTELDSSTADGT TGASPGDNGLRIEFGTSTGGLDFISSSRYIEFDDDDDADTASDDDDDNEEAIIDDEDE ASSLSVPAIQHRNRRQLALTRTRSDSRPAGITVLYIQMEYCEGKTLRDVIKRGSLLDN VDEIWRLLRQLLEALKYLHGNNVVHRDLKPENVFIDGASNIRLGDFGLATSGQYTIPE TLSSTQGTHDMTTNIGTASYVAPEVMLGADYTSKVDLYSLGIILFEMCYHPIVGMERA EVLKELRNPKTILPSDFQADKKGHFGDIILSLLNHDPEVRPSSSSLLDSGKLPVQMGS EAIQLALASLQDPKSPYYPKMMAALYSRPTDQAKDLAWDMSNKKPPAPADSIMRTHVK EQLISVFRLHGALEVPRPIMFPRSSYYASDAVQLLEPGGTLVQLRYDLTLPFARSIAK NPPRMQNSYAFGDVFRDKSGGQPLSFGEVNFDIVSDSLDLALKEAEVIKVLDEIIASF PCMATVPMCFQLNHSDILNLIFDHCGVDAGCRLSATETLSKLHVSQWTWSRIKTDLRS NGLSAASIDELSSFDFRDSPDRVFQKLKTLLDGTEAFNRASPAIAHLKDVVKYIQRFG VTSKIFITPLGSIRERFYKGGMLFSCLYDRDKKEVFAAGGRYDSLVREFLPKTARQPE VCHAVGFNFAWENLAQSMFSYHQKSIKKQSKKPAIELRGIWTGKRCDVLVGSFDGHVL RSEGVSLMNELWRNQISAELAGDARSPEDLMSKYKDYDHFWIVTIKQDSMVKIKTMGR KDVEDVDMAESQVVSWLRGQIRDRDHREGQNLNKMARRTSNNDGPMAPHLKQQVHILT TGTKAKKAALKNSIQNQAQVAAAKLVEGFLDGQVLAIETTDDVLFKIKETPLSDPDKW KQLAQSVGPAEKRYIQQIEDHLKGLLANRRAS VE01_04304 MPPPSGHVAQTPEPKSTGLAGVFKTLTGQKTNRGLPSSPYSPSS IPVATVQLAQQLNGPDTSRGAIYGGPSEYEDLYEKLKPDNSFADRLAAADALRLAVSD YPLSGVTSIWYAAKDLISPENPADVRRTGFELLTACVKHSSATDLERKEYFNTLTAPL HPDDYHLQLAAVTELANNGKDLSGFHYDAIPLLTRWLRMSFEAFERARKLPRQSSNKS TQTKVPLGEETNIHQLFNLIVNVIKFSFNVSDDEETGALIDEIIYIAVHATFPNEIRA CVRMLDAIVTYGAIPSDKLKDFVSVLCSVHFMVRDIRRDAWHTIGNLCRSHNGHTTIW ILLDVLRRPDTNQKKSQAVVREVRGSLSVLERIIAKCGEGGYPPVPFGLLMDALSMSL AVDQPKVDQDIMHLILSLFGEDEASLNSSILEEDWTKLFDIVSKCAVRALETSDGKVI NKATQQFNSSSSASSTTDSSTHITTGIAQTFYELITRVEHLLLSMEPDDFMQRESCIL FFSRVSVHIPDSCAKLVIRYYIDFRFCYPSDSNWELNIKILLDAFFLNRNRQTETRLQ ALQAVTDVYDLVEMMEEYNDTDRKQNLVADILSGLADENDLAVVHDIVAFAVTVCDTA EQDEFEFIIKQLHQCVSNDRLNSPLFPQASRPSIQSSRSSGALENHAPPSAPSGIITR GIIQIFMRTMDSSTTKCLRAFDEILWVVRSSSCDTEARLSALRMLLRLRADWANRIFL TPFTESEALATLLYRTPASLAKKQAADEAQQSRSTRTEDARGIRSTSAGQSYTTASAA RNTSGVSRTLQRNHQMWMTPDPAALPEQLSDKASPILVSIDYDHANKTDLDDNTAHNV MERKTLKINIWLEIVIGLLQQGCDWELYSYILVHLPSQLANHALFKGSVPQVKHLRSL LCEQIKNGSFYEPPVSSGLRKSDTAICVLQALTMVMSYHQHFSRSEEDELVKSLIHGV GAWERAAKFCIHALSICCHELPASMKLVLGSILVKMSQIITQSHVAVHILEFLACLAR LPNLYSNFRDEEYRTVFGICFRYLQYVRDQNSKSMSNRNSTVSSRPASSALDPSTASG DGTKGDSSATNSSNDLPQYVFTLAYHVITYWFLSLRVSDRGSHVSWITKNLVWTDDSG KQRLDEQAEVTLDFMRRTAYADVDESKGDPGFSSKNHDEVLKSRWIVGQSVITVEQAT RSGWAQITKRQASATSHYMIHQNYEAPRAHQILSPTDGVRDPSRPNKNCFLPSNLPVQ LFAPTSSSMQPIHLPDDDMIKRAISSLDRTSTVDGHKVGIVYIGPGQKTEKEILPNIS GSTDYMALLAGLGTLTKLQQATFNTQGLDRNNNTDGEFTICWRDRVTELVFHITTMMP TNLEHDPQCIGKKRHIGNDFVNIIFNNSGNPFDFNTFPSEFNYVNIVITPESRPSFVA TRDRSSVDPNTSFYKVQVLSKEGFPEVSPASETKILRLKALPDFIRLLALNASVFCLV WANRHGGEYISSWRSRYREIQRLRDKYKNASTSTTSPPGTAPQGQAPSTTDSSRSVRD SFTTLRRTSVANFLTNINDHDRPARPPSTAESDNEPRHGDDENMVGALDFSKWAS VE01_04305 MDAELQTVAQLLQATLDPRQHKQAEAELLKIQQEKPAFSLSLLQ IVASESFPLNTRLSSALCFKNYIRFNYVDEEGRYKLPESTVVTIKSELIGLMIRVPSS IQAQLGEAISLIADSDFWQRWDTLVDDLVSRLTPDNAKVNNGVLEVAHSIFRRWRPLF RSDDLFAEINHVLGKFAEPFLQLLVSTDRQIEANKDNAAALKENFATMNLLVKLFYDL SCQDLPPAFEDNIQSITTLLQKYLTYDNPHLHTDDDTEAGPLEFVKTDICEVLVLYVQ KYEDAFGELLQPFITSVWNLLTTIGQETKYDLVISKGLHFLTAVCGIKKHAENFNNEG VLEQVVEKAILPSVSLRESDIEQFEDEPIEYIRKNLEGSDIDTRRRAATEFLRTLLGH FEPLLTKVVGKYVEHYFAKYAQDPKNEWRSKDAAIYLFSAIAARGASTSSHGVKTTNQ LLNVVEFFQNNIASDLLNSEGVEPILKVDAINYLYTFRSQLTHEQWQAAFPPLVQNLA SPNYVVYTYASIAVERVLSLVDDSGKHVFGKDQVQPYAKDLVEHLFHLIEKDAAPEKI QENEFLMRCVMRVLIVIREGVIPITDTVLQHLINITEIISRNPSNPRFYYYHFEALGA LIRYGAPSQPERFEEALYAPFAGILTNDVEEFKPYVFQLFAALLESRPSEALSEYYKA LITPILMPDLWVSKGNVPALSRLLCSIIPRGAQDIVANNQVEAVLGVFQNLITKKAKL ESYAFDILESVISTFNGQTLVTYFPAILQLVYSRLSAPNVTDAFKLRFVRFYHHVSAL NDPKHGFGADYFIAASDSIQGDAYVPLYLTIILPFTQQLAKPIDRKTAAISLTKTLTD SDKFASKYIKGWTLTAGTLINLMVNAPMPVADDAVVVEQDVDDLTFGVGFTQLNTCRR QPKDAWPEMTDIKTWVAQYYRAGGQQGSGVDAATLAGWVNERLNDELRTAFTACLS VE01_04306 MSTMRLTFLYPHLFRAVRRAECPGPGAAVRQCAHSGRQRRAGFA GTAGGGAKFAERRGKGVEPIVEGEKEGREDKVEESSEGEGRVTSQAERAAENDTGDAI AEGGISAADAAREKGDATAAPTPVVEEKAEVETDKYRDNPVQTTDDAPDPNANRDTAP TDSVLHMDPPLESLIGSLPHLAPAPYVHHFDSYTLTQRIAEGGFTSTQSVETMKIVRA LLTANLDMAKDGLVSKSDVENETYLFQAACSELRTEIQNARKASAEGMRLRRTMLQNE ADILNQKMTQDLATLKDELKEMFNDRKMEVRMEHSNMESQIQELSYKITVALNSDTKS EVEGVRWILTRRAVLAIVFMAFVVLSSLRLASYRSQEAALALQKAERKEELQRQAAEK QREAGTQTEEAILAAEGVSTS VE01_04307 MATYNPEWLEFEKALGMRPVLNGSIEDMVGQYDTIVALAKSQLP PQDPSVVAATDHKVKDGPMVRVYKPEGSSAAGKLPVCLYSHGGGWCLGDLEAEDSLCR AISQFSPCIVVSIDYRLGPKNKMPTMIDDCIAAWEWAWNAASELGGDQTRYFALGGSA GGALSFGIAERLIADGKRSQISGIVALVPATLHPSNIPEEYVSAYTATNDNVEDTPVI NRKTMKTFYHAIDSDPADPVQFPALSKNLPSFPPTYLATCEFDPLCGDGKVMEIALRN AGVKVWSDYYEGYPHYFWIFSCLSDSQRFVQNVIAGIHCVLGL VE01_04308 MATSRNQNRTPLLIIGAGASGICTAIDMIKRNKSRDFIIVEKGG QIGGTWNDNRYPGCCCDIWSHLYSYSFDPNPSWTREYPTQEEILAYLIRVANSWGLYE HIRFNAVVEEATWDESLKQWSTVVRTASEKEAEYSPSYTITSDFLVSAVGQLNVPAYP SINGLDLFQGKTMHSARWDRSFDLKDKRIAVIGNGATAAQIIPEIAGVAKNVTVYQRT PNWVIPRDDKPISRTMQWMYQYIPTIRKRYRAGLMDYRESFYDAAVDEHSAMNSIFKK LSLEMLDRQIPENATLRKQLTPNYPPGCKRVIISDDYFPTLNRANVRLETRSIEEVCS TGIKAGGEAEEFDLIVLATGFRTVDFMYPIKITGLNGRSIEDIWKDGAMAYLGIAVEG LPNFGMLYGPNTNLGHNSIILMIEAQSRYINTLISATMKARASGGTLSIAPAPQRIKS YNVEIQKRLQNSTFANPSCKSWYKTADGLVTNNWCGTVVEYQERTSVVDWDDFVVSGS DKAQLQSQGPVHIGRIIEETQQTSSLMSMGWVLAAGAIVVSAIYTKIGPLSFL VE01_04309 MTISNNVADHITEVDILIAGGGTAACVLAGRLAKLDPSLSILLV EGGKNNHNDLTVNCPALFLKHLAPDSKTAIFYKGNKSDALAGREPIVPTGGILGGGSS INFMMYTRAQGVDFDSFKTEGWTQQDLLPLLKKLETFHSDDPIIDRNLHGYDGPIHVS SGTHRATRAEREFLEVASTQGWPEIVDLQDLQQNNGFSSWQRYVSPDGKRQDSAHRYI HDLLEHGDYPNLHVLTETLVSRVIFDAKRAVGLEVEPNMVHRPLTGLSKHPRTRITAR KTVVIAAGALGTPQILERSGVGEENHLKSLGIPVVADLPGVGANYQDHHLTLLPYKTS LAPDETIDALLSGRLDLEAAVKEKNPILGWNSVDISSKLRPRDDEVAALGPEFQAAWD RDFKDSPSRPLMLMGIVSAFLGDPSSVPAGQYSTVGCYTGYPYSRGSIHISGESVYDP PSFETGFLSDKDDLDLKAQVWAYKRQREICRRLSTYRGELQIGHPKFSTGSAAALVSF EDGAQPENRSMEDRCKMPNIEYSAEDDKAIAQYVRENLNTTWHSLGTCAMRPLQGGGV VDKDLNVYGVEGLKLCDLSIIPENVGANTNNTALLVGEKAAAILATELGLTDGEGAKG NRTTQL VE01_04310 MPKTPKTQARATTVFDGDSKCQAPVKPWSSGRVMTKEQRARKRA VDRLAQRGKRREREARITQLEAELAAARCSQSAYTARPNQGEEERVHNALQFDTVVAD MDLAHIIDPAVWFEPQAAGLNASEARDPTEHDLIEQLSPSAFMPTAHITQNALAGAIL PSEHYTPHTFALPSPNNLLHTSRIDDALRRQSSCSSMINSSFGIDIFASNSAADLQYL SPLEDGYESQTITEGPIFSPLPVFSNTNTTTTQPYESRKITASCNVELSRVLHLHRRD VILQEQANEDFLIRAILYGWETVECQHTICPLRRTLRRIDDLIFRDTSDITRLVMLAT IYKMLICRVKAESYQELPQWYRPRPTQKQFPHDYVMDYFAWPGLRERLVLSERYILTE SFWQTFAHSFRFHWPYGLGEAFATDKKSGYVRFSGTFWNHLLEIRHWRMDVGFLEMFP EFYDDVTPVEKICPQLELPRRTSCWNTELWEDERNGEGQSGARSSQGLD VE01_04311 MASATLPLRLRSNIRDLVTSPTSAVAIRTASLGKTIGYPISLDP EWPILWAALQPYYDDPATFIPGIARVIVSWCDVFTAWLEAEENEDGVERLLEEMNPVV KVVVEVLTTGTRPSTAWLPGKQLFVIGLPKAVLPPAGTIHAGLSSDFLSLFAPLSSSS LDAPVSTIASEDPEWADVSIEPQNRTPAALPRQSIAAQESASDRLPDLALIPRPEELL RRPPYWLLVSQDMDNRVVIQGSHAPSLECMEAYLKRWCRGNPHRVDR VE01_04312 MSADKAPADKLPLAIRKNVRDSWENKKPELEAQLLTLLGEAWTF DVNPLAIYPYAEEGSYGHSSLGDCIYAYIDGFIYQLKYFLSQHGEEGKTELNTVAPTH TITLVASPKFSYCGTDIEEGKLRLLFNPTCLGTNIDQAGQKLAETLSAAPQPEGASPL SYTARNSIKTNYDEKIGSYLEQARKALQNEKFAFDPSWEQLAAGLKGGKDVRDDWETN LGNFATSYFEGFVSALTYQKFHEDEMLREGLEEAAPNGVLKLRIVDKLTTGQSSYNEI TLDNGDLVIQTTPSYFGTNVHDAASKLMDIL VE01_04313 MVYFSKAFVAAVAAVLATQVVAHPEHDISQEIAERNAFLKNSAR RDLSHCADALRKRGVEDASVKRREDAIAKARADRGLPLKRREVVRRDATDESHLSSLD VSPTTSGVEDIIFSNSSCLLSPEGEEGPFYVQGEYVRSDIRDDQPGVEVILDLQFIDI STCLPIVDLTADIWHCNSTGVYAGVISQGNGDSTDASNIDATFLRGLQATDENGVAQF TSIFPGHYSGRATHVHVEAHLNGEVLSNNTYTGGTIAHIGQFFFDQDLITEVEALSPY STNTIAITTNAEDRVVQGELVNDSDPMLNYVLLGETVVDGLFMWISLAVNSTATYTAS PAAELAADGGHAVEGGGNVGGGSGGGAMGNGTMPSGGGPSGTAPPGVVGTDVGSASVT ATVNGTSSVTSAIMTATFTSGGENRNIPGFAKLGFKKPKSGKEAAKKHGAKGQ VE01_04314 MAYPKNVGIKAIEIYVPSQTLDQSQFEAHQGVSTDVCSLALTAV SSLLRKYSIDPQSIGRLEVGTESPIDKAKSVKSVLTQLFGDNHSLEGADTVNACYGGT NALFNAINWVESRSWDGRDAIVVACDIAIYKDVAAKPTGGAGSVAILVGPDAPIVYVP ALRGTYMAHAYDFYKPDMKSEYPLVDGHLSISCYLSALDGCYKQLRNNAGRLAIDSKL KECNLDAVAVLNRERQSLIDVFDFMAFHTPNCKLVSKSYGRLLYNDFLSNNADVTFKS VPKSLQDLSHEESLKSKDLEREFLSLTKDRFTSRIEPCIKDPSRCGNMYTASLYCSLI SVLSHVGSDKLQNKTIGMFSYGSGIASTLFTLKVVGDVSSIVGKIDFARRLEARHVAN PQEYDEACKLREAAYGQKNYTPTGDLDSIGEGVYYLEHIDDQFRRTYAVKGANTNGVN GVH VE01_04315 MASSSPDYARIQALYAKAREIKAKEDAAAAIKKEASRLPTAAEK RDAICAADFRRQWNWTTLFFTWLFLVNAAGIYFFTKGFLLTRLVLEEHSTCADPPRHY TPDSAREGCWHPRSFEKAVVVIVDALRYDFAVPVNGSEEQPALACHNALPFLYETAVK EPHNAFLLPFIADPPTTTLQRLKGLTTGTLPTFIDAGSNFAGTAIEEDNLLMQLKVAG RRIAHLGDDTWTALFPGYFEENVSRAYDSFNVWDLHTLDNGVIEHVMPLLKQKPAGAA GVGKEDWDVLIAHFLGVDHAGHRYGPDHPAMTAKLAQMDGVLRDIVAALDDDTLLVVM GDHGMDAKGDHGGESDDEVQAALWMYSRRGIFGRTAPETLLPPATAKDARAVNQIDLV PTLALLLGLPIPFNNLGRPIEEAFAGRKGNDWANLASVAAMTAAGVERYQDAYFKARG IEEGNAGTRALWGGAQEALQRSLGGEKGTYKRAYALLTAYQRETLDICRGLWARFDVT SMVQGLAIMAASLVVLLLFARNAGTDSPVMSPELEQAELQLEKNGVDAPANADADGMD SEPDDFTTSVVKGAFIGILAGASLGVTASSLSPEPSTINDTLLGATACSLLAALIAAV GSPLPYRAPFPTTPWSFLALLLPALPAIGFGANSFTIWEDQILLFLLTTLGLIFLFAS FSLPSPERTLGITQAVLFTLLTWLASLSKLCREEQMPFCRSTYYASATSSTSAPWQLA LPYLTTLLLPSVLKSYLLPSRNYRGPAPLFLNTLRAGLLACAIFWTLDAADDGAWFPF LPTGLLKTARVAIAQVVLGIGFVAGPVAYAYSSPCVSITRAATQGPSSTAAPAAASSR MTVTVLGYANAQGSSYLLLLSSLLLPLLLLQKPMGAFALALGAWQILALAELVDVLKL RESPIAPVALALMGSFYYFKTGHQATLASLQWESAFVPLHAVVYPWSPLMVGLNTFGG PILAGLGVPLVVLWKREVRGGGVAGEVARGVAWWLLVVLGWGAVTAGGAGWLRRHLML YRVFSPKWMMAGGTGLVVQVVVGVVVWLGVGRCVGGVGEVFGWV VE01_04316 MPSLLRRDDYEDGSSPLTIDLMIVLVSLSFAGLVSVGLIYAVRK YQAHRASRHTVLPSYQTRNIHDLHVSAPPYYDEKRYSSGSSSPGSGPSSPVPEIRITF PDDVDGQGRHLKGRVVVVRVGEKGGVGLEPVRDEQLPKYERDGKKWDELDMDLMGGLK EKKEFL VE01_04317 MTINRPTGTMNSARGTRFGDFPSTAGRNNNIDDQQPTQNTTLGQ GFGTNNTWKAHGGIWGNGNAIGSGYSVGKRDASRARVIDDDDLAAPSGSGALAASSEA DPWGTRNNGPWNPVDPTSPNHSGETSPSRHRGSNASAAANGLLSEAQNTSPYLATSRP AIGQGPGMNHRPMSKSNLDPASGAFKYPYSSVSFGDSSEQGGLQAINGGFDLQDGFMY DQRREIGSGYRSTQGGASREPSLPPSRRPETASGAATNSSIFGQVPYSAFGHTPQNSL HIQKPSLTGRTQSFGSASNGRSYNTGSDRSQDVDAHYTRGTLLDKSLQGALTDAVSAI EQPRVSPTTYPEYNGQQSQPNGQHIMWDENSMHKRNSYFGGSYGDGAFSNQLNSRRPS RAGELDGLSALADRPSQPISPRFYNASGVISAELNAYANAKAQHLQGLSEIERGLQRL ELGQHQQQAGFYNPQAMYNPHFQAQYQTQQWDFGPQSFRGAPQGFAPYAVQMPSYAPV SVPRGPARDQDIGHGVRSLLLEEFRNNAKSNTRQYELKNIYGHVVEFSGDQHGSRFIQ MKLETANSDEKEQIFREIQPNALQLMTDVFGNYVIQKLFEHGNQIQKKILAEIMKNHV IELSLQMYGCRVVQKALEHVLADQQAELVKELQADVLKCVKDQNGNHVIQKAIERCPT EQVQFILDAFRMQVHTLATHPYGCRVIQRMLEYCTPPDQASVLKELFACAQMLIIDQY GNYVVQHVIQHGKPEDQAKLISMVTNQVLTLSKHKFASNVVERSISCGTTEQRQTIVA KIVALESDGSSPLQLMMKDQYGNYVIQKLLGQLQGAERDAFVEDIKPQLLQLKKYNYG KQIAAIEKLIFVAPTGPSTSLHRQTASNASAAPAYGRGAAPPQGPAITLDVSSVAPTP MLTMEQNSPESSNLPSATGSTVDESGDVGGSSVKETDVPEVQIESETSSLDTESTIRC VE01_04318 MSLNQVYPENNPDASKAAKVDIILVHGLNPKSKDDEAHALNTWT ENGRLWPKDDLPKRLPDARISLYVYDSSAVYGGSQATFADKANELLETIRCDREDWEG RPLILMGHSLGGILIEQALINARQNETYQHIYDATACLAFFGTPHEGGSGGMVTAGKT AANVALWLGFQKGDNIIETLDKGSMFTDILKDHFRQQFESYRMVSFWGDKDTIVSRES SKFGLAGGRERIVELKADHHGVAAFGHTTKDEGNLKKVTSNVKWLYDEALKLVDKIQS HLEHSRANPRLQQNAMQKTHCIVPFEKNHCFTGRESELTQLEEMLFAEDQTMKVAVCG LGGVGKTSLVIELVYRIRKNHENCSVLWIPATNLESLHQAYLDVACQLGIRGWEEDLA DVKGLVQEFLSRESAGQWLVVFDNADDIDMWVGTPTEQGTKRLIDYLPTSKLGCIVFT TRDRKTAVELAQKNVMEVSEMSEDVATMMLQNCLIDPDLVNNKTDTSSLLKELIYLPL AIVQASAYINKNRITFSDYLSLLADQEDDVIDLLNEEFEDDGRYQNVKNPVATTWLIS FEQIRQRDPLAAEYLSFMACIDSKDIPRSLLPRGQSRKKEMEAIGTLDAYSFVTRRLA NDALDLHRLVHLSTRNWLNLRNEWDDRANAALHRVAYMFPRPKHENRALWMSYQIHAQ CVLTSTKDREKESKEWYSLASQIGECCLSTGKYQESESWIRQTLKLTEEVLGKTHPET LATMSNLALVLDSQGKYTEAETMNRQTLKLTEEVLGKTHPDTLVTISNLALLLDRQGK YAEAETINRQTLKLREEVLGKMHPETLATMSNLALVLDRQGKYAEAETINRLTLKLKE EVLGKTHPETLATMNNLAAVLNSQGKYTEAETMNRQTLKLTEEVLGKTHPDTLVTISN LALLLDRQGKYAEAETINRQTLKLKEEVLGKTHPETLTSVFCLAYLLQNKKQYEEASI LYVRACTGYKSSLGSEHPTTKACVNSYSTMLDNIKETN VE01_04319 MAPERPSKLVKLRLPSGDPLSPPRIVLPGIEEDEEEWALPPRAS RQADIPTLRAPEQPPAFPFQGANEISPGTYGQLRPQQGIAPLQTRNLLRVRDIIPRWD YGRAAAGERLGPLQYDWEEEEEGVEPWPDIAPFQGPAEGSLAGWIAMYGERIGEILDR VPPRPAVDEAGPPLPDFFDPILLEPSVEIQHIPLLIETFKPVDPPASLTLPVVIPRPR LFYRVQHSAAIDQHGNETYPPSRTRDSTALGLSAETILPLCLERRINKTNLERALDPM NVQPTSLVALYSNYGAAMFDFEMHHQMECADVYIAHIATETFRYGFVPVMVNLPGLNE AQEVDLPALGLEEPRVHLFSVLSCLELLGIETEMSVDGVWFAIDSVPAQFIKRREARG VPLDWAWRNSRWARPGAGQPPDYPHALSIAVGEDSHSSGEDMPPPNLPYALSIPADEE ERNRSRSASSFVDDETPDNARSASILVNETPNTTRSPEVPRASQASAGPSAARNSGAS IARVTEPLEENEKDEGGDENADENTWEIELTDLINEELGTIMGGAGDVL VE01_04320 MSTQDLTKLAAELSAHAKVLQDHLEANKLSGLSLDKDALIDAPF DPANLEIQGARAALIKTSKLIHDLALGPKEIMLERSTNTKFDQMTLHAVVRFGIAEAI PLDKPITFEAVAKKVGLSTDRVTRLLRHSMTNNLFEEPRAGYVGHTALSSIIVREPLS RSWILHNFEEIATTKFLEAYDKYGETDEPTETATSLAFDYFTKHPKDNLWTFLENDGE GEQKGYRMRRFAEAMVWVSGHKNDQDMILATGFGWASLGEATVADVGGSVGHCSIAIA KKFPSLKFIVQDFGALEPAFQAALPTEIKDQVSFQPHDFFTPQTTKADVYFLRHVLHD YSDPYAIKILRNLLPTLVPGNRIILCEIVLPPPGAPLPPSVVRVMHSIDLQMMVVQNA KERSEEQWIDLLARADSRFKLVNIGRVPALQSFLEIKFDSA VE01_04321 MKLEQTEALSGTGRSQPKFIDCNYCRTTVLVGARKLRGRGIGLF ITWWKDLGDGRPADETWTDQIGLSTRPEKWRHVRYGTIPVVEAFEGQNARYLDFDEVM MRADWKELLRLSPIQ VE01_04322 MEGYLLVPPERSAIIGRAVWKPRYVVLGTNGPRHPMAPKPSLPR STSASKLHAARQGLKATSVFQSSDDLARFDQDQLWLTVTKQKGDSEIISQNLLSSIRS CEIQSIQFRKPGPAVPTLILTFELDAAAERMRKRRSSRSGQLTSPSSTFPNSLLFRPM PDERFNIYDWQVTIAPRIRPTTAERGDASSNDSASLFAFVNPFANSQKPSERPTISNP IPQPEPFLHHRTSTKDHRRPPKSISLISPSPSLRSRHSDLSSPASSFSPSGPLPIQHP PLPSPASTLNHDGDLISGWTSAQGRSSALSHYTHASTPPTAPRETILDRAFSMRYIPG AADAPPPSEEGKLSSIERFEALMRDHEARKNRPAAPTHNRNESVAEWDIDEASESDDS DIDPTAPNPRAQNARRVDTPEDDPMAWSMHTISAPTQRALGYVSGRTTPATGRPGSAR SENHPPMPTMRARPGGGGRARPASLSLAGMGTRIIPPAPRTVDTAEEEEDEEEEEEEE EEEEEEADEEDEEDDDEEVDLDSTAFPQPPSSPLPRSRTAPPTRPPLYHRHSSASSFL PVQTNVSSAAASSRNSHNSDASSGFGLPEAETVLGRGLSQARLSGLVRGTRPGVLQRG VSEDTRCGGGWRGNGMLGGMEGGFM VE01_04323 MVETIGPTAAEARQATDEVEVSKEASKAVTGVTTRAVEVSKEAT GADTTTVTKKPDVLSWLWLPHEVVYVVVGPEEQRFGIHKDKLCSSSAYFRAAFEGSFQ EAVRGEVVLKETSAMAFGMMIEWLYAGKISEELCTDSDLSIADKMVKDKPTFSQLLDV WILADYLIAPQLQNFVIDTMTSRIMKRRLPPVKDFVYFYEHTQSGSPMRKFLVDLCIW RYREKAPLYRTDVAFMPREMAGDIMMMLAMRAERSTRGPAFAARNYYVKV VE01_04324 MASSTPDLSTATAAEVFKQPLPTVRLLHRQLHTSLDEKNARLRT LVGGSYRQLLGTAEMIVDMRRDIEVVETKLGGVADGCGMAVVGRRVDALGKLGARAGH GDAERRLGRAARVKVLGGCVIAIGRLLRGREGEVRKGRRLVTTAKTLVLSRLLAKSLG DDGEDEDEEEEAVIAELKRKLGSLRRRLLRTIERAMENLDGDREELVNALCAYSLATS SGARDVVRQFLHVRGAAMSTALDIQDEGDLGEGGVQKHIIHALGLLAKTLVDVQALVP RRLADALLNLKGDHLLKDEGVRELEGLRLDICERWFGDEITYFTPYIRHDDLDGPQAV ATLKGWAKKASEVFLEGFEKILDAVQNFSAVAELRTKAFEEWVAEGGKAKGFDSSVML DGLRKVVNERMVGILEARVDKLHLVNTEVEAALGVIASGGVERQGGLWDAKLLEMGLG NGALAFKQAIVNNVHGRDNAVSRVVKSYEEWKRLVDEVATAIDQLKKQKWNDDLDSLE DEDVLEDRQRSLSQEDPEQLQSRLKANLEQAFITFHTKLEASFSTYEKSEHVGDTTIF ILRVLRDLRAELPEQTDLSSFGVSLVPKMHQALATKISSETVPAYRAALRARKRVAGR ALWEGEPELPIYPSPPTFKFLNSATVAMAGVGRDLWSRAAVDVLKGCMGDDLGSNMAA DLKSAEKETPKTNGDRDNADDETTVEKAESEDKAVDSTAGDVVDPAVVAKDILVQTLF DTVVLRLCLSVPGTPGSKDKLVDLEKSLTKQVDLTSSSNERLTKSAQEYWKRTSLLLG PLA VE01_04325 MAAEASSTLKMEGSSRAPIATSSTSPAPVESTAVPERGEPFREY RITHASNHRDYLLHMSTPTSKSKITHYVGNSNAIGKPAVKLRLGETKEGRLLGVAIFV PLSNNIDIGFGDDPENLSTTSWERLENLSISSNTYRLKIGLGDSSRAVLWKRTHSPDG SSEASIFSKMSMLHLKLIDEATGKLLATYTNHIGLSWKNKGTIRIWEDTGDEAWIQTV LLTALALIERNVRM VE01_04326 MRFKTSLRNIKTFSKLTASLATLGKIAWVRLDDENVRFTIIPEQ GSQVWACISIDSLFYEYSVQSRIENNTINIELPLAPLQTALRSAENATSASLRLTKRD GDAILSLTIITNTVSAGNSNGFLRNRRDDDPFADDDFHEESLDARSAAERETIVTQEI PIRVLSPEGVEGIHEPKVRDSDVHILLPPLLQLKAISDRFTKLAFATTTNTAAAVNST ANTPKLELSANMHGSLKLSITTDALNIESVWNDLTNPDLEPNQIDGDVDDLPTEKMRA AGPDAWATVRIDGKDWSRVLSVGRLGGKVIACFVDNHALILYVYLTNYEDPGAKESVL TYYISSYALPPKRCIEDVDLANREAVKRIRKLTFHDGTTIDGCLEAYLKHHGIDPAVE SLKLQRGHRDAARFRQRMEAAAAKTLYEAAGLTEPSPDDEKVKKSWYLADDMDSGEDE ELVEKMDSDVEDMITGGRVQTRQGKNLTTSALGRSAVFNPTVYFTPYSATKRDVFDRV IPGLERYQHPSEEEKTASIFRANAILEAAFKAHPQEIMQRLSDVEFMTFGKSNELNFP SPDKESVDRFGNASFNFTTAFPATTLDKTIKGSVIKLKAPSEDGTTKLRVMNVSASPL WSGRTGLHTQPDRRCRMIQLNTLSPEEIHLGDYYAHAFHSVAPHQFARFAELPTLVQD LIWEFSFESRVVEIQYDPKFTRMWSPTKWPAQSFVCKQSNAVMRRVYERSPFGDATAR RGLLFNFDVDVLFLTFEKRGQVNMEGQIPLRVKKQSKVVLDFLHSLPPAQLPKIRHLG LDLQTWRMIEHDMYQHRRRRHKIRGQERFVLPILTGLRSLRIIENNNVGCWRINRQMG FEAMAHENLVARQFVSRALPTARWYTSMLVEWLGGDLHDEGDSLNGFGFIMNAEVFTF AAAGLL VE01_04327 MHYSFILALAGATFAAAAPAVNSARAEGTWTLQGFVRTCNDSAN TCNYKFKIKDNNSGRVDACDFADIADSTRKARWSSPSNLHCAANSPVYVNVGYDQPGN FFVIVPVNRETSLNAFFGYTAAELADGKVVTPDHTSPSLVVGTWEKKKAAGAAVIGRD AAANLGAWTVEGLHRTCQTSNIATCNYSFQINQNDGSEKTNCYLTQEGAPTQSFYTAV CQKQVDWVISWGYNDQYGYAVMTVVNVPKQVDAFFGFNDVNLVTDFPNIGPNPVYAL VE01_04328 MERPAKNLFDGISRIIPRSSKYSKSTVISQSLSETKSLGLAIFA EGADPIVDIVALHGLNGHREKTWTAANDVLWLRDLLPTDLPNARIFSWGYNADVFGGT HGIDQQHIYDHGRTLISDLCLKRRLTKTQRRPIIFIAHSLGGIVVKSALIHSDAARNG ALEDHKAIALSTYGIVFMGTPHQGGNGVALGRAIIDIASIFVKADSKFIKNLERDSQL LHQQLGQFATISNQFTTKFAYETLPTPTAFGKSMIIVPIGSAMVPGVADGEAVAIMAD HRNMVRFLSRDDNGYEKISGHLLLMMEDAAIKIDHRWETDDEQKRVVSGKVKKITINM LKDMSNSYFTGRQEELAKLRQYMTAENSVKRRQAVIWGIGGAGKTEVAVNYALQYSQD FSAIFFVNAKDTSEIRRGYADIASHLGLSEAVGKELAETDVAAQVAAIEAVKSWLRKQ ENGDWLLIIDNADDLDGVSIEEYIPHGNKGHIILTSQDRGAANFCPATIELGEMKKED AEALFLQKACIANPTKEQMTVCTEIVNKLGRLALAVEHAGAYVHNNDMASRPEDYLME FEKRKKEVLEESPRFSRHKSSMMATYMVTRTAIIKRNIKAQYLLAWISALDGTAVPES LLVSKHVTEMHKYWNQDYSDDFDDAKKVLLSYSLIQIRRTEQGAFISMHGLVHQCVQA RLSQVDQWRWIHFSSYTLFTLTKANAFERSHFSHVKHVLETVKDRLKQPENGLPSTEI WFCLTFLMHSHIVMWRDAGLMQELHMYSIMVMDSLENTEDTEYTAITLGVAATVRGIC GAYSNFEDEVHDLCIRQYLKKQMTPSAMAALKQIEDGTRPATTFDQSCLKEIYRNPSP NLTPLLSELTRAVAAAYVGRGKPELSSLYYRISHLPLESTWKSRVSAFLMWLLTYIVC HFRGTPMPEALESQQVLAARDRLAGNIQSTMVFFRQTIAQHTPGDLKYECASFELFRL LMKQGETEEATALVDKLMYPSVDLSEADIARVHYKDMYVWMRKMKVMLLLQDHATHGE AKAYLVRILETTEKVFGPKSLSTVHATLLLEIFHRQPCCYDEAVGEAYKEKKEEMFKL LYGSTPAVMRRQEGLRMGKELLRQGALEEAGYVIRMFARMASEELGDDDPTTVEAKRL FGVVEKEMAEELEDLKEGKL VE01_04329 MSQFQDFNKLFRLDGKVALITGGSRGLGLHTATAFLQAGASRVI ITARKAGGEHGIDQAVAKLNALPGISGSAVGIAANVANTNEIIALVEKIKKTEGKLDI LVPNAGATWGSKFEDAPDASSVKILDLNVRGVFTLVQKSLPLLEAAGTRRDPSRVIIV SSTAGVNVPHVGENGTIMYSVSKAAAHHLGRNLAVELGPRNITTNVVAPGFFPSKLAA GLIEKLGGEEELNASNPRKRLGIPEDIAGVMVYLASPAGSYINGEDISVDGGARLCSG AHSKL VE01_04330 MPFKSLHPDLSIPETDVLTYLFPPLETPSKDPLWIDSKDPSISL SPAELFLWVRRLALGLERTGAKKGEVIMIYTPNHIYVPVAYLAILSAGYAFSAANPAY TLREMIHQIKNTEAKVILAHPDMMETALAAASKSGVPSCRIFQFSDSYCPPLKGIKDW TTMAATPQEGERYIWRKLTHKQSKTVVATINYSSGTTGPPKGVCVSHHNLIANVEQTI YMRFQEKPYAYANRPQERWIGFLPLYHAYGQLYTILMAVKLKIPVYVMKQFVYRDFLQ AIQDYKITTLQVAPPILVMLAKRAETTEFDISSVKDVLCGAAPLSKELQNECMARFGF NVVQGWGMTEVTCGAIHVPGGRRDDTGSVGELDPNCECKLLDDDGNEVGVGKPGEMYI RGPNVCLGYWKNDVATEESISSDKWLRTGDVAVRNEAGSFWIVDRKKELIKVNALQVA PAELESVLLEHEDIADAAVVGITLHSEEWPRAYVVMQEHAKGKVTPHDIQQWIKPRVA KHKWLVGGVTFITDVPKLASGKIQRKVVKDWAKEDAKILETKTKARF VE01_04331 MSPSAVLSNIEPENAPPVILKGIQDDKSALVVVDDIDGAKTPLE VTNGVDIATSQSVTPNGINGANGHAEFSYPESETQGPYQVVRQYHSKPSKLRVAGIGA GASGICLAYKMEQMLEAGSWELTLFEKNPHYGGTWYENTYPGVACDIPSHLYTFSWDP NPDWNHYYAYGHDIQAYFEGFVKRHGTEKYMQLNTKLVESAWDDEEGIWNITLTNTVT GEITKDWAHVVINGTGILNNWKWPEIEGLHDFTGPILHSANWDHSVDLAGKTIGVIGT GSSSVQIVPQLQKRAKEVQVFMRSPTWISPPFGGGVLESELKKGAESSPAERQYTFTE EDKRKFKEDPNHLLLFRKKIEAEINSLFGMYQQGSEVSQNFREVITAEMNRRLGEGHE ELKEFIIPKWSPGCRRISPGDGYLEALVQDNVTPVLKNITKVNKDGIVTVDGKQHYMD VLVCATGFQVAFKPAFKIINGRGISLDKDWGSGVNLYMGLSAPRFPNMYTIVGPGATW SSGTLLPSIETSVEYAVKMMRKIQTDKIKSIAVKQDALDDIYSHFDEFHKTTVWQEEC RSWFKDGKSKNRIYLWPGSTIHFLKTIKDPRFEDYDIKYRHKNRFAFLGNGEVKAHTQ QSVEGLAPYIRSSDHDWCVG VE01_04332 MADMAFDGTSAIRPVSPPQMQQHLEQQAQQPQQAQQQQEPQQLP LPRPHGPPSPPEPAPTRKTGKQGAPRHRASTAPVSKAYTTSLTERIALLEGMLKEYGA EPPAADHPPITKAEAARGQQSSPEASSRKSSRPTAAIPQSQTRGNVRSMSQNRTTPKS LTDEDGEVGGNSPKFDVIEGNSPKRIQDPRRESLVDMLVSTRGHMSFDQLSGCLRFFG PTTNYHIYAAHEYAFMGVEPPEQARRTERIIRSLPMETHDYLMGLFWEYYNTVLHIVH KEAFTEDRENSGTKYYSGFLHICILAIGYRYADTEREDIKKITVGQRESFLHREAKYM LDIELERPGGLTSVQAFLLLGDLECGVGRDNTGWMFAGMANRLCFDIGLHLDCGNEDL PEKEIQIRNMTLFACVIYDKYWALFLGRPTGIKSSDLEMYRLANQFSSLGRCKPAGPT KSLETQIYEQLLELMEISSKIIEIEDPRVTTTQAVNDNAYLQVMNLDRQLQTWYRRLP EKLAWKPANIQTNPFSFFLLHQQYHCSLILLHRPWAKYEDQIDSDKREDDFSKVDNDY FYMSRSTCTRQAVRVAQVFWHHRQSLDIRKCFVTGLQHAGTAATALVAAIAVMTDVDE RKKNMKYLECLATALHDMGPTYYPADSMSNILQAVMVELSESREIGSPMESTLKLGGS QRHESPSQQENSEQRDFKRRASSKSMTRPEISEFSRPIPTQAPPSFNQQANNNNAIEN HNRGNSYNMITPQSDGSVPRPLSIDGQIQNRESMTQQMEYPFGVFGIDLDSMHDGSMW DGASGPLALFQEDLTGLGDEQWSGDRNNQELDFLAL VE01_04333 MSFTFNFSKDNLPKQLFINNEYVDSKGSVKLEVYNPKDDSLVAN DVPVAGAEDVDAAIAAAEAAFPEWKKVSAAERHAILTKFGDLINEHGPVLAELTRITL GAPFSSFGKFETALAAQTWKYNAGWIDKFAGESYPQEDGFMKIVRNEPLGVTAGIVPW NGPMGTAALKAAPALATGNCFILKPSEKTPFAALALGPLIKEAGFPPGVFQVLSGDGS TGAILASHMKIRKVSFTGSIATGKKIQEAAAKSNLKRVTLELGGKSPAVVFDDCDLEN AITWCSNAITANTGQVCFAASRVYVQEGIAPKFIEGYKKAVAAKANTAGDPDDESTLL GPLVDRGQFERVTGFIERGQKGPGELLTGGARIGEKGFYIQPTVFTNVGETSEIITEE IFGPVAVVNTFKDEAEIVARSNDTQFGLMAGVFTQDINKALRVASDLDSGMVGVNCVS LMFQNAPFGGTKQSGVGREAGIYALRAFTEPKTIMVNLTY VE01_04334 MSTMKAAQWDPTQKKIVVNEIPIPEPGPNQFLVKTTSASLCHSD IMAIDTPGREKPITLGHEGAGRIAKIHPSAEGKGFKEGDDIGFLYIVGCCFECDGCLV HNLHCETGKQLLQGFTTDGFFAEYAVVDYQNAVILPTGLDPRKASPIFCAGITAFHSV DSSELKEGDWFGVVGCGGLGQLATQYAKAMGAKVVGIDINDEVLATCKSQGADAIFNS RTNPNWAEDVRTLTNGGVKSVAVYSNAQVAYATAPSIIKLGGILMVIGIAHKPIEVST MDLTIGKYRIKAESTSIPQRMNKAIEFTAKYNITPEVQTFDKLDDLAGMVELMKAGKF TKRMVIVF VE01_04335 MFTPKRAIRIAGASGGFTDRQRAILDLAKCDVDVIVGDWMSECT MSWHGAAKANVVANSVSDTDRQGLYDPSFMENVSPALPYLEKKGIKLAVNAGSSDTEL LAKTVVQEVKKQGLNLKVAWVQGDEVSDVVNKLLKEGHKFENICFGGNLEDWGFDPVA AQCYLGGAGISEAFKNGADIVICGRVADAAPTIGAAMWWHGWDRNTNFDEIAGALIAG HLIECSSYVAGGYYSGFKRLFDGCENLGFPIAAVFPDGSCTLEKEPETGGAINVGTVV SQLLYEIQGPQYYGSDVVAVLEGIDMVQEADDLVRITGVKGKPPPTTTKVGLTAVGGY QAEFHFYFVGLDIDDKCEWTERQIRKSMGDNVGKFSCLEFQQIGTAAEDPRDQNRATV DFRIFAQSKDRSLMVKDTLDVPGFNRYCLENFLQSAPGATIGNDIRQSAGKEFYEYWV ALLPQSEVSHKVNLTWENKAIDIPPSTAMQLYETRQWSYETKNPVPLNSFGPTTRCPI GWRVLGRSGDKASDANVGLFVENDDEWEWIRSMLTVEKMKELLGAEYNGKEIDRFEIP GLRAVHFLLHDHLDRSYNASSTVDGLGKNVCEYLRAKHVDIPNKFLRRGRI VE01_04336 MSRENSFAPAWLEFEKELGGRQTLHGPTFNDLLNGWTQFSGVLG SKLTFPAPDISVKTEDKAISPDVTVRIYTPPNYTGGKPAGIFMHGGGWVFGDLDGEDG FCRSIAIDTGTVLVSVDYRLAPKHKYPIALEDCVAAYHWVIENTGYLNTTAGQVFTIG GSAGGGLALGLALNLIDAGLGGTVKGIVALVPVTVHPDACPAEFKSEFISYEENAEYT INTNSAMRAFFDAYDPPLTDHYTSPLLHKRIKDLPKTYIVTAGMDTLRDDGRLFKKAL DNAGVPNRYDEFEGYPHWFWAFPSKHLEPITKDFHQKLQKAIEFILS VE01_04337 MDGPAFQRIPPWVKAKLTPKAITTIQEVYDWVENECIPAEEVME AALKEHRWTTPPIMKELRKKAKARGLFNLFLPNHFEESPGLTNLEYSCCAEIMGRCYW AAQTMNCHAPDTGNIELLAKYCNKEQKEKWLKPLLEGDVMSSYSMTEPDVASSDATQI SCSIRREGNEYVINGRKLFGNSLDNPEMKTIILMGCSDPTNPSKWNRHSMVLVPANSK GLTQVRNLTIMGEDHAPNGHGQFVYDNVRIPVENIILGEGRAFEIAQGRLGPGRIHHC MRLIGQCERAYELALIRCIDPSKMPRGKLIGQFDSNIEKIAQMRLEIDSMRLVVLNAA DTMDLLGNKAGKYAIAQSKILVPIAAAKIIDECMQLYGGAGLTQLTPLPSMWTYARFV RLADGPDAAHRHQVGRDEMKTAAGFRDRHAKYKEGSKVLAKKWL VE01_04338 MAQNHALVFGASGITGWAITNAIINGYPDTSPFQSVTALTNRPL SREVAQWPDSDILQVVSGLDLLTTKGQDGLEQDMKRGIKGIEKVTHVYFFAYIMDQDP AKEVEINIQLLTRAITAVQNLSPNLQFVVMATGTKTYGVHLLDKFPFGDSLPLHEDLP PIPEPYVSQMFYYAQIDTLKKLSAGKAWNWCEVIPDNIIGFVPNNNIYCLAQTLATYL ALYVAVEGKGAECAFPGTEKSWAILSNECNQDSVAKFAVHASLHPEVSAGGRFNIADS ATPSSWSHKWPVICEYFGLKGTAPPPGGSGPQPGRYVEQHMVRWKELEMKYGLQTGRV GNERSSGGFQHFIMSMFDFDRQLDMRRAHEAWGDMKEELDEEGSWWMTFDRFRKAKII P VE01_04339 MSAQSIADHPRRRMDAPINAERHVNIICVGAGASGLLLAYKLQK HFQNFSLTVYEKNTEVSGTWWENKYPGCACDVPSHNYTWSFEPKLDWSGVYAGSQEIF AYFNDFSRKYGLGKYIKTEHLVSGAHWNHQKGGYDVTAKDLKTGKEVHDHCDILINAS GILNNWRWPAIPGLDKYKGKLLHTANWDDTVSLDGKHVGLIGNGSSGIQVLPAIREKV SHVTTFIREPTWVSPVQGLEGHVYSPEELHDFATKPGTLTEYRKNIERGLNGQFGIFL KGAQPNVDTRKYMLEQMKEKINNKYLEEKLIPDWSVGCRRLTPGINYLESLTKPNVTV VYGEINEVTEKGCLSDDGQEYPVDVLICATGFDTTFKPRFPLIAPNGKNLQDVWSGEP QSYLGLGAAGFPNYMIFVGPNSPIGNGPVLSAIEAQADYMLKMIDRFQSQNITTFAPR QEAVDDFINFKNEFMTKTVWFDPCRSWYKAGPDAPITALWPGSTLHYIEALMEPRIDD WEIKYAGNRFTYLGNGYSQTELDPTADWGYYIRDHDDDGPLSTGGKRKLISKSGTMAG NSGVVFSGYKEEKAQL VE01_04340 MFNIYGNVQFVPGKYDAWQNAYDKLATYVADAERNSTLTYYFGV PVEFEGNHSATPHMLAFEVYNTREDLYDTHLKSPAMGEFLVTVPDSMSTGLDLTHYTE TSGFLDKSTNCRECKIIYDTQIVCNSAQDRDTILAKLAAVAKHAEEKEEDTYTFLVQK SLDNETHVRIFERYASWAAMESHQKSSVLVNFWLGSKGEIKSMEGRPYVPNNKGWLHH RV VE01_04341 MMNFEGKVIAVTGGASGIGRATVKLLASLGAKVSIGDLQQEALD AVAKEIKDAGHGDVFTKTIDVRKAETVDAWIDETVKWGGKLDAAANLAGVIGKSIGLM SVKDFDSDEWDFVMDVNLKGLMYCMRGELRVMANNGSIVNAASIAGIEGFAKNAAYSA SKHGVIGLSRSAAKEVGNQGIRVNCIAPGAIATPMLKTSLDINGGVGVKFDTPLPRHG TPEEAANLIAFLLSDASSFITGVVYSIDGGWNY VE01_04342 MVASANAPLNVLPRPTNRLIRISFFFTKIPSMSQSQFESHWRDT HGRLAIATKAFREAQIQQYTQIHNDKSLTKKAAELGLPLVEFEWDACSEMYVKSWEDY LVFATSDEARDILGPDGALIIHPGKGVRIMVSRVDPMYIKSAM VE01_04343 MTMDDSPIGGVVNKPGQANGSEKCPKAETVRTRPWKRRSSKACT SCRVRKVRCDLLLLGKSPCSNCKHDEIDCCLPPPKRRRRLTEMMPEEVVSQIDTKSSP EVDCAAGLDVLDAFSPANIQEWQRLSLEFPDFDEQPSKMDDSTMEMPIMSWDHFEEQL QPSPISDLPEQIASSWDDFRPETQQILAHANPLPTPTSPSSLYSDSTERSRISRQPVS EFAKIFHAKIERDVHEYLQRMESKIKHDIHEYMQRIEVLSVPQQPLQDALLKSYIEFV HGHFPLIDPLID VE01_04344 MVSEKPGHIGHGSAVESPVVHKFSSDEEIVLSHDTSSLPPGYFT STYFLGTMVASGLAIAGGVGGFALAAPLLGIINADIGPDPNYVWIGLVYTLTLAIGQT LVGRLSDLFGRRWFFISGSILALIGCIICAVATNIPMVIGGTTLIGLAASTQLSFTFV VGELVPMEYRFMANAFVYVWSIPFSGLGPLVAYAFVLHTKAGWRWCYYLMIIVNGLGA LCWYFFYHPPTFYMKNRTTTRWEMVKNFDFVGFILFSGGLLIFLMGLSWGGGVHPWNS AHVISTLVVGFVACVAFVLWETMANPKEPLMPMHLFKDIGWDATIILISLGASVYYAF SIIFPQMVFGLYTEDKAYGSALSCIVGACIVMGQIVGGLLSKWIGKQKWQLVVSTTAF TGLLGGVACATTDNKDTVIGLLIVGCFFVGWVESIGLAMSGIVIKDQSEIGTAVGIAG TVRSAISTVASTIYVAILTNRLGATIPAQVPPALINAGLPASSVASFIEAMTTGSFEG IKGLTPQITEIGVAAYKNASAMAYRTVFLSTIAFSGVALVLSFFCPNVDDKMTSMVAT VLHKGGNGEIEGAREEKTRHAHVEDV VE01_04345 MAGAIRQPIDIPALERYISQHVPEITIPIDVKQFGFGQSNPTYQ LTSTSGRKYVMRKKPPGKLISKTAHKVEREYRILHALHDTDVTVPKVYCLCEDDSIIG TPFYIMEFLDGRIIEDPAIPNVTPAERTEMWHDAIRTLAKLHRVNPKSVGLETYGKPA GFYNRQIKTFQILSAAQAQAVDADTKKAVGDIPHFPDMLAFFSDLATQPKDRGVPIHG DYKIDNVVFHKTEPRVIGILDWEMSTIGHPLSDLANILNPYITALSGPNPHLGFAPGA TPGLPSQEQVVSWYREVAGWSPEPELAWGTAFSIFRQSVIMQGIAARVAQRQASSAQA KAYADLFIEFGEFSWGLILEAKGRARL VE01_04346 MKLLSWVLPSVLLGAGLPTVLGRSLPRATVPSSDPFYQPPAGFE SQVPGTILRTRTIVASFFGILPNPVQAYQLLYRTTATNGSAIATVITVFRPLFAKTDR FVSFQTAYDSSATVCDPSYSYQIGTPQSDLISSAELLIIEAYLISGYIVASSDYEGPD AAFGPGHLSGMGVLDGIRAVTNFKSTLHFSTTNPMVVGVGYSGGAIATGWAASLQPTY APEIDIKGWVSGGTPANLTAVADFIDGTTFSGFVLAVVDGLTKPSAYGAALKPVIDSI ITPAGQTALNFASANCAVADIINFSGKSIKSTDFQSLGDRLWYDPTVAAILEQNTMGV NKNETPTVPVFMYHASQDEIVPYANAAVLAKAWCSYGASVKFTTYANGGHITTEVVAI VESLNFVSSAFAGTAASGCSTNTELSSLLNPIALGIELEPVLIALAQVLLLSGQADGN IVHSPKTLSSTVSA VE01_04347 MLSKWSTSVAAVGAIVVFVVPSIAGATFSSRAQDQNAGQLAASD QTLESPFPYYFPQLGSGDAANSGQFPMPLCHGFKLEEATIDQLQNAMDKGTLSSVKIV MCYLQRIYQTDAYLRSIMEVNPDFLQIAEVLDRERARGHVRGKLHGIPFIVKDNIASK DKMETTAGSWALLGSVVPRDSHVVSRLRRQGAVLMGHATLSEWADMRSNYYSEGYSAR GGQCRSPYNLTVNPGGSSSGSGAAVAANLITFALGTETDGSIINPGERNALVGIKPTV GLTSRAGVIPISHNQDTVGCLARTVRDATYCLDGIYGQDPRDNYTLIQKAPADGFAQY LATKKALKGAVFGLPWLSFWQHASANQQQQLLEVVNLIKFAGATIINGTELPNYATIV SPDGWDWDYGTTRGYPNESEYTYVKADFYNDIKAYLADLGNTDMKSLEDIVKFNNESG EEGGLPNQHPAFESGQDSFDASLASKGIMDETYWQALNFCRKSTREDGIDAALHHNGK NLTALLVPPFAAQSIAAQAGYPVITLPAGVNPDTGMPFGLGLMGTAWSESTLIRYASA IEDLQLTSKTQYKRTSPRYFGYREKNIPVNNV VE01_04348 MADSRERAIESASPQIINLKGIMAKWEKKIPEIQALSNSLREGL TASEADISDVKASNPRPPLPNIVQPGYEVYIGRKADYSQCTTLKQTAEVAWFIAFNRG PYTNFYMIDELLREGRISYEQFLKNPKIMRQSVTEANVRKVANSMDENYGDSVVGRCT SFTTEIVTALVMANELSSAGDFEFHDMGRHRLAFSKKSGLVIDSTLKQGPKFLPLDGQ KEPNDQEDHPKSFSGNDQGGQSKWFWGPSGSITKGVNRRGDVLKVTSVALF VE01_04349 MAPTIFIIGVTGYIGGEVAVVFAEKHPEYNLVFLVRNSEQAKIV KARFPSSETVIGDLDSHDVLFEQGKRADVVLQTASADHIAVGESIIAGMAQGKKGHYI HVSGSGILHDVTNGFGNPSSKIYHDTSDLAAITSFDSSHVHADTDASVTAAGLKHGIP TAIVSPVTIYGVGRGPIKTRSLQIPFLTEAIIKRGKAFTVLEGNNIWDNVHITDLAEA YNVLTEEALKLNGGKSSWGKEGYYFVESAEHRWKDIVTELAKIAHQKGAIKSVEVEKL SVEDASAAHPWAPLLWGGNCRSRGDRIRALGWKPSARKIEEYLPEMVDFEIKSLGTQS SATTF VE01_04350 MPPVTEICFVQEAPGRARERGTENVVRSHVARFRYRRRRDADVR AFKKTKEEVEDVITQEKTTLARDKPVKASIQPSLPYQDNLIPYTFLSATGPVLMLPRT SALFSRLASRDPNSPVLFPRQSIWMPKAALPEPSKIESTVLGVELVDWISNTPSCFQF RLETIKWIQGRLADSELATKDETLGAIITLTMWESYRAQLKPSDVVAHMNGLERVVDL RGGLDSMPPVQAEKIALFDHIIAAITLQPPRFPLRCQAGPASPYKARLYNSPISGSLP FSSFIKNTGADNEICMLLEALRDLTNTTISDIQFYELHINVEVSCTTDSIYKALHAAG QIYAAALSSPSFFTSPFSLPWLQILSANMDRTICAPFWRENPGVRLWVLLVGATASVA RTERGFFMMYLAR VE01_04351 MPANSNMGNDRVAHWIETGTNRFEASFIPSDNHSSYYKNESNGG TSTPPNSSISSGFAEEDQAADDLLHGCQDDKVIPSAEDIERTFWRGESQWRECLGLAE QQQTLSIPGSLGVLGLIKGVPPLPSGLRGLKAIRGYPMWKFPHLESDDMQSSMDDVSK PGTLATLDDSDVTWQPTSPPKVDERRGNEKTFRFSIPEPTRYQFIKECNPNAKVSPAP PSNGISILIQCISYYFCAKLLEMQGRKVKFTSQEPSTSHLGCSENDKILLDLRYASPD LVCWLSILLAPNSRWMMKPIPPWALVYEGVNRFDILTSPTYSSPEWGTSSSSEKAASF ISELCALFGLDDQLDAAVSATIMLPFYNFRKLAATLPVPILLQRSHPKHAHYPFRQYS EQISQLMTISIGPRLIGSSLWSVFWESGIDCNVVSAWLSSIHEVIKPVLESQDTELLI KMFMLYRPQQAPLWMGVLWLGSSDWAGMVESYLTTLEEQPQFTRASQPDLVTAAWLGC KQSFLDEVGLGCYEENGTRMLSNSDLARLRFNYRYLANAEELPYGWQPFGSTLAKEIE PELHQYLDLWHAREYHGWVWILGDEKRCFHSYVIDNTQAQEMPDIKHTYGIMTKLPPI KSCPSREATSRVLAWASRDACGDQINLPNHPWLSD VE01_04352 MWRRAYLLLALVRLYFALSPSYLHPDENFQGPEVIAGQIFSYPV KLTWEFTSENPIRSVFPLWPVYGLPMLLLKWIWIGNGQGGEVTPAVVFWTLRVLMFLL SFVLEDWAIHELVGKPRHRSLVILLVASSYVTWTFQAHTFSNSLETILVAWSLVLIQR IVDDKQRTSPFASALLAFLVVFGFFNRITFPAFLFIPAFRLIPHFARKPLSLLFMVIS GLWTLFFAIAIDTEFYARTHPVTWSTLFTHPIITPLNNLRYNLATSNLALHGLHPRYT HLLFNLPQLLGPAFLLLFFRPHASLRLYSAISGILVLSILQHQEARFLIPTIPLILSS IRLPAKKSHLKIFAAAWLVFNIIFGVLMGSYHQGGVVPTQFHLANMEDATHALWWKTY SPPVWLLNGKNEVLTTHDLMGMPGAKMIEELRALATCSSPSSSANSKSSGNNNNNATG TYLVAPLSASYLSEYIAENNGTKGALRFEEVWRYRMHLNLDDLDFGDDGVWPTLKRVV GRRGLATWRVSRDCSSSSGWRS VE01_04353 MADQGPNTITLISNDGVPIVVKRQVAERSMLIVNMMEDLGETAG AEVPIPNVNESVLKKVIEWCEHHKDDPPASADDDSDSRKKTTDIEEWDQKFMQVDQEM LFEIILASNYLDIKPLLDVGCKTVANMIKGKSPEEIRKTFNITNDFTPEEEDQIRREN EWAEDR VE01_04354 MPCAPKIGGVYRLWVNDEFEEILFEDYRQPPTTRQSFKMPSHKS FRTKVKLAKAQKSNRPIPQWIRLRTGNTIR VE01_04355 MGSHPSEKDLEVPTATPSTNGDSSTDDVESANYRKAVDNKETGS PAHKDEADLKKLDSNVIKVKDEDDDPFRHLPADEAEILKRQVDIPVVTSTYWTLYRYA TFNDKLIIALSCVCAIAAGAALPLMTIVFGNLAGQFQGLFLGSIPKEEFKGILSHNVL YFIYIAVAEFVTVYIFTVGFIYTGEHMSGKIRERYLEACMRQNVGFFDKLGAGEITTR ITADTNLVQDGMSEKVGLTLAAVATFVTAFVIGFIKYWKLTLILSSTVFAIVFLMGGL SRFIVKYNKKSLESYALGGTIAEEVISSIRNATAFGTQDKLARQYDLHLAEAEKWGYK VKVILGFMIGGMMGIVYLNYGLAFWQGAKMIVNGETALSNILTTLLAIMIGAFSFGNV APNIQAFTTATSAAAKIFNTIDRVSPLDSSDDKGIKLEHVEGTVELRNIKHIYPSRPE VTVMEDVSLLIPAGKKTALVGASGSGKSTIVGLVERFYDPVKGNVYLDGHDVSTLNLK WLRSNISLVAQEPVLFGTTILENILHGLIGTKYEHESLEKKTELAVEASKMANAHEFV TGLPEGYQTHVGERGFLLSGGQKQRIAIARAMISDPKILLLDEATSALDTKSEGVVQA ALEVAAAGRTTITIAHRLSTIKDADNIVVMQEGRIIEQGTHDQLLEAQGAYFRLVEAQ KIAAVNAVTAEEQAAIDADDEKLARHISETAGQDYIEDPDDKNIANKLNRTATEKSQS SVALQKRVTEGEQTYSLWTLIKLVASFNKKETHLMLVGLFWAIICGGGNPTQAVFFAK QILTLSFAPTASSPAQVISDSNFWSLMYLMLAIVQFIAFAIQGWAFAFCSERLVHRVR DQAFRSMLRQDIAFFDRDENSAGALTSFLSTETTHIAGLSGATLGTILTVVVTLIAAL AVSIAIGWKLSLVVASTIPILLGCGFLRFWVLAQFQSRSKKAYESSASYACEATSAIR TVASLTRENDVLDKYKEQLASQASVSLRSTLHSSTLYAASQSMTLLVMALGFWYGGTL LINKEYTIFQFFLCFASIIFGAQSAGTVFSFAPDMGKAKESARALKVLFDRVPAIDSW STEGEHLESMDGTIEFRDVHFRYPTRPEQPVLRGLNLTVKPGQYVALVGASGCGKSTT IALLERFYDPLVGGVFVDGKEISTLNIANYRSYLALVSQEPTLYQGSIRDNILLGADR DDVPDSEIEHACREANIYDFIMSLPDGFGTVVGSKGSMLSGGQKQRIAIARALLRDPK ILLLDEATSALDSESEHVVQAALDAAAKGRTTVAVAHRLSTIQKADVIYVFDQGRVVE QGTHGELMKRAGRYAELVNLQQLGRNN VE01_04357 MHKRSAKPDGTNWPQVVAQFFESLEMAAPQITGSAAASSFISRH VRLQDPATINSAVSNLLCRYAGLADSSVVSLQGCALDLQEFYMANKQFVIGPTFVREF HSTLTSIYLSSPLLLEHTFLAILGALSEPHHRKSMVDQVYVKRGATGLEQLRTTHINS IHVAAGILLLGQSLATFDIFTTCMGSHMILRHSLTGVKPWYPALCREEWLHSITATPV LMDTVECLVKRQIPVIRFAPDLHRVDRFVGICSSFLPILYELCDLSNAASMAGSASAY GEASAYGDPAIFLGIEEKVRSWEPTIPSGFAENYFASEVLIMLTQARVYRLAALLVIH RLKNPFGVNDEIAEVYANAIVSDFKQCSTQLQGKTTMQNTSFPLLVAILEVTRECGDE ILEMIPGPGISVAYLTKFVTFVNFIRSARENGFRGLWFDLVPKGPEICILP VE01_04358 MAEFWSVTCPGLILCIRARIFQSVDILSSKVHEKLVLNPFLRHI STNRYSRLGLQALCTAGIIYICGKALSRLILNNWTSDKWDWRREVVLITGGCSGIGEQ IARKFAERNIKVVVLDITPPKVAMPTLVHFYKCDITSPETIRSTARRISEDVGCPSIL INNAGIGSAKPLLDETDEEIRRTFDVNNIAHFWVVREFLPHMIKQNHGHVVTVASMAS FVTLASNVSYSCTKAAALSFHEGLAQELRHRYDARKVRTS VE01_04359 MAAAGIERRVDITIASCSGVFMCGWLYSSQRFTASNPGPAIVLA HGLGGTKELKLDVYADSFNRMGYTCVVFDYRCSGGSDGLPRGLIDWHQQQEDWKSAIE YTRQLENVDLENVGLFGTSFSGGHVIQLAAIDKKLKAAISQCPFTSGWQSALCTGIAA ATRIAGLGLLDILFGSDERPITIPLTGKPGETALMNAPDVLSTFPPLIPEGHPFQERV PARLALKLPFLRPGSFASETECPILFAICGKDSVAPAGATLAYAKTASKGVIKWYEDV GHFEIYYGQAFQQAIQDYKQFLQEYLPIKRQGEE VE01_04360 MDYFKSIPWCAAILEKTGIVTFTPTCRLQEDANGYCPTQDQFFR KSLRNDDAIPNCVGFYQDPFSKTTSSPPSGSALRLLVNSSTLIFDLRPGINGFNGSAH GGLISALMDEAMGSMIFVNHEVYKDVEARKVTTPPNVMNLHGIAMFTASMNVRFQKPL ATPHIVLVTATLNRIEGRKVFIDVTVKNENGVRFATCDGMWISVPKEKL VE01_04361 MVYDAAVDAAFRGSCYIPFLQEELYVNITNGYLPGRICTEVAPN ITCCLPCPLTDWLYSDSFYTVTNASSWLNVVGLVCTVFLLVSFAILPVEKTHRHYLSI CLVIAILIMQLGFVIPLGAKPAQCANSITPHGMESSITCALSGAFLLAGGWCGIMWVF MRALALHLQICWQVVIGRAFMWGALAGGWGVPAIALTIAMVFSGVSFRFGETCYINYR NSLADFWIPLLTFSGLTVIIQFTTFGYCIKVYWVSLSDSCNTTNSSALPSYLNDVVSL TPRQAYRRIQRIVELQWRGIAVVIIIIVDVMFFAVVSTMHNNQIKAADVSMVTGEPAK LGEPLDPSVDWLLCLVTNKGDKDPCLDKSAKLIMNQAIITAVLVLLSCNGIWLLLLMS RVSMFTGWYYLAKPEAKPSVEFISADSARAYSKDPRTYEMLASNGRDVDNPLRPDLSV TTLTPAVISLTGRSGRQMPDYFSCEAKYQSPTHSFSSLRPPPGGRDWNAAATHAPSAH RGHVDRLSINKC VE01_04362 MAKGALLALSALLALCVATLWKPLLRRATVLGFLRSQEEFRNIH GDIAPLKISNTVHCEDLHYHAESGKLYTACEGVEHTRRHWFPPLGHTDRHDHDGQGMI VVVDPITLEATELALTGFTGHFVTHGIDIFPSEDGRTMTIFAVNHLPNPEYGESQNES VSLQKARSQIEVFSHTINSDTAVWIGSIRHARIRTPNDIFATSPTSFYVTNDHYYRDG RMRFVEDLLEYNTGAWSDVLHVDFATDTVDALTEVTVTNGLAKVHNPNGLGHTTRPDE VLLSDASGGDLLVMGRDLANNTSKALTLRSRIQLDSTIDNPSYYYDLYATAGNNASGY VLAGLPFAHTLGQAARTVDVPIPTMVWHVRENAIGNHDIMMIFQDDGKLLRSASTAVL VGINPASNGGKKQAWLFVTGYVSEAMVVARVNL VE01_04363 MVRIRYIKYSTITDNFLFETAGVAIWSTVEASLGIIAASAYTLR PLLRALFPVFHSQRCSSQTRGNQNIEMCLPARTVAADGSRSELNGSDDLNQQGCGTIG ESSNDRDSGISVLKSFEVNTN VE01_04364 MSLLLHHFFPRNPTFGYEALRAAGYSNYGGADISEIIAICSRIP SGNEDRWLREWQAAADRAVSNAKTSVEKGNPISAQQAYLRASNYYRTAEFFRREDPFN DELAHTLYQKSVDTFVSAMKLVDYYFEEVDIPYEGTTLPGYFMRPDQKSVPRATIIFN GGFDSTKEEAWFAIALPALQRGFNVLIFDGPGQGMVIRERRLFFRPDWESVVTPVVDY ALSRADVAPEKLVIFGWSMGGYLVAKAATKEHRAAALILDDGVFDFGHPFRSQTPGFV KYLIRHHWDAAANAILRLVMRTSTGTKWGLLNGKWTFGAASEADFLRQVMTYTLEGSA GEIKTPALILDAPDDHFLKGQPAALEKNVVCKTTFFALTAEEGASTHCHTGSSSRLHQ VIFDYVADIL VE01_04365 MQALTANLLIASRLVNYVLKKYRAGGIPSLSVNEILVKVSSVTL SPTDFKHIDVVAPRGTIIGCDYAGKVAKVGHSAPGNWKTGDRVAGWIPGDLPGGSPAT ISDEEASTYGVSAVTAMLALNTRLAVPWIDRSIEGAQQGLSMLIYAPYPQILF VE01_04366 MTTLLGDNGVTITCGDDDVTTRERFRTSTPSNGLNPVSSSLDTK SEESNESKGARGSHARPVSEAGTNRSVSHTRLRNGDLCYEDIEAVEGVAKEDPFIVGW KRGDADPLSPRSWSIWQKWTIVLINSVAAVCVTCTSSIYTATYAQILPEFHSSQIVAT LGLSLFILGLGFGPMLLAPLSEFYGRRPIYLVSFAFFIIWIISSAAAHNMATMLTARF LDGFSGSAFLSVAGGSVGDLFSRDDLQAPMMIFTASPFIGPVLGPLIGGFVNQYTHWR WTYYVLIIWSFVLWVLLIFFVPETYHPVLLRNKARQIRADTGDERYKAPMDISNKSIQ HEIRISLYRPFQLLALEFMVMNLCLFSAILLGILYLFFGAFPLVFRNNYNFTLSQIGL SFLGLFVGMLAALATDSFWHQNYRRLIKQNENNGGAEPEHRLPPAISGAVLVPIGLFM FGWTTYSSVHWIVPIIGSTIFSAGYVNSVITVVQFGNVESRCLWFNIPQLQDNAKSPP TISNLLVFTGIFTFLVDAYPQYAASALAANSFVRSCFAAVFPLFGVQISDDAVPVPLL PIRKADKREEPICQAEIGGCYRRLAYVQGISLDTKMKPSRGDYCIPVFPEIDNLDAID YMTIPARRQPNSEEALGGGEFFFHDFVQRQAVAWFGANVLAKTGPRV VE01_04367 MSLSKILFRKQQLAVDQMDGISGLTKANAYNYTVVLFASLGSFT YGYSSSIIASIFGLPSFFDYFNLSLSGPNAKEGNDIIGAANGLFFGGGLFGALVVNLI LNKLGRRFSIQIICVICIISAVIQGGAVHIAMILVGRFLSGVGVGMMQVTIPAYMSEL SPARERGRMTGSHGVLIVVGYTAAAFTGLGCYFAKPEVQWRLCLCLQLVAPLILLIGS PLLPESPRWLVSHGKDLRALEILLKLHAEEQVQRAAKEEFYQILIQLELEEETGVHGI WGMIKRPSYRKRILSGLLVQFASQSTGVLVINNYQILLYNNLGLYGWLPLLLYAIFSA WGAFSNWINANLLDRLGRVPIITFGLIGCICMMTIETALVASYASTSNQGGNAAAVLF LFLFIGFYGGSQDASSYVYCSEIFPTGVRAQGLGICIAGQFACALMYTEAAPVAFANI GWRYYIVFIIVPAVCLPLLWHLPETNGLLLEEIAAKFGDEVAVNSSPLSDDRQRIVNE RLTALGIDNLGDIETKKTEGKGFCEQVEGNEL VE01_04368 MDQVNDTADVFELPPATEDSGCQARPQRKRVRQACQRCRKHKLR CDKERPCALCVRDQVPCVKRVLLTNTRSPKPQNGQPQSQMPLTNASVSSLWSTRLKAM ESPIQRNSLFSSAAVSNLLPAEGWNDTGSNVRQKNLDFSRLRHHTQGVSAMGLINEVF DEFQHESPENDNFALPGGTPNTKNQSSCSTSDRQVPIAMLLGIDLPQKKVTDFLLNKY IDSVHWFMMVFHEPTFREEYEEITKSRQAPPSRLGFVVLLAVISAMGARYCTAEEARK LFPALDLEQLKSILITKVQEHIFDVFDRPEIEAVQICILLGSFYMYNAQPNLAFVVLG SGIKAAQAIGLHKEALYRPSSQVTREVQKRVWWALYVFDRFASIAWGRPCSINDIDCQ VSSLETLDDAANPHPDLHSVEQLDNGRVEAVTTFSYQRFKFKLYSIASPILDVNFIKG GNAAQVIEKIQSLHERLLTWHESLPDELLLKRESSWSQQPADTIRKTFRLQALALQLA YDNLQILLHRPLLQFNSQLLRQSQIEILKTPLGENDASHDPNSPSPRTFAISKAQCWE SALRTANIVDHLDVLRAVKDTHAAAYIGIQLFTAGIMLSLMALSQPLLSQAQEGKMAL ARVICITKALGHRTLLSAQSGKILEALVSVIMSNEMKELLAKEEDTENYEELLTAHGT PTRSVGVYLGARQPSGGAMATQDRMDFPNKSIKGFYDNQDIAYDMPTAALSETDFNES LQSVPQGKFLRSVLILNNFEGNFAKDKNGNPSSTSISNGQYSSNLPLGQDMSQSTHPP IDDGRMATLLVAPTEASTTAGRRGFGT VE01_04369 MDSVLAPKPSVLGLRDPSLLVTDGLVAGQWRTGASGKTFSVTEP SSGEELSRCADLALLDFVEAIDSAYIGYQKFYSSTTAKERGGLLRRWNDLILENADDL AIILSSENGKTLAEAKGEVSYAASFVAWFAEEATRSYGNTIPSSYHNTTVLTFKEPVG VCGIITPWNFPAAMITRKIAPAFAAGCSVVIKPPSETPFSALALAKLALEAGIPSSCL HVVPTKDHNASLELATNPKVKKLSFTGSTGVGKMLTKLAAGTMKKVSMELGGNAPFVV FSDADLDLAVDGAMISKFRSTGQTCVCANRLLVHSSVVGEFTKKLVAKVESLKIGRGL DSSTTQGPLVNASAVAKVEEHVQDAVSKGAKLHTGGKKPAGLKGFFYEPTVLTGATTK MNVAYEETFGPLAAIFAFQTEEEALALANDTEFGLAGYFFSKDIGRVMRVAQRMQCGM VGVNTGLISAAESPFGGIKESGVGREGSKYGLAEYQNIKCVTIGNIHM VE01_04370 MAPSMLLDDFINSQATTNASCNRAGQTDAPIPATTTGSLPYTIR EQPFGTKKHMRVVIMGAGISGLNLFKLAEDKLENVDIVCYEKNDDIGGTWLENRYAGC ACDIPSVNYQYSWKPAIWSKYYSESQEIWEYLKSIEEENNFIEKYVKLRHLIVGAAWT DEEGKWKLTVKDIATGEEKTDYCDVFLNGGGVLNKWKWPTVPGLHDFTGKLMHSATWD QSYNFTGKKIAVIGAGSSGVQIVASLYERLDHLYTWVRSPTWITAGFAQDFAGKDGRN FYYSEEQKELMKTDPAKYLAYRKMIEKELNQRFSLIIKKSDDAKVAKDYSDKEMRRRM VEDPELAEKIIPKDFAVGCRRPTPGNGYLEALAGKKTTCFTQTIKAVTPTGFVDHTGT EYEVDAIVCATGFDTSWIPRFPLTVNGIDLRDTWTNEGVLSYLSVAVPEIPNYFSFCG PYGPLAHGSFFPVIEKYTDYIIKVIIKMQVDGIRSLYPRREVTQDFIKHSAEFLKRTA WTDPCSSWFKNGQPGSIPTIYPGSRVSFLRLLKDPRFEDFYMKYDDDNRFSFLGNGFA LEEIDGSDMTYYLGSLDEEVDQDALISVLKGTAVGVAAT VE01_04371 MSRGNESSSERKGGGEVEQIENYQAKLTTGGKVKNHFKRFWWAH LIAFCAGFLIIALCLVYVAMPKIAQKGIDDATLSYNSLKFMKPTLDTLTVSVDAVQHS DSPFTPTLDAFNVSMHLVKDGVRHDKVITQLRMPQVHAQHPGTSIIINDQETKIVDID QVTEFCKQVLTQESITVIMEGKTKLHLGALPVNSVTYNSSITFKALNGFKGFNITQPK IDLLAEPGQPNLLGMALIPNPSVLTVELGTVVMNISTKEKGLIGNSTIENFILKPGQN LLPIKAIVDQALVLGSVNSKTGIVNMIIVGQTAVYNGVHLPYFEAALKSHTITLPVDL QTLLSGT VE01_04372 MLYSTLRILALSAGVIASAQALEQDHFDTPIHPEWLDGHMPTGT AGDGKIQKDIPSYVLEYAPLVHLAEDEIHWPSVMDEHLVHTKPYVDFKPVPKDEQHPT VWDLGDLNKHDGGLHIYLQSRDDVMTSPAWMLSAHNMPVPPPATPEGNETDSAAPKGE GKRGEKRKKISKIGGRSPAPVVLTVVDKGDGVILAFWFYFYSYNLGNSVFGVGFGDHV GDWEHSVMKFRNGVPETMFLSQHTGGRAYTFGAMEKYGKRPVIYSAKGSHALYATPGI QAYILPFAILHDTTSHGPLWDPALNVMSYHYTSPEDHSDVGDKMVSEPSTSASVTDFT PGILTPSLQNPNAPTSWFYYGGYWGDKGYPSNDPRQYQAPIVGERKFVDGPFGPRFKS IGRHDVCLKKGPCEVSTTIAPRLWLLNWLYNWAWVCGGFLVLVVVGIAGYTVGRHVKW GHTMLVRIRRGRGEKKVLEDVERSPLLSEATSEAEDGAEPSVVALDVEGGRAVTYGTI NATVVEGADRAG VE01_04373 MWVRAALLFVCFLQLVACAEDFYKLLGIDKQASKSDIKRAYRSL SKKFHPDKNPGDETAKQKFVEIAAAYEALSDTETRQIYDKYGHEGLQQHAQGGGHQQH HDPFDLFSRFFGGGGGGGFGGHGERRGPDMEVRIAVPLRDFYNGKKTEFHLEKQQICD ECDGTGASDKQLDVCGECHGHGVVIRKHMLAPGIFQQMQVRCDHCGGQGKIIKHKCTV CDGSRVVRKVNEFTLDIEKGAPVGHRIRYENDADESPDWVAGDLIVTLHEKEPDLDVD NELKVDGTFFRRRGDDLYWKETLGLREAWMGGWTRNVTHLDGHVVQLSRPRGKVVQSG TVETVKDEGMPIWDEDGDSVYHKTKFGKLFIEYTVVLPDQMEKGMEKDFWALWEKWRM KKGVDLGKDSGRPVKPAVKDEL VE01_04374 MSTKKYALLPMEEEELGPAKVVEKKKEKRSRRDGEKSSSSRHDR DRRDRSRERERSPRRDKSPRRRSPRPTEHKSRTIRRPAGDENYEDRWGDEEYDSAEEQ QEFQESAPKRLKTDHVDEADLSEGAKEERERQKDIEEREAFAKRLREKDDDKTRKLVE DRSSTKDGKILAQRRLLAEDAAARSAALPDLRERSRQEYLKKREAERLALLRKQVSEE TEELRSGVRLSEKEKAEFAKNREVLRIAEERLRIDDHLDGYAMPEDYITEKGKIDRKK KEEAMYKRYVDRDEYGQEKFVTEHEEWEKEQATKAKAQVQSREWTDQGEYDYVLDEEQ GIKWVMDQRMPGEGKGMSKEERFLAEQLKAAEKRALSMEETRKSLPIYVYRDEFLAAL EEHQILVIVGETGSGKTTQLPQYLHEAGYTKDGLKVGCTQPRRVAAMSVAARVADEMG VKVGNEVGYSIRFEDSTSDKTVLKYMTDGMLLREFMTEPDLGGYAAIMIDEAHERTVH TDILLALVKDLARERPDLKLLISSATMNAKKFADYFDDAPIFNIPGRRYPVDIHYTPQ PEANYLAAAITTVFQIHTTQGKGDILVFLTGQDEIEAAELNITEISRKLGSRVPELVI CPIYANLPSELQSKIFEPTPDGARKVVLATNIAETSLTIDGIVYVIDPGFVKENIYNP VTGMSKLVAVPCSRASANQRSGRAGRVGPGKCFRLYTKWAFMNEMDESTTPEIQRTNL NDIVLLLKSLGIHALLDFEFMDPPPTETLIGALNQLFALQALNHKGELTKIGRQMAEF PTDPMLAKSILAADKLGCVEEILSIVAMLSEASALFFRPKDKKIHADSARARFTVKEG GDHLTLLNIWNQWVDSDFSPIWSKENFLQQRSLTRARDVRDQLAKLCERVEVTISSVG AADLVPISKAITAGFFPNAARLQRGGDSYRTVKNNNTVYVHPSSVLMDSNPPIKMLVY YELVQTTKEYMRSCLPIKAEWLTEVAPHFHKKKDIEELEEKKMPKGR VE01_04375 MAAPITKLQQVKLPSGPSPITPEQQYWKSFRSQQIIPSPTSYPV THISFPPPPSNPLLPSNNDYFSVTTGTRVQIYSIRTRKLIKTITRFSDIAHSGEIRRD GRVMVAGDDSGKIQVFDVNSRAILKTWEEHKQPVWTTKFSPTELTTLMSASDDRTLRL WDLPSGQSTTKFIGHGDYVRSAAFMPGMLSNMLASGSYDSTVRIWDPRTPTRAAMTFK HAAPVEAVLPMPSGTTLIASSEAQISVLDLVAGKPLHIIKNHQKTVTALCLASNGTRL VSGALDGHLKVFETTSWNSVAGSKYSSPILSVAVVPSPDHQDKHLAVGLQSGVLSIRT RLSGAQKVKEREREKEMAALIAGNVEEYDKKNKKRSRGVENKLRGMKFLGEGADVVIE GNEKRKKGESQWEKSLRNGRYGAALDMVLGSNLPPVVVLSVLTALKHRSAMREAFSGR DETTVMPILRWVAKYIIDPRYVSTCVDAALLLLDLYSEHVGASEELEKGVKWLHRRVR AEVERAQQACQTEGMLGMLMAGRAVAA VE01_04376 MFIGGLNWETTDQSLKDYFSQFGEVMECTVMRDGASGRSRGFGF LTFKDARTVNVVMVKEHYLDGKIIDPKRAIPRDEQERTSKIFVGGVSQEASEQDFKEY FMQFGRVVDATLMMDKDTGRPRGFGFVTFDSEAAVDACINIPLEILGKPIEVKKAQPR GNVREEEDNRGGGGRNRFRKPEEQHDNYGGQPQAQSNQMAPGGMTPQVMAQYWQRMQQ YMAMMQQQMAMGAGRGAAMNPAMMNPMMMQQMQAAQQQNAGGRSQSPQAGQNPAMGMN PAMMNPMMMQQMQQAMQAQQMQGMGGGMGGGNAGAAGAGNNTGYNAYEQQALEQQKYE QQGHRGGRQTSQTPGGQHYNAGNNYGGQNQPTSWEGMYDDVPQPNPAGGAGGYNKAQG RGGSGTPSGPQPAPPAGAPTGPKNAGKPGANYRGGGRGGGRGGYHPYSR VE01_04377 MADQDDFEDDLFADLYEDDTTKPAPAAPEVRPAPAVVNGSSAVK TEESAAVPEPDVTEGQESSEQPEQDDDEIDFNLGGGNDYSAPAQQERDAHGPGIKEDG VE01_04378 MFSNLGSGKKPPQQEMVQVERSDSTSPFWRTRSNEKKRPSSEKK GERDPNMVVGASYSHADMLSKVDSMSLGSSSSRPRTPPHSSNKSDGRHASQLSKSALG STSSPRRNYSGFTSSTNNDWGANEEDDEDEYGYDNNDGDDDFGLPSVSSTRRKPKKPP GEASEPVAALSPWRTDMGQSLGARRYSNSADIAIERPAPSYPTTKKSEGKILRPQYKE ILRDPANSLHLIHHPPVPDGATQKEVDVHSHRINRINKFKKILQATSIPLQELRSASW NGIPQEVRAMTWQLLLGYLPTSSERRVSTLERKRKEYLDGVRQAFERGGGTPAAPGKA RGLDEAVWHQISIDVPRTNPHLELYGYEATQRSLERILYLWAVRHPASGYVQGINDLV TPFWQVFLGSYVMDWNIDSGMDPGQLPKAVLDAVEADSFWCLTKLLDGIQDNYIFAQP GIQRQVAGLRDLTARIDSNLAKHLENEGVEFIQFSFRWMNCLLMREISVQNTIRMWDT YMAEDQGFSSFHLYVCAAFLVKWSDRLLHMDFQEIMMFLQSLPTKEWTEKDIELLLSE AFIWQSLFKGSQAHLSGVQSQDRGLGGMGGI VE01_04379 MAAPVAMMDVAPEASSPIHSKTTLPLSDLASEAQSSDDDSEGGV VVKPRARGRLAGRMLDSRDAEEHEVTAMSDSDVEQGKDMEKAKAERRRRFLGITSSGE DDAAAESEAENDDNTSNAGDQGMTARERMLKSLMTEKKPAQEEQTTNQADASDDEDIV VPSRSNHRIRQRSSPRPSPPRSLDSGRGGLFVSPSPSPKKTRSPPPADSDSEDLPVDL SAVTSTDRFKALLETKRQERAAKDAADLAWRKAAAEQQALLEDDDLVGSDDEGGQRLT QQVRPTRKASKKAVEEIHRETQRMARNMQLAHQAKTKKKITKSSLFARFNYKPEGFVE EPATSSSPQQSDAEMHETPPTSPLSPSLTAHKSAPPAELSTVSLPTGSLSEDEEAPLP TVEDMISSHVASSPSSRRLDNGKGKAIRRSPTPTESAPQIQPVTTQPKAPKFSTANVS FGGDSGSDDDLEIIPSKPQLAWKYRSKKDLDSIFDRAPVHKARESNGLHALRMLAHIS PPPKNRNKKNAKPSISNSALQASLQQRARQQATREREERLQALRDRGIIVQTAEERAQ ELEDVEDMITKARREGEEIAKGEKAAAKKHRKDNGEVDPLDMDDSDDEEWQEEAERFS QQLSASGSEDEADDEEEHSGSEDEEMEDANMDEATRPNALVDDEASEDEDEKEEEEVE ASGLINDEDEEDAPNAVARRRTKNTNVISDDEDDVSVPQSPALAPMNTPGPKATATTS PAAPMSVLRSATKTFIPGITVAGPAGLGLTQIFAGTMDTQPDFDATPMESPSQRLDSQ QDSLAFLRNLPPPEFPGFTPTMDDESQGIVKSSQVQIDQVAATQATQAETQGIQLDFS QSQIHGFDSLVQQTPSKHSEFPEPTQDEGFKFMSPIMGRYTEPPMSTVDTVMIGGTPA PDQTEDIVMESPIMKRKGKLQRRVQAPVLSDDEEAEPVDDFEVSNIFETMRKASKKSK TAPIADFDKKKSAAKEMVEDQASESEDEYAGLGGASDDESEGEDEAVQAMIDDEQREH FDETKLAAFYADRERAADEQQVNKLFKDITSGMLRKKRGADYDLSDSDDDGEARRRMK RREFAKMRKALLEDEQIGKIAENPKRAAFLRAIEDRGDDQDMIFLDDEIVDAENAASS QPIVDDEDSQDATVVPNSQPDAAPGALKRKATTEPQARLPPNLRRTKALASKRPTSLS EIRASVSSLIDDPNAMHAPAEDSDSDIEIEGTESTDSGKGKENHDPFARRHSGATVVD RMSLKRQSSTEMSSATRLAFAASSATAGFKVPPLLRRATTNSSAASSTGSFGGGPAGD SKAAPKKGKGVAFFTREEERTKDIREGERKRQERFLKGVEGRRKAVGGLFGRGSFA VE01_04380 MGLVDFRRFNANNWETLRRHPLAEISGALGDLGTLLPLMIALAV QNSISLSSTLVFSGLWNILTGVIFGIPLPVQPMKAIAAVAISRSFTISETVSAGFFVS GIVLIFSVTGLLHWFASVIPTPVVKGIQVGAGMSLILSAGTSLLQPLGWTSPSWADNR LWGIGAFLALLWTHSFPRFPYALVIFVVGIVLSFLLTGSSNLPSLAIWHPQFLVPSWG SFKTGAIDAGLGQLPLTTLNSVIAVSFLSADLLPHLPAPSVTSLGLSVGLMNLIGGWF GAMPVCHGSGGLAAQYRFGARSGASIIVLGLFKVVMGLIFGETLVGLLHQYPKSLLGV MVLAAGIELAKVGETLNNGARDLWEGSEAAGPSVLQGGSVANAFRMPTDEERMQRWTV MVMTVGGLLAFKNDAVGFLAGMLCHWAYNPPSIFRRQTGSRWNFRVPKIPWRRNTEEQ PLLSSP VE01_04381 MGEPVGEDAWVSLVDEGSRSAANLEQRVEVVELYKRAVSAEPYS LRLWVQYCEWIWSLHTDCQSSDAGWSEEEQHLGQEVFSLSVAIDLWQQGAHAIRYRLD DSHSLWNRYMSIELWLLAKAPSPAAVDRVKSLYLDRLQVPHATWEETSQAFSTFVTRY DEAHWEETMVRSTQLAKNAKEIYGQREDQELSLVRSVQSGDQEKQKAVLTEYLEWETI QSRKKNGIPALCFALYERALLILPTDVTLWEDYAGAVNGYIGASHGRPQDCPDILQLL QRSVKHCPWSGMLWSRYMLRAEIEHLDFRDVEQIKHAATSSDQLDRNGMTDVYMVYGA WCNYLRRRAVDRDATDEDGDLADVGMPSALDGVEHWGEPADRKNAKGDPTFQIQRCWI QYLTQKGLITEARSQWHDLVKPHGDSYEFWLRYYQWEMDMPHTDNTRPFAAALLKQAI QRRTLDWPEKIMEMYLSHCSTYEFPVEVANAIDFVHRNSKGVAKRRQREAAEAAAAYA EQQRLVQPAEAIPADESLGGSKRKRDAAAEVDGNAAKKVKAGGEDLDAQSVKRDRENT SILVSNLPLEATQTKVRQYFKEYGHINNLTLKPESDKQSATALIEFRSVEDVQSALLR DGKYFAEKQISVVPGTGLTLYLTNYPPTADEDYLRNLFKDCGEIFSFRWPSLKYNTHR RFCYVSFRTAAAAAAATALDGKMLEGKYKVEAKYSDPSRKKPREGAMLEGREIHIAGL DRGASEDDLQAVFSKYGAVESVRILRNIAGKSKGSAFVVFAKAEEATKALELDKTKFW SQILTVELATATNFKATATTSTSAAGSPAPSNDLDSRMSGAGSPAAGNNHGHAAGQSR AEITARTITLLNVPDTVNDARLSAICAPYGRVVKLVLRPDHQGAIVEFEDVASAGKAS LGLEGYEIVGGRRLRTGGLKDLFASGEERKTDRIVIGGGAKKTEAQPEQRTAFMQAGP TVRRPGAGGRGGLGVKRGLGFKGPSKAAADGVNGNNAESGEKKAVKSNADFKAMFLKS GEGGGAGDGPNKQG VE01_04382 MPAGSGLAPMFCPAYPPWPGSKFYGAETTNNLTSRNKIINAMLS TSNMSLPLRTRRVATSVTSFTSSIPQYRTAFWSSKGRDETPTKPAPRDQLHEEFVKTK SAVPVAPKRGALASSSIFEDETVAGPVTTTTGPAAALRNPRNMAAALDPDPSSRERWQ RKMVIREITARGRLSKSETLKRSERVSHSKSHNYQTSVKKLGPLARQITGKPLEEAIV QMRFSKKKAAQGVREHLVHARNEAIVRRGMGLGKEDGFKAVQIMTKDGKRMKIEDPSR LYIEQAWVGKGDYGRTPDHRARGQINMMKNPTTHIHVVLKEEKTRIRENQEREAKVAA RKTWVQLPNRKITSQRQFYSW VE01_04383 MAQPTYIILGGGVIGLTTALTLQKRSPSARIILVAHHLPGDLAL TYASPWAGANWLSVATDGGRAQARDAVTYVKFGELADGRPEAGVRRMPIRAMFDKERE EAGVLSPDGKIWYEELVGGLREVKELPEGVKFGYELDTFVVDVTTYLPWLLTEAIKAS VEVRRKVIGDIREVFQEFPEAEGFVNCTGIGSYSLKGVEDKELYPTMGQVILVESPKT PIERMYFRSPQRTHSDTTYVFPRGKHGGVILGGCRLDGVWTGEVNLDLAEDIKKRCCE LCPELGKPEDLKVIRHGLGLRPSRNGGARVEREAMDGKTVVHSYGAGGAGYQASWGTA KEAVDLLLQLRQSNL VE01_04384 MSERDPVGGVQVSPQYPSAASGADDPKIQDIKCDICDKVFTRSE HLRRHKRAHSSEKPFACVECKKRFARQDILRRHQASHELKRKVNASDETRRYSRACFA CASARVRCLRGVVCPRCRSRDLRCEYPSRRTHSSRASSEEAGQGDEIMTERDEDESAV VTWENESSTGVFGSVPKESNWTSNLLIPTASNSTSVGQSWNASGSEVTSVDPSAAQTL LAGDSPMSTRDWLLSRGIISPETTIVAGAQLVPQVSEGNIGSLYNYSRINWLPPNDLS HIDQAFGAASLQSARSPNVSAQDAFGNGHRGQNIQSSPRSASTGHFDESYMESYANSR NIGLASGRSKPFSLERIPSIATVGSPSDASMYYADGDAAQSPLLSHHRQPNQAPLPLF GGQGSSPLSPTLENIHTHLAGYTHQQIWIAEESYVALIDYLKYTSRRDISLSYTDSFP SLKELNEFAGLYFDRFHESFPLLHKPSFLNTRDGCVLELAIAAIGACYVGTAYARKCS ESLHELVNKLLEIATSSYYNPSEFPEVFGLRRYPQRPTRLQARILNVLGMFHSGNQKL SSLAREGRAILVTTCIENKLLASNHYDGWQACLGTDEEGDRFLQQWLEGELKCRAGYF VWMLDCMMAYESDFRTHMDLLDGKAPLPCPEQIWDEPMLNKAPLLILNGGPPSLCLAL DVLYTEKRLVSNLGELSRILLIHGMYRRVWEVARYQSDILSDWVPTALSESHHGVTSE KTTMPLTSSVVSRWTNGSCDCLDVLHWSAKSRILQASGLEHPTILHLHLARLILLAPV SDLQELARVKLRQETQSHSESFLDATTQEQDLQNSVHKWVLHDQYKARLAIIHAGSVF WYLRRYSCGAVIEPFANYLATLVLWAYSVSTSAAKLLASSTPNTVTYESHRSADAGAS HDASHHTQDLAMRANSERHHSISSYFPNPPSLHAQIAGALDHLECHETSLIQLDRPCD DEIVQLFVRFGEKMTPYMARIGDISMKGSGRKILREGIKLLSTHDNHGVGGVGERMPS CAWGAAERFGELLAALGSAR VE01_04385 MVPDTAVKTPPTRRSHPFSSLTAHEIVTAAKIVRQNASLRHLVE ADLIVTKIITLLEAPKRPMIPYLDNEYGDTLQPGDDIAIPRCAEVHYCIQGQAQMYTS VVCLTTSQESSHVAVPKPHQGIVDPIEYRAVFAIVASSSEWATAVSSLGLPAGVNLSY DVVLYGADKDTGPNPLRYLRGWAYALGPAGSNPESKMYSFPLPLSHVVDVANKTVVRI DPMPADGIPNERIPSPCASPNWASGTALDGCQPNDYHPDLLRQPRQDLRPLHIVQPEG VSFTVTDGSLVEWQKWRFRVRFDFREGMVLHDIRYDNRSLFYRLSMSEMTVPYADPRA PYHIKQAFDLGDAGAGAVANALRLGCDYLRGIYYFDGIVNNMQGKAITMPNAICLHEE DDGALWKHTNGMTGAAVAARSRVLVIQTTMTLRNYDYIMAWRFDQAAGLTYEIGATGI LSTCPIDPGSSRWGTVVAPRVLAQNHQHMMCWMREAVPLPLDDEANVYGNAWEVRKRH IEKSGFEDADPLRNRTCKIVNEGKINGISGNPVGYKVAAPPSQLLLAHPSSVVARRAK FAQHHLWVSKYRDGDLWAGGKWTTNSYEEIDGISSYVARDGGVRNEDLVVWVTMGMTH IPRVEDFPVMPTEKIRLMLKPADFFSCNPAIDVPTNVQNPSSKHTLADGTQVQNDNGG CH VE01_04386 MASTGISTPASEGGTPHYNETEAHNTTLVTLADLSAKGTSHYAQ RQYGEAADLFARAAELQAELNGEMDPANAEVLFLYGRSLFRVGQSKSDVLGGRAGGEK KKKDVKPKKEEGGAGLAVIAEGAEKAEEKAEEAVEKKPLFQFTGDENFEDSDDDEDAE AEEEEEDDELATAFEVLDLARVLFTRRLEEVAAAPAEDAGKGKEADTGDSSLTRHVKE RIADTHDLLAEIALESERFPSAVADFKAALGLKKELYEKASEIIAEAHYKLSLALEFA SMTTTGEEGDEAPAAAKEGVVDEEMRGEAADEMQSAIESTKLKLAAREAEEEQTDETA KQIKDVKEIVAEMEQRLTDLRAPPIDISAALNGPPGAGSSGVIGSMLGETAAQTAQRV EEAKKGATDLSGMVRKKEKKEVNGNGKRSAEEAGEGNGEGGKKPKVE VE01_04387 MPEIVRTRKSRYRDDDYSDDERSYKTTVHRYRVARSPSPQSDYE RPRIEVTHDTRVERFERDRDSNYGRDEPARSRTLVYEREREREQPLRPWEREQTWERE EDVRVIERSGGPGYDLVTRETEYYGRPDVAAPLVIRHKQPEPQRIIVEAPPAPQPVVI HRDREREPRREEDVYYRRHEVRDTGHPEEDYVSERKVIRRRGSGSSGEWSNEEKVVRR TTRRSRSRSHSPHHKLHLAEGAAAGAAAAALISRRRSKSGVRQNKAANLASGAALGAI GAQLATRAHSHYGHRRRSRSHSRHRRSPSPEHSKLKMGLGAAALSVAALAAGKYFKDR HDTKRAEEGRGRSRTRSPSRAGRASSEGRHSDPKKRRQSIAKAGAAGAAALAIAQAVR ARSKSRKGERTRSKSRIRTGAELAAAGLATAAAAGLYERRKAKQEGERGVSRSLSRSK SRSRSRGGRRRLDDDYERPGLVEYGAQPLHSRGLERGYADQRFLTGHREEHPSSVLGI TAPVPRVRGTDFGRRSEFDDANTRSRGLSRSPSRSRSRSRSRSRARDVLGGAAAATAA AVGVRKYKARRKRREEEAARERHYSSDSYPSSRRSADYSPSPPHASGGAYYPNHSTQP PSHPYPTTPDPHPYPDANHYGAHPDPNAPTAFPPPPVPPSGAYHAPPNGGYEAHSTHS GPAPGGSAGPGHVNPDYYGETAGDAGERVERV VE01_04388 MVELYADITVLPTDIGTRDAIIIAMAGILANFFWHIVKLSWRAC FGNSGGESYVTEPKLKHDEQRDISIKLRKELDEALKKRDVAHEERDEALKDRDKYWDE RDDYWRQRNEALGERDAVRKQRDTARLLRDRAYEELDKLYKAKLYSDENDKLSLLVLE RQQYH VE01_04389 MSDLKEECVEKHIESSPAGSTYPPEIVALYEKFDDDRRKKLLRK MDYHLIPIITLLYLFAYLDRGNIGNAKLAGLLEGAHINDAEYNICLTVFFVTYVIFEV PANMLLKKFKPSVWIPTIAVLWGIVMVCHGFVHSYAGLLACRLMLGIPEAGIFPACSY YVTMWYPRAEAQYRTALFYGAASLAGAFSGLLAYCISLMDGVGGYGGWQWIFILEGAA TIVGGLVAWFFIHDSPNGVKWLDEDEAAFVTAQLAYDGNSTGNAMQEGQKKSVYIKEA FCDWQIYLSSLIYIGISTSTYGIVFGLPTIIKNLGYTARIAQLLTIPPYATACAITIV VAHFSDKYKKRGVFVIGSLTASAFGFILAIATSNRRDLSGVTYAGCFIACCGFYPAFP GIIAWLANNLAGSYKRAIGMGLQISLGNTGGLIGSNIFRAQDAPAYRLGYGISIGFIC MSGVSATLMVIILDRINKKRAAAVEEMGGEAIVIEEQGEWNLTEMGDRSPLFRYIL VE01_04390 MNNETPSPRLVTTSATSDAPSEATSDTDGPMTEEALPHERGSAD CNVESRPQDYQHRRGGLQPTTSPRSQDDTPRLSSRHSEAPTTRNGFTGDSSTIGLTRE VFDFNDSQHHSLTSALPGGASQPSPDNVGFQESITETAELPPAVVVEALLDTYFDAVH WFMFILHESSFREQARRFMEKASSDRTAEDAEFTTLLMMVLAYGAQYAAKNPNWKFRA LIEKHSINLHTLTQSMIQHVRSRLFDSLERCQVEVVQICVLLGTFYIYHGKPNLSWPI LGLAVKCSYALAMHREVDWRGSNIALQTRKRAWAHTFISDTFAAAIYGRPSTIDRAFC DISFPDECDDSQIPMPLRRCVERLNDGKPISRHTFHTEKYRLYDMKAQIVNKIYTLRG RRGVSTAKGIVKLVNTIRGLDKLLRNWYDSLPTFLKYAEWKDMQGDPFDGLQGSEAAI PASQETLKRHMILQVITLQVSYDNILILLHRPLLEYKMSSHKEGSSPEVASDDPFAYS FNTCMDAAMRISRTPAHKFEYDMPFALMCMHMFTAGVILCIPATMSPFSYLAHNAKAG VVRIIQMQKSLSSHTPIAVQSHTILEELMKVAIKRELDMMLQPTEHQQSRNNYEESMG FNSRHMFATGESTPILSPSGGRLLGDVTSRNGQLYTNQTLPYGQRPSGESRLTPGAMG ENNSSLELEAGPPETATYSVPGSTNTNDLPLLHPTYEGSTSAISCDGVDYSQNYSDLG AELDDGFNNAFGALEKVMYDFAPLERLDPAWMHSMATSDLQHPTEHRPQDGLSGPADA SSAGLPAAAELREASEESRASQLAFHGGAASARNIGATSLHNFTEPGPPWLWGLNRIT VE01_04391 MASFMKAPPLSLTPDPPFPASTTGCWRLGGELYHEFGRYERVLT DLLRARAQITPKVQEKLADIEAKGGPGTEAGRKAQLENASLFVKNYRVNDKLAEYGRL RDRLRALSMHMRTKGMVRRGGNDLNTFPLEL VE01_04392 MAFIFSVHCCQELHQFSPALLSEISQLQRVFPRSLFLQGQRALV FYRMKDFHTANTLFSSMLISSPFHLDFLPHHSNIIVRLALQLDRNFAAAWTLLGHEYY KVENTHAAISAYRRAVKGDNKDYRAFVGLGVVYERLERPTLSLHYYRQALALRPDDGE LWHMMASCLGSMGRVMQGIEAMKRAIACSGDGRGNNQDDELGARCKRIELFFQLANAY AEVQERGEATKYLEICVDEADEVEGSGDVGAPLSTATVSVINRSRLLLARWIAADGDD TRARYLARQVDQGSEFAEEARDLLRSFVVTEEEDDGE VE01_04393 MSYSNSGGGTLTLPSPTHVRHVDVTSARTPAQSPKTPLSPSPLS PGSPSGLGQTHHNFFGTPTHAPSPLAKPFPPSVKLSLRSANRAKNSPTTSRVRTSPRS PLKRVSTSESNNRLNSQFPLGVTLREEYEMKKCENTRPTEPKNMAAQNREKNTLNPEM SAPINQALARLEGTNEGTTTPTSTSSPLKRSDAIMNLDQASLGSPVAKRRSLHGSGTF GSDFNVFDHGPTSSPKFDIHDDTNVPEFEFSAQSVTGSTSFSSMPKRSSSLRKSTLQQ RQEKSASWGKRQAVYELSSLSNEYSTPAPPKNRPRLSLDQFMPPMSRDSPFSSQGALP NPSMHMFNLQPTQKQHPLSRTLTTSSSSSSMPDDSPTHIPANFGENPRPKLDFSKSLP VGALRPIALQTIAREEFGSNTFSTPENYKSAKPNPLAFMSTGLISKVNHHPEIMPRGN GKSNMPDTPCKKPLNGFNTMPAPSVAGSAIAKARHIRHSFGAPSTPFNVNGIQGVFGM NSNVFGSSFGNGGLVRRGSFLSIDGDEDNQNDSQSASDYELPPTPTKQALTSNNTNRG SPHGLRSLQLSAFGNEMSKVSSRPRTSSKLNLLTTFCDPEDNGEDFLDPDSPSLPVYN FSPSPSLSMPYLGRSQVSKGPKLEFPESFPTNSLASPFVSPVSKPDNAKFSHVAPASP LDRIDFIEKRAPQTPSEDALPHDAGRFSISARKARQLARQGSATSGTMPPPATPTTGK DLQTNGGVTPVNGSAPVEVDEVLTSLFETVEKIGGGEFSDVYRVTQRQVTQAKEQSFY LGTSDSPLEGGSPPSPVPDRAFAVKKSRAPYTGNKDRQRKLQEVNVLKALGRSDHIIQ LFDSWETNDHLYIQTEFCEEGTLQLFLRNVGMKGRLDDFRIWKILLELGQGLRYIHDA GYLHLDLKPANIFITFEGVIKIGDFGMATSYPAQQGIEGEGDREYIGPEILLGQYDKP SDVFAFGLIMLEIGGNVLLPDNGPTWQRLRSGDMSDVPSLTWSSASAVSRDATGIPVD DSDTSMDSYLSDDEIERDLKSQRIQGRRRNYNPPKRSTSHDPANLFGSLRRGELNNAP AFMKDQYHRGALDGLVKWMITPRPEERPTIHEVLESEGARWVEARRRAGATVFEGNWG PGDDVLADDAEMIDV VE01_04394 MVRKLKHHESKLLRKVDFTTYASDNNHRDAAVLRRYAIQNPSDY QKYNRICGSLRQLAHKLAALPPDDPVRQKHEALLLNKLHDMGILATTSKLSAVENSVT VSAFARRRLPVVMTRLRMAETVQAATKIVEQGHVRVGTEAVTDPAYLVGKSMEDFVTW VDGSKIRRNILKYREKLDDFDLL VE01_04395 MASGRRHKERNVNLDDDAVMLPLRLQCFKPVVAARQLGLCARAA FSTGTRSFAGTLPISLTLTIKESQTTNPPPPPDSSSSFTSPTGASQPAPRANFNPRPR TLSPGNERASQNLLSLIDRTAQRKERQRTQRTAAHAGGSSAEDLARHKMATKVTKQIS RRWKTGDVYAPHDLSEVEMKKWKQRGKPAVDVFDVLELDPLVEYRNFAMLSEYMTPMG RIMHSNDTGLRSKNQRRIAKAIRRAVGMGFMPSVHRHPEILMKESTRRNEPLSRETKA VE01_04396 MEFDTMIVGPSILKEVFGPPPPMPMVVKGLRLLTDTKWPKTKSR RPRVYIRTLCAATVSEYDSDEQWIFENRTTVQVSSLEDQ VE01_04397 MTFPKRPKKRSKSSSKKSQNKTPEQPPQQSFRSSSQVLCPKRDK RRSGEKSCRIKAHPTVPGGRYCDRHQKLCRLCEGSPRHKITEKCPSCMIRWKKEELEK KTEKT VE01_04398 MRSHLTRTVFRRLLRNEGFNFRCPHRPALQSLRCGAVSALQPST RRYASVFGFSPKPERGIKDPDMDPGFSTLLDLGLMNTLRARSPPAEDLAKAFRQFVAH KAGLKEPINTLQASYVLRTFQHLRDTNSAEPEFGLKTFDLKRARDAMKILPAKPSSEH VDFAREVFEELSRRVGQENPGALLVEARDFVKVLTATGNTLEARDYLVQYLAEGKELN DHGSKQIKTMWLYVMDGFSAEGKEAELAETFNMASASGLQYDAAVQDIMTSFYASRNN VTKAKEWFTKRIAAKANEEIAYPRASTLLSILHFALRNNELEWLNPIFRSIVQSNPDK ANWDVILLWAAEGLEKGPEEVERMMDVMAKHNDESYKQPDMDTFNKLVESAISRKNHY LAERYVALAERRGIRPNAKTYIMQMDYRIDAQDLSGAQGAYDALRAEDLSERQDIPVA NKYIRALCSTSSPNYDKIMSVVTDLEERNARLEADTLSSLVILHLKRGDMTEVVNALQ RNVFHHDLEDRDRTRDAIFDYCLDRSNSTAQVWDAYTVLRSVYPETGRDLRTRLMTEF FDRRRSDMACHAFGHMRQHDLPEIRPNIDTYVACFLGIGKCADDESLDMVHNMMKMDS TVEPSTKLYNSLMAAFTAVGDADRALDFWIDVTNSREGPSYASLEMVFRACEKNDFGE KPAKEIWGTMKRMEIEITPEVFNAYVGALAGQGLVEEVKKLIEGMEEDVDFGPDVNTL GIFYNVVPGQTKKDAVETWAQITYPEVWAELEKIGKVPDADDDYEVFNIVRELKA VE01_04399 MAHHHESEQENEPLLPSTRQRKPSISHLPNRLPTLHDHRLIIAL LTGVMFLINLGAYMMTMPQSRIYEDIICHHYYDNLKGTSHLSLDTPIDESLCKVGPVQ AELATVFGGLFVATSIPSLLFSMPYGILADRIGRKPVFILSVIGIELGELLNLAICWW WRVFPLRLVWAVPLLQVIGGGAAVTSAMLFATVADVAPAESRAQTFLIIMSGSLGAQI LGPILSGRLMSWSPYPPMLLGIVIIAVGGVCFLFVPESLHARPTKPISTSAPVSPLTT SPPHQPSSLLTSIKDHLRTTIASTSSMFNSTPAILLLSTFFLVVLAVQMVQLALRDVS TRFHWSLAQAGYLMSVRAAIDMSVILAILPIASHLLTRPRGPALSTRAKDYLLALLSA GAMVTGCVLLALSPPLALVLTALAIFSLGSGFMGLCRSLLTELVPASQVASLYAAVGV VEILGSIVGGPVLAKLYGIGLEWGGLWRGGPFAVVAVVGLYCVGALLGARRVEGRRGK DADAMGVDGDGGLEALL VE01_04400 MASSGSSSGPSLSSSAASSSSLSSSPISNSPSNSPSEPSSEPSS TPPTALTTPAPFDPSSTAAISPNPPTDLSPSPPLTRANAHLFDALESHTSQQLLLTAV QVLCSDAAVLTRTSPARGEQYLAIATELVTAFFAAEGEEIGEPHEAANEILKIARKEM DRVVVEMRETDEDRKAEEEERKAEEERKEEERKIEKQRKAEEKRMYEVVMREFNEEKI MEEEKKKEEEDTRTEEEKKMALREARLAYVTDTQKRRMAKKKAEKEKRGREEDRKMRL VEGWVGE VE01_04401 METNTGLRRKDTTKGAPLRVLSLDGGGVRGYSVFIILQELMHRT FVEIEGRAPKRSEIPKPCDHFDLIVGTGTGGLIAIMLGRLRLDLETCKEVYVRMTRKV FETDKTIAGIPYRSTLFKASKLEEAIRECVREHTVFQSEGNDGEAFEDVQSPVGYPLG RGDSGRSEGVKRHHSNASIMSFSARGPRSTYGDRKWSTSARYGDANALLYDMRENRTK TAVTAVYQNTPKGAPPALLRSYDSRKEPAPEFNCTIWQAGRATSATGLAFKPIQIGQS VFIDEVHGRFNPAPIALDEVTLNEYPGRPVGTFISIGTGKRPSNTDANSHLWYDDFLG DFAEARRRLISKIEGCETTHLSMPALLAARNIEIDSYIRLNVEVGVGDFGMNEWGRLA DISTSTRRYLARPDVQAMSLNAATRLARIFLTRLRGRDPAPPPPLVPPPRDPRRMTLQ NVPETPYPSFAAELPAEVPPGSAVPAARPSSRRSYDLGAESLGVPSPIRGSPRTSDDG RPVSALSTTPTSATAGGGGWGGGGDRLTSHAPTPAQYWTAGGRDKIAIVEGDEEQGNG GMEPPPLPPKTPIMGVREHRRVPSSVSTLGPPYPLDDGPPPVVNMARKPEWNGR VE01_04402 MAGFLIPSWYKEAVPTLDDLLIASIIWGFTLASGLFAGAKAFQQ TTTLWKRSRRIQPYAVMVWAEWTSSTVIGVLSWLFIRGIVRASFWVYFAFLCLWVIQI QCICGIIVNRIALLMVDRRMAAKIRWSVAIILGLINISVFCIWIPARLQISTTFVYVN EIWDRIEKVLFLFVDAALNFYFIYLVRTKLIACGLTKYMPLFKFNMFMVGVSMSLDII LIGSMSIPNGIIYVQFHPLVYMLKLHIEMNIADLIVKVVRASNDHPSYADGTELRSRQ NREETAKEQAKSNNRQQPTDPFPINRNYIETSPEGAQKRYSGHGITKMVQTKVISRRR DEENDEDKSSQSSTRKLKNDHQQDQF VE01_04403 MGLHTKLAEGIDEVDVIIAGGGTASCIIAARLAEADPNLSILVI EGGANNYNVASVIYPALFLQNLAPNSTTAIFYKGNKAKQLADREPIVPSGGILGGGSS INFMMYTRAQRSDFDSWKTAGWSADELLPFMKKLETYHGPGEKEHHGFDGPIHVSNST YRASRPETDFIDAAQKVGYPEIKDLQNLDANNGVERWLRYVSPDGKRQDTAHTYLHPK LQDSNAYPNLHVLVEAKVVRAVVDDSGRAVGVEYTPNPDFQAAGISLTKHPKQTVKAR KLVVISCGACGTPAVLERSGVGNAEVLERAGVPVVVDLPGVGNDYQDHHLVLYPYRTN LAADETIDGILSGRADAAELIAKNDKIMGWNSIDISSKVRPTDDEVSALGPEFQAAWD RDFKNAPNKPLMLMGLVSCFLGDPSSVPAGQYVTIGNYTAYPYSRGHMHITGSDHSDR LDFDVGFFTDPHDIDLKKQIWAYKKQREIMRRTKMYRGELALGHPRFPAGSNAACVET NGPLNDVCDLKYSAEDDKAIEEWLRENVNTTWHSLGTAKMAPLEEMGVVKPNLDVHGV KGLKVADMSIAPENVGANTNNTALVIGEKAADIIMQELGLNQR VE01_04404 MIIGASCSMKSEDHALARSATEFSTILAWYLRWEVFSSMHIQTN NLWVFQTLLLLETYEKTCSSRALHERAHIHHATAITLMRRGSFLVGSSPLDTPPNQEE NDPENQTSRSSSDLWWEKWIEREATRRVAFAAFMIDSTHAAMFGHSMIMVTHEMRLVL PCDDALWSATSVDEVRKVETMLRLNGVKPLPFVEGLQRTLNDQEIHTNAFGRSILLCG LLSVSWHMNQREMQISSLDVKSNGADRGTKWRRSITRAFDLWRGTYEHTTQSISNDMS KWTQDADALLGSRSALFHLAQLSVHANILECQITAGANRVLGRIMRKQEVETARRRIQ NEWAPTMEARKATFYAIRFLCSVFCKSTESNQVCDHNFITNYATSNTTLPIHRWVLYY AALVVWCYSYVADGPAHVPASLGSTIDDHIQDMQHFLRSTERIKSPEDVAFHRLNGCT GMLKVLCYIFRMGTWELLHEGADLLTNCIKLINSTE VE01_04405 MASKHFHNDPNHLVVAALRSLTKINPSVAVDEKHKIVYLKKPKS NQVSVISGGGSGHEPAFAAYVGHGMLSGAVAGSIFASPSTVQIYQCLMRRVDNTQGVM VIVMNYTGDALHFGMAVEKCRAQGIKIDMLVVGDDVGVGRAKSGRLGRRGIAGTVLVH KIACALAATGASLDAVSNVANLVSSNLVTVGASLAHVHLPGRALARDDFNADDDIELG MGIHNEEGCRRLKTGLPGLVKEMLAQLLDTTDKDRAYVDIKPGEPTVLLINNLGGPVR ILTGTFMSSLNGLGFSVSLLRLVDTGLESQISMLKLLDAPAQATGWSAAVQPSTWVDK VEDRQQPNEEAIGEFQSSNLTCYRAIKALESGLVGLISAEPEITKYDTMVGDGDCGLC LKAGAEAVLAHIKKDRMSSDVAQFVSQIAYIVESSMDGTSGAIYAIFLNSLAYSLSLQ ETPTLSRVTAEVWSNALKGALKSLGKYTPAQPGDRTLVDALQPFVEKMVETQDVVMAV AAARKGCESTRGMEASLGRSVYVGGDDWKNCPDPGAAGLVEFLSGLISGL VE01_04406 MTPSILEPSMSRANGHSQEFPQSAGVKLIPGFYVPTVAFFDPDT EAIDLATTRKHAIRLSQEGVTGLVTHGSNGEAVHLSHNERMQINSATREAMNSVGRSD MPLIVGCGAQSTRETIQLCKEASESGGQFTLVLPPSYYGGLLTKELIIQHFRDVADAS PIPIIIYNFPSACSGLDLNSEAIITLSQHKNIVGVKLTCGNTGKLARVAADASAPFLT LGGSADFTLQTAVVGGHGIIGGLANIAPKACLKVLQLQNAGKAAEAVRMQHVVARGDW VAIKGGFVAVKVGLQAYYGYGGLPRRPCALPEKKDLETMNSELAELMQLENSL VE01_04407 MSEAVDVLLFGLGAIGSFYAYILTKSENVRLTVVARSNYDAVKM NGLTINSEVYGSHTFRPYNVVKTPAEARGTFDYVVCSHKAIDQSSVPAQVAPAVDAKK TTLVVIQNGVGNEEPFRQAFPDVTIITCVTWVGALQTSPGVITHTKSEHTQIGLYPNE KVDNALEQGCLDAFTGFLRAGGTPFDVVEDMQIKRWEKVVWNAAWNSVTTLTLLDTQS WLSSEGGMSLTRQLMTEVIDVARKCGIPLSYDLIDELINKILKMPGIHSSMHADRVAG RQMEVDIILGTPLRKAREFGMKVPIMETIYTLLTELNVYLKQTSSP VE01_04408 MAPSILDMFSLAGRTAMFTGGTRGIGASMAVALAEAGSDIILIQ RDNSNTATKSKIESLGRKATIYTADLASSTEVSALTRKILNDGHDIDILVTCAGIQKR HPAHLFPQNDWDDVLQVNLSTVFTLCRDVGAYMLSRKPNAAGHRGSIINVASLCSFQG GITVPAYAAAKGGVAQLTKALSNEWASKGINVNAIAPGYIATDMTEALQNDKERAESV LSRIPAGRWGNPNDFKGPVIFLASSACAYVSGEIFLVDGGWMGR VE01_04409 MSTEARTIQASCLHGVRDLRTEQRFLEPPLPSELQIAIRSTGIC GSDQHYYNHFANGDILVREPLSLGHESSGIVTSIGSDVPLGKFAVGDRVALEVGKPCE ECGLCKEGRYNICPKMSFRSSAKSFPHFQGTLQEAINAPAKWCHRLPPSVSTEEGALV EPLSVAIHGIRRAALTPGATTLVIGAGAVGLLTAAMLRVTGSSKIVICDIEGRRVNFA TANEFADLGFVVPMRRGSTIEENLEIARETAALAVGAVREGEGFAGFDAVFECTGVEA CMQTAIYASRPGGKVIMIGMGTPVQTLPMSAAALREVDLIGVFRYASTYPYGISVLAG ENKDAGRSLPDISKLITHRFLGLDSIPEAFKMAGRGVDKKGDLVLKVVVNI VE01_04410 MAKITSIEYFRVKPRWLMVKISDDAGQYGWGEGTLEGHDLAVEG ALDEMIVRLIGQEANNIEHIWQMFWRHGFYRGGPVFMSALSGIDIALWDLKGRTLGVP VYELLGGKVRNKVQVYAWIGGDRPADVEIAAKARIAQGLKCVKMNATEDTNWVDSPSV LDATVERLRIVKSLGLDVGLDFHGRLHKPMAKQLAKALEPHRPLFIEEPLLCEHPEAI KQLADSTSIPIAFGERLYTRWDIKRFLEDTSVDILQPDIAHAGGISETRKIAIMAEAY DVAIAPHCPLGPVAFAASLQVALATPNFAILEMSLGMHYNTESGDGIDLLTYLKDQSV FDIADGYVNAPTGVGLGIEIDEEMVRKISKDTKPWQCKEFFGPDGSIREW VE01_04411 MAPIDVLNGNEDVSSIGDSKTSSNQIEDKESGTKGAQVSEERVV LTEQDNKLILRKTDKSILTILVWVYFLQILDKSVLGYASLFGLREDTKLKGTEYSMVG SIAPIAQLCWLPFASAVIVKVPHRILMSILIFGWGLAQTCMAASHNYAGLVTSRFFLG LFEAACLPLFGVITSQWYRRAEQPVRIAAWYGTNGMATIIGSALSFGLGHIPSSTLRS WQIIFIFVGLVTVITAPFVYWKLDNDVESARFLTKHERAQAIERLRANNTGIGSREFK WSQVVEVFLDPKTYLWFVLALLVNVGAAVSNVFGPLILNGLGFDKYITSLLNIPFGAV QLLVILLASYAAHKAKLKGAILAILMLPVVAGLAMLYALPRNSANIAPNLVAYYLLAF LFGGNPLLITWLVGNTAGTTKKTVLMVIYNIGISVGNIIGPLLFKASDAPSYLPGLRV VLGLFCAMVAAILAQWFLLFTMNKGQERKRVANGKPAKITDRSMMDQYTAQDDIDSRN TDAALHDLTDRKNDEFVYIY VE01_04412 MTLVSETVNVNVEQHSYSQVHWLDFSAILWQLSGINGMNYFSPL IFKSLGVTGTDTGLFATGIYGVVKSVSATISMLFLVDRIGRKTLLLSSCGIMSFSLFF VGAYVKITHPDGFTQQINSGAIAAIAFIYIYSIGYASAFGGIPYILLSEAAPLNVRTV SATLGASMQWVMNLVITKATPYMISSIGYGTFFFFGSCVVCGWIYIFIWAPETKGVSL EHMAAAFGHDDVVAITEIAAKAKNEHFALPRM VE01_04413 MAHSLSIDVDWTVPSSSDMAYIQECSAAIRGEKLPNDLSLEATR LCIIRGIRYHPGFATELYSLGDQYPELIRALNARSIMSNIIPNMKEAHEFPYCIWHPN VASESIYRELARRYPQMRYQAGRACAVAGYTSLFHELDLLPEVSIAEEARDNQESGKA IFDSIMASMVKYAVLDDYKLSAELDYPKAGACLNGDTAVRSSLDVKNPFERDGTFSQS LWLWRWNLYFDITEDGHVDNYEKEDRPAPDPHVVQYLYTPLPVDLPNINKDVLILQAA YSGNVDRYARLRRPRMLRGEFQCVMRGIFHNTMFAKWWSLQPEVTHHQHLRQAINARF IMNNDLSRITDDTPYSDLPYNIYYPARPAWKTLEELVRRKPSMAPQVARVCIAADMQG VYDGIKAVPDQFLVREARGSLNRHYLTDLLRRAAELGIDVEEKLSHGETWKIHLWKWT TEETRDWLTDYISWFNRDYTTEMVGDQPGEGIYEGFDCNFGRVNLNVCIHDLTQEKML GTGGTDISRDSVE VE01_04414 MDPVNSNTFQQKTPTGPSAEEYQKTIDFSDKLAAHNDRQEETCK TRRILLQEWLFATDGPFATHCYPHDDTEAAQQQ VE01_04415 MISLKQALITAMAVVAVVATPVAITETAALAKDSIADGPAFQSP NLPNNILARGGTGCSQGTCPDYNAGVDLIYQWTVIPQPGVGGAPPIPLVTMGYHGRWN HCDKCGRVSSSGDGCFSFTGCGVKQDVCIDTKNGRMHRIYKDTGRKKCWAIKYHNLGE CGFVKQTNIWEPTNEIPCNW VE01_04416 MAEEVSPSPLPRPQPESQPRSRSNQSQPRNQPTSNPNHPQADPV FPTMDLFRATIARLEKAKKAGTWVPPMMGAGLAYDLTPAPEFISMGIFIDLNQPQPGE KPQPPKNKEHASTILPVLCMKLAKATELFYHTMPDHEMRDCLPKYAKTWGYLYRFFSR KIHEHVSAVSRATLDRGFQLTCTIVAGAMQNGGFYEMMNQSNEVQRALVINGLFKPRH MWYLFRDQFKAVSFPFIFHNGEKTPISRIRAGKAIIKANYLDVPYHGHARGIVKSLRR LSASPDFKDMVRRQSGGGDKGGGKKGTRAKKRKEKEDGHGIAKRSK VE01_04417 MAAPGFSINDLIGAAIQIKNVYDAFFDKYSNSATQYEDLIHEIT SFAEIVEMNREAFERAGLRYAQYEAIYKTLRRGYDFLEKNKPILSNKKTPEAMWKIAK FSYSQDYVQKLKETIRAHKADLTAQSVLHILDKTTTPNNGLAEKQGEEVWEKNNQRRP TINNQNYPVSPTLGGLQIPNIPTPDNTIINNKYQYVTVPVSPSRSSPNRTPPSRSLEK PGFYHDSQTLSPHRPPSSYGPSPQNSPELSTADTIRSSISPRSSPVPPPSSRLSSIAS PQLSSCPELILPQPALDYVVAEVFFGTSKIPIRRKPAGRPSTSPKSPGRELVIINEEN KERLKHRIKLGSTQRCYPYTWNSGTHPALEVTFTQGSHSLYFKGKPQDLGTTIPRYVF ANIADFTDFQSAVRNKFFLGAFAVNKISSETSRRNGDATYQHLKIWRDKETGQCSLSF YGNSLEKARDLDFPFKYIKRDPEPTKSDKEIVLRFDPLPLSQLRTTSPSIRQGYFSSS SESEFTHSRTNTFSTITTDRTTSTGFFSRRSTAASATTAATSIATITPGSRAPSFGSQ HSDSGHSVTSDRPPPTTMEDLTRKMKFLKIAFPDAGEATRFRTAYKTAIDDTPSLPID FTRVDWRGHPHPNARLSSMSAPAAVKSGYAELEGTRPRINGSTYTVEMEGEGRFPAEM GEGGRFPAEMGGGGEYAVEMSGTNNYPVEMGEAGVYAVELGGGGAYPVEMGGGGEYPV EMGESGYTAGRFSAEMKGTPDLW VE01_04418 MRVWINPTDVGRHHTTNDDKREQICRDIDNNGFDWQVWAVAASG FFTDSYNLFATNVILPCLAFVYWPDDNTGREGRINIITLVGSCLGQLIFGFLADKYGR RKLYGFELIVVIFGTLGVAQASAGYNDSMSILSWMMFWRFFVGVGIGAEYPLSAVITA EFAARQSRARMMAAVFIMQPIGQLMASLVGLIVLLTVGRAGGLHLMQDDDEAAKKIVD SIWRWVIGVGAIPALIAIGFRLTIPESPRYTLDVDQDGARALRDTEQYLRQPGATVAG ADDDDDRRPNGLGLDDDTPGLRPPPRAHYDDDSDAASAVSDGSMDIIDVSGAPVRHSA HATAPHDDDDDYDAGSTSTTTPTHPDPFSYAELKRYFWTEGNYRTLAGTALTWFLLDL AFYGLGINNPRTIARLWSSKRVEVVEALIPSWANPADAGMGIYETLRLDGVRAIITDA AGSLVGSALLIKAINYVPRRSWLVWSFAGMGVLFAVIGGSYFRAVDTDLHALTITLYV LVQLLFNLGPNTLTFILPAELFPTRYRATCHGISASLGKLGSIVVVAFLPSLHINDPH SRHLGYLLIAFAPLMGIGALVAWAWIPEVQGPRGSAWKGGKEKGRWVVPSLSLEELAV GLGGRGELRERLGWRRAGVSMNMQMPGGEEGGVNGRV VE01_04419 MALSPLIVGAPGADQGRSPPGARSKLFAATKAQNGSGNASPIPE AAPDLAEQLNMEEKAKYIKGKKLGEGTYANVYLGTLRSDPTHFVAIKKIKIQAEYTEG LAPDAVRELKHLQELSHPNIITLHSVFSSRDQNLNLVLEFLPLGDLEMLIKDVEGVRY GAADIKAWMGMLSRAVWFCHANAVLHRDIKPNNLLIAADGMVKLADFGLARAFADPYK QMTSNVITRWYRPPELLFGARHYSGAVDIWAVGLVFAELLIRTPYLAGDTEVHQISLI CQAIGTPTEEVWPGVSKLPEYTVPEPHNPVRGRETYLGTFGTAGYEGVDLLMAMLVLD PRARITAQAVLEHPWWSCEPRPTRKEDLPRKGGGAEAVGKDMGRGAGVVGSEERPAVA RKLDFGAMR VE01_04420 MFARPAHRATTSLLTTTTKPSLTRALHARIPQQPIPEPTPFVPD APTFLSLIGRNLSQHASKIPTWESLFRLTSPQLRDLGVEPARARRYLLAWRERFRRGE YGPGGDAKVVEDGIARLRVVEVEGGPAVGTATRTAGMKRVAVNGGEEIKGVRVRGAQR VVGSHVLPVKGGAQIKAAEGLWEIRRGRKIDGGERRRAEVRSKRRAAERKDARK VE01_04603 MAETRRIGDRNNLLITYMRLNHPRLCLIIEDICYPSAVLLISNR LGNPTLDISTAETRRLGVSHYLFIIPYDDTFLTARRLYDIELVSQPSVSSLSDRSWPD WGKEPFFQLPDATGVVPREWYAPEFQIVYSNGWINAIPVRPLGYDWTMLPVQPSQYDR DSLVSEDSEPLPINGLGSLKDPQKYKWLRAHHRSMSIERACKAWEYELRNGDPNSDPI DADTKSLFGSLERLETSFVENQPGIDAPQESFVKQPPKRYKISEMPQDDLQSKTSEKA DKEELPFWLGPRLGIRLPPGMVNLAAPHIPHYLVRTLEDPLRFLPSKLRFKRNFPFKN VIPKKGQIGLHPAFRTAAPEIILQAERDLELAKERQFQTPEEAWRSPIIGEFDGDTGR EPLWEYPRTTASDGGSLTSELDALDQFMWGEYPFTSVSQRGSLTDDELQGLDQLIPET HSRSADEGSFISVFFDQAEALADVEEVTMLSDGTEAFPAVDEAIMFSNEFMESPDTGP ITMFPGRDIELDQGPISSDHVMQSPMVGPVSMESPIGNQAATVEHEGSESSIEGQESG YYFGQGMEYITGEISLPADPNAAPSYPPPSHKNTYPESPSLSKRHLRKGTESPIEDED SVFSVLEMAYRKPNCAPIVSDQDTEHQIEGQDQEETSSGESIESSFVLHEDLPIIRWL YGYWDEDSEPEGQPSSLKQVDKDRDRFKRNSADFARLPPIDFTKPYNDFCKTQFGSLL TGGGLQDYKLADEKKVEKRTEVADDPLETTEVYWPQEHEAHMRELFAEIELEGATRIL TTWQEVYVERGEEGETKEEGAQEKQTETALEDSKENKTQELAVLLEQKYSQVKSWFGG FTTREGNKKERRKSQAAEPEPKGKLMGWIGGLTSAREKPTLESFGDSSGSVPPGPADQ LVRKNSKRVSWLGGSPAKKQKQTEEVFGDSQEKIETELIHPLERKGSKRRSWFAGHST KKQEQTEEVLGDSQEKTDPGVAQPLERKRSKRASWFGGHPAEKEVRTSEDSYEMLIQE EVHPLERKGSKRRSWLPGHIAEKEEQTKPDSEDSKLTPASVHPLERKGSKRRSWLPGH SAEMELQTSEDSHGKTAQGNVQPLERKGSKQRSWLPRHPPEREVQTSDDSHGKIIQEN VQPLERKASKRRSWFLGHNIEKEDQTQRRSEDSYGKSTQASANPLERKASKRRSWFPG HPSRKEGQIKGDSGESCGMSTQASLNLLERKASKRRSWFPRSSTEKEVRSSEDSHGKS TQGSGNPLERKASKRRSWFGMVPPENEEPTEDALEGNDENLPQGPVTPLERKRSKRRS WFGITPAKKTEQTQEDFDGSKEEITQGTAEPSEQILAKRASWLGGLNTQKEKQTKKVP DDSQDKITQGPADHPERKHRKRASLFGLPSEKEKTEQENQEERKRKRSTWFV VE01_04604 MTIFQQRRSAAKPESTEPPPSSKPRANHRMDDYLFDDINWPEDD ELESCEIENRGLSISSNRGSELDLCRNASRWVAQGSSDHSSTPSATSGDNCGEEENAE QCPSGRIGSGGCRFSKEARSILRTWYHEHRENPYPTSEEKDELVTMSGLKRSQISLWL ANTRRKNRARANQKQAAGAPTKQRSFGEMNPLDRWKVTPIELEAVSPPVILAVCEDNQ LKLFDPIDEEFDYYPSALFGPEQASSKPNNYDAARSITTFSHNDSYFAQSMASYETGL TSTLGGSSLSDQTSFSYSSLKLPYSHISAGDRRRRRVNPSKPPKPTAKAKARPFQCTF CPDSTFATKHDWQRHEKSQHLSLETWVCCLSGGIIDSAISPLCAFCDLPNPTKQHLET HNFSACQMKEPSERTFYRKDHFQQHLRLTHECKINARMEAWKSEVGNVRSRCGFCSAT FTTWSGRAEHLASHFKCRATMKDWVGDWGFEPHVAALVENAPSAALLLEPLGSFHSTP KISAFDASHMPPPPLFTDMPVVDMSANNYQFGATGSYAAPLIDFNTNVHLANTAMTFP DTGMMGYDATDAVDWAQFAP VE01_04605 MASPIPSLYEAPKPGKATLHSTFNVDYVIDYRFATTDQAEAEAA FSKLVDALARVGLDTEVRNGDNCAVLVFVRISSPRHLDAEIYRARVQDWLYGVRTAAP EKDIQKAISEEPITEAERLRLVYLLITKPNSEGGAGITPKRGEWANVESVFALHDHQF NKKWITEWSTKYVLDIKDLTVVRDRFGEKIAFYFAFLQSYFMFLVFPAAFGFTSWVVL GHYSPIYAVVNCLWCVGFVEYWRKQEVDLAFQWNVTGIGKIQLKRPEFRHEREFTDPV TGEKMRTYSPFKRLARQALQVPFAIVAAVVLGSLICTCFSIEIFISEIYAGPFKSYLV FLPTVILTVFMPALSSMLTGFASRLTDIENYETTDAYESAMIQKIFVLNFITSYLPII LTAFVYVPFASVLVPYLDVFQLTVKPFAENAKQMTAPKSGFEINPDRLKKQVIYFTVT AQIVNLALEVIVPYVKRRVFRKVKEVQANSTRKSERKQDHTVSDLPEEHDFLTRVRNE AELGVYDVATDFREMIVQFGYLSLFSVVWPLTAVSFLINNWIELRSDALKITIETQRP IPWRADSIGPWIDSLGFLAWFGSLTTAALVYLFSGDGMGTDGSPRQLAGWALLLTMFF AEHIFLAVQFAVRYGLSKIDSPGQQKLRRERFSIRRKYLEEALGKADADRFVGESFTA GEKIDRRTLEDEARRSTLTGQGTAEDRFWARQRGPAETIGVGRRLIAEGAALKVDKKN H VE01_04606 MTLPWSFRKSKWDPPSLLESFLQSPLAVLLGFLHALLISLRGAP FHPPKNRPPIRVVCISDTHSHQLSNIPAGDLLLHSGDLTNDGTIKSLQESLDWLNTLP HKYKVVIAGNHDSYFDPSARKEEDINSKTRLDWGSIIYLHNRSTHLRFKGGRSLNIYG SPDIPKCGGKEHAFQYDPTTPPWHTRIPLSTDILITHTPPHTHLDLLLGCPSLLSEIW RVKPRLHVFGHIHSGHGQESVFWDGGQAAYERIVARRGGVWGDLVPSRAWVDAWEVVW YGVKGVLWQWLMVGRGAGGGGLLVNAALTYQSTSEIRNAPQVVVL VE01_04607 MKLPPAYTTDSPSDESVHVRTSGFLVYESSASSTDSEFLLYGSS DLPRDCEVQVLDNQFALRLASASRIRTSSRCYNPLIPPSSQQVHVLALFSTPTFLFEM PRRSRSVSLSAPKCLPYEAQHILLNTVQRLLEESCFDFAKTWFASDPRVREWTTPAQV EISKWRDLLGAARIPADALDGHVQTPLRVLLQEATQLRNNAVHRSQLHVQDLLKLLIS SIQLTRMLRDQTRRTKIEDIRSRLIDELNVRDGNRTRATVQYRKSRDDIDRRKEPVFR KLDELYDEEDHAITVFDKDSALYDNMLQSSMIKFINQVIHTGEEVARIAPAKDSAQQS RPTAKNSNPATDGKSERTQRREDEDFQFISKSDFEEATLGSRKMEKKWTRDVRSGSKE QKVVFKEDHAGDLLSSIHPTANFVGVQSTPAVQLTPVIQSTRGIQSTPRSQLTLGIRS TPINHKGDGSSWGGIERTVGSSLHGATPRALSVANGHCATELDIDSPSRQLELEANMA NSICELSIDRHDILEILDAELDNQLLRTMDEVRMSVDSVLDQMNNDVKPSVEEPVPNS MAPGNSDDENDIQSCRTWTEPCVLGRRAPSSELKMTDRSFAPSPDVEMSGVNTANFAA ANVITTDSANFRKEEIPQTPVGLPVVEFGKPWKPASNGSVPIIRAEKSQQGIPQTELG FPSRYSVSTITTKKEVNLNNIHLWRKRKEVSPLSQKSSASCNSMIFCRPRALPALGDH SFSTGAASNTTGTQTTGSAGRLPVMTSFGDVAIFGKDMGFNPTPSGFFPGVGGMNTSQ ANKPQETVGYKNASLDLFTQEIPDTNVYTSRQAATWERRRILVGCLSFTPSKAEVEKL FLGYNVTRIDFPEVWIMEEKNKPAGCVFVDLVTSAQAKLAIQKWRKEEPKICNCKVSI MLATDPWKAPNAPRKIVSASARTKKKIAHPSVVAMVVKKSQPIGKRTRSYYAELRKGL QDEQSSKRQKLAAEAVMDTTGLGKN VE01_04608 MAPTQPNAFLSTQKSDSGLEVALHPLVLLTISDYITRHTLRNQT GPIIGALLGQQNGREVTVEHAFECKVQGGSIDPEWFTTRLQQMKDVHKNPPLDFVGWY TLIASSGPTDYHLAIQETLSNLNGPETPCILLGFLPSESLSKSSNEALPITIYESIAK PADTRAAGQDVEMEQSDSSPKTVFAELPYVIETGEAERIAIDFVARGGGNATAVSSST QKQTNASEESNKGKKRASDDTAKDIDVNDILSNEETELITALTAKANAVRMLQSRIKI IQKYLELQPPTDATGQPSAPAQTSHLEPSPEILRSIAALISRLPLVVPSSSKDTETDT SKFDHDLLASRNDVNLVSLLDTLTQSIQGTRELGRKFGVVDAARNRTKFQEKVDRQLS MQMPDKVYSQGMMGMMQAGVPMRMDEEYYDA VE01_04609 MPPFKDEHILIIAPGSLTTLAQLGLPESFTPASTKVRTRMFPAG EGKWEPYKINEVKKASPPAANGGEVTMGGTDEEEKKEDNEAEPEFEEDLESDEGAVYP LTEGRITNMPAFLALMNHIHSVLSPTLHTPILLIAQPAWTAQDIEALTQFFFEKFKTP AFCIMDSALAISYAYGVQNGTVIDVGFQKTDITTIHDFSVQHVGRAISVPGTGGDAMT ERLLELLGPKGWTRDMCEQLKKSPFCEILPAGAPLPGADGAEDQGANGNGAAAGEAAA SSTDAAHADVDEDDVEKGIDEEGVLDVASIVASGKTQEFLAQQERKKQMAASRKAAKD ARDAAEVAGAKPIRLPNSKREKVIFHYQERKAQGEIDEALENGNKAAAADVEMTEAVK EKTPEVAAAEPATKEAEPAAPAPEASGEAKPAESAPAPEATGEAKPAEAEPAPAADGE TKPAEAAPAAEAEGEAKPAEAALATEANGEAKPAEAAPTAPDASVTVEDASAKREQVR AAKREEKRKAREGAGDPMQWRREIEVGTERFQAASNGLLDRIADAVHRTVLAGTEPSK RGDLWDSLIIVGNGSRVRGFKDALLATLMKKYLISPSSASIFTSELPSNLSTPMGTGA QTPQRDYPAGQHALPTGSGVNPLLLAATTASNPTLNPNVSVANSFGGGGSHSHSSHGQ TPTSMKIAKVPEYFPEFKNEGFEECVFLGAQVAAKVIFVQDQGVSNGFMSRVQYNENG PSGIHDVGLTF VE01_04610 MSALRILVPVKRVIDFAVKPRVNKTQTAVETTGVKHSMNPFDEL SIEESVRIREKKSAPGGVEDILAFSAGPTKASDILRTAMAMGADRSFHVEVKEGDDLE PLTVAKLLAKVVKDENRNLVFLGKQSIDDDSNQTGQMLAGLLGWSQATQASKVEFEAD DFVKVTREVDGGAETVRAKLPLIITTDLRLNEPRYASLPNIMKAKKKPLEKKKLEDYG LDTTRRLKTLKVVEPPTRQGGGKVEDVDGMISKLKELGAL VE01_04611 MAHLTNPLVTPEQLVSSTRINDALPRSAQDLIRFSTARLTQAAG ILLRLPQSVSAQAVVVLYRYWAIEELTRDEFKDISAAVVYLTAKVSAHPRSLRSIANV YTYLYSSSSALASVQSPDSKSPPDPATYYLSPSSYTSFTNRILLLEGHVLNALGFGVH VALPHPLAITYLQTMDIFSAAYSKSTGPKLARRAIALLNTALLSPQMLYLTHQPNALA VAAIYLAAREEGVNLPSVEWWEVFDVEREELGFLVVAFLSLQGWCAEMGKEAIGAIRK VDIAKLAGREVDEEAEMAMMLDEKPV VE01_04612 MKAAVAATALFGAPALAGLGKPTLFKDGLSPHVNAEFMQYMPST PSTSGPWSWGWIPKRCADEANRGDVKFSWYDFEVFNVQYADCEEAWIFCRHTKAELSQ SQLIDYFGRLPVHERQSVSYVMAVPGGGSAYEIGGMVVFQGNTASVSVFQHEVGHAVD AYNNADGSSSSTKEFLDAIDGDTCVPDDYANSSNVEDYTQVGVLLMYNTVVPGGLDKI GADYSCLSKQLAVVAARQQAAMELGGTCTWRQTYGEVISMGPASGNNKRSVGPKPVMN LVPGHPLAVEKDINRGGEVTVYNNIISNEAEDAKAAQKQAAWNAVARHRAVRSTI VE01_04613 MKTLCLHGQGTSASIFESQTKSFRAKLDSSFEFDFVDAPFPSPA TAGFESLFKPPNYTFWTKPTVDSFREAHAWLRNYLAENGPYDAVCCFSQGCALIATFI LYHAAETPNEPLPFKAAIFICGGVPLPALEDLGLSVSKKAYEIHEKTSRQLQSKTAKY AKLADSPDQIQIGLGLWDYDREELSHDHDKFPDANDVYGLDFTAFRKDIRIKIPTVNI YGAKDPRYPASMQLSHFCDNTRRYDHGGGHEVPRTTVVSDEIAGLVRWCADQI VE01_04614 MALIKFLVTALAATLMFTSSVLANPIPALTDATTTPDTTANHLE KRTCNEFGCGLKCKSSIPCNIPINEPRDCSVCNYFTYAADGTAKLLWDLIFDSPGEGV AQAAVLGHGNGVDACVQIWFAGT VE01_04615 MATAKLAESQWIAQLSTMRAALADLKLPTSNQPYSLDYEELSDS LNSGDDVWDFIDDTEADEYSSGFEEDALPPAVEDGVYGPEWIQAKCSAFAASKGGLSA ETLQEQIVSLLVSDISDEELQSALTDVIGYDDFDFLIELISHRKEIAAAPRVADQTDG LLSGRLLTRKERDAQLRRNDYEHKHATLGPKLDRDGPQYPHVYKAHSAGNTLSSSGHK YGLPMGSERTEHERYEEFSIPAGKVGTLGLGRKLVQISEMDQLCKGTFKGYKTLNRMQ SLVYPVAYKTNENMLICAPTGAGKTDAAMLTILHAIGQNTTPNPAESPDAQDFTVATA DFKIVYVAPMKALAAEITQKLGSRLAWLGIQVREFTGDMHLTKQEIVHTQIIVTTPEK WDVVTRKGSGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTARQVESTQSLIRMV GLSATLPNYVDVADFLKVNRYAGLFYFDASFRPVPLEQHFIGVKGKAGTKKSRDNIDE TCFEKVKDMLELGHQVMVFVHTRKDTFNTSKMLFEKATDDGCADLFDPREHPQYEAAT REMKTSKGRELRELLPKGMGIHHAGMQRSDRNLTERLFSQGVMKVLCCTATLAWGVNL PAAAVVIKGTQIYSAQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGMICTSHDKLHHY LSAVTQQQPIESRFSAKMVDNLNAEIALGTVTSVPEAVQWLGYSYLFQRMKIAPMTYG IDWAEIRDDPTLVQRRRQLIINAARTLHQSQMIIFNEVTEELKSKDVGRIASEFYLLH TSVQIFNTMMAPLASEADVLKMISMCGEFDNIQSRDSESDELVRLRDEFAPCDVGGGI DSPQTKTNILLQSHVSRARIEDFALSSDTFYIAQQAARVCKALFKIALNRKWGYQCLV ILSLCKSIDKRIWPFQHPLHQFELPLPVLRQLDDKGASTSIEALRDMESAEIGSLVHN HGAGSKIARILENFPTISVECEIAPLNRDVLRIHLTLTPDFRWNDRHNGSSEPYYIWV ENSETSEIYHNEFFILNRRKMHEEHYLDFTIPLQDPLPSQIYVRAVSDRWLGVETVTP VSFQHLIRPETESKYTDLLSLQPLPVTALKNPMLEEIYSKRFQFFNPMQTQLFHCLYH TSTNVLLGSPTGSGKTVACELAVWQSLRDNPGSKVVYIAPMKALVRERVKDWHPRLTG PLGLKLVELTGDNTPDTRTIRDADLIITTPEKWDGISRSWQTRGYVRQVSLVIIDEIH LLGGDRGPILEIIVSRMNYIASQSKSTVRLMGMSTACANAMDLGNWLGVKEGLFNFRH SVRPVPLEIFIDGFPEVRGFCPLMQTMNRPTFLAIKTHSPDKPVIVFVASRRQTRLTA KDLINFCGMEDNPRRFLKMSEDDLQLNLARVKDDTLKESLSFGIGLHHAGLVESDRSL SEELFANNKIQILIATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYKDMDLTDVLQMLG RAGRPQFDTTGIARIFTQDSKKDFYKHFLHTGFPVESTLHKVLDNHLGAEVSAGTIAT VQDALDYLTWTFFFRRLHKNPSYYGLELSAEDHNTTTAQQMANDYMIQMVDSSLAELA ESQCLELYPNGNVDPTPLGKIMSYYYLSHHTIRHLVKHAKRGATFEDVLFWMSSATEY DELPVRHNEDLINGELAKNLPIDAKSFGNLPLWDPHVKSFLLLQSHMSRIELPLSDYI GDSNSVLDQSIRIMQASIDTLVEMGYLSSTLQMMTLLQCIKSARWPTDNPLSILPGVD IGGIPPKSQKSKALPSSLMELSRLPPAGIESLSQMVADNHATRSAFIKAASILPNVDV KVSDITALGLTVVLSRQNPIVNRDGRVYTPLFPKPQTEGWFVVLADSGADEVLAVKRV GWSVGRNANGKNTVSVGSRPVARASMKLPGGDLRARKIDVFVVSDAYLGMTYEKRGIE IPGPPTVVDDVKKEKS VE01_04616 MKLFYIGIFKNAEKPAHELVSAKDTSSFSFWERSTVSEFMTFFS KTVAESKDAAPGKRQEIYEGPYKFHSLGHNTGIVGIIMSDHEYPLLVAQSLLNKVLDE FTAKYPRSTWSNSNPTLEFPDLTSYLAKYQDPQQADNIMKIQKELDETKVILHKTIES VLERGEKIDDLVAKSQGLSDTSRMFYTKAKQQNSCCVLM VE01_04617 MALTGEEVAKHNSKDSLWVIIHGKAYDVTEFAPEHPGGMGIILK YAGKDATETYEPIHPPDTLDKYLDKSKHLGPVDMATVAEVAQEIDPDEVARQDRIAHM PSLEQCYNMMDFESVASRVMKKTAWAYYSSGADDEITMRENHSAFHKIWFRPRILVDV EKVDFSTTMLGTKVDIPFYVTATALGKLGHPEGEVIFTKAAKKHNVIQMIPTLASCSF DQIVDAAEGDQVQWLQLYVNKDRAITKKIIQHAEARGCKGLFITVDAPQLGRREKDMR SKFTEQGSNVQSSSGAVTDNSQGAARAISSFIDPSLSWKDIPWFQSVTKMPIILKGVQ CVEDVLQAVEVGVQGVVLSNHGGRQLDFARSGIEVLAEVMPILRDRGLQDKIEIYIDG GVRRATDIIKAMCMGAKGVGIGRPFLFAMSAYGLAGVDKAMQLLKDEMEMNMRLIGCS SIDQLNPSLIDSRALNSHATSVPWDTLSVSSYDPLVSPQNRVKSKL VE01_04618 MCNEPSTNGQNGVANGVSNGNGADKKPRSVPYKAIGDYLSNTGR FKIIESTLREGEQFASAFFDTATKVKIATALSDFGVDYIELTSPAASPQSKADCETIC KLGLKAKILTHVRCTMEDARLAVETGVDGVDVVIGTSSFLREFSHGKDMAYITKSAIE VIEFVKSKGLEIRFSSEDSFRSDFVDLLALYKAVDEAGVDRVGIADTVGCASPRTVYE LIRTLRGVISQKTDIETHFHNDTGCAIANAFVALEAGATHVDTSVLGIGERNGITPLG GFLARMIVEDRDYVLSKYKLQKLKEIEDMVAEAVEVNVPFNNYHKAGIHAKAILNNPS TYEILNPADFGMTRYVHFASRITGWNAIKSRVEQLGLKMTDEQVKALTAKIKELADIR PLAIDDTDAIIRSFHLDLADK VE01_04619 MVTRNITDRIKLSPLIKTPHKNPRVIKAQEELQRVTEELQAELV NYEKTFPGPILVKDEDITSSGSLPTQVATDFGKVVAQALSDHKIGESTVGGKVIGFME KLYPITNIVLGIVSFGADAAGVVPLKLAANTLNVIVNQAAKQQTRSNGVIDCLNKLDT YQSFFQNINRLGYIQVSDNMLEVSTNLLAGIMGTLRISLASLRTSFVVNIAKAVRGDD FADSQQALTTAMEALDRAIQQELLFDEKERKWKDECKMHHDIRNRRLEHSGEWIIKNE TFQKWMNGDLKTLWCPGKPGAGKTFLASVIIDHCRTVYGSSNTENESVGIAWMYFNYN DQNANSMGQVIASLTKQLIASVTPEIGKSIMEDAIEFREEHNSGSPGPDDYFMLLSKV IRSMQKTILILDALDECADKDSKRVNRVWLIKSLLTLGVQMLVTSREMPAIEALFEQA PDFAMLAISPASQDIKSYIQWRIYDMKYGSPNLRHLLKENQSLEEKLFKQWWKSILKS RLQMDTISEFTTKGEVQEALETLPMEESEFYGQAIKRIKNQKHHSDKALRILAWTLCA KRPLSVREMQLAAGIKPREYREDFEDFTLKKNDIVDFCGGLVLVNSDSGTVAFAHLTI NEYLQSASKSIFPTEPDTVIASTCFTYLSFYPFKSGSCPSDKEFESRLEQNVLLDYAS RHWGEHAESVQDRVLDEARIFLMDDDLVSCAVQTMSAPANSKDEHSRTPLSWAAENGH EAIVKLLLEQKAEVDSKDTHWGRTPLSWAAGNGHEAIVKLLLEQKAEVDSKDSNGRTP LSWAAGNGHEAIVKLLLEQKAEVDSKDSNGRTPLSWAAGNGHEAIVKLLLEQKAEVDS KDGDGRKPLSWAVENGHEAIVKLLLEKGR VE01_04620 MRSSTLLSLVGLAATASAHGHVHYHVLGTQTYTGYLPTKTPDFT ADAPSIVRRVGSDGPVELLTGAEMACNFNAVPILDGDVSRVGAVQAGTNITFDWDADF PHSGPILTYMAKCEPDCGHFTGNEGAVWFKIDEAAYGTSWATQTLFDQDNKWYSKVPE CLAPGEYLIRHEIIALSGCAKINKCQFYPSCAQVKVEGSGTVVPSTGLIAFPGGYTFA NVKWDSNTQAPKDYVLPGPPVFECPS VE01_04621 MSPCRLCAAPFPPVIVRIVDAPALPALPEFEDKWPNFLDSPLPP IPHPSRSAKKQYYRLFPHQRAMPPTPPSSPRHFKLVTSDSTIPAYDLHALSPLSPMEQ LFLVTPSSDTSSLSDPSIHSPSDEDDDYDASGLPSWHNSYDFPATPSASPTELSPLYR CNSPSKFAFDISSMPPPPIPPRSSSRNSNHTTKSPRQRPQAGPPLHFAAPRRAPQLVA PAFRHHATPPLPPPGFAPQGTIQPLHPSTYSTNTSPLSPLLPPSPLARAVPLPTSPVL AERSVFDYEEEGARGLKGVKARFHKHSVSSGAQGGRRKSAGEVVKGIFGGWSEKGGRS GKGT VE01_04622 MNPMPRRILLGGRPLIRYFTQLPRSRPQLPYLHHSSPRPRTFYA RILTKERKAWLKMELRWGIYALSSGVLLFIAAYGFEQEKMEREFPSPHEYTFWSRKLY RGCRVHDSEKLRDAGGVNWTFSGDRYMQLLKRLEDPEIDGAGLVQQGEDESQILIPGV GRSGYDITAKPENWRRGYYEALLGAARAAEFLDSCAKDKTRGLVFPKVAIVGPSNPNP RPLQPGCPPPPHEDDCEPAYLPPETFYMRILTTTGFTDTQRLYAALAYGSWLDYKGTP EAAGEMFKWGLDIAKSSIAEPDSVVDPATHTIKPTAVAPSRNVLRATTAMAEHKARNK DLAGALPIFLSVLRARRALPAAPPKQVEDRGGLAKQAVDLVKYALIPPEFPPPPPDGN LAPTRGSEEKCEEAALMAFIGEIMYASASDIAGKESGLAWTRESVDVAEEELRGGVKE DRVCRQCLQTGLDNWSQMVGKLAKEEKRRKKEGGGVVKKGWFGRGQEVVEEGVGRWEA EERLVMMRFKRARDVLDVEKVDLSKLYIFR VE01_04623 MPSRERETSAGAANALTPGSLKRHKTLPHPKRDRSTETYYSSPP STATQTMSVDSDVSPPSTSSYDLSPRTLKHASKRIGLPDLPPTPPAHSRNPSDETQTP AVPFRANGTATDSSTPNTPPNVHSPPTPDFTPPRNPRRASLMRPAPSERNSSSRAESF RTARENQSSEEIRSVFTPGESTPMDPEPLYVGLGLDLDIDRRRTPTPVLRQKFSFEDE EFRRFDGDWNVTDRVERKERYRRDDDLMKNVTVRRRTARKPYRFDESPVIPVMQEVRV DRPVAPTNATIHLQEFGLGNGTSDMVKDVSSADTLRGSEVNRQLWPEKARAESSPVPD VRRFSSMSGKSNATTIGAVVVVNSPPTQRRTLRHTKKQYGLRDFTPPGLPTAPAVTNG QPNGASEVASRIINKSNGSSRHNHNSLNSTATTTASTRSRRRILSEGAIPVVVVPERR SSVKPAQAPSLRSASSRHTRKSVSLQSAPLSQSAGSNIPGTIEQPTIRQRRMSDSATS RVQSERSTDGPPVIPQRRSSLSAPTSRNTSRSGSLTAESLRAHNAIQAVEAAKLAALA PLPPPVPPKDSVPLSNTLTVDTNGDPFFGQRLSAQVTPFSQSSHDTHGTALEISEALA INLYPHQNRSVLMIEHGPLQPRSAPKGRPIHLRTSSLPLPTDPDPMTPPQRGYPLEME ADSPLRNPRDPPQPPLIKFIPPTPAAVSPDDDSKQLGHDFLSGEAHTISAVPPERRPS LLQRALSAHRRESMSISTILPRRFSLGRQPQNSVDTATPHTYPTVSDQPADTSRLHPF WRPARFWDDLDSDDEYSDDEWDDRNYYPPSANVHPALSAPKRSLSSVLKRTFSIMPAR DREIGFGAPRRTIRRSGSGNLRVVQRNNGSVGSVALGEYVRFASARQGMRNSSAPIEG MSGSDSRMSRERMRDVGFGRWNFKVGVRWVSVSGVERWAERRRERRREGLRRSISGPR GVRDGVEDVLRGRVEGGARAD VE01_04624 MSSQELHSLNASIASKTDPASAARALTAPAEDKLSTGSPESDIE GSLRPVWESIINVAADTEHQSQEHLVSIVRAVQQQTLTKDGASEVEVWGEKVKVWSDL PLFGVSVRDAWNRTPSTGSANDFSASQWRNINAFLARLTSLSQSTPTFDFSMFGLWTL RSAFEGTGEASSADVDAAKVWFEYAEDVLTKLSNEGKSFPAKVGAGGGSYADKGWTGF NPDRLKVWQAALQ VE01_04625 MTPTSSAAAPKKVEKSYLSSAVESISPWTSSRPATPKPAQGSGV AAEAQAQSAQRGGDHSVGRSHGISTRRYPEDCPPLKTKWFYAVDAPKRKPKLLKDVNI ESKPLPAPKKYLTFSPGDSQSIEKAYQKFIEDEEDEGQTAQSPIDLTQTTPESKPGRK ENSSLEGDGSLKQRRTIKVPVNEDYLFDVDIEERELAPVYWLGPIYDVRRGTWFYQEG STLRPCDENLATQLEEGYLKVKPFRYPKPAVKDTQPKTTEGGKLPTDMGASEVTPKAS AENLKAATEQAGRKPTDAVHQPQTHRLFGSYMNSIVTYQDDTVAWLSDDSIVSRMSTT VYQRFAGGGYMSGVKIVRGYTKGEKTSTDAKTEVPLAPETDMVDESDKAGLRLDERQQ RLLKRRSAPAGTLRASDIRDLHAEAEKPASSGSSTPKAEEAIRKLDEKEIRDDYQDHP TEDQSREIEHLILVTHGIGQRLGMRTESVNFIHDVNVLRQTLKSVYGNSADLQALNSE IDKLPKNCRIQVLPVCWRHLLDFPRHGLKQNRKEHDLADVSSEDEEYPSLDDITVEGV PFVRSLITDLALDVLLYQSAYREHISNIVLRECNRVYKLFLDRNPDFNGKVSLIGHSL GSAIFFDILCRQKEDKDTYGLPQHPKFYHNRPGVQPQSKKDGKDMSFDFEVEDFYCLG SPIGLFQMLKGRTIAARHHPEAMPAQSPMDLDMLDDPFLAANSHPNETFFPTSGLPYS ISSPKCAQLYNIFHPSDPIAYRLEPLIAPAMSSLKPQALPYTKKGLFNTSAAQGITGI GARVGQSVSGLWSSFSSGIASSLINRSLGLTGEDIAKMERAQGQSIRESPLSMGAGTN ISGGGVITDTRTLTRENTNEKQRQLAEDTANADREGNENAPTLIDDDIETLYSGFQKR RKSHQGNEESNKERIAADERAKKLRREEMKVRGLNENGRIDFSIQESVLDFNPYNTIA SHLSYWGDEDVCHFMQSQLLSRHRAFKRRASMDLLKKPLK VE01_04626 MPVTKRGRAQDSPSDIDDYDDGTGFVASDDDAQPKSKRTKTTKP SSATKPAQAPQTSESGEKFWELSQGRNSRRVGISEFKGTRLINIREFYQNPAGDFLPG KKGISLPLDQYKALLQAIPDINAALAAMGETIESGDAAPRKARVKGADAGAKEQRANI DETSDEDGEE VE01_04627 MAIIEDNKDHQGNGSSPLDDDSPNGGADATPTRAPAATPDATTR LLQYLAHASPEQLCAIAVGLAGCTYLILGRVGLVLIGAFGGIILHASWERHSAVADIH TTHPKQNGLHILQKVLDWREKNPANPYEFDDNDDTDEAVVPGAANFDDFRPATAEALR ELVEATIRDYVKYWYLPVLPEEKTFPRTSQQTLVSFLRSIANSLSKKRPADAFLDFFT NCSSIVIVFLGELSTAILASPDFDISPEDAVQEYLTSNPDSHLAHIMDEKQQQKKFQL IANDLLQNFIEKSVYSCNPARVFLERILSGVVMEMSLRACSKPEWINGWIVYLLEEGE PDIIQAIDAGMGIPSPKGIQPMMDQPDTNSQKRVSRADDAMKSATEEAERLSQLIAED ESRQSKETSRSSLSRDTPDVARVSSSHSSDGVHVPLGPIEEAFVPTSVEVDAEPKQPF TSFDQIAPQRSIDLDNETPKPLTLEGAGITIMDDASPGDNSRFRNKPTGDYLVQIEPN SSRYSGWMIVRKYADFETLHEVLKRIAQVSGVIAFTQTHSTLPTWKNQGKADLRTNLE NYLRDACRHAALAESVGMKRFLEKEQSQSQGQSGKGFGWPSPAAFETMGKGLVDNLMS ASKGAAEGGKTVFGGMTGVLGNINPGRGRSASKLSLSSRPGFRSSSSLAPELDDSTSI NGTKPSSEEARNGPAASHSETAVIAETPEHELSSASTPVETPSNGVSDASMKSSMESL GTEQEPQSPLLDLDNIVLPPPPSDMPDDYGIIRSSSSPALSKNEIMTPVTSTNDTSPV QSIRSPSISEAKVPNKRKDPTPFTEEETRVALELTFAMINELYTLSSVWNIRRTLLTA AKTFLLRPGNPSLASIQALVQESVITSNTSDAGIASHLRKIRENTMPTEEELKAWPEE LSAEEKTKLRVRARKLLIERGIPPALAGVMGQAATGEAVGRVFDCLQDEKVARGLMFG LLLQGARAITH VE01_04628 MQAAATDDRSYRIQRQANMPYSTDAPALQSEAVRQGRSNSRPPV SANGKNIANFSELAPTSPEARRRQIATHRRSDSLGSVRDGVGNLNRWSQSTTSSKGST AHVRSNSSSRALGSASGTSKIDNFSKGSRYGAPKSENSPQRLPSRGRDGSNLSSTLPP IITLPTYRRGSDGRDQSPQTGTTISPSTAGLLSAAVRSAAPDYFGDSWEDPNTRGSGR NHSMNRSPIIPSPSLPPGHTKSSGSPVQKQRQGRDRSRSRGHSRNRSHATKGSSGTLS SEKNKDRSSRQPSQKAMLSKALQKANTAVVLDNAMNFEGAMQAYSEACDLLQQVMMRS SGDEDRRKLEAIRNTYTSRITELVKLAPYNDDDKALPARPESIEYTDDQSPPVDDDEA TVIGMATMTRIVNQDTFALESFPAEQQPIQHPRRRDSLVPQTFDRSAPVRSPIGQNLL GAQTALASPMERQSMMVPPLSPRPPTSPMDLLPTDEDHSKPYRPSSESNENSHTREIS TDSISWLDTIDESGGSGASSVHSRTSSLGMRRKHIRAASGATEAEFDAALDAAVEAAY DDGFEPVENLPPMRSTAEYDNGVASMRMRVEMARERVRQTERETAVHMARDRERERLA RDQTGQEDYYDGESEEEERMLEEMTRGYVMDEFEFGLQSKSALPRESDSSGFSGRTWH SSLGSNPTTGGTTMSTIAETAPIPKLPTFQSKTPPPVYPPPGQALPLPPTSSAPQFSR PLQMQAPEPTSNSHTVRSRRLSGTNPKQLKIETATRTTPKSSFSQAPTAPPPEVPSEP AGGFSLPKMEMPPPPIPQSASNPGFRARQNSSPFPADVAAPPTPTLTQSFNLDDNAGS LPRSGSPGRAQSRSGIRKNFSSSSLKNLKSRNLSVSHVDDGPEVSPNTPMTAQFGTIS ATRGDPNRLPAMPALPTPIAVTFRDKMNGAPNGAPTGGLYLFDSDMHSPESPGSPRDG HMGGPIPLEPCPTEHLLRPFWLMRAFYQTLAHTRGGYLSTKLFVPRDVWRVKGVKIKG LEEKISCCDLLTAALQKLAQVDTFDADAVLDEMQAFEDVLEQARAILVRKLGNDVGVQ GSGMLFKDASSTSTEAETTTTTSKTSSGIGKQSSFSWRRLRSKSSGVALNNYAGRTVV TETPKEGHTISTLPMTTSGNTRFAKRDISQVQFTGPNAHYMSALAKLFDAAQVLDQIA RQVEDPGLKHADKTQVGLELSTRHAAEFFGFYICRFVLTDIGMLLDKFLKRGSEWVLI VE01_04629 MALQYAPFQSEIELPFYSALANLKIDHDRLDVSARQLLGLYGAP INLTSRTNCQMQILGNALSSSSVPAGLVRAEGIIRNVNTIEEFKNADKNAIINTAGKQ IWDAIQDGTIYSLPSLLSSFTILSFADLKKYRFTTWSGFPALHSDPVWTQSAPIDRLD GRETTALVDEVGTWRYSVDPREHGFFLAKKVYNSDKPQADEEGETKPDERTSIDDDIG YNWKIGSLHAFETGFFRDVDAKDQFIAFADPSTYPQNPGWMLRNLLVLVRQRYKLDNV RIMCFRDVQSKRHEARSIILNLSSTSSVGKDETTPPAVAKMPKVTGWERNSHGKLSSR LTDLSQYMDPRLLADQSVDLNLKLMKWRIAPNLNLTKISQTKCLLIGAGTLGSYVSRN LLGWGVRKITFVDNGTVSFSNPVRQPLFTYQDCLGGGGKKAELAAKALQDIYPGVDST GHVISVPMLGHPVTDEAKAKRDFETLKKLIDEHDAIFLLMDTRESRWLPTLMGKATGK IVMNAALGFDTYVVMRHGMTPPDGAQAALGCYFCNDVVAPADSVKDQTLDQQCTVTRP GIAAIASAQLVELLASILQHPLGGLAPAPKPVQPSGSGPVTYERDPPEHPLGIVPHQI RGFLASWQNMLISGQSYDCCSACSAKVVDAYEKDGWDFVKKALAERDYITELSGLAEV QRAAEAAGGDIDWDSEGGGEEEGEGELL VE01_04630 MFVGLCGGICSGKRTVAQYLIEHHGFTRLRLQHSANEPLTDDKH TFRTIDDLVNFVTTQWQRRWVTTDVYCEAVLDILVRRPFFILISVDAPVNVRWKRFQR RLTSPTSSPQLDEDLSLEDFVLRSDDHLFNPNGGLLPLISRATIRLLNTSSDLAHLYA TLGKLDLTNEDRLRPSWDQYFMQLASLAAQRSNCMKRRVGCVLVREKRVISTGYNGTP RGLKNCGEGGCPRCNDAQGSGVGLSTCLCIHAEENALLEAGRERIREGAILYCDTCPC LTCSIKIAQVGISEVVYSQGYSMDGETAAVFREAGVQLRQFSPPPNGLVHLEKQAIA VE01_04631 MPTVHLLDYVAGNIRSLVNAIEKVGYTVEWVKSPEDVEKADRLI LPGVGHFGHCLNQLSSAGYLPAIRKHVQAGKPFMGICVGLQVLFEGSSEAPEVAGLGI IKATLDRFDDTTKSVPHIGWNSAASDKEFFGLRSDSKYYYVHSYKVPYVKGQLEAQGW SVATARYGDEEFVGAVMKDNALITQFHPEKSGMAGLRVLKAFLDGDATSSTGGVSTSA PVTEGLTKRVIACLDVRANDSGDLVVTKGDQYDVREKGSGGDVRNLGKPVEMAKKYYE QGADEVTFLNITSFRDCPVADLPMLEVLRQTSKTVFVPLTIGGGIRDTVDTDGTKVSA LQIATMYFKSGADKVSIGSDAVTAAEEYYAAGKKLSGKTAIEQISKAYGNQAVVVSVD PKRIYVSSPEETTHSVVKTSTPGPNGEGYCWYACTIKGGRETRDTDVIQLTQAVEAMG TGEILLNCIDKDGTNSGFDLELIDHVKSAITIPVIASSGAGNPGHFTEVFSKTKTDAA LGAGIFHRGEYTVTQVKDELIDKGFMIRQFEDQI VE01_04632 MTSSVFFKFKSQKEPTRVEFDGTGISVFELKRDIIIKGGLGDGT EFDLKIYNEDGNEEYTDDTTIIPRSTSIIARRLPPIKAGAGKAARYMSGKMPVNAKNS SRKEMPTAKSTSKAAVSSNFAPKMNDAMSEEERMAAMFQAQNEQWSAQQDEMANQTPI ARTGGNKKGGPPPNVPDHEPPTGYVCYRCGEKGHWIQVCPTNDDPNFDNKPRVKRTTG IPRSMLRTVEKPVALSGDGMADDAKAPSGVMVNAEGEFVVAEPDKASWEQFQAKTKSS AAAQQAAASGNKELQDRGLECPIDKRMFIDPMKTPCCEKTYCNDCITNALIESDFKCP GCQTEEVLIDNLKPDEEVSKLIKDYVEEKEKLKEAPKSPSNPESASEETPAEPTTKKA GSASPKRGSPAVVSSPKPSDSEKPGDKPQAVIPTGPDSKKRPAEDLLENPKIPKGPKA MQQQEAQRQAGMQQQAMMNGMNPMQGFPNAPFDMSQQFGNPYGMGMGMGNMNMNMMNA YGMMNPMMNPMMAMNGFPMNGGFPGMNMNMPNMNGPYGGGYGGGMGNGGGGYNNSRQN MPPVQPGEFPNQQKTVFAGNLPNEEDNAYFRNPVNPHRHQGRQKRSRPSDFREL VE01_04634 MNVIKLQRKFPEFQQDEIFGLQDAFRKLDVDDKGYIDEGTAIKA TQQSERQPYDVVRQALKEVELDSSRRVELEDYVGLVSKLRESSPAQKRMSTGPTRPAA PTSQKPTMGHASKPSASGRIQVQGSSANVTHTINEDERTEFTRHINAVLAGDPDVGHL LPFPTDTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNRSGKKIKTLNAFHMTENNNI VIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQVIRRGLLGKIDIKLHPELYRLLEE DETLEQFLRLAPEQILLRWFNYHLKAANWPRRVTNFSTDIKDGENYTVLLNQIAPETC SRSPLQTQDLLQRAEEVLQNADRLDCRKFLSPTSLVAGNPKLNLAFVANLFNTHPALD PITEEEKLQVDDFDAEGEREARVFTLWLNSLDVNPSVNSLFDDLRDGTVLLQAYDKVI KGSVNWKHVNKAPASGAEISRFKAVENTNYAIELGKQNRFSLVGIQGADITDGQKTLT LGLVWQLMRKDISETLSDLAQRMGKREITDAEMVKWANEMSRKGGKSSAIRSFKDSSI GTGTFLLDVLNGMKSSYVDYDLVTSGRTEDEAYLNAKLSISIARKLGATIWLVPEDIC QIRSRLVVTFIGSLMATHDKM VE01_04635 MSRGGGTTLYVTGFGHGTRARDLAYEFERHITAMGVSSGVTFQL LELQQAACESLVALFWARTPPSASWRFDTGRDRERAPGGRRSPRRRSPSPRRSRDYSP HKDDRRDYDRRGDRSRSPDDRDREMKDERDDVRENGANGDDRKAATESPPPVHDELDT AE VE01_04636 MSDQQPAEKALKPKPSVRLLQMQDFFSQPVTAAFCAGGVAGAVS RTVVSPLERLKILYQIQGAGRQEYTQSVTKSLARIWREEGWKGFMRGNGTNCVRIVPY SAVQFGSYNFYKKFFEPTPGADLSSFRRLICGGAAGITSVFFTYPLDIVRTRLSIQSA SFAALSNLHKSKLPGMWSTMVMMYKTEGGILALYRGIVPTVAGVAPYVGLNFMTYELV RKHFTPEGDKNPSALRKLAAGAISGAVAQTCTYPFDVLRRRFQINTMSGMGYQYKGVF DAVKVIIAQEGVKGLYKGIVPNLLKVAPSMASSWLSFEMTRDFLVGIDLDAHHVRSTH RKAPKSDNVYLKLLVKLYRFLARRTESSFNKVVLRRLFMSRINRPPVSLSRIVANVSE KSNNKTVVIIGTVTDDNRLLTVPKLSVAALRFTATARARILAAGGEILTLDQLALRAP TGSNTLLLRGPKNAREAVKHFGMGPHKHKKPKVESKGRKFEKARGRRASRGFKV VE01_04637 MSLTTNTTFESLPYIDTPPSPSALTSARELISSSLPVPLPESHP RLPPCPALEGFDPSSSAPKKMSAIDMSRYEALDAPGAGSSVEEIEDAVRKAGVTSTYL RLRVRGLENLENGAKGKEDWLAGNAQTAEVLEGVERELAETKEEIERVVSERRNRQEA VGAEMEVLEKTWRGGVGRVVETGVAAEGLRRERLEVLGA VE01_04638 MPGHTFPGRHGSNPFSDAPSAPQSGTETLPTRPINQVVEEEEEG DAVASPTTGTFPSTTKTTGTTTDPFGTDGTSDSSGPPSSFKPSSDGFPSNYGMGRRTS VSAESMNPTDSASDKWTPPFYKKTDEQLARLRAAIGAHTLFRALDDEQSRMIIGALVE KPIPAKNIKVISQGDQGDYFYIVESGSFDIYIHPSGSLQPGPSGLGTKVATITVGGTF GELALMYGAPRNATVISATNDCVLWALDRVTFRRILMDYTFTRRRMYEKFLAEVPLLS SLNDYERSKIADALESCKYAAGSTIIKEGDAGEAFYLLEDGEASAYKKDLDEPVKNYR KGDYFGELALLNKAPRAASVVCDTDVKVATLGKDGFQRLLGPVEGIMRRERYVGVESV DPLTRE VE01_04639 MGTFVFKWEHPASEVIVTGTFDNWAQTEKLHKKGDIFEKEVTLP SAAEKIYYKFLVDGNWVTDHTAPQEKDNLGNLNNYLTTDRIKTHTHTPAAAALMSGVT PNSTTAALAKDVPKENGSSSALPGAFPETPATEPESFSVNPIPASAGNGNPITLAPGQ AVPHPSTINGNTIASGVHDDEELKAADLAKGPTYSVNPIPATAGGGNPITLAPGEPVP NPSTITGNTIASTVRTDKESYENSGGLGTAPFLPPVVTPEAERNAKGTGVLDLPSVSK GGLIPESSLAMGEGGAGNYDASPIIQSVGPGATTAALAGAVPLEKDIKGKEAATIQSV GPGATTAALAGAVPLEKTGSSVPDLVKESQEAAHFPPEASAVPEEVEEKKEVERELLS GVPLAPVTSDGTTKESHDAAKFGAGAAAAVAVGGAAFAVGAAAKGKVSEAVANAPNPS EVSKSIPIPDSIRESISNINETAKRQSVAGIPAESDVVPETVRQSISAAHESPEAAAY QVPVMEKKEVESELLREVPTDVSKGESAPSSAAGGAVATAAPVLASPAVVAAVTEEEV GRQAELPKESVVSDLSDVSPGTSEPVEKQTSPVVTTGVASGVVPATSVGAAAVAPPTP AKDSTAGVAATKAAAAGSSSGSPAAALAKEQQQKKRRSFFGKLKDKLKDL VE01_04640 MANSGPPPARLCALSDLWERGVGEKVRVLGCITNYNTTTAILTL HPPHSPSPHSSTSTATTPHNHTAQIDLSLLLSSTPPPVTAIGEWVNVIGYITSQQSAP SAPPTSAAPPTGRGERGSRRRGGKEGGSDGEETKGEHHTSIQALLLWSAGGLNVQEYE EVVRDLKAEREKTETQI VE01_04641 MSGMWGWFGGQSAQSRKDTPKNAILGLRSQLEMLQKRERHLQTQ MDEQDGIARRSIATNKNAARAALKRKKQHEHTLDQTLAQISTLEQQIYSIEAANINRE TLAAMEKAGQAMKQIHGKLNIDVVDQTMEDLREQHALGEEIANAITNTPLGETIDESE LDDELAEMEQEQLDDKMLKTGTVPVSDEIHRLPAAVNGELKNKQPARQEEEDEEEELR KLQAEMAM VE01_04642 MEISFCPYRTQFSPSKVLYTAVSQPITFKGNADDLELLEAARVK EELELEQERQEQQQEGDPPEPHEEEQHAPPEAAEEVILVNPEDIIEEAPSQPTEDQQP PPSSPDPKPPNSAISSHSHKGNSNSKKKKEKTVHWFGPPMANKNKKKKAAAKKQKLLL GDASDNEGAQAATSPEDGVEESGGAADEAAGDPPVEEAPADATAPVEEAAPVEEAAPA EAAPAEEAPADDAPPAEAVAPVEEAPAAEEAAPAEAAPPAEETPAEEAPAPTEEVTPE PAPVSDDTTPTEDAPPAPADETPSVENTDDNQPAPAAAEDEKPAEGQEDAAKSDEPVA GDEKEEGAAADDEGGDVPAEPVAVEAEAVVEEKGEEKPAEEAAAAVDEPAAEADKPDE AAAEEAAPVEEAPAAEAPAEEAAPVEEAAAAEEKPAEEAPAAEAPAEEKPAEAEAPAA EEAPAAEAPAEETPAEAEAPAAEEAPPAEETPTEAEAPAAEETPAEEAPAEATPAEAT PAEETPAETAPAEAEAPVEEDKAEEAAPAEESKAEEAAPAAEAPATEVAAEEAPKDDA PAEEAPKEEAPAEAAPADEAPAEETPKDEAPAEEASAEEAPAEEAAPAAEAIVEEAAP TEDAPAEEAPAAEEAAPAEEAVVAEKEAPAEEAPAEDATPAAEAPADAAPAEEAAPVE EAVPAEEAAPVEEAPAAAEPVPAEEAPKEEAPAEEAAPVEEAPAADAPAEEAAAAEEA PAEDAPAAEVAAEEAPKDEATAEEAAPVEEAAPAEEATPAPAEEAAPVEEATPAEEAA PVEEAAPVEEEAPVEEAVPAEEAAPAEEAAPVEEAAPVEEAAPVEEAALVEKEVSVEE AAPAEEAAPVEEAAPAEEAVPAEEAASAEEAAPAEEPAPAEEAAAVEEAAPAEEAAVV EEAAVVEEVAPAEEAAAVEEAPAAEAAEEAAPAEEAAVVEEAAPAEEAPPAEEAAPAE EAAPVEEAAAVEEAAPAEEAPPAEEPAPAEEAAPEAITDRAIVEEPESTEPPGDAVPP SSSSKRRSKHSSSHSSRNHHSRSSRDKDRSLPPPSPEAAPRKRRESDASITQFWKGLQ PKLPKRHDSGMSMGNGGKEKERDRERDREVRRERTPEEQAAHDARKAERRAKRAAERE AAEAEEKAQREAEEAAAAAALKEAEEVARVEKEKRRERRRLREEKRRAEEEDKLRVRE EKRKADEDAARASHRERRRRHSTTNRSDGKSSRAVDAAEKPNLISLKGESEIGRERKA ESEMDREIKGESELVGGRKKDAASPADRPKSSHNGSDRSRKVRTPEEQEAHDKRKAER RAERRAREEKEAGGGEPGPDDVAREEERRKRHESHREERRKRRASTMDQDVKEKMRQG PIKGLFRRLIGA VE01_04643 MAYPQSILRVLCGLALLLACAEALHFDLMAVKRHDSKSERCIRN FVSRDTLVMVTSTISGHKGDGMQVNIHIKDAVGNEYARAKDVAGEKRMAFTSLADSAF DVCFENILYSNTAPQPHTRKVELDVDIGADAKDWSAIQATEKLKPVETELRRIEEMVQ EIVNEMEYLRTREQKLRDTNESTNNRVKWFGFGTVGMLVALGAWQIVYLRAYFRSKHL I VE01_04644 MPKFFCDYCDVYLTHDSMSVRKAHNTGRNHLRNVVDYYQQIGHE KAQSVIDSITSSYAAEGQSASNPMLAHPPGGPSFGGAPPPFGRGMPPTLPNGMPVPFP PPGGLPFPPPGGPGALPFPPPGGFPAGMPFPPPGGPGGLPFPPPPGGFPAGMPPLPPN FQFPAGGIPPPGGFPGFPPPQQGGGFPPPQGGGSQGPPDHQRGGDQRDARLQGRDAFS DRR VE01_04645 MSRFTFAELPDGSDTDASNALYRTYDRREFIRPCREFLQRLYPR DAKWGFTIYRTVYTPESDSQFLSALEKIKTCLATALRNELRTNRRIRAYEVKHGHTPR PLINAGPCDQVEDQYDPLVIEDREVWDGASIEDVRAHFRDAIFHEALELQRISYDPET EHTTPMTHLAQDPKSSICLVIDAEALSWMTNSEPRERGMYCLREEELRAMPNDPMMHL DSRPFKWGQHGRVKGVDADWPRHSDIWETDENEPSMLGARNGVPDPPRRVSQYHGWRP VECEELWGLYNDELRNGGRLGR VE01_04646 MLPQPHYFQTKHIIEFQGMNTFFHYLSNRTIVPGTTLAVTPYDF FTQGFNSEIIPTTSPLITPVQSQTANLQIPSDRIMEALGSTWNHGNFVLLRDTINGAK SRLWAGVNSTSDDKMNDAVRNKPGTALSYIRRVIAVTHYLNSPILVMIEDVWQAPGPN RDLYLSDSWEAFISYQMPKIVERANKFADDWLRELERVYNARPANDPDKALVLQNVRT LDVYRVEMVATGLHVAGYP VE01_04647 MTPQGGTVTATAPVPGISIAPGYNKGYAQYLALPPANATTATGT TLNCGKYHGAATGETCATICVKEAIYIALFLQVNPSLNLNDYGNIGASSSTTTATYYL DLYISL VE01_04648 MSSLRDIMDVDVEPLESQAIQRSRDIALLQNQSLDTRPTNSLSR TERSTTQRSVKRKRPTRISKPSSSAGSSRSGTARRRSSGATELMDPSGYGQGGSQNNA RPSLPRGLEADQPVRYTPVTGRVSKAKKGQPVHVCEQCDEPKAFTRAEHLRRHQLSHE QAKLPCTFPDCERVFHRPDLLSRHMSRHEIQGDGPYRTSDYKPIDNEQRSRSSSTSSN PRTPPQKYSPQRPNMNAPNSNYASPNIQAPTANASANMRLSKEYEPIMGSFQAVNVSE SSNQRPHSRTDGSESSGLQFSPARGSMTSHALNTSTYHTIPMSTNMTSDFLLSHPSTL GPAFEQSTYSSFASNVYPGSGPGDIPPLTLQVPDPAYNPSLASPPYNSSESNWSTPSD VSRQGTAWPHDRALQAGWAAAESHSTAAQQQQQPLFIAQNMNLLRQQGGLHVVPEQFQ GQFMSTTRQFGNSIVTSQPMDEQGGVEGGGDIVSRARSARNQELNTEANGARSTAKMD QTRGTSRTSSMVSLWMNASMAIRKDKGSCGLAVFGNNFYQGL VE01_04649 MAGGKKKKKPASNPARGFATTSVASKVRIEVAETTPSDESPDNE SEQRKDETATESLSTSTTTGNTDTQLTPEEFEKQLEESDLQVLVEKYSQKAKRDAARQ VTRLQTDRRLLRGQADFLNTRKWLPPDLMDEILDIVTADHRALGPSTADSPATLKPLT EEDLTIKLWTLQQALAGAGFPDDRVRMVLTYILSISDKMVSGNKDSIWGLEESLQWMA RECSRDELPDYENWQTKSLLPLKLQMDSQAGTPTASGMNTPRQIEADIRHVDSKETIA EQPVTKLAARPAKPAVVEYESDIDADDLLPEYLEAKTKLFHLQPPKNSAQAVAQRGAG AVNGKRNKGSQAPQDPEMAKLQRKLVKIESDVLFDRYEAEQEWQKRKITLEKEAAAKR QELSGGPPPRIPHPAESSDDDSDDEIMRAAAKMGADLLEDDSSDDDGAIADLFANLPV TEVDPVTGKSTVVINDTNGGKITLRDFGKSTGISPRRVLEEACRSRDSSVKIVYTLVS KTSYSNRHSVRVNWSKAQEVPSPTSDFPEIDCICSPKSITITMTSISTPDAAQSESYA ATVALFLIFSTSVREEKVFLRLPPVWRELWTEFAVARKEKADALDREAIRGFRDTIRQ KRDREEEDGVVLTTSFRRRALLTPNDTSDESGTETPGSSSVSPETLKRIWHDKSNTPS YQTMRQSRMQLPMWGYKEEVLRTIDREQVVIICGETGCGKSTQVPAFILEHQLSQGKP CKLYCTEPRRISAISLAKRVSEELGERKGDVGTPRSLIGYAIRLETNTSRETRVVYAT TGIVMRMLESSNDLKEITHIVLDEVHERTIDSDFLLIILRKLMARRPDLKVVLMSATV DAERFSKYLDGAPVLQVPGRTFPVTSYYLEDAVELTGYSLDNGSQNKRYTDLDDDAEL EDAPTSEKTKAENTKLLRGYSAKTRNTILQMDEYRIDFELVAQLIAKIATDERYVPFS KAILVFLPGIGEIRQLNDILLGLPTFRTDWYVYPLHSSIASEDQEAAFLVPPPGTRKI VLATNIAETGITIPDVTCVIDAGKHREMRFDERRQLSRLLETFISRANAKQRRGRAGR VQEGLCFHLFTKYRHDELMSDQQTPELLRLSLQDLAIRVKTCKLGGIEETLGQALDPP SAKNIRRAVDALIDVRALTAAEELTPLGIQLSRLPLDVFLGKLILLGSIFKCLDATIT VAAILSSKSPFQAPFGARQQADTVRLAFRRGDSDLLTNYNAYLAWKRVCNTTGSEYQF CRKNFLSPQTLSNIEDLKGQLTVSLVDSGFLPLTERERAHIKRNRYSSRRHDFFELPQ RANINSENDLITQSVIAWALYPKLLVRDGKGFRNVANNQSISLHPTSVNKGHHEINWL SYYHIMQAKQFYNAHETTAVTDFAIALLCGDVRCDFYAGVLILDGNRARFAVSDWKTM VVLRTLRTRLREIMTRSFKNPGKPMPEGLARWLEIWQRIFSQENLLLAKGLVRT VE01_04650 MVGADLKDVRGMDLEASRKALSSSSTATRTAYLHSIDERLSNKE IEQSSYPTLLELIFTTYSFYQDRSSRRHVEQCLRTIFRAGASPDILSPFIKSLHTEAS KTNIAASNAFVLTEWFSILIQECSGTPYWAIWGQQLVASDAEVLEQCQKTTTKPNIRH SALVVTRRAFRKVVSCQDSAEASISDAIEGLSAKAAQPSARNAIMLGVIAGVCSRKPE AKGTLERKKENYYNFYTREIIGSRTPVPAHIANGLYDFFLDFTSAEELAKHVAPSLVK ALLRAPEIVLDDLITPLVESLPQSIDLSKILQGNLLKPLLSNLKSTNATIRNGAHTAF RVTIPRCHDDAALSVITNEILLPLKSGKLPAADHRILHSEILKVLPITESLVEKVLPA VSAVAAKEPNEGALSAETSVLSLYTSWTLTNGTALPKVVLEAFSKGLSDKKAPLRKLW TLRLGDILWAQGGSNLENKNVVELAEASISSLIQLFDEVASNPIAAGQSGVVVGGYVL TALAAAKLPSLKSSKINEAIKKAKVIQQALAFEPKPSFLLNHRVYSKLTNDEDRLWLI RALSATADEASTSGPNSATALAWSQGVISCICSANVPPAVRRQCIEVLSKTYARLPQE TSSMIVSGLWRWVRDIEVGEKDCAAAASKSDKANLHFIAKAICLSAADASKFGFEIDQ SIKENQMVKMLVIARPELLPGVQWIELCLRVGVDPGQLCRQYNDAIIEQVLEITDFKE TADQTQYTIKSAAFNAAAELAFVAPDVMTSRIVDLFQHDLDPKQLDDVGPTEAAIFRT PEGTLFVDVLAKKSQDYVPNKNTKDYDTMKWEEELRTQLAQKKGQQKKLTPEQNAKIN AQLKKEAAIRLQVRQLEAQLVRGIGIIKSLAVGPPTEAQLWMSPAVTSLINIINAGAG LVTGNAAAEAYRLLSERVVARIGPVRPFIGIATLRALGITHLPEELTQESLGELITRV LYRLRFSGEQRPFDTVSLTYMLPLVFLVLRNGGFGTQEDSEAQVVLAIDFLKFHTDAC SDTIVPRREVLSVLIESMQAYNQHYKAIKECLADLCRSVAPTINDDEIATLVRGAIVP QTSVRTSVLQSISAEIDLSDMEFSEEIWLACHDDIEGNVELAHEIWVESGFEVSSDAV FTILPYLEREDKQLRRAAARALAAAVKLHPGLITEILSRLESSYIELAKPKVPQLDEY GMPRKMDMRDPWEARNGIALAFRELSVVFKEELLDSFLKFLIERGPLGDKSPHVREEM IEAARAIIALHAKNKVEDLMKTFEQTLEGPDKGSDFSDRVNEAVIILYGALARHLNPG DSRIPEVVARLLATLSTPSETVQYAVALCLPDLVRASPGEVPDYTQKVMDQLLNGSSY ASRRGAAYGLAGLIQGTGIVALREYRIMLTLRSAIDNKKDVKHREGALLAYELLSTIL GRIFEPYVIQIVPQLLSSFGDSSADIRDGCLAAAKTCFASLSSYGVKKILPTLLDGLD DQQWRSKKGACDLLGAMAYLDPQQLAQSLPKIIPPLTGVLNDSHKEVRLAANRSLKRF GEVISNPEIKGLVDILLKALSDPTKYTDDALDALIKVSFVHYLDAPSLALVVRILERG LGDRSGTKRKSAQVIGSLAHLTERKDLISHLPILVAGLKIAAVDPVPTTRATASKALG SLIEKLGEDALPDLIPGLMQTLKADTGAGDRLGSAQALSEVLAGLGTSRLEETLPTIL QNVSSSKASVREGFMSLFIFLPVCFGNSFANYLSKIIPPILTGLADEVESIRDTSLRA ARLLVKNFATKAIDLLLPELERGLGDDSYRIRLSSVELVGDLLFNLTGISSTDEDEVE EGAKEAGASLLEILGEEKRNKVLSELYICRCDTAGQVRTAAVNVWKALVASPRVLKDL VPTLSQLIIQRLGSTNAEQKLIAGNALGELIRKAGDGVLSTLLPTLEEGLRTSTDVDA RQGICIALRELISSASEDGLEDHEKTLISVVRVALIDSDEEVREAAADAFDSMQNMFG KRAVDQVLPYLLNLLRTEGEADNALSALLTLLTETTRSNIILPNLLPTLTASPINAFN ARALASLSTVAGGAMTRRLSTVINALVDNIIVCKDEELRADLESSLDTVLLSIDEYDG LNTTMSIMFGLVKHDDHRKRAAAGYRLANFFANTDVDYSRYNQDIVRNLLISFDDTDL EVTKGAWTALNEFTKQVSKVEMEALVHSTRQALQRVGVPGSDLPGFSLPKGINAILPI FLQGLMNGTSDQKTQSALAISDILDRTSPESLKPFVTAITGPLIRIVSEKSTDVRAAI LLTLNNLLEKIPAFLKPFLPQLQRTFAKSLADTSSEVLRTRAAKALGTLITLTPRIDP LVAELVTGCKTSDIGVRNAMLKALYEVVSKAGSNMSEASRSAVLGLIDTDVEDKDATM VITNAKLFGALIKNVSEDNATSLIKNRAMTTHFTHASILALNSVLLQAPQSLTESSFA EDLPSVICKGIASKDPFISDNSVLAAGKYILTESPKSFEARKLIFETLATYIPAGNPA DTRRLALVVVRTACRHHMEHVKPHLAVLAAPIFASVRDTIIPIKLSAEAAFMALFDVV DEEGKVFDKFIASQTQMAPVSKRAMQDYFKRVALRLGAQARERREAEGGQGGLGLSND EVDDEREIWSVGKVDLGEGTSSDD VE01_04651 MYVVNLRTQKRLAAAVVGCGQRKIWLDPNEVSEISNANSRQTIR KLVADGLIIRKPVTMHSRARARDHTDAKRLGRHKGFGKRKGTADARMPSQVVWMRRLR VLRRLLVKYRASGKIDKHLYHELYHLSKGNTFKHKRALVEHIHKAKAEAARERTIKEE MDGRRAKTRAARERKQERVVAKRAAMFGDEEETETK VE01_04652 MSLKLASRLGAVASRPFPRTAFASVAFARRAQSSRSAEGQQKVQ KSSESEGLPLTPASQLQLLTLDLEHGDPQVYEILQKEKRRQKHFINLIPSENFTSQAV LDALGSVMQNKYSEGYPGARYYGGNEFIDQAEVLCQKRALETFGLDPAEWGVNVQPLS GSPANLHAYSAVLDVHDRLMGLDLPHGGHLSHGYQTPTKKISAISKYFETLPYRLNEE TGLIDYEKLEELAMLYRPKLIVAGTSAYSRLLDYKRFREVADKAGAYLFSDMAHISGL VAAGVIPSPFPFSDVVTTTTHKSLRGPRGAMIFYRKGVRKVDPKTKKEVMYDLEDKIN SSVFPGHQGGPHNHTITALAVALKQAQSPEFKAYQENVLVNAQALAKRLGDSKDKGGL GYTIVSGGTDNHLVLIDLKPQGVDGARVERILELVGVASNKNTVPGDKSALKPGGLRM GTPAMTTRGFTPEDFSRVADIVNRAVTITQRLDKEALEAQTEKGRKNPGSVKAFLEFV GEGDGQTEIVQLRSEVEEWVV VE01_04653 MDRSTLFDVTGKVVLVTGGAKGIGRMISEGYVANGAKVYISSRD AKACDKACAELNALGPGSAHAIPADFYKLEDCKRLAEEFRKREDHLDVLVNNSGSNWG ASFDEYPDAAWTRVLTLNLHRVFTITQLLTPSLEAKAGQDSPSRIINIGSVDGLRVPT LETFAYSSSKAGLHHLSRVLANHLGKRHITSNVIACGPFESKMMAQTLKDFGESIKAG IPLNRIGSPEDVAGTCLFLSSRAGSYVNGATITLDGGSVIGSKI VE01_04654 MANTEARERLRQHFLNAQESDHPIKWNELYREGFIPWDKGLPSA PLAEALARRDLISEPPVAVSGSGKQRKRALVPGCGKGYDVLLLAAFGYDTYGLETSEL ALKGARETEEKHGGDEVYRVRNEEVGKGKVTWITGDFFKDDWAKSLGEEFDGTFDVLF DYTFLSALPPTLRAAWSLRYSQLLAPTGRLICLEFPTYKPINSGGPPWALPPSVYMAH LPRPGKTLEYDDQGGIVDAKLGEPLSNGLVRIAHFQPQKTHADGYDADGNMTDWVGVW AHPILE VE01_04655 MDALEEAQARHRKENKDLQGRITQKKKQATKKTRKGVNDECAEL ERQLKEAQAAELAALRGDAPEDEPEVESEREHDDASVAPTQDETLAADLQKTAISDPA PSEDAQPKRNRQKERLARRAAEQEAEAIKAQEEAAKLPNWKRQERTAMLKAFKTHKLD EKEIRPDGHCLFSSVADQLDQAGIDLRTKNEAEKTEAFRYKAVRRTAAKYIEGHPDEF EAFLEEPLPAYVQKIENSAEWGGQVELIALAKSYNVEICVLQDGRLDKFSPEETGEEA KKIWLAYYHHGYGLGEHYNSLRNAP VE01_04656 MVDEPRLGKTLDETQDVEKVPLGIVSEADHNGECGAVDNGEKAK KSVDGLSISAPSTSSIRADRAGETKEHTRSKSQSASVRSRAVTIIPRSRRRGLLGRLA LIPEVTNPPEYARKTKWLITLIVALAAAAAPMGSAILFPTLPQLSAELHASSTVTNLS VALYMLAMSIFPLWWSSFSETLGRRTIYLVSFTLFTLFAILSAISTSISMLIVMRVLS GGAAASVQAVGAGTIADMWEPKERGKAMGIFYLGPLMGPLFAPIIGGALGQRFGWRSS QWFLAIYGGCLILVLTFCLPETLQKTVPIGELASGNPETSEDAVMPVASRITTRQSVK DQTVTVARVLKRCFIDPLMIVTYLRFPAVALTVYYAAITFGCLYILNISVQETFSSAP YGFSTMIIGLMYIPNSLGYVCAALFGGRWSDIIMAREAKAAGRYDTEGRLILLPEDRM RENAYIAAAMYPLALVLYGWIVCYGKHWIIAAVANFFFGVGSMIIFSCATTMLTEFMP KKSSNGVALNNFVRNIFSCVGGIVASPLIDTIGNGWLFTGLGVWALGSGSVVWALRRF GPKWRDEMVKIQG VE01_04657 MSYVQWLFKGLDYDQNKPIYIDANNPDRGLSASQVKTLVLKIGS GLQKFGLQKGDCACVVSLNDIYYTPTYLGIIAAGGIFTGANPGYTVFELAHHLRVCNA KFVVADLQTFSKVKEAAAEVGIPESNIIIFDVHHEGVPSGTTSFWDLIGDDEITIEDI VDSANVPASYISSSGTSGLPKAVVIPHAYLINQCQIQTERKMPYEVSRLCCLPPFPAY AAPSQHGMPLKTGSPCYIMPRYDGNAVLDAVEKYQITEVLLVPPMVLSLPLLPKCTSS TVASLRQVFCGGAAIAYHIQEQLYDILHPDARINQIFGMSECGWVCGFQYPEKDETSS VGRPFAGFSLKVVDNAGNDCLEDGQIGEIYIKPPFPMLGYLNNPTATAEAFAPGGWTR SGDVGYVKDSKWYVPDRKKDVIKVKGWQVSPTELESVLLLHEDIIDAGVIGIQAANTY DGIPRGFVVRRPGSTVTEDDVKAWMKERLVRYKQLDRVIFVTDIPRNPTGKILRRLLQ EGKYTEEVAAVGEQISASEAVVEKVPITENGTSGSEDADEHVTTPEAIAEEALVTENG NSKVLVTENGHSNSVANGEHVTAPEAIAGEVLVTENGNPKSLVTENGHSNSAANSEQI ASPEVIAEEVLITESGNSSPAAIYKYVALSEPVYQRVLVTENGNTGPAALYEYIALPK TVYQRVLVTENGNSGPAPVYKYIAVTETVYQKVLITRNGNTGPEVTSEQIAAREIVNH KGSVTENGFSSSTTDCNHIVSSEAVAQKVLSTANGNANPAAACEHIALPNVVVEGFPV VGNGISSSVLPSEEHSAVPS VE01_04658 MSGKMILYKLVVLGDGGVGKTALTIQLCLQHFVETYDPTIEDSY RKQVVIDGQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFTRIQRFHH QIQRVKEASPPSYPGSFSAPSGPPGAVPIMLVGNKADRVTEREVSTQEGHALARELGC EFVEASAKNCINVEKAFYDVVRLLRRQRQQSLRATPNARGAGAALGEAPRGDRPPRNH REDRQKAKKCVIL VE01_04659 MAVFGGDMSRGLFARECVPCPSVTPACPSCPTGSKCSISVQTCD TCQSTSCIVDPTVVTPANPDTSTVGGGDSSPNVGAIAGGVIGGLAAIAIVVYLVWRFF VKNRRAQYEEEYAEQYTNQATDSEKDFASRRDARASTHTVGSIASTVLTRASNIIQIA YIPGVTNRSAPSTPGLLVPPVPPIPIALSGAGTPSYEDEHFFMPGDLRDSTYSAMTDR TSYAPRSSVASTIYGKNAVLSPLPAQTVMRGKAAVISVKSNGGHSPGELTPPVPNVDY TRYKNNNMQPPPSPAFSVGSTFLNSASAATATQIKPTMVKVIQKKINKPKSTTAESSE TEAQENDASRESAAITIIDDTPTVEQGPFSDPSPAASSPKTKGHVKKGSLSAVIEEAQ SKKAQSEASAKEEAKKKDETPFGDEHATD VE01_04660 MEGRYNPGAPLREVDPSDPRYNQPRPDARDESELGLLSPGGGHA YQSPFDGNNQSNLEIQRPVSTAYSLTESYAVPGQQNPQHATEYSSGSSFQQGIELEDI PFGGTNRAPSPSRTIDSEDAWRKRQAPGGGGLKRYPTRKVKLIQGSVLSIDYPVPSAI KNSIEKKYTADVEAGNEEFTHMRYTAATCDPNDFTMRNGYNLRPAMYNRHTELLIAIT YYNEDKALLSRTLHGVMQNINDIVRLKKTEFWNKGGQAWQKIVVCLVFDGFDACDKEV LDVLATVGVFQEGVMKKDIDGKETVAHIFEYTTQISVTANQQLVRPQEGAANNLPPVQ MMFCLKQKNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPGPKSLLSLWEGFFNDKD LGGACGEIHAMLGKGGRNLINPLVAAQNFEYKISNILDKPLESSFGYVSVLPGAFSAY RYRAIIGRPLEQYFHGDHTLSAILGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGSK WHLTYIKAAKGETDVPEGAPEFIGQRRRWLNGSFAASVYSVMHFGRMYKSGHNLVRMF FFHIQLVYNVFTVILTWFSLASYWLTTTVIMDLVGLPEPASNTGAEHHGWPFGDTATP IINTILKYLYLGCLVMQFVLALGNRPKGSRYTYITSFMIFSFIQLYVITLSMFLVVRA FVGSNVDKTAIFTTNIFSSNSSGIILIALAATFGLYFVASFLYLDPWHMFHSFPQYLL VASSYINILNVYSYSNWHDVSWGTKGSDKVDALPSAQTTKVEGKAAVIEEIDKPQEDI DSQFEATVKRALKEYKAPKEDESKSLEDSYKSFRTTLVAFWIFSNAILAVVITSDNFD SFGFGNKASARTAKFFQALLIATACLSLVRFIGCLWFLGKSGIMRCFRRR VE01_04661 MLKIWSMKAKEQAAANANGTKKKKVTAAQLRVQKDLEELEPSRT WHTEFPDPNDILNFTLTIDPDEGMYKGGRFNFSFAINQNFPHDPPKVKCTQKIYHPNI DLEGNVCLNILREDWKPVLNLNAVIVGLQFLFLEPNASDPLNKDAAEDLRSNREGFKR NVRTAMGGGPVRGVPFEKVLK VE01_04663 MASRTLSRAARSQLARQFSAPVAKRTFVSAINAAARPTVARAAA PVQQFRGVKTVDFAGDKELVYERSDWPREKLLEYFKDDTLAMIGYGSQGHGQGLNARD QGLNVIVGVRKGGQSWKEAQQDGWVEGKNLFEIDEAISRGTIIMNLLSDAAQSETWPH IKPQITKGKTLYFSHGFSPVFKELTKVDVPTDVDVILVAPKGSGRTVRTLFREGRGIN ASIAIYQDVTGKALEKSTALGVAVGAGYMYLTTFEKEVYSDLYGERGCLMGGIHGMFL AQYEVLREQGHSPSEAFNETVEEATQSLYPLIGANGMDWMYEACSTTARRGAIDWSNK FKDALKPVFNDLYDSVKTGKETQRSLDYNSQPDYREKYEAEMQEIRDLEIWRAGKAVR SLRPENQK VE01_04664 MADPNSLPLSRASVLSAHTLIKPYIHRTPVLTSTYISQLASTPR TAAELEGTPWAGQTPASPKIRFWFKCENFQKVGAFKARGAFHALLRLVESEGWEEGGG RERGVVTHSSGNHAQAVSLASATLKIPSHIVMPRISTPAKIAATQSYGASVLFSGSTA PEREAVVADVIKDTGATLIPPYDHPHVMLGQGTAGLELQEQVLEAQKEVEVGMGRKGL NAIIAPCGGGGLLSGTALSAQGTGIVVFGAEPEFEGADDCKRGLEAGKRIEVVKSLTI GDGLRTPVGVWPWKVISDKKLVRGVYSVTEEQIKATLRLVLERMKVVVEPSSVVGLAV ALWNEDFRRVVEAEQGGEEWDLGVVFTGGNVNLEGLGKLFEAK VE01_04665 MSTDLAASKITPGVLDAIFNDPERRATDFPVPVCQCVQIKPLAS QGNGAERYRVVLSDTKNFVQSMLATGANHFIHDQKLKKGSLVRLKQFQANALKGKRIL VIMDIDVLAEFGEPDKIGDPQALKVKDEEEDVKPAPGAVSGAGFYGNKPAQQPAQQQS LPSRTGPSSSAGQGNIYPIEALSPYAHKWTIKARVSNKSEIRTWHKQSGEGKLFSVNL LDESGEIKATGFNEQCDQLYDLFQEGSVYYISSPCRVQLAKKQFTNLPNDYELTFDRE TKVEKAEDQENVPQVRFNFTDIASLQTVEKDTTIDIIGVLKEVADVTQIVSKSTSKPY DKRELTLVDDSDFSVRLTIWGKTAVSFDAQPESIVAFKGAKVSDFGGRSLSLLSSGSM TLDPDIQEAHKLRGWYDTHGRSNTFASHSGMASAGAAGGRQDPVMTVAKVYEDSLGMS EATDYFSTKATIVYIKQENFAYPACLSDGCNKKVTDMGDGTWRCEKCDITHPKPEYRY IMSLNVNDHTGQLWLSCFDDVGRLIMGMSADQLMALKDGEDPAAAGRAFEEANCKTML FKCRAKMDSFQDQQRVRYQVTGASPVNYSQEAQKLAELIKLYNI VE01_04666 MDKLLPSLLSFPVHPPPIQPLSDEHYDETIKSQIKHIKKLAPKT LLQKTSGGEDILDIINPALNSIPYAFALLARVNEVQSAPKSAQNNTPPEELLPLWEKI VHFLENFDSRQARYIGSETLEIITVVAALARHLGQPGAAVSPIASAILRLDPGASTLT SAHLILSRLALESKEFSRAVPILERHILYIPTTDRHPKQACSLRLRAHEYLTIESGLT KKISTQDILEYFSFSGSIFIGLQQWENAAESLENVITYPVRGIAVSKIMVEAYKKWTL VKVLLTGKVPTLPPNTNSNASKAYHIIGKPYDMVASLFESGTAPRLNAEITAGTNIWS GDGNAGLMRVVLGAHQKHQIRRLSSLYETIPVSYIAQNTVSAETGASLETQGAVEALV SNMISQGELRGTLVGAQEDQPAFLRFAPTERVSDETAVERELAGAMERIETMVEDIKA TDHLLTHEKEYLTWVRKQKKKQVGASYADAYGGDDLGWIVGPDDEDLMAVM VE01_04667 MSLSNAQPVDAGKAAKIASHTLATLSSSARNDALTAIHSALSQS KDEILAANARDLTAARQAAEDGSLSASIVSRLDLGKPGKWEDMLKGILDVRGLDDPVG KVTLRTRLDDGLDLERVTCPIGVLLIIFEARPEVIANIAALAIKSGNAAILKGGKEST ESFVAISTVISSALSSTAVPNDAIQLIKTRDVIPQLLALDQYIDLVIPRGSNELVRFV KSSTKIPVLGHADGICSIFLEQSADQELATRVIVDSKTTYPAACNSAETLLVESAALG LLPKVAEALLAKGVVLRCDEESKKVLDEKLPANESIQASEPVDYDTEHLSLKLAIKTV DSTEAAITHINTHGSHHTDAILTSSSELAERFMAAVDSAGVFWNTSTRMADGTRVGFG TEVGVSTNKIHARGPVGLEGLMIYKYKLRGQGQITAEYGEGESQKKWKHEQLPI VE01_04668 MNTSTSPLKQHMSTNMYQDPDADLSEGPPSSPFMANGNSQSENI PPAKQETASPSPSPANRNSVVSPLKMLKIRSIQSDASTPSQRSPRKISSPDRRFPVKI ALSPTQETQPQSQPQPAPRQIQETTLTINDVLRDNEGLTKAIQILEDEDSDDGTGDDY ADAESGFDDTVLTRNGGDDEGFADETAFSAFSAVPDMTMYAQIGHTPTKSLSSRAPPN LASSYTPATLRRPMRQDESSPTPRREQHDTTNIIDFTEQFNNFSTARHSYESPLRNQS SYTNSSSSSSARTPSPNKHRSFQVPSSTRMSNLLDFDIPPAPTPRSMPSVTPRELEGL KSALLSEISSLKASLSGKEAEVVFLKSAIGDAEKRAGDGMEMLRDERSAREQLEAEKE AWEKRGREMEGVLRNVKEEIVHAEREREDLEGRLDESEKRREAAEGMAQEAESKMAGM RAVAAQSAAGSGSPGQDAAKRAAGASAAHEVEFAVEKVARELHALYKSKHETKVAALK KSYEGRWDRRVKELEAKVEDLAHENEDLRIGRDATMSGVVPRMPVDDVTEELRANAAM DAQIVRELEARLEGLAQEVQSFKADNAGLREELQQERIEKGELVAACDELLALQEVSA ARGPAVSSGVENLRGSISRASGLRAPNYSAGGAGESKIRPPGGGGGGAAAGDRARSGS GAQGRPGSGLGMRPGSGLGMRPGSGLGGRSGIMGSIERMGSYKGRD VE01_04669 MNQAPPDMYYSTHMSAGQAPAPQTVTSGGLGHYPHHQQPPSLQP VPGQYSAAPGSYGQYGYSNGLTSPQQSGQVSGSLGSQPNVLSLPSLTAAQPVMHGQGY PQQQQQPFDSTGQIAPPGMKPRVTATLWEDEGSLCFQVEAKGICVARREDNHMINGTK LLNVAGMTRGRRDGILKSEKMRHVVKIGPMHLKGVWIPFERALDFANKEKITELLYPL FVHNIGALLYHPSNQTRSHSVMAAAERRKQEQNQMRNPQAPNLPSMQSHHHHSMHNSG GGSLPGPQHSVSGHQGIGGRPDIQRSHTFPTPPTSASSVMGNMGTSDGSFQWGGQNLN GVQGSNPLAIDTGLSNARSMPTTPATTPPGTSIQNMQQYQQTTQSYDTSRQQMYSAPP LQQSAYPQPNGAQTNMGRYNQTGAYIKNEMGPPSGRAAGSVSDGEHHDTKLPNGLIHH SQGNDQVSHSQPEEEAEHDGDYAHDNAAAYDANRGSYNYAPGPPVGSITGEHAHISPE LTGSPNHNGGSGHVTPRTATTSQAYYSQHQGGYSTPPRAQPPSSNLYNVMSSERGTAN GSSTNEMYGNQSDLGNPLTNGFAGQPLTNGATPSSKRSRDDDDDQRPSSRDAGGETDG LKRRRTIREDSAPNLNYDAPLNRARATISQRRR VE01_04670 MPPHAQDALQHRSLTDPESFWSEQAAQLTWHKKPTRALTLTQKT LKSGISHDHWEWFAGGEISTCYNCVDRHVEAGNGDSTAIIWESPVTGGKTTYTYKQLL DEVEVFAGVLREEGVKRGDVVLLYMPMIPATLIGTLAISRLGAIHAIVFGGFAPAALA QRIEDSKPVALLTASCGIDGSKPAVSYTPFVREAIALSSHKPSKTIIWQRDQLRWKLD KPAGERNWQRSVRSARFRGVKAGCVPVKSSDGLYIIYTSGTTGLPKGVLREAGGHAVG LHLSISYAFGIRGPGDVMFCASDLGWVVGHSYILYAPLLTGAATVLYEGKPVGTPDAG AFWRIIEEHKVNTLFTAPTALRAIKRDDPENARFREVGERGGLKSLNALFLAGERSEP SIITMYQGLLKQYAGKGADVVDNWWSSESGSPISAISTFPNSGTERNAKTRVAPLTVR PGGAGKAMPGFDVRVVDDEGVEVKEGTMGNIVMALPLAPTAFRTLWGDEERFYEGYLE RFGGRWVDTGDSGMVDGEGYVYVMSRSDDIINVAAHRFSTGTIEQAISSSPLIAECCV VGIPDSLKGHLPFAFITLSTPSHPTSATPSPAVFAEIQGLVRSQIGAIASLGGAIQGK NMIPKTRSGKTLRRVLRELLENAVHGEFDKAVKVPATVEDAGVVEVARARIREYFQEG GRKHKAIEGRAKL VE01_04671 MQFSAAAVAAALVATVAAHSNVTYVTEVVTAYTTYCPEATTLTY NDKTYTITEATTLTITDCPCTISKPVTVTPVVVCNTCVQPAAPTYVNSTTPVVTPVVP VVPVGTEAPQPTQTPFKGAANRAVVGSAAGLAGLLGLAAYIL VE01_04672 MNAWETDDEYASAAQRPLPTPVDTPSRNSSRHSRRSRRKKSVSP VHASSPGPIPHADMHNRRESRDVSQDENISILDPRRFTPTLHANLVAEILNLRRDQEE KIRAIEGLEETLHASKGEVEELSSSLSTNAKENRSLKRQLALLEGGTSNALGELARER DEAVESISDIKKRLDASQKKVRAQEVDSDRVHSLWAQDKDSWDDERRKLERKVHVAEG RLKTVLDEVAAYQASHPIQESEAEELGGHGSDTASVRSMSMTNSVRFSMLPNGSKLNG MSLADELDFGDDDELSQMYQDGRESVLSNTHGRESVLSMQGRESVLSTTHRRNLSRDS MISRNHKHSQSIESVRRPGSVARGRLLANQSVLEKLEGRIAEGDETLVLSKPDYVDSS TQYSPPISASSPAVLPDPAAEPQPRQVDNVQGGETEANQRRKRVRASTPVPQVAPPNA ADTMVSSACQTVDEPLSPPRTPTTPIAVSEVEKECMISISTQTEGEWALVVKAPPVPP TIPQISIHPPTSRPATPKESLLPQYFKDVACQVSMQVAAPYSSASVQTEEIRVDKRLN LLPLHLQPSSISSCPPSPEPSAQPTGFFTPGVVPPPRNPRRKKSNQSIGEPPSSPPVP MRSPPPPPMSAETHDSYPGNNDDGPISMDKGIRRPPRISSLFAGFENVSSDDADDFAD GDLSDSDFRTALSAPRPRKSLNRGKRNSTAPTSVPEDEEPVEEAVSNHVKKQARQAQG GYDANGEVILRDNSNTSGVKSAVTRTIRQFDKPLSLVMPPARPQQTTMRRAALISNGI AAHQGRSRSPSLPDAVKEPPFPIPTRASSRKPPFSASAPSDGSRSPTREPWSRRSSVR GHHRINSIRKVRSAAALPDVGGRSRRRGSRSPPPFSPSTEAPESPQLPPMPNNEITSP YMAHRDNRSSYGYSTHRKQPSNTTANTFNTQQSAGSSVQATSVVDAIAQTMVGEWMFK YVRRRKSFGVPESGALDSDASNGVRHKRWVWLAPYERAVMWSSKQPTSGSALMGKSGR KLTIQSVLDVKDDNAAPKGVNNLFNRSILILTPARALKFTATSRERHYIWLTALSFLA HSSQAIPEVMAPHPPPIESGNFDILRQNGARLQKQPIRDSIRVAKGRTILSTQSGPTT AQSSIHRGEYSIRTDTSTRGGASTTDHSVKDIGGSHGGDGFVPDAADPPIVPRFTDRA LISGIGHGRKRSNTGSRIPPPLSFRGFSERGAMSTGGSSNGGGGGGGFSNYGHAPAGS TAGMSVGTNGSSDIYTASQRSSSVMGGNSISFSNGLSNNSNRTSIRTSDASSRPGAVV NNFFEAVGTVRMEAFISPLAFPRSDNDYPVQIDDLGMGGGGSTRSGANRRRSKERRRR SRNRDSYYGRGRGAYAATTDVEDWYGSRTAGEEEMRGGGRDDPFRGF VE01_04673 MKEWSRIPVSFLNPTAQRRPIAQPSTRPNAPGLDDGRPSTQPPR AKTEADGSQRVQDVHHLWRSRDNRKGRHAIAVSPAAEGQFLAPPPSNTLRPILHNLWR MLTYYPVWDVSYMVAQWFTWGSVVWVINSFFVWLPSVRPSSAFPGEITNGGGITAFIG ATIFEIGSIFLMIEAVNENRTQCFGWAFEEALEENGLLRLRPSSTNCTHHHLNKKNLV GNRKIPPGQPPQEKPFAPKKFAEAKLLETWVWFPSRTELRHHYLREIGFLACLAQYIA ATIFWIAGFTALPSIQSSLSPRAVDGAYWAPQVIGGSGFIISSLLFMLETQERWWKPA PRTLGWWVGAWNLVGAVGFTMSGAFGYWHGSKGEYEAGLATFWGSWAFLIGSVFQWYE SLVKHPVERDRDAGKDVVVPRGVGEVRRDVEGAEEGDTE VE01_04674 MKAQLETNAPTGGSPPNGIKEMSEMSEMWIAAEKAFETICHQSL QRGDVKGFDDVQRMIEEATTPSYSIDAEQKDKWEKAKSVGLESLKYLKMLVGAASLAA STIPIPESVANITSHALYFVFNIPEAIKGYNDAIGQVFGEVSSALSQFHIYTSIENVD PRPVKKIHLVMISFVKLCAHVVKYRQGSRRNRLLQQVKSIFDDDSGLRDEMAVFKQAQ KQQRIVEGTVTLAVVVESHRNISRVLEDLIVFRKVNEEMHQVAQETQKGVQSLNADAI RIKTLIKIRDTLGVPEKVRLDSNTTQTCTNISNKWVKDTGSWIWEHPAYTAWTTPNKD KDISSHILLLSGAQSSGKTTASALITKRLEEQKGRIYVAHYFFPASIKKSDDDNSPVQ SALKYMAFQISRVDVTVQKELGKACEAGPGAFRRSASLESLDTLWEELKIGSLGSGAV YYLVFDGLENLRDEQAKELLTFVFGPKIAGDSSRRVRVLLSGTNELFDTKTNVVESRS PLRINMEEHNGSDMRIMIENALAKEGVLQHTKPDSDQQRARDKIIEKLPQNVSGSYSL LQFGLNDVIRLLSTRTAVEDLDHMLDHSMSSHEAAIKKLQRSLTLDEIRELNELLKWV LFSNETLTLDNLEAAMFLSSDTESLASLQYIITNKYSAILKLEDDFVYGQDGVQAYLH KEKDTSSKFKDSATISMTITINNVDQELCGHFLWDLAHKAIREKFNFNFDAASALHSS SQAVIAVDEFEANHTIVKLAFKYLEKGYRKQTKDIGRYLVCWLPYHLNQLRCLEDKDM GTLTPNEKLDIGRDLYKLFKDDQVIRRHRANFEPVWWEVEEMEDVQKWLTDSAVVRRL DKTWLDEVHTATRPTRGFLKELVKVVVEGFLREREWEVASAYYWIERFIDLDHKRNQE TPTPPISDAASSPGSATNYINWDSISIWCQSFLGLQDTELNSLWYERLAEAAATQQSK ADTVLLLYQRAIEKENPSWLCHRGLGMTHFGQNQTQKAIKHMELALKEAEEESATPKP AAKDVDELHLLLGQYNYEAGNAEIATTHYSVVGESEDLAQAKKGQLGYLKAVLKFQDA EGMRELLKTTLATEDGKGRMVSILKMIARDADHDFLISKMFTVAKGHLDLLKGIVCTM ETATAIPASKGDRIGEMAQYDRFDEDEARGVLLCDQGFAAYTYGESLSGIKAVGDALQ LWRLSRNLLSQLGGRNAFIAEKHATRALAQHYFQSMVEGKHLGHIDALTELAEAKSGN FSNAVGFLGALYALRDEKAQAREVFMQRIRVALQILSDDIPENDGDGFWVIFNTLNQY QDFKNAAVALSILGQPDLVTEALYFEAEDIVRDDGMNRERVLELVTNMAKETIQAAKI QFPDVSQQLQRINAAREYVDNLAAVAETGSTPDADGGHGEELQNEQGEQTGQDGETTL AHSLLYNKLFNLQQEYTLKVDPNSFPVTLTCDGRTPDGKHCDIVVDFKHEYYHCMYCT NLGFCRECLKRVRSPDSGADIMVCSAKHQWLIIPPQGTDMYVGLRAKSVRVPKEVRAV EDDERVWEICYGEDGDGEDITVEAWKEALAADWGISLQEIREETSRQATPDEGEEESK QEDKQEDKQEDKQEDE VE01_04675 MACKHLESLELRPPGPNQAVYREDCTQCFDSIDDSTGLDVCLYC FNGGCTGDRNHSKLHYARSQHPLVLNIRRTKRVIVRDEPRQKMSKLAITAETEADRFD THLVVRCYECGIADVDTTSALIASVVGGVMKANTFSRQEEVKAWEQEITSCEHVLTLA QEPARAIESQNLGHCSMCNLQENLWLCLQCGNLGCGRSQFGGVGGQSHGLAHADASHH AVAVKLGSITPEGTADVYCYQCDEERVDEHLGKHLAHWGIILAERQKTEKSLTEMQIE QNLRWEFSMTTEDGKELKPLFGPGLTGLMNLGNSCYLASTAQCLFSLPQFQKRYFHPN EAPSQVQEPAQDLETQLRKIADGLLSGRYSKPDSDVIASEYSAEIPCQKGLAPAMLKH LVGRGHVEFSTMRQQDAFEFLLHLFKLINRSQHPPALGDPTDAFRFVMEQRLQCLSCK KVRYSTEEQDNISVPLPVRKIERKAGEEPSASAGEYEPVTFKECLDSFTAEEVVELTC VSCGSKDGFTKRSLFKTFPAVLAVNARRIMAVNWVPTKVDVPVIVGDDPITLDSYKSL GKQDTEELMPEDAASAQPSFTANPEALANLEQMGFPAARCEKALHATGNTDANAAMEW LFAHMEDPDIDEPLVLASSAGPAAPVDAEKLENLGNMGFSPNHARKALKETGGDMERA VDWLFSHPDDQGDADEGGQAADTGRSNETPGSDALPARFELQSIICHKGGSIHAGHYV AFIRRQLEQEKAKSWVLFNDEKVVEADNMEEVKKFAYVYFLERL VE01_04676 MFQRAIATAPRAAARALSTPTRAFGPSSARIATPVIANRIANGR RQYHEKVLDHYSRPRNVGSMSKADTDVGTGLVGAPACGDVMKLQIRVDPTNNTISDVK FKTFGCGSAIASSSYLTELVRGMTLEEAGRVRNTEIAKELCLPPVKLHCSMLAEDAIK SAISNYYTKNPASKATNLGGTGSSMSKIDMETVAQGSSATA VE01_04677 MDATGTASSTKQQSLLEKSAHGATFLIALQIGSRALTFAANQIL LRFISPDLLGISTQFEVYLISVLFFARESLRVAIQRQSEVSEGPGEADKSIQDGEKSE RKRKLAIEKTQALVNLAYVSICLGIFFAWGLAWAYVRSLRSNPKVLGTLYFKETLQLY AIAAVFELLAEPCFVVVQQKSEYKTRAFAESIGALLRCVVTCASIIFASKTGLDLGVF PFALGQWTYGLSILLCYLWRVSAISAADKFSLLARPIAPSSNETYIMSYFSKPLVTLG ASLFVQGVVKHILTEGDVLLISYLASLSAQGIYALASNYGGLVARMVFQPIEESSRNY FGKLLYSTNGQRSSGTISSARDDLHKLLRIYTLMSISAMTVGPTMAPLLLKFVVGSRW ASSGAGDVLSKYCYYIPLLAYNGVLEAFVSVVATESQLNRQSLWMLAFSVGFASTGYV FLRLLDLGATGLVYANMANMVFRILWSYNFINSFFRRHNSQLNLGLILPTATTVAAAM GTIAIYYHQSSQEGSDIKNFITTTVIGGSFFILLVLSEHKFLLQCYRSVRTPPT VE01_04678 MDSSLMFEDSTTIEHMSTSQCMELEYSNSLLKQESIAKDENSRR LQLQILLLQDDNDELQRQVAMESERNTQLAKENERNAQLAKENERNAQLAKENERNTQ LPVDNDQNTELLLEKERNTQLSIENERNSQLLLEKERSIEKLTAETERITRQLALEKE RSAKKLALEKERNTRQLAIESERSIHKQSLGGPAPIIPDETKRNVRKKSSGAPAPIIP DELEHNVRKKSSGAPAPIIPDEAEPKKKKRKVFGAAKTIFDEDYNEADKRPARISLAP ALAKPGGNLAFLRKSGAGIANAAFSPLKKDKRGGKAGFLGV VE01_04679 MRLFGDLIFALLLAPCLAAKKAATDRFPDFHAKSLASSPLKLTD ASYGRLTKAPRDYSVAVLLTALEPRFGCVMCNEFQPEWELLGKSWTKGDKKGESRLIY GTLDFADGKDTFQSLGLQTAPVLLFFRPTTGPLAVAGNAPIKYDFTGGHQTAEQIHAW VSRQIPDGPHPAISRPINWVRIIAVSTTVLATITFLGVAWPYLLPIIQNRNLWAAISL IAILLFTSGHMFNHIRKVPYVVGDGHGGVSYFAGGFSNQYGLETQIVAAMYGLLSFAT ISLALKVPRISDPRTQQVAVIVWCGIIFVMYSFLLSVFRIKNGGYPFWLPPFS VE01_04680 MQHPILSSHQPGTVARRDERAGLQDSTRIASLPEPNHFSFPSDL KRVPRAPTAVICVPEWLIDTKYKLFKKGQTVIDLGYAPGSWSQVAVERTKPNGRIIGI DIIPAQPPKGVSTIQGNFLSKDVQAEIKKFLNDPHRGRPQQQMHAPIAQEPGVDDPQE DVPTADKGKKLKDESGKTIDIVLSDMSAPWEQTSGFWKRSLSNPYIRMMNTSGIPFRD HTGSMDLCNAALEFSYDTLKTGGHFVCKFYQGAEDKQLENRLKKLFGAVHREKPESSR SESKEAYFVALRRKEAAKYADIFGGDGV VE01_04681 MDAKLDGMLPRWGSRRQGWVGIKDEQAPLWWVQTRELVVKELFA MDEHEKYNLLDDAGREGEPAKERTRRGRLAAWVALPLVIIAVIYAAWALSVGIQDESG SSWAQRFGGNGHKEIREVGKQEYLIGVGKGDITGPVVELNFMGYANTAQIGTGLRQRL YCRAFIVGDVNKPADRFVYLITDIAMGDTAIRYGILQGLAALGPEYAVYSQQNVAVTG THSHSGPGAWLNYLLPQITSKGFDHQSYQAIVDGGVLAVKRAHESLGPGYLRTGQTKV FGANINRSLFAYLANPAEERARYNASSEDDGSVEKDLTMLAFQSTAGKDLGVLTWFPV HGTSMLGNNTLVTGDNKGVAAYLLEKSIGGDFVAGFSQSNVGDTSPNVLGAWCEDGSG QQCSFEKSVCSDGKSEQCHGRGPFFREKDNGAKSCYEIGRLQYQAAADLYSKIKNGNS YIVGSSVKSYHTFNDMSHFKFPLANGTVVETCPAALGYSFAAGTTDWPGLFDFTQNDP GEPDANPVWKLVSGLLKAPSAAQKACQYPKPILLDVGEITVPYLWTPNVVDVQSFRAG NFFIIVSPGEATTMAGRRWKSAVHDAAISMSLTGSASSVEPIVVIGGPANTYSHYIAT IEEYGIQRYEGASTLYGQHTLNAYINLTLTNLPYLAPGATDHPAPGPLPPNHVNSSVS FITGVVYDNPPIGKKYGAVKADVSTTYTRGQTVSVTFIGANPRNNLRLEGTYAAVQKR DAAGAWATVRDDRDWSLMYNWKRLDGLLGTSDVTIVWESEANSEAGTYRIKYFGDAKA PIGGKISAIEGTSGVFTLA VE01_04682 MQSASTESRKEGDISSVFSSLSGEVQKPLPQRFAEQKQRLINGN EDAVRHAWNTLLPQLRREIEEIKSTGPAIVPELDFKDINHPNTQQDFAKRLKKTGVAV IRDVVSQEEALGWKAEIREYIKQNPQTKAFPPDDPQVFELYWSRPQLRARAHPNLLAT QSFLMSHWRSSSPTAPLSPTHPVSYADRLRIRNPGDNRFALGPHCDGGSVERWEDHGY GLGGVYDAVFRGDLAAFDPWESSSRLEAVSDNYGGSGACSMFRMFQGWMSMSTTAPGE GTLRVNPLFDRATAYYLLRPFFEAVRGPEGMARDDFLAVNNWRLKREQDSTLEGAYPS LCLELNDTLHPHLELEKSMINIPAVRPGDYVAWHCDIIHSVDTSHTGTTDSSVLYIPA TPLTPANAAYLARQRANFLKGIPPPDFPGGVGEQHHVGRGSEADLAKESKEARRSVGV EKWNVEGSEGVRKALEEGNKALGF VE01_04683 MSNLPPNYELADELPAVFIGPDGTIEYPSLIPPHVEFPRTTPRG THIQRPAKGKAPAGEGRGVNEPFPDQRLCDASAHDTSLPHRPLNQEAGGVGETGSEAL GNAPGAVPPPSTYLNHRPSPVTGWRTEQPEPPRTYRASHGRRATEGSGPTGLVLTPAA NGVNAADSADTSDTSSSPSSSEESSSEDEEPQGVLMKAQSWVGRGRNEADSDPKSPRR SSTSAKARSRYRKFNLGNDKYKSSGKVSKNDGRLKISVSDTSNTGYLAKALGATFRRR VGLEPEPGRPSSSGTELSSRHKPLATCSDWSYLKQQKKLSLNVVIMVIGSRGDIQPFL KLGKVLKENHGHRVRIATHPAFRDFVEQDTDLEFFSVGGDPAELMAFMVKNPGMIPTM DTLKKGEVGRRRSAMAEMFEGFWRACINATDDEHDVRNLKMMGTKGPFIADAIIANPP SFAHIHCAERLGIPLHLMFTFPYTPTLDFPHPLANIKKTNVDPGYTNFMSYPLVEMMT WQGLGDLVNDFRVKTLGLEPVSTLWAPGQLYRLRVPYTYLWSPSLVPKPKDWGPEIDI AGFVFLDLASSFEPPEELTKFLDDGEPPIYIGFGSIVVDDPNRFTQMIYEAVEIAGVR ALVSKGWGGFGGDDSPENVFLLDNTPHDWLFPKLKAIVHHGGAGTTAIGLKCGKPTLV VPFFGDQFFWGNMIGKAGAGAEPIPYKELTAEKLAEGIKTLLEGKTQEKAEEIAKSIE NEGDGAENAIKTFQRGLAIRNEQSMRCSILDERLAVWTLKKTNLRLSALAADFLVASK KIKWKQLRLLRHVEWNDFEGPGEPVTGIASTIAKNFTSAATGVASIPFKLAQSANKRK HHEEKRERKERRAIAKEKRRQMRSASVQTDSAFAPGHLNNRAGQPQSQQGEPLEAAVA RRDLLPFNQVPNVVASTQSDRAAEQIQGGRPEGSQAGRDQSVTDNDSVLTAENPHGCA DEIAGDITGGLRKSGRAIIKTPIDLSTAVAQGFHNAPRLYGDETVRRPTRITGIQSGL KAGGKEFIFGIYDGWTGLVLQPYDGAVRDGPVGFIKGTGMGLMGFVLKTLSAVIGPFA YTAKGAQKELHKGQQPTQFLRRARIIQGQRDLVALSDEKRAVESKRVAHGWAVMEELW HEMAEERRGGLFGHIKAVRERKTWRMEVRFDNIFMAEKALEALHRGDGECLETIFEQQ RRQMEEERMFTRTAARRDRDVRRDRATRARRVTEFLAREEEQRKAEGLGGVGPVIERP RGHSDGDAETSQNLRGTVERVNIGEV VE01_04684 MGCEAVNENCFQESPEFRPPEVGDQYNNSDECSIHSLKKDVDSD NGKPPVKTHGCDIETTGVINPSADGNMHDDPILVVTPEWQRRGWLLEVSQSAEDNPES VNSFNSDNESDGGSWTFEDGPDANLKIHELQIQNMTSICEAMEARARTAEKEISDNDK AFKEQLNDSYFKHAESMQAQQDQFDTERAEALNIRKELLRENDHLKVQYRRACAQIKD QNDEINELNARVSGYIEVLKQVKAPDGSVYTHKQRQGTIDDLKRDANAQKEFYKNQIK TLENQVARKTARLNKGTEESRNLQQKLQSLSTELSDEQQKFRDIEAKLELERKSRLDM EETNKKNFETWGRDAQTALVNRDERIRQMENMIGNLQAHLVTAQQSYAVVVDTATNYH RAHEKLLQENRELGVQHHHAMELFVNDHKSVCDSHSQNEAVLREEIATLQKRLDLMGD NGSLEKSQCKATVDAMKQEISDLREELLAAKHMLVKGDKKHIALLPGDPLKNQVGGRW APEGLAALTEAQANAMRMRLDKRKGIADDIIEKRSCEAAKDPAELPGSEFLKLQATFR KGMAEAEMDQDPKMKPTSKSEQSDQPTQPSKPTPTPKAVHLNQSTQTPKPKPTPVVVH SYKATQPSQQPKPASVPTPSHGQPLKVPAASKIPTPAKTQPRNLVKETLPVEAPPAYS RSFTIPHDAPYWMKAHHAPAIASGVVAVASEEVESGGPIPLSIFEEQKLAAQGNNQA VE01_04685 MTSPHAASDEAVATMVTTAFDGSPTNIELTAADNAVPGANDIPA TTAREDANPEYAEQVTSIEQLQISADNDNVEPNVESAAAQTQPIQIKSDLIMEPAIPR TPENNDDDTEYPRVPLGDYEQLRALSHMLQYQAPPGLAEALNASAMATLAGNDISMDN TNDLNGPYAEMSQPTRDNDETRISAYALLEFEDGQFYMNTYSVILGRDRQAARNAMRR DAEEAKANMDEHSIVGEPKTPVRIKREESKYTKSVISESGGILRDGDDSDSDERSRRR MHRKASKKSKSTGSSSIRVSRRQSSVQPSGKIEYQAQPQARRHAPETAGAVPVDPASL RPSPHDCPLVGIHPPATTPASGYKAISRRHVKIAYNSKKSLFEAEIIGRNGAFVDEVF YYHEDVIPLKSGSYLQIGGVVVRFVLPDVALGETGAEIPAEHGESGVQDRYVEGGKEM SFDFDDATREGALLGDSSDEVSDDADRPELGIEGEDDEEGDGDELGEQQEGSDIDEDN SELSEVPDEAEDGNDDQDEQSREAPESMPMPQKKRGPGRPPKNGIMSKREQQLAKKEA QQRAAQKTVSQPDTSGKNKVGRPRKNPVDESPVKTEKRKYTKRKPKDPDALVAKQEGS GEEDRPSKEKKEKKSTKPPRSPSPTFNEADLTPEQLAKPQANYVTLIHEALSNSPTGQ MSLPQIYRAIQRRYPFFVLKCNTNGWQSSVRHNLSQHHAFRKVERDGKGWMWAIVDGV SIEKEKKRRPTPPHQLPPHLHQPIYRAGPHPHMMHGPPGMMPPPGYAMNHMPPHLRPG QPPQYAGQPMNGYPLPPGQHSMNGGAPPPGFPSALPTAQPMGATSNTYSSPYAPKPPA NPTQQAPQNTSHNPSQAPQSVPYQTPQQPRPIEQGPPQPDNAMWRAIDSFRTNLVATM KPKNNKAEALVASSINRVMGVTSQSTATVVESDLKLEDQIMAALKSMLKRFPEFNNGT FQQPPAQLGTHTMQQSTQQTDAAPRQALAQLTPAPTGNAAHAASDVSVAKKAPTPGPA VQRPTFIGQSHNRPNGPSVPRPPMMTPGMTRANSSSSANPSFRATTSASPAPPPAAST TSASGDLPPHQVQNVPGTESNESHQKLLHQSAVNTESLGATAQPQPIAVAHQSSEITT QQPGSSNPTTNTNENGKRPLDEEDLAGGNEFKRLNASGPPALKT VE01_04686 MGRVNRKRAQKSLDARHRSTRFHRATRFRAFRSVLKGGERLPDG FMKNLRWSTPHLGHHREASQKNARLLPKGYLTNHAGAPRYVPVGNRTPQNKQKASKSS LFSSRKTRVRRHNARNCSLPHPNYMGISPAILLEMMVKVAKVKWKPPTVLGNGRTKNS IMLQAAMSKDSPTMVSVKNIEGIQHALSFLRNLPENGLPTFVQRNSVLKRFIRGMETH NTSAASGNSQKPKNDLGIIEQTVYQLQRRGLVKPYLDKLTAYFSEQEDLSADAETLVQ KVLVDATNSAALTPCPREGEVDMTMVDSNEQNAPKLHLDTSQKRVVISLQGSRCNPIN LENLGLPRDLFRGLPNPFLDRSSNVTAINPINISQGQPDAALGKWPRIVFTRNPELLD LCPEEAAMHWRFDRTLYVRAPEAAIAAIAALPPCHYAPCMYITCENYLKKRTTSPFRE SPMLGGPGGQYITTPFNFGDHVEGVQFYQAAINAKRTRQLELERQKTAQKAAEDQKHK SPIDRYSQALQQSRLAEGHPNWHDLPYPAISREEMSSLELELTEADKSVAHRMLMDEI VKDIPGQRKKLEEYDIRTLFPELQPIQHEFEENGYSELRAVKNEPTKSSQKSIAKDHC SKKGQSGHGLKRRRAFPEEEPRPQYSNFELEIDGPLLNGGPIFRPRSPSQMSTSSESD VFVDYEEEISLVRSSAPKGEAEPHPEIYRDEVMLRHQLASGPVYTQNPNLYSTYSSSL PKSTEYNQYPTRTNRAIKEPISIFAGETTFSNLTIADMQPNSMSGTGFPSETSPQAAL STDSILFSGSPFAPTSLAGEPMVADSKSCPKCEKPYKYKGALTRHMQNCQVPGIPEEY KSCQFPGISEVSPLPHSCPTCGKIYKKLGNLWPHIVSCNSKNNKFQTTEFPKQDIGEV KARGSLVPFPRDNARTSSGKPFCEACRSEFTSKRELAKHIKAECAALRAQGSYGRKNN KQPGTPLALSFKEPMYASDFESSDSESVAEMTSSIFATSVGKYGQNSVRAERQSTKGD ASGMDCAVRKGSIAEQMELIYGPQSNYHTPTNPMSYYSSNIMKNSTLGDYSGTGGVRN GDDSDMDASPTPETKSHNIGKLSRFASTVRPRRPPTRIGMSPGSKLTVDGETPTSVSR VRALDPGLSELHDTKPQPPSRRLFSHDTGVAGMSSPLLHTNQDEQAFKAFDLDFPPLP TRFSPHKDPATHRSRLSKEFGPYRSKLGSFGSEVITVRCSTQIVCDKVVNFLGKFKAE DARRQIVSVSGENGFTAKVIVRPGFDITEVKFEELPGIFVESEIKKFIFAQTDPETLG ARVGKDTGEKQELELGTPGEKPVDRTRGWNLRGKHGEETRRQKRRR VE01_04687 MPFVTLFNGQTDYFEVDDYTDPWNRDEADIVIFQPGILRHTDFT YHLVPLMCRDVRFIRRDLRGHGKSSKGDAEGYQYTLTTLVDEMADFVDKVAGRPVHWI GESTAGMVSIAFAEKYPDKLKSLIIMSSPLTLNDTFKKMVSQPYASQAEAMRKMGLVE WQKSRPVSKLSSNPNAPNTNEMGRFSGEKYLKWYEEMLDRHDVEGVALYCAFIADVDV SYCLENIKVPTLIMAPRHSMASPVSLNEEMARRIEKSRLVIIDSVGHMLYIDEPEKTC DAILGWVTDIRK VE01_04688 MTTVDIDGTDWTKISGKVIVITGGASGIGKAAVEFADECGAKVV FGDVNRVSGEAIETVSKTSNLTYVFCDVTSWADQRAMFEKAFKLHGKIDVVLANAGIT ELKDIFSDELEQDGTSLAEPKLHVLDVCLKGLVYTVKLALHYFRNHPSGQGFGSLVMT GSAAAIGLMRALKSQAIIEDSWTLNTVAPWMTLTNMAKNIEEFWKPLPTNTPLSVAKA LLLPATDKSINGKGFFVGGNEIVEVEDALDKAQPLWLGEAMSKSVDEGQVRLGCKDVK PGAKGQALFSKDFGN VE01_04689 MSAEIRKPSINTKPYDAISVETLKGSLAGRVVVLTGAGGGLGRG ECVAFAKAGAKLALLDIERAASALQTTVDDCVALGAEVKSYFCNVMDAVESRKTLKQI VADLGEVDVLVNNAGGTIDRPFHMETFDQFWAMIDLNFKAPMLWTHMLIPEFRRRGHG RIINIASRSATVNSPFSANYAAAKAALVRANGCLQFEQDMDGFHDIEIYSLHPGATKT GIQKALDQDVAEAYPEGAAAYAKFTKAFKCEPALCGQTCVFLAAGRGTALKGRYFDCE QDIGYVASMGSVIREQGLYELKVEFLGGLPNDGGTMPDSMLNEL VE01_04690 MTLNESAAAHAASFLYPHGFPTAPSPIKIITLAAVLIFTISFFV RGKKTKYSYPPGPKGNLVVGNTFQLDPRFPGAKFASWGQEYDDMFSIFLGSTRWVVVN SSKMVRELLDRKGKIYLSRPYFPVTQEILSGGMRIVLMPHSERWRNLRKIMHQLLTAK AADSYKPYQEIESRKLIWDYLKAPELFYLHGARFANSVIMSVVFGRRSSMSEANVKEL FSCIDEFMKLQGSPSASFIDGFPFIARWLPVRLQWYRPKAEKVFHETLKVYENFFDDL QKRIDQGENPECFARSLVDLSKQYNFSDEQQYFCAGTIIEAGSDTTRNQINLMLAAAA KYPEWVKKARQELDSVLGDAKRLPDFDDWESLPYIRAVMKETLRWRPNMIASGVPRVL VEDDVVGPYRFEKGTVFTWNHYGISHDEQEYKNNQVFDPDRFLNEDLNDMLKGHWGFG MGRRVCVGWHVGSRNMFISFSRLLYCFDFAEDPSEPIDETRIDAFAHKAAPFKLNIKP RSQAHADLIERECRVAGEAVS VE01_04691 MPSAINNSSSTHEPQNDDPDSTTYRQDLHPIADDPFEQHDVVVV GAGPSGLFCALKMAQKGIDVLVIESGSAISESPRAIAYMPIVLNEFEKVGIFDDLVEL GHQNNDGIQFRTPHNKGDELLTTVKLNLVPKSFKRYPFIGINTGQDRLAHLILKHAQR LPNFHVKFSHTFAGVQQDDNGVRITAVTSRGEKYFAAKYLIGADGAGSSVRRSQCIPF RGFTWNDFRFMAVNIEYDFASHGYSTGVMVVDEEDWAVIARIDKEGLWRVAFGVRCSI PEKELLAQLPEKLERLMPGPRPLNYKIVAANPYWAHQRVAEKLTSGRVVLTGDAAHSN NPIGGLGLTTGLLDATALGNCMIRILTKNEPDPAALLERYSTVRKKAFVEYTNTASIE NKLRLHCQDDEFKTKRAAFIKNITDDPEATINMAKAMNEVMDDDFEE VE01_04692 MSNEIILDTSIFADLRGKNVIFTGGASGIGREAVIIFAKAGANV TFGDVNKVEGDKLAAELGSHVQCKQTDVTSFQALKDLFAAGAEAHGPADIVCANAGIH EKEDWLSEEYLEKDCAWPSMQVNYVGVLNTIKLALLSFKRSGKAGSIVLTASVSGYMD STPVCAYTGTKHAVVGLLRSFKTVAPVYNTRINLIAPWMTNTPFAGDTAMKMWGELPM NSPEGCAKAIVFAAINPKLHGCGIWVGGNRLYEVEEGYIRTRPDWLGQEMSDNFQKGW DRLSAPYGKNGALSTLH VE01_04693 MASLLSTVMEFGKVSVTTHMPQTAIGMLLVFTLTIWYFTDARRR KLPPGPPGIPLLGNFSDMADSEKVRSKVVEWAQKYGDVVYTKIAEVDYIWLSSPTAVK DLMDKKSAIYSSRPKMPFAMDVASGGKRQLLMPYNNEWRNIRKYSHQLLNLNASKAYQ PIQDYESLQMMYDMLKVPAEFYKHNQRYSASVIMTVAYGIRVPTFDSPIAARIYKVLD NLTEMSAPGAQAVDSMPSLRYLPQFLLGNWRDKGRRYFEHDSDVYLTMWNDLKKRVDE GTSKPCFCRDFYLSDPAKNGIDDLAAAYTCGGLVEAGSETTASSLNNLILAMCQNPDV VKKAQEELDRVVGNTRMPTWDDESNLPYIRAIIKELLRWRPVNKFGMFHANTEDDWYK GNFIPKDSVVILSWWAIHYDPKRYPEPEKFKPERYLNYKLSAADAINLKDPLDRDHFS YGAGRRVCPGVHVAERSLYINVARFLWGFNISKKRGTDGKFIEPDERMVRGLLSVPNP FECDIQVRSTKHSDLIVNEFQVAESNQQA VE01_04694 MSESTARSVIAIVGASGTLGAYIFYQLKHSPYDFEIRTLSRKLT PPKGGDKQNHCQVDFNNVKQLEEALKGCNFLVNCMGTEGAYHAAKVNLVHAAAAVGVF GYITRQASPVRKQIHDFGVDYNFPGKHFDHPCWHEKRDHIEYSKKQGLRTIAIFPGLF QEAPFGAFGPWHGFDPETRTFVVAGTGHEPITTSSKLDIGSAVARICHLVLSTEDTKD KPPTQPLAGKDYIRIASHSITPYEVIKVIHAHGETENKTSGDDRSKDWKVDALTTEEM RAKFQSTMKLVVMDDVARAALGPEFDIEFANEIAGRVFRAMGDGSLHFKTNDNELLNP GQKYWKWRSVSNFERDTIPKALF VE01_04695 MSGSTQDYQNIFHWAETQKDATIPSFAVRKNDPYKYQPGFDNSF ESEAIPGTIPQGQNNPRCVRFGLYAEQVTASAFVAPRHTNKKAWLYRVRPAVAHQGFV DMPDNNDTEANFLPINPRVHLSPQQVAWLPFEIPTGGKTDFISGLKTVAGSGDPTLRE GIATHMYMADQSMEQRAFVNSDGDYCIVPQQGSLDIQTEFGPLYVQPGEICVIQRGLR FRVSLPDGPSRGYILEIWGSNFELPELGPLGANGLANARDFLHPVAQYEVKKEPWEIV YKLGGKFFSSKQEHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVLTAK SRDPTAPLADILIFSPRWDVASHTYRPPYYHRNVASELMGLIYGEYGGRSDEFQPGGV SFECGVVPHGVAYEEFKAASEQTPPEMQISKGAIAFMFESSRPFTITDWAWNSDKKHE HDPKMWDNLIDNFSTHSKEVEEIMAKTRKMELK VE01_04696 MTTDPAYQNSAIQLSQPQNRVGSVSSPSDKCTPTHSPGTVQNRS PLNPSTNTNTHQTTNSEDVGLFWTESHRLIDNGDFPYDNGVGSPSILDENNFDLLSRP PNGHTMPRNSAATDIQHRSPLKTSATTNTFQPTSSDNVDIFWTEEQRLIDNGHFSFDD GVGSRVILDNNAFGDSYSPLFSPSSVLQSFRFQSASSNNGQLLSDAELLSKAPARADQ SHPPASVQSFPALGETSNSQNTSTSSAEQRLRYMNGENERSDSSEQKMPYAEKLHTIY PSICSHPDSLPTTNAFLRYIDFYFKYCMPQLPFLHQLSFDLWTQLPALTLSVASIGAI YAAEHETAFSLHCLSKRILKQFEEETAFSKSKTPLEYVQAEFLNMMFAAWSGDARGVK YASNLQSSVVAKVTHLLKDAETEVASNIKLGTLPDALHGYEEVKRLFYVVYIVSVAFS SAFGSNPLLFNHDCSTIRLPCEEDEWTQQRPGPPDALFTASITPIVFDEALSILSTGK IHDFGSFQLRILIAAVYLEFWQAACLSRVGDNDSKSPWKDKIRQMLRAWKDCFQEGSE RRRATGTSGHGALTSEPPLTFDALALYRHVQVELALPLRVFGLQLRDYDIAPEATAGA LWHLRSQVHRSLDMTEAMNYCLETLRIPLSKGTHFVARTGPLYWSVEHVPLGSILCVL LCLWTSRFNEADAAPADEMEETILRRLIDMLRDAGYRPKIHNLPSVVAEVWETMLNSS CYVWKVTPEYAKVFRSCVQIFVNTVY VE01_04697 MPLGILEPGHGRDVRGTVVLDDLAANTGVDIGLDVSVLKHGSGK YSHIILNPQPSDDPNDPLNWAQTKKYTTITTILLAGILSAAVAGPLLSAGTVVIAADL HVPISAISLLTGESLLVSGCWSIAVSILSRIYGKRSQYLFATLMSVVATIICIASFSS GSYSTLRAGRLVTGLAASAFESIAFVTIVDLFFTHERGTWISGVIIVLTGISNLSPLI AGQITNNLGWHWNLYIYLIFAVIVFLMVVFFAPETTFNSPRNAQITAQLNTEHNMDEK INAAHEEVPSITPSTAPVYKPKTYLQSLAPFSGTYTEPKRALVMLARLMLVLLNPATW FVVATQSMITAFWVAISFTLAQIYFPPPYLLNPSGVGYLFAGPFVGAILGCGIMFWLD DKIAMRLARRNGGIYEPEFRLPAFMLALATAPLGYFLFGWSIGTEKGYVVGAALYGVA AIGVCVSNAAGSSYLSDAFPDIATEVFVWSSFSKNLLFYGFSNFINDWVTTSGAQTVF YTLGGISAAMILTSVPMYIFGKKNRDMWSRWDLMAKYTPK VE01_04698 MDPGVQNHGVPATVSVHAISAGSLTLPERFFVHPADPEARKTVP SLSFLIQHMNPSTSKITRIVFDLGIRRQISAYSPEIQAHAQTRQPLTTAPDVISSLAK GGLKESDIDYVLISHVHWDHLGMPSDFKASKFGVGAGALDLLSGRTKLTNGSHSYFEA GILPPSRTFELPDPLQNDSESTPSYPRPEMFCEAWKPFHAFPDTMDIFSDGSCYVVSA PGHLPGHINMLCRISSHPLKYVLLAGDACHDIRILTGERDIATWSDERLPHVTCCIHA SVQEAEKTIETMRKVQRDGLDGGEVEIVFAHDVDWAAKSLKEGRFWPGHL VE01_04699 MASAPTTPDKSAEFEKGIKIRREVLGDAYVDKALAAGSDRFGKP IQEYVTEVCWASWGREGLTKKQRSLLNIGILMCLNRAPELAVHTRGAIRNGLTEDEIS EAIRHTMIYAGVPAGVDAFHTARRVIKEMKENGEIEFSTSSS VE01_04700 METTGHSQNLPLGDYGFIGLGIMGYPMAQNLRKALPPTSTLVIC ELSQKQIDKFILETSQHGPIKVVSSPKEVSDNSDFIITMLPKGPHVLGVFTNPTNGLL AAAPSASPKFFLDCSTIETATSLKVGKAVESSGLGRFADSPVSGGPNGAYSATLTFMV GGSTEIFELVSPVLATMGKRDSLFHCGPAGAGLATKQINNYLSAVSIIGVCEAMNMGV RYGLDPKILSGVINVSSGKCYNSLDQNPVKGVTSTAAASKDFEGGFSMELCKGVVEMA VQLGKDVGAKNVLSDLVMKTFEDASQDERCKGKDCRSVYRYISE VE01_04701 MASVSSLDKDLRKMRLDKYTPQAANEVRGWIEGILGERLAGGDL LDALKDGVALCKLVNLAVPSPGVKFKASAMPFVQMENISLFLRACQLPPLNLQPHDVF LTVDLYEQKDPTQVLQCIGAFSRVANQVQPSRFPTAIGPKSRAGVMSPQGTGTPTVGG GSFGNRARGASTTSNTSSAYNQTGRSGAMTPTRTGEANTGRWSPTKTDKLPTSPGGGT SSWSKKTDEGVTAPAWNIAQYGYMGGASQGNMGIAFGGRRQITSAGPHVPNMAEKERK RKEQEAEEERLRVQAEEAEHHRRIEREAEEERARIEEEERWAAEERKLKEKAAEEKKR WEEDERRWKQEEERRQQEEREAEERLEKETRRSRATSDARLQGQFLSQYQAERGLARR DRSKSPGPSGRVQELERELELARDRERQYERERQERVKSRDRDATEAHDLSSRLNQRE PSRTRNRSQTRPRALSHKNSEDSWVADERDYLRKEWSKQNHADTPPPKPTRSNQFAGE APPPQPPRGFQHTEEAAPSKPPRPLPEPVAPIRVLKNNTGPSSRPLPDPSVYQSPPST AAAPQSRTDRYLSSNPAPQTAQPQTTYASELGAFDSTAERDAEDRRRAASQTKTKAGG WASKSLLEREMEMERERQKEWEEGQKATAQAAKMGGQTDGVDGIGGGIGGRWDVNQWT GYTGGDGQNRGNQGIGAGRRQIVGPRPPPPGR VE01_04702 MSAPTSSFRKAWLKWKSMRLPWRKRFLVGLDLQGNTYWEFRDTL SPGRMRRIVDYPPHVQYSDVSSHITPAWHQWLRHTRQAAPTIAEQELDVLRRKRVKVL AAQADERWEAKGRLVGGPNMRQMGPGPRMGNATAAGQAETRTGTAGVGVDAGGPTMGG DAAAEGKQGKTTTSPVASGQEILDRESERMKVSGGPPPKVYTNPRVSDPEKAARREPA TPSPPKGDPWKNARGGPSEDWQPQAWSPGQATRR VE01_04703 MQPSQGYDDEELSISLAPSNNRRTQNKPPDASSGRSNRPREEVR RPTVPARKAEQRIGAYDIKGTLGEGSFGKVKLAVHRVTQQQVALKIIARKKLISRDMA GRVEREIEYLQLLRHPHIIKLYTVIKTQTEIIMVLEFAGGELFNYIVQHGKMTETKAR RFFQQIICAVEYCHRHKIVHRDLKPENLLLDNDLNVKIADFGLSNIMTDGNFLKTSCG SPNYAAPEVINGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDNIPALFAKIAKGHYVV PNYMTPGAASLIKKMLAVNPVHRVTIDEIRQDPWFLLDLPAYLMPPVEEFMDTGVDSG KAINPQGIAPGASRAVQEKLHDAVTEKLGKKMGYGKQDVQEALEADEPSAIKDAYLIV RENTIAQANPNINALLGSSPPAWDEPLSSLRDKSIATVSQNAVGSGDADEMSLRASLG GLTIQPSAEQASSRSGSINTVLSGDRPVRPFISKIGILPSSLPAYHRAYMEARKTGKD VPSSSPMVDVDHVETNRAKSSEEQAETARRLNPHSRNQLKLDEGNNKPAAMTPVPQKK SKPTKWQFGIRSRNQPLEAIGCIYRALKKLGAEWVVDENYRQVAQKKNDNERQRKRSF GSNDSDSDDGGSLHRFNSQDNLAKDFPYPPGAQYKLPADPWVLRVRWRKGGMYAPGTV PPGSTHSSQIDLRRQSLASMSSVSGSGPLNDPEEGVIMHLDIQLYEMEQGVYLVDFKC AGYETAAGVMLEEKDVTSPFPFLDLSSTLIIALAEAD VE01_04704 MFASPLKVAARSCVSKPFRRMIGTLPNNSHIYTFPKNSASHYLT LLPSDPPTPGLAIGTTAAIPPTPDSFTENPRFQTILQEVLKEHAHEDEGVLSQAQAFA VNATSQFNSGGTIFRGQSRHRRGDATHGARGDGAGGASGQGGAGGGGRGGWVHLSDSR NPPDYGRIAWPEDIFGSLEVDGQGHMLDGGNYQPSGTYRIVTREGILGLSDFLREKVV QRLRKEEEKLRG VE01_04705 MGDTTLHNAPIVLDNGSGTIRAGFAGEDLPKCFFPSFVGRPKHL RVLAGALEGDVFIGTRAQELRGLLKIRYPLEHGIVTDWDDMVKIWEWVYGDGLKTLSE DHPVLLTEPPLNPRSNRDTAAQILFETFNVPALHTSIQAVLSLYASGRTTGIVLDSGD GVSHAVPVYEGFAMPSAIRRIDVAGRDVTEHMQMLLRKGGHVFHTSAEKEVVRLIKEK LSYVSLDPKDEERGWGVRKTTDNMMVDYVLPDGNKLKVGAERFRAPEILFNPEIIGLE YPGVHQIVVDSINRTDLDLRKSLFGNIVLSGGTTMTKGFGDRLLFEVQRLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSINDWHENPDIIHTKFA VE01_04706 MKLFYVLALVTATALAAPTEVESSQVLGKRECPAPITALAAAPS STALHQSVSVTHTTTVTVTATATTANSTSLLWAASRCQIGERELCVGGEEEVRSERGQ SQAAINVSLLK VE01_04707 MAFSIAEPAPTPLPNHYTPAGRGGAGNMYKPSFSPPLTRSTTAS SSGSTLSKSSSSSSTSSLGKSSTRSSTSSQRVFAGRGGAGNVRPRAEEEPFSFAEEVR EQTRREAREKTWVVGRGGAGNYASKGDREGEKRGLLGRIGGVLSRA VE01_04708 MSCPSCPGGGGDCGGYPGGGYPGYGYPGSSSNGGGGYPPQAPYT GASPNMGGNTGVPYTGMNGGGWG VE01_04709 MPSPTPSPPGSPSRLAPPSQPDEARSQIVEADSASNHSSTGENL YVAPPRASPPRSRDEDEGEDEANDDPVRSDMSTRSVTTSVFNFHRENGRTYHGYRAGS YHFPNDANEVDRLEYQHILVNHCLQNKLFYAPIPPPPYPLAVLDIGTGTGNWAIEMGD LYPEGMIEATDLSPIQPSAVPANVQFIIDDAEQSDWAIPENHYDFVHTRILEGCFSDM GAVIATAFKHIKPGGFLECQELNPFPHCDDGTMPDDWPFAKYVDKLQEASLEVGRELD VAPKLRGWFEAAGFVDVQQRVFKAPLGRWPKDPAMKDLGHWWAENMSVGLAAFSLAYF SRVLGWSTDEIELYLVDVRKSLMDRDVHAYHRMYVVWGRKPEVGEVVPGKAPAS VE01_04710 MPPRTCLITLGATAPFPTLLSASLSPAFLSTLSSQGYTNLTLQC GADLIPAQEWITKLAPTLENLGLSVRAFGFQGGGLGEEMRECKANENDGRRRGCIVSH AGAGTALDALRLSLPLILVPNPALLDNHQLELAKELDKIGYAVHGRLDDLSAALKESE ERTLKEWSETSGGGGRGNVMDVVGVELGYEPEKRREEDVRGVLD VE01_04711 MSTAASSLRLAARRYQSGLASKQSPLLRSFTTSSPRFEESTPKG PKEPAPAASLNPEEAQWARFESTIESIRHGELASASARILNKNMTDAQRRRRPASVPQ KKQKMGLLNMGEKDSVEDPEFEEDDVSSLAHGDLEQHREFRKYARLMAWEMPLLTKMA KPFVPPNQNQVLRFRYTTYMGEDHPAEKKVVVEFCPDDIPGLEPVQKDKLKKLVGVRF NPETQIVKMSSEMFESQAQNKRYLGDLVDSLVREAKDPKDTFEDVPLDTRHHTFKTKP KFPREWRITPARQAQLDAGRAEALKLDQARVESGLLVDGGAFVREAHAQAAKLAGAAK LAEPAVIAGRGKAPKKVSVRR VE01_04712 MAPQAQAPVKLDAFENTPIENLPSIAAGVRLAYVSQKTRPIAWR IQQLRKLYWGLVDLTPDFLEALKLDVGKSVYESQVTELEWCKNDIVFVTKNLEKWAQD ESPPDITLPNKFMSPVIRKQPLGAVLVIGCWNFPIQLSIGPFIGAIAAGCTAVLKPSE ISPASAMVLKKLIEKLDSDCFAVANGGKDETTVLLNEKWDKIFYTGNSVVGTIIAKKA AETLTPIALELGGRNPAFVTRNTDLRLAARRLLWGKHINAGQVCISQNYTMVDADVCD EFIQQLRVANKEYYPNGAKASPDFGRIVSDHHFARIKKMLDSTQGKIVIGGATDAADR FIEPTVVLVKDQNDSLITEESFGPLLPVLAVANLDEAIRIANEVHSTPLGLYAFGNKE EVNRILAATTSGGATIGDALFHASIPTLAFGGVGDSGQGSYRGKASFDCFSHRRPITR TPGWMEKLLDIRYPPYSGKLQKMIATSAVKPNFDRQLRTKYGITDYLRAAFLLGGSGV KEGFTRWVLFVLIAAIAKKGIDSRGGLPNYLR VE01_04713 MTQMNTKPGPPNPTPTTGSSRFEDLQMQLMLLEEQNRRRLQEAI QEQDAGAGAPYTAPMAPSSAVEDFQIKLLRLDQENKRRLDAVREGTSTPHTAPAPGSR DPASPENQMQIKSLREQY VE01_04714 MALLQTKSNSQSNNCSGEVVARRAVVLASAYVVDRTSILTGFHY STTGADGAQCPPLAAFTSANELAYVSAGKSRRHGDDGTAVRGGRAGGAAHSIREECER LFCETMTTVFLGERDSIAHGSYEWTANAHSPPQEGMDGQSYFKNAVQRHNGVGSWLEL WDYAGGCSFKGFVAGADDERCLFTFFDHSVINRDLKQALMAIIDLASSPLGCSQVVVC LDRSISAEDSKSLLKSLRWVGFELVTLDLWAESRSSPSNEWLFLGMEL VE01_04715 MLPPEPPSFATYLVSSLTTLPRPFRKRHSSTPSTSSTSSTTTAP TIFSLATSFGSLNTSPSTSPTSPTSPSSFSRSPGSYYNPDPDTDPTQTYNLHCARPDH LKCSTCATDLAFASQIISKAFTGRHGRAYLVSPPASPQGADKNTQPSLINITIGRPMS RQLATGAHVVADISCAICEKVVGWKYVDAREEGQKYKVGKFILEMRRVVVVKGWEDVV VERGRGEMGGGDAVEGDGEGEDVMFDSEDEDECDEIFAGTWDRVAVRRRRARAASG VE01_04716 MASAIQEVPDQEFNGIRYTGFPEPLCLHRNTTLPHPEANLSPDA CIESFDIPLARSHSRLRFHSSRRVSSKGFIDPTQVYENIQYVDSTVTVVPKPGSSSSS KESTSPTLDGDGGFKSREEEAGRSSGETDSSERNHRKKKGLRGLFHKG VE01_04717 MESFQPWMDAPTPQNEASSHSFVEPLPMPLNGSVGEDSDEWEYE YSTTETETFYLTLDLTTPSIPTSRPRANPLPSGRASTKTKWINPGLGRHKRQLGHAPT ISLGDKDKDKEGEDTVPVESADEEPQSPTNDTPRNDENEPESNGPAEQKIQILHLESD NPLISYRDHTFTCRWTKNIGSELLFTPDDDTDDPLPALRHLPGGVALLASCSARLTSA TATVVPVTHATPLSLAPYEEGPLVESVSVAASTERRNQARFLERLMGIKERKAEDDEV TVVAYKRTKFHGWIEIIRKRIEDERATIREGLSGATPEEAEVARRRLAELDEQELRIP TDAPVDEDDDDEPAARPVRRKKKRKEMLTVTEEKGTTMRLKPRGVRRRPRRDWKRYNL DGTAAARNAEVNWQGVHTQEAGEASGAYDGTDQRGEVAE VE01_04718 MASKITPYVFGSAARRVCIASRPAIRSQRRAFVASPRVASDSLF VHRDSADNNASIPFKFSEQNNAVIKEILARYPPQYKKAAVMPILDLGQRQHGFTSLSV MNEVARLLEMPPMRVYEVATFYTMYNRNPVGKYHVQVCTTTPCQLGGCGSDAIVKAIE GHLGVHNGETTKDNLFTYLEVECLGACVNAPMVQINDDYYEDLTPESIVSLLKALEAS AKDVAGTPSKVPAPGPASGRESCEPTGGLTSLKGEPWGKELFKKEFQ VE01_04719 MAIDRIVGTLLRVGELAFAAVVAGLTGEYLHETRGQSNWSRKRF IYTIVVASLSIFLSLLWLLPFSGAFIHWPVDIVFFGLWIAAFGLLVNFIGPLNCGSIF NWGDITQNGTCQKWKADVAFSFLSAIFWLVSALVGIWFMRKHTPRHGAVAGDEIPARR RWYRRY VE01_04721 MSTLTEADTIVLKNLRYDIQNDIRDSSTAAAKAQPNRPKSDDDD DSVRPILEQLSVESSAFTTWDNNEINPTLDRLVVQPYLAWASKLVRQDPDTVFITHII VHFTTLLPSALYLYYSFSWLHAVLHTVYAVWNAGPFTLLLHNHIHNNGLLGKQYAFFD RLFPYITGPLMGHTWDSYYYHHVKMHHVEGNGPGDLSSTIYFQRDEPLEFIRYFVRFL VCTWLELPIYFYRNKKYDLAAKALISECSSMGFMYLASQLNTRPTVFVLILPFFIMRL GMMIGNFGQHCLVDNVDPMSDFRSSITLIDVPSNRHCFNDGYHTSHHLNPRRHWKAHP AAFLKAKPTYQAEGALTFTDIDYLMITYRCITKDFDHLARRLVPMGAQIGMTHEERVE MLRSKTRKFTPEEIKLKFMKKGERPSAAEAVPVLGGVKGAKVGRSRRESVTAAAVKAG ASIGEGSGRVVNHEIVSRRL VE01_04722 MRLSVTIRSTIALACVGVELVASIAVKAPGNTARDASPSFPYDP NTTRYCTDWWDTLGLFSCQELVDIYSLNTADFVRWNPSITAACGNFIESGHSYCVRAD GEPVVTTTAPPTTVPPTKTTAGPTSTPTTSTPTTGPPPTTTVVDGTAYPIPPAPTILG STPTFKKWYVIEGGDTCLAIAAKFDVTKTQVNLWNTTSTWAAPIFGPPMPSDARALAN RITLPGETSIMTPKVCTDACSAAGYRLSGLQYGSECYYDNAIRNGHALTSSGCTMPCP GAPSIMCGESDRINLYRLDKYKDMGCYNDISTSRTLEKQIIIANQNAILTREICQEAC ERAGYIYSGVEYAHQCWCGYCVWGSVAGSGCSSPCPGNTAQLCGGSDRINVMMRPQSR SLGCYSDDVNNRTLRYRLAIANEATLMTAELCRNTYFSKSFNYSGVEFGQQCFCDDEL YGSGAPTTGCTMACPGGGGAVCGGSSKINIYSL VE01_04723 MSRILSSRQADELHKSIVAYLSANNLPNAVAALRTELGLEEETF DAVTVKKYETLLEKKWTSVIRLQKKASPSFAHLLLAFACFMPSALFA VE01_04724 MDLESRVATLQSELDSATPSSLSKRSQDPTSWLPSKPSRYTLES HQFEISCIAFHPLYSTIASGDQGSAIKIWDWELGELERTIKGHTKAITGIDFGGPKGH ILLASCSSDLTIKLWDPADAYKNIRTLSGHDHTVSAVRFMPSGNLLVSASRDTTLRMW DVTTGYCVKTIRGHLEWVRDVCPSPDGSSILSTGDDRTLRLWNVSGSVAENKLTLFGH ENFIECCVFAPPSSYQYLATLAGLQKPPPLTSTSEFMATGSRDKTIKLWDTRGSCFKT LIGHDNWVRSLVFHPGGKYLISVADDKALRCWDLSQDGKCVKELSSVHDHFISCLAWA PSIVKDKDKPPANGEAGGGAPDVQIRCVIATGSVDMALKIFAR VE01_04725 MNPSLSTPNIASRTADLSLRPSPPQSGDACALQDPRTASEPRAS TLCIDDTILFTPQSHVRIALIVVNSAKMPTIHTLDARDVAMQLSKRENWARQEAGVIV VFCIVGVVALGVAWLCIHKWMLRRRANRPEK VE01_04726 MNWDTICFNGSAFIAGLFLLEFGADRFIDHTAIVATRLGVSQTL IALLTAGAEWEELVVVVASVLQNRSSLAIGNVVGSSISNILGAFSLGLLFYPGRMVFD RSAKLYAAILFLITTVFTVVALTTGLGKVVGGLFIAAFAVYLVSIGYGIYRGVLDAPE RLDSDSESDSDDDEGANGSDSEAQRLPAETSPLLANGAQGNRSTHKHSLLYHSAQLVL GFLALSLSGYVLSHTAASIADEFGLSNTVVGVTVLSFATTLPEKFVAVIGGARGHGGI VAASTAGSNIFLLTLCLGVTLVAGDQVELADSVVPFELLVTWASSALFCLLVFTGSER WVGGLLLVLYVVFIVLEFTVYRR VE01_04727 MGKNTFIYPDPMVLYWLRTIFPRWSDFEIRGRDNRLGASLMKVI KIAVAGGIARVRIPAFKSVIPALELLYSYDWQVDKCKRDQMDYADEQNVELMRLDSWL SYVGRTEEISGGPHHLLKSTPALIQLLIDEFEVDFQNIDLSAEEGGLQDTQGLAANVM DFLTDEGLNEA VE01_04728 MKASFALSSLAVFASSLVSAAPQHPCRADKPAAFFLAGDSTTAN GAIGTNFGDSGATTASFVAEGYWAKVIAAVNANKAKYTPYVTIQFGHNDQKSTSGISV AQFITNMENLGKAVQAAGGIPVITTSLTRRTFDSNGVIENLAEHSAAAITAAGNIGAV YIDLNKASTAYVNAIGKANAALYNLSASDYTHLNTAGGVVFGNLVSVLLNGLDKADIT EGTVPDADIAAAIAAGEFILP VE01_04729 MRSFKLVEAAILLFSSASYAQLVKDSSPVWSVVITTPEQTAGFA PCKPSNNGYFVNGGIDITVFEGFSSNITCYNVADLFTASGKTPLNECYPSNSTCDVHL QGHSNYNATASYSRVGFQTGIVSGKEGSKPDTNVMTFRTFEGADCASDPSHAWHQWSC DTYIGDCSVLPYSVRSFSISTTPEVNKTGCYVASERGENKVPYEESMGAKAVPGSAVV ALAAALGVALLVQK VE01_04730 MGIFSSLSKSSKSDGDMHPPPDYSNNFTPTNHLQIQAIGYDVNQ ALTSRTLENIFVFRPKSSEAEYASMRLQKNSNSCALVRASDPHATLISTIYRFGLGRH PRMRILPPNSVVSVEEAIENDNVRGELVEVKSRSMASRGQVFDTSLGKFEWRYGTREE QAACNADSLLVMERIEGKSKSGSRIAQLIRNNEFRTPGTRQYSGGNGGRLMMDLRMWN DDSPERVEAFVVASCILMLKREADRFIDNHIAAVV VE01_04731 MSIRTALVTGSANGIGRAIALRLAEDGFQAAINDLASQDARLKE LQHEIELKGKRCIILPADVSSEDEVAKMMQNTVQMLGGLDSPQTPAYSVSKWAIRGLT QVSAMDLAQHGITVNAYCPGMVRTDMWETIDSNLSTKMGIPKGMAFEKAVESRIASKR AQTPEDISGLVSFLAGKDSDQITGQSLIVDGGMFSWLSNPEWKEFYSSATEIQDYLHQ CCGKEKLYDAIKTSHRVDHAEWNDSEGVWSLRIVDEKSGKQFHDYCHFLLDGMGILNN WTWPDIPGLHDFSGPLIHSANWPKDFNYDGLTVAVIGNGATGVQIVPAILPDVKHMVH VVRSPSWIAPPGLVNLSHSNAASILSKIDIDENGNFTATQIKKFKESPEDYSKFVKAI ELETNQNFSKFMIKDSNSQAVTRGRIEEYMRNMLNNDEVLCKAFIPDFPLGCRRLTPG VGYLEALQDPKFDIVTDTIKRVVPNGIVTSTGKLLKVDAIICATGFDVSFRPRFPIIG RNGNLQDTWFREVPKAYMSCAVTSMPNYFIFLGPNAPIGHGSYFTITEHIAKYIAGII IKCQTQGIKSIAPSESAANDYFEHIQEFMPRITWSGNCRSWFKQGKKDAPVVALHPGS RIHFFDMLRDFRGEDWVFTYQASNRGNRFRYLGNGISARELDGSDCTWYLDEPDNLS VE01_04732 MPLSNFSVPDSTSVVNVRIIDSTSSIDVHMEGMVSHAIKGHSRF ECPAYSFLIEHPSSGRKVLFDLGVRKDFHNLAPPITNWLTESGTICSVEKDVSTSTAL LVGPGFKQLFTPGYPTNPESPVLDSDFAGRELRELSFADSTVKVGRFPAITLWMRQAT QSVISMLWQEEPFYGIVRPGMLFGDADAAEETVDKIIEADGSKNTFVVIAHDCHLKGV VDLFPKYANDFLKKGWVEKSRWVFLKDFKEAVDERTDEKL VE01_04733 MRGLFRKADPDADEKTLCDDGEQTTLPFREREKPDPLEDEADDS VSLTLHPLPEMEGVIVSVHPPKGKSRTPCDVVLVIDVSGSMATKAPSPETAAGEIEVN GLTVLDLTKHAARAIVETLDDNDRLGIVTFSDEIKIVQRLKPMTKSNKTAAWNNIKNI HADGLTNIWQGILQGRSLFDDEPRPGSVPALMLLTDGAPNVGCPPQGYVTQLRMYDLP APIHTFGFGSQIGSSLLQSIAEVGSGNFAYISDPSMLATVFIHAIANLQSTFAMSATL TIEASQGLQLAETMGDYIRKEIPKDQAQSPKDKLLIPLGGLQYGQSRDIFLKYEPFEQ KDEKPLIKAELQCRPLNKTSGNCLVSCSVSDSPNMQPEVIDFHRNRATVCEFVSSLAP ISKTHERTKANLRDVEQKRCELQNLIVAIRAMVLDDDANIGLLEDLEGQMMLALATSY FGGWGFHYLLSMYNAHFKQVRNSFKDPGPQSYGKDSPLFLEATKELSLLFDTLPSPTP VVTVRDSTGRSMNRTMNMRSYNMRSNPCFAAECKVALADGTKVEVKALKNDMTLWTPK GTRKLVGILGTAVEDEAMCKIGDLVITAWHPVFLDKKWVFPGHNSDSTTQYTGTIYSL LLEQDGDAEAHAVEVGGMLAVTLGHGITGAAEDGNDDIRAHEFFGDYAKVLEGLQALP VLDGVYSSVGVERDEATGLVSGFAARN VE01_04734 MGVERPPIQNSEVYVLNGRTRPAPPSPPTPCETRAISPVPDKLM TIAPSEVTGAYPVLEARLATAVHVLKTEAKALSYLARLYQTDSIARQGFNETVELIRR AMEMRGKLVIVGVGKSGHIANKLVATMNSLSIRATFLHPTEALHGDLGQIDTNDIILF ITFSGRTPELLSLVPHLNPENDLIVLTAHTHPSTCPIIDRRPSAILLPAPISESETTS FGISAPTTSTTIALALGDALTLAISRELHGTSATSVFATNHPGGAIGASSPPPAAKTK LAEIAVPLADIPFVGGGWGTALATHVIIAGYQSPSGWVRYCENSVAPPRRIRRLQPED MDKLATKVRGLVVTTPEWIVVREDISVREAIAFLEAAKMESARGEATYDDDAVIATAV EGKVTGVVEIATLMSQKS VE01_04735 MRTQFGALFAIAILAAPAFSDDKKACDQPRYHAPTCCPIEGPCF EPSRLLAIIITESYMKEYCRVLKQVASCCDISVQNPSPSSPYSCKPMEK VE01_04736 MASLGWSYRDTPYNPYWGPTSSKANFCEEDYIVTRYIAELINTL TNLTYILYAIHGIYKNWGRKDAFLRNIPYLGIMGVGFGSAVFHATNIYYTQWADDLSM LLATATVMHRVYTYDDTPLHAVLKGLGLAAFLTVFSVWHCLSDEIVGHSILFGVMVVL VGTKTRSIISHRVEDPAVKKEVRKLVRWGSVIFISGYVIWNIDNITCSWLTQAKRTIG MPLSFLLELHGWWHIFTGIGAYIFIALVEYLTSEEAGEPLAGRFAWPIDLFLRRPRST PNIIRTGYGTLSAAPESID VE01_04737 MSQQFTPADVAQHNNPEKGLYIIVDSNVYNVTDFADEHPGGAKI LKRVAGKDASKQFWKYHNAGVLKKYGAKLQIGTVKDAAKL VE01_04738 MSGTDKKLPPTGAHGRRPTNPTTSPTPSNRAPVRSPTPSSPSSQ PNGGLPRTRSLRGSALSAGNPARRSVVGASGLSNSSSATDAEEARAETIAAMDELKER LRLSEIRAEQFQKETEVLQSRLEDALQEQVKLEDRLHEEEERVESLENEKRDSARQMR EMGAIYEAERTSMTKEKEEMGNREEEMQVIIQRLKESLSQKANGDDDARSEGRGFRHS NNSSPSIESNNHFAPPSSLNRSDSRNNSKLLLQKDRVIESLRLEIAEAQIKIVESENM GGGRIQEIERQLLETRMTNARLMEDNESFQLLLSEKTLNGDFTKSDFGYMSSPARADA LDALEGRTGGSSLADELSDVADGDIEAYRRLEAELKASKEQNKALTLYINKIIERLLE HQDFEAILDQSSDFKPGAAGTVQANTNKDLPPPPPPKEAAGGPSILQRAKSVVGGTRP KPRPMSQMPAAHSALTDPDTAPSIPFNLNRGASVRKARPASEQISSGAFSVVNAMYKG PTATSPPLHGPQTPRNSTSFFAPPAAAGNPNAAARAPSGGFALPSSGNFPGSRVSGTS DGGSTTSTETSHTSHTGEVSTPPPGNSPPRAMDRQATFAGNKPRPLRLVQDQAETTAK ADKRASWMGWAMNAVGKKEDGTGEAGKD VE01_04739 MAFRQSTHYAPQRTYTTPEEPRLDGPSTQPDQQLEDSQEWILFS PAPASTTDRTHTATTASTRHTVGRSRLSDYGSIDTAGRSYEYDEDVSEHSEAVAEDDE EDGELDSLDSHLLEFRAESAYGDGNPVLPTHDGLGSFRLDGTMGEGVQEQLYAFERFN PRRIRRRRESLELGQRELENETSADNEQVRRIEEWRLEQSRALLDEIQKETRRRRQPA SSTRAKSERDYHDEEVATLGDLSEVETSKPEEGMDTEEGESFWTRITRRVIHDLMGID DRLLSILFGEGLSEEVEREASRRDWYAGIDREQSDDSWEYRLLGRIARELGLLVNQLS DHPGAFSTYLKVQQQPLPYAGLPIIPETGANTEDGPQTQAGSASVPLFQPTIPNTANA MDFAAPPTRSAQDEQGSAGPSKFRSQGYQESDEAHIGFSKEEWEKQLDIGLVFSYLRS RFTNGKEPTVSSHLTGSRTKTSPQDAAARAARVHQLHPLVSRPKPSERRASYKVIVPS GPIQQRRKSTSCASQSTKKSARRISGSSRHYWDINGSIGSGSLAASTGGMGAWGEV VE01_04740 MAHSAHIANTPLLNSRYGDRPAARQPSQKLPTGACNYTNLSIGN NAKCGCQRFCDRSEYSAGSINADGELEVEKVGWCMCEHHACFHEVGKPADEVALMPIR SRQTSHGGDSSLPSTYRDPPKAPSISLQRAAPVSFNNGTQAGKQLPTPGVDTETGPPR SDTGTPGPNFIPQDTGLPPIPSQCLLSSNMNLSALVGAPLSFRSAASREELRASTGLI HDWIPHPIQAGSYNESLTDAASPLSGYLENAEFHDKLMTVETEKSGASQTNLGQKPSS QGMDLLVSTNENDERLTSVVAQSEMDVVNSTPNFHQILPYVSDIHKHYAVKPTLKDTL QNHEDRLDHLENATHSCAAPTDAGNCDCDCDLLSHKHEKIECRMDRIEKALSTKRFQE LGLAGRGNGSVTSDNSTVMTSTGHAEMYSRIDSRIEALEFRLGDLDNAMPPSATNPWE FEVIFLPYGLDMNRVWSRTGNPTQRNAWSTQDTSSPHMLMGALSQRFFSKAATENSWE HILEKSQPTSTVFSARACGIESVVDKRLRSRGLVRTIHVKGPDAQHVQFAMFEAFEDI LQTMSSGISSPSKIPKPLRRYREALGTSWIPLRKLHKDSQLRFLETSEMLTPTLWTAS FLAEVSMQQSNIRRLYITNKDGYVQNGSAGWTWQKIRHLPLFNEGSNSAASSDDGFQA SSSFKPSQIQIHEPHWHWDERLDGPVVHQTVTTQQLSLAIITAPESDEGQASPTSSTS SSEYSPPLRSRTPASEAFGQPISPLTERNLARPIHGRTTSMPITVPINFRPSPGSQGK RRITSFELQHERVTHSPSPFAPRHSYSFSAKRQRTQSPNRPRDTPRWSAEPPSPYVFE EEREHKRGMTPFAYATPHSNAPYIYNDRRSMSNVPNDWGIDIGNEDSPTDEFETDDNN NEDYMSDTDQAYQHEEEDWEGVNELTADQVKMEEEDDNNIEARAFSDDDEDAVSDTSS TPSEYPSTQPLAKYSGKKGTFEIHVDEEK VE01_04741 MLFSKLLRPIAATKKFAICAELAEVLQPRGSLLPRVNTRSFTRP SFETWRNVRGGPSSTGSRQQQRAFHESFNTRRRQIFRRIEPHNARPLITPEQVRRLFA SGQFRGFAILAAGGVTIFYFMNIETVPVSGRRRFNCYSEGSAEEQGRLAYNQILSEEA RKGKVLPESDSRVKRVKTVLARLIEAGDLGTGQSDSKKGDGWTVHVIEDPHQANAFVL PGGKVFVYSGIFPVCKNNDGLAAVMGHEIAHNMAQHAAEKMSQMALLQPIVWGLMYLD YTGVTMGLGRFLGSMMLDLGIMRVSSREQESEADHIGLMLMAAACYDPKEAVGLWERM ERLDKETPPEWLSTHPSNANRIQQIIQLLPQAEDKYQESNCSSTVGYNNAFQHAIGRT LVFR VE01_04742 MATKDPTRPRRPPTRFAQKYGVFKDMSRPDIVNALQGYKSGRTP VSPHRSDDSGRRRNWKHAIADYQKMINGGFPMEWTRALRAYIPTADADFADHWLKYEL DNKIHPLIDGPQWETLNGCFKSYPPPLTGDGHDSFMIADNPIVWKALKPILQLTTLYL DNSDLWPWWDALLSDAGSDNKRTEPSPHIPGAENLFFKMRTPEQIHKKKVPVKDLLRE MAGKWFFCFRSMYRDCSDGGPQDHHWWGITVTCGGPGKSSVTLAKELISPLLKPDLTE PERIVMINFAARTLAHEISHAAVMHYEKRIDPRRRMMNRALSEPYFADEGWNEVGHSL ENNVLKSHHRLIMSNNGLPLLVYDESFPYPGTHRDYEDTRYLPPGKALEPHTNVRKLV SLEWMRLFLHGDFWDYHVRRYGGDALIPDDPLAEQHVFWERGGNTGNIPPVTCIKDVA IRPDVKIRLDMSPGEQMVATTLNIRRTLHRLARERLGQVMNEGYGPGPNGGFLPIQPG MGQQTHQEPSGPQEPGVPQAQGTEQAQGGPQVEGQPPTAGPEGQEVPPQAPAPAPARE PRDPPTPTSDYELPDEPRMEIRASDVVSANKIASYLKYFRNSLGLHIVATCTEDGLWF HLYHLVKESSGVIITFEDWKIFLRMCNSADAMLSWNAESKVVHSLTSGWEAIPPSEPP VARPSYPEPTLSQPDLLRMIDLVRRYIFRCPDHMNPKSVTWHDEMRLVHQFHTMVDED GLDLSVANFRSICLTYFNACWTTGPIGCLQWSAPTYFIKMLDAVLKYSVAAAETTDIG TILPNDIVRDTINIAFEGNGVYQDDYAFVVHTRGRLADNQTWWELALLQLLPDYFSVA MVNNVRQIIFSYKHTYTRERLDRRMTDEQQTAIYLFMHRYHEDQQAKRKIWPRELREI YNNYQQTEELKVHRPRLFLSCFDLPNKYCRINDARQIEFAPAPEKPDPPTEGENAQGG NAQSGGGGGGGGGSGQDPQGPAQGGGQQGGNQGGTLGGSQPQGGPQPQGGPQTQGGFQ PEGGFQSRGGFPAQIGSQVQGGSQAHMGFVAQGRQQPHGGFQAQGGQQPQGGFQAPGG FPAHAASQLPGGFQAQMRFLARGRLQAQGGQQPQGGFQAQGGFPVQGGSHVQGGFQAQ MRRLAQGGQQPQRNSPFRLLTREEQQIQEEQQLQQQILEERLQQQQQQHQYQQQLLQL VE01_04743 MDYQPQTPWERSQIFAYNNSMMASQVDQQEASYPVDPINWITNL EPVPVPRGRSHPDSQQQEWAQQTLEQSKASSFGNMQNRQNQNQQYSYQEAHQNTYPAP QQLHTSNLPYGSSSASFNHWAPSPGADSRDSSALSPQSERDYRQDISLVSSPYAAHAL TRSHSGISSLSHEAEYYGGGGQQFQQQTIPNMSLIHPELDEDPTFRGREEMFMDHDSG EQDTSTSMTTTLAPRPPPHSHNQFLHPHLSPAPSIHSHHSPALSYTTPNPPAPRLTPH QSPMEVDDADLDAAFDLDSPVPANDDTDTDGTWTPHAARRLTRVSRAPRRRGSRRTAA SAAAPTTARVLQPTSGSGVTKRGRSNPHHPSSSSAGRTTANANPTFPCTFEWAGCTSA FASKNEWKRHVASKHTCFFYWECRVGSCSAPGQSGKFNRKDLFAQHLRRMHAPPSSTA KSGPGKDAWERRLAELLEEGKKKGRAQIMKTHCPVSGCTAGWEGPRAWDERMEHVARH WENVKGRGGEGWCESGGGVLEWAEREGVVTRAEGSAGGWVLGEGVGEVDAVGEEE VE01_04744 MADSDGEYVEDLSDDDLPTAAPTGTGTGRHATRSSGPVKGPEAV RNGEKEKQKQGRRRAAWEDIQRSWDTVVEGADGSLSSTVEGLLEAGKRQRLLRDTTPL QRGIIRHLILILDLSFAMTEKDLRPTRYLLTLRYATSFITEFFEQNPISQLGILGMRD GLAKPISPLSGTPTVHLSALGKLRTQDPQGSPSLQNALEMARASLFHAPSHGTREVLI ISGALLSSDPGDIHTTISSLTSDRIRVSAIGLAAQVAILSEICTKTKGDYSVALHEEH FRALFMGVTTPPPTRAKEQNQSSLLMMGFPSRTVAKA VE01_04745 MGSTQFGNFHNFCRDSTLPVCNLLSPTHDQNGFGGCELTGINLP DGKHLGNIGSIILCGLAILVSGYLLWRSERKQAAVGRREMQLFLLGYIIIEICEIFTV GVFPLNYKVRLAFSGIHLGMIVATTWILMLNAAVGYQIIDDGTPMSIGLVIGSAVALL VGTGYIALDTGFRWTGHFDSSLTGNNRNIGLYVLYQLAPIIFLAVFFILETILVLRVL GERKPMIYLGAAALFFILGQIFNYVVSTHICHGTSGKIDGAIFETLFTLLSVVTIWYF WSSITEDDWPMPAVNYP VE01_04746 MSPENVVPGARSVSESTLPSHRHPNKAVTSIVHRLHVVFLLSPY PPGGAAAISSTTFTIPSSSSTRRPANIPLRNLPSARSRSEEQDQSASFLSDDEKQSGF SASPRSESAGSEFSDWSDTGDIGELGTGEDDPLRRQLGDFDAGRDTGRRNRGDRRVRY RLDSEHEDKQPRRGLVKEDIKIPTPPPRRISRAEQALAAMMSGGERQMHGLTGKPLVY FTSVFVSLGVFLFGYDQGVMSGIITGPIFLDYFHKPNAAEIGTMVAILEVGAFISSLV VGRLGDIIGRRRTILYGSLIFFVGGALQTFATTMMLMMLGRIIAGVGVGMLSTIVPVY QSEISPPHNRGKLACIEFSGNIIGYTTSVWVDYFCSYIDNDYSWRIPLSMQCVMGGLL AIGSLLIVESPRWLLDNDHDEEGMVVIANLYGEGDIHNVKAREEFREIKMNVLIQRQE GERSYSEMFKRYRARVFIAMSAQALAQLNGINVISYYAPLVFISAGWIGRQAILMTGI NGITYFLSTIPPWYLVDRLGRRPILLSGAIMMALSLSAIAYFLHLDIKATPTLVVVFV MIYNAAFGFSWGPIPWLYPPEILPLSIRSKGASLSTATNWFFNFIVGEITPVLQETIG WRLYLMHAFFCVTSFVVVYFIYPETRGVRLEDMNLLFGDATSAMPTPSARAETGSLMG RGSPVPSMDLRRGVGTTAVENGLGSSLAIPGLDIDPPNVDIRDGVPRYTADRGRGEGV GGWISRMVGGSRSRGDANSKYKPLEQDEE VE01_04747 MASKNAVVASGIEQDGQAARRRAAPGGSAVSGSSQGLDEVDDKK RQPVKSSPTLLQTLDEWEFIIAPLIFTALAFFTRLYKIGLSPIVTWDEAHFGKFGSHY LKREFYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGERYPEELNYTFMRAFNAFFGAV CIPLAYFTARELNFKRPAVWFVTLMVLCENSYTTISRFILLDSMLLCFTFTTVLCWAR FHRLQDQSFSLEWFTWLGLTGLSIGCVCSVKWVGLFGTALVGLYTIEDLWNKFGNYKM PKIELAAHVGSRVAGLIVLPFIIYMLSFAAHFTILENSGPGDAQMSSLFQANLRGTEV GKDSPLEIAYGSRATIKNMGYGGGLLHSHVQTYPEGSGQQQITCYHHKDSNNEWFFYP NRAEPEYDGEGPPKFVAHGDVLRLIHAQTGRNLHSHDVSAPITKVDKEVSCYGNTTIG DEKDHFTIEVVKDVSSNTDKTKIRTLTTAFRLKHTSLGCYLRAGNVNLPQWGFKQIEV TCTKANNPKDTFTHWNVEAHWNSKLPAADANAYKSPFFQDFIHLNVAMATSNNALVPD PDKQDDLASHFWQWPILNVGLRMCGWDDSIVKYFLLGNPIVYWGSTASLGLLGLIVVW YLIRWQRGYNDLKQSEIDQIHYAGIYPVIGWFLHYFPFVAMARVTYVHHYYPALYFAI LTFGFCADWMLRRRAKEVQFAVYGILYTAVIGLYILFMPISWGMVGPNRQYSYLKWFQ KWRVSD VE01_04748 MSSWDYIAKLVCIGDSGTGKSSLVIRLCEGRFSPHHDVTIGVEF GSRIVPVGPPNYIAPPKPTLSSQPSSNPPADADTDAPDGTEPSPEPPGLPTPPVTNAK SKPVVQKHMKLSLWDTAGQETYKSVTRSYFRGASGALLVFDISRRPTFAHVQDWLADL RAIAETDIVVVLVGNKSDLAAGEENKREVTVAEAEEWAAKNGVLEYVETSAKSGEGVE RAFARVAERIYENIEAGKYDLYDRRSGVKGPGTGQQGRVKFGGESGKAAAGGCC VE01_04749 MTRVLLTGGSGFIAAHVLESLLTRGHSVVTTVRSQEKADKIKQA YPDLGKDKLDFAIVEDIAQEGAFDKAVISDPPFESVIHTASPFHFNITDTKKDLLDPA INGTTGILKAIKKSAPSVKHVVITSSFAAILNGAKLPNWPEHTYTEEDWNPITEEQAL INPAEGYRASKTFAERAAWDFVRDEKPNFTISTVNPPMVFGPVVHYLNTLDSLNTSNQ RIRDFAQGKAKNGLPNSGVYLWIDVRDLALVHVRQIEVPEAQGKRFFATAGYFSNKEI ADAIRNNFPEYKDNLPAADAPGDGDYPNGSTESLHKYDNSRTVKLLDIKFRTIEESVV DLVKSLKAAGL VE01_04750 MANLAQVFNQITREDGSLDVLRRRSELEGLLRALLPDEVRLAKS ILSATTFVSDIIPRFPIEILLLILNQIEDLVDFKNMRMVSKTWLKLWTLEPICDKMMK RHFRMFFNGPYQQLSHDEKCSASVTLTEQLRARKIGQYHAMKIYKYSDLASSDEEATT SIRNRQYRNGRVAWADGPAVNVYSLRTGTIKAYITPARADIHRWIMSDDILIIMENGS PPKLHAYFLSRTHDDSPRCVTLPSPIWQIAAQCHPDRVGIVTDSDEIFLWDISSNRVK QVDYTVTPIPKYRGRPVVKILIPPGAQDTLYVCTMAKIKKGQGEDNHSVIKMVMQKYS DGKLVKTVPISYPQQGLERAPYALHVSIREIDDDGTYNICQLPLDFPSTWTDLGCDHR WHKEKRPGDNQRQLIMQIAYNICDDKFSTKFYHLPSGQKHFDDVNFTTPNSTIGNDRV ATRYHFWASNLYLPLIGIKNSTALSQDSPSRIPIQHALLVAIKSCDQIRGTPPDINFF GGYGPKSSRKKLWTSKGRYSNFDLGVSHCLVGPIELAQKPMIPDRLRVMPDDRSKEVT GDGSFLILFGDYDFVVWTFNKSITPPDFD VE01_04751 MSSQDESAQQSSAMNNTEVSSAERTVPIIQTPAQSSPQLPGDPM VVTSPTAPTMGPPPARTSPDRGANGAHEQTTSAMGEQMQNGSVQSPGAGTATQGSAPQ PKVQQTAFIHKLYNMLEDPSISHLISWSNSDESFVMSPSNDFSKVLSQYFKHTNISSF VRQLNMYGFHKVSDVFHTGSPESPLWEFKHGNGNFKRGDLVGLREIKRRASRHALVHR DSYPSAVKPPPYSHPGTPAEPIQPPMDTTESRLAHLENGMYDMHARLLRSDESAQSLY ARNQVLVEALTRSLQVNHEISRIVQSTVPNDANAHRSIMDMQSEIQRQTDVLRNIDEP LEQPYSGSRQYFSNLSLDNAPISPRQLAQDDQRRQPPMAQSSRPGAFRPVPSHLSIAP RRFGSIGAGTTASSPSSLRYQVAPPPPPQAPHPLIAVTTPPTDLPRRHTSADIRVHTW PNQPQYNPEQPASHWPSSPKRPLADPSEDQRIRESFSSYSIQGATQNHANASRPSTPP FGNSGGAPESLGAWSWGASRGDKFGGPGFGTSGLFKDNSGPPTRRGSMAHILNPAETA ERDEEHDEELREEEDRKRKRLQ VE01_04752 MAPNAREKLPVAENLEAIQARIDLAIAKQDAIVKSWVDKFDTSK CAPSKTKEEIEAWDAELFNPMPSNLGLGAPIPKEYLNGDINRKDINGNSKLRSLMMGK KAGLQAAKPRDAEEKASSMKRGLKEDTSDEEEGRSNLGKSKKAKREVAKAAALKIIAS KVVKQTKPLPLQQKASTSKAVDQSDDEDSRATSHTPKADSKPKITKLTETISSTTSIS ETPIIPAVVEKKLPVKADTPAAAAVNPPLSAEAEARREKNRMKKAKQKERKRKEREQA LTGAGSAKDAAKEE VE01_04753 MFRIARRGPLASAIKAAKFPTPYRAIGASQQTRALSIHEYLSAD LLRKYGIGVPQGSVAKNAAEAEAIAKQIGGDDMVIKAQVLAGGRGKGTFDNGLKGGVR VIYSPTEARMFADQMIGHKLVTKQTGAQGRMCNSVYICERKFARREFYLAILMDRASQ GPVIVSSSQGGMDIETVAKETPDAITTTHIDIHTGVTDEIARKIAVDLGFSEQCVEEA RDTIQKLYKVFMDNDATQIEINPLSETSDHQVLAMDAKLGFDDNAEFRQKEIFDWRDT TQEDADEVRAAESGLNFIKLGGDIGCLVNGAGLAMATMDIIKLNGGEPANFLDVGGGA TPAAIKEAFTLITSDPKVSAIFVNIFGGIVRCDAIAKGLISTVESMDLRIPIIARLQG TNMEAAHTLINESGLKIFSIDDLQSAAEKSVQFSKVVKMARDIDVGVEFSLGI VE01_04754 MSRVDLLTYTLHAAMAFNMLSLAATILGDAYGWHVTFFIVVLFG SICITSVSSIHALGQVAACVATLFIWYQVLYRLRAYLTPPPSRQQRRIYLLRPSPPPP QKMSTPYYQLQQPLLCHHRTCWAAASAFSTREKKGPDGLTPFMREQWALAAPGTPRPD MEEILSTLTVTPAHHLERFISWIKESWESLPRNAVLVVSAVALVLVVRPAISVCKFLS HVDWTRLYVVIGMLVLVWLCGGGTAERAPAPRYETVVSYYLVPEPVNSRLACYVRRK VE01_04755 MSSSDIQITLQRPLNLDKYDRSVPAADQAHNIPKSFLDCMAVRE EVFVKGQNIPLLLEGDSDDCRSYHWVAYALGEDGTRFPVGNLRLVPFPHEAHPLPGSS FDLPENVTGNELIAEPRPWIVDRATTFHDGREAYVKLGRMAVMEEYRGKGIAGQLVRS AIAWTKENPTAFDVSGAIDESGAELPGAMTAWNGLICIHAQEYVAKTWARWGFKEDEK MGRWTEAGIPHVGMFMRVDIPSAP VE01_04756 MALASRRSSGMAFSNLSAILCLFWIFASTALAANAVVGIDFGTE YIKAALVKPGIPLDIVLTKDARRKELSAVTFKPIKNAPEGSYPERLYGSDANALSARF PGDVYPNLKAILGLPVSDPRVAEYSARYPALVIEEEKTRGTCEFRSEAFTTKQDPWMV EELLAMEFQSIKRNAEEMAGKGSSIRDVVITVPTYFDTEEKRAIELAADLAGLRILSL ISDGLAVGLNYATSRTFPSITDGAQPEHHLIFDMGAGSTKATIIKFQGRTIKDGRKSN KTIQEVQVVGAGWDRTLGGDALNAIIVDDIVAQFVESKKAKAASISAESVHRQGRATA MLWKQAEKLRQVLSANAESQTGFEGLYDDIDFKYKLTRTDFEKLASSHADRVAPTIKM ALERAKLELSDIDSIILHGGATRTPFVQKELELLVGKSDKLRSNVNSDESAVLGAAFR GAGLSPSFRVKDIRTYEAAGYAVSMQWTNINLKLQKQRLYTPQSHLGAEKVVSFQNLE DFDVHFYQHIDNAGEGTFSGERELVKLATKNLTATVAELKDNRGCEATDIVTKFGVRL NVLNGEVEITGATAQCEVDEDPNAGGVVDGVKGLFGFGAKKSDQDIFEDAEIVSDAET STESSTTTSTAKKSSTSSGAAASSAAADAKPKKRLVAIPLDFELVKKGYPALPAEVLF NKKERLVAFDDSDHVRKQQEEALNQLEGYTYRVRDLLTDDAFIGASTEAERKPLADLA EAIGDWLYEEGTGATREVLKAKLGEMKAIVNPIERRKDEAAKRPEQIKNLKESLDSAE SVIKLVKEKIVEQADFLSSVALRPTPSETSTESSTTAEPSTETDGTEEDNTTAEAETT TESSEAPLPTLPPTSFTQEDLDVLESLHKATSDWFTKKLAEQEALKVTDDPVLLVKEM AKKANEISQAGMEMVMKGMKGPELPKVSSSKTSKTKKPKTSKTKKSKKTKSTSTEAAE GTGEAKEFDMPTEEEILEAVRIKEEKEAKEKKGTYKDEL VE01_04757 MSLLSSIPVAFVALLHVYILVLEMFLWTTPRGLKAFGLKPDFAE KTKTLAANQGLYNGFLAAGLIWGLVHPVPEFAEQIELFFLGLVGVAGIYGGLTAKPKI FFIQTVPAGIASLAVLFG VE01_04758 MLPMFLFLQLQMPKRDKYGLGAIFGVGFIVCICGIIRSVLVYHI FYQSFDVTWLSHDLWAWTYVETNLLVICSAIPPMRVLFKRLVGHSKSHSASKIPVTNP FHGRSPSNQSNLADTSRNGASTEAIALRGMEPGTIQSPKSPKDEAKATRQFDRGHKVQ KSERFSKVYLDKELPPVKEYRPDWA VE01_04759 MMQRRMLSKEDEAATGADEIEVRREDQDKINKFSRLHQRELNLE DELKAKHKEKEDLEDISNELELADEEDMIPYQIGDSFISLPLPEVQELLTTNSARIEE EVSVLEEKLGTIKEGMQELKVELYARFGRSINLET VE01_04760 MAAVVLMAIVVLPVMGYQKYRKHKARKALKKELDAQPEPLQGGH QAAWEQSGDHPPSYDDTVGSHPSPPYSSNKPPGYVQRTSGNRGLPVGHLPGSYLSPTA VAL VE01_04761 MPATPPSSLQAYNTRHPATRGTRLSNVTPSTGTRTPGQDPRTRH QDSPSVNPAANEEDEQANEDSEGVEDQDNGSEEGDEESVEEEEEEDGVESVDSRAEDD DGEMAVDVPPVAMHNGMPVEVDSVFDPTGLKEIGNLASWTVSTCKPGSGVEALRDDDT SLYWQSDGPQPHHLNIHFSRLVSILSIRIFLDFEADESYTPTRITLLAGTGYHDLIPF SSLEFTQPKGWINVPLDGVGGGPDGNTLRAFLVQVKVVENHQNGKDTHVRGLRIYARD EKTGRSLAGLEEWGAREKKGAAAKPLLDSTAALTEPDWMEEMELR VE01_04762 MVKKRVGALEKVDADLPSLQYKIRRDPKSYQEDFLNQYGQYQTQ RDIYMASPTTATSAGIVSFRDLIDFVSHVADCYAEDTASFPDDLNNILTTHHAVLETE LREKVVGALVLLRRKDIIDSTGLLNILFPILVTTPSKTLRALLFTKILSELRTSNAKT TNHKLNRTIQTVLYNLLTSDRTSSKGIWAVKITRELWKRQIWTDSKAVEIMKEASLAD NEKVVGGGVRFFLGGDKEREEMEDEESDHENISIGKLKHQVGINKKSKKKSKGLDKAV DKVKRQERKKNAPHPLNFSALHLLHDPQGFAETLFSKHLQNGKSKLNLEQKLLVLQLV SRLVGLHKLTVISLYSYFTKYLTPRQPSVTSFLASLAQATHSLVPPDALEPLVQKIAN EFVSEAAASEVAAAGLNAIREICVRQPLAISDTLLQDLVMYRKSKDKGTMMAAKGLLS LYREVGADLLQKRDRGKEATMGIRSGERKERRYGEEEVGGIEGLELLEEWKANERKRK RVEAGLPEELGTDEEEEDEDDWNAWDAQSNASTDSGGWVNVESDVEIEISDSEDEGGK KPPAKKVKFADTPAEGGDNELTDAAKKADKELESKISILATTKILTPADLAKLQELRL EAEVNKITGKKGLTQRQKDALARHADDPLTADQIEGFSKLRKNTRDEKIAMAREGKGE RGEHKSTKALRRAKNEAEGKSTTNKEKSRKKNFMMTLGKAKYKQKRSLVQTKRVLQGH LNRAKRGGKRGNIGM VE01_04763 MSKIKRPEKMHPSSGANGVATSVSTSPSIPTKKPPPILPPTSAM GNSTANGVSRTPARPRRDAPPQMLGRGQRTASAGLRSASLIPDIQTVQTAQPPPYIRS DSYILKKYRGCPASLIVHLHPTHFRFDQQDGTFSYKSPMKIFIEHLRSGTVPQDLLEY FNMWNTTFYEGCLIVEVHDHKSIATARESSQNLSSSDKTEPMSIHNSNAYLTPSPWVP YPKENLALTKPIAIPGAPAESEKGKTAEDKDKENMPAPGQPSDNQRSRSNAKKAKIST MVLHPTPMSTYVDLAIKATTPLANQSQGRRESHQDVNGMAPPQTPNMAGSSSGSVGMD PPPAKRQKREKMEIDSKNIYPVESSITLATTAPLFLEPVESAAASAALLEALAHPDHS FPVPSPKSRKKTVAEMAAEESAAADEEQYMLILDERFSNGAAGGVTAADGDSKVGGAS FEPRFERFKAIESIKLQVVENKKREKLLQAEAAKKQQQESEAREKAKQEQTKRDNEES LRGQAQLRIQQQQQQQQQNLRQQENHRRQLAAQQAQNQQAMKGMQGAGPHGHPAPAMG LPNAANGMTTQQQRFLQQQQLSQAPTSSPIVQNATPHNASSPMTAGNIDVQMRHSTSS LGGSPPRPGSVVPQNPQMTPIVAHAMRAQGSQQSHGGTPRISQGTPNMSQEALRQTPR MSQTSPMPGHMVQVPQMGGGAHMMPNQNMMNTQMQQAQIIAQQQQQQQRLRQQAAQQA MNSSPMNGQQMSPQQMALQQQIAHQMQQGNPAMMGGNQMAINYNAQMRAMAAVQAQNS MQPNGQSFMGRGGAMTPQMIQQAQHQAALQAQQQQQQQQQQGQMQQGPPNIVQQRVQA MARQIYQSQITNFMAQYAGGQAPPEQLNLFKQQCQLSARQKIGQQVMIQQQQQQAMRQ QQQQQQQGHMMSGQQHPGMVQGMNMGMNMGMQGNMNMNGSMNGNMNGSGMQRPSGM VE01_04764 MPPHDGYDRPSRRNRGPVGHWMPLILTVAVATIGFATWVWSERD DDDEDKDDHRRRRDGRRDDYRDPQGPPPTYTDPRSGEQGYGAPPRHADEPSSYVARAL KRTPSPQQFLEGASRTVSAGITAAGAAVGNALSSIREEDKNAYKDHKTWSEEAEARGA GAGPAVQTKSVAAAGKGASNNGKKIKVAVVVSADADLDGVDDGLDYSQTHASILSYLP HSTDFSQIQLFVLIFSPDLKHHPLDAAAAKPAGSLGSSYSNIDPDQALTPAEEGEKAT ELDPDTPAFNALWTEAEDVVEKKTMIFPFTTQGGHVHILRHLAPDVIYLQEALSGKEG DVITHLQTWLRGDVVVVVGGDHGVGGLADSESEAEQATPEQKVEKWWEKEERVGRGRH VFVVENLRVGDDWSRRIEGKD VE01_04765 MPHALSMPAPGFQAIILCGPGVSFDTFTSKPEESPKALLPIANR PMVWYPLDFCYRMGITKIHLVAPPASAPAIQAALNTNPHLTALPSPKPDLIAPVDLDQ TTGTAQIFRLPEVLKEIKGDFIVLPCDLVCELGGEALLETWMIREAGLGGATGGGEEF NGPKMAIGGEKGGRRGGLGVWYETKIEVPKGELGVKGEETDFLATAPIEQGPVPPHQN SLLRDTSKVVLSFPTDTLNDTVEMKNMLPIRQSLVAKHGNVRMLTTHRDAHIYIFPAW VLDMINKNDDMDNLSEDVIGWWAKASWQSGFAERLGLREIFHGEEPSNDEEALERDEE SKEDIDIAAISTTSVSRVETLKSSITISAAEQSPQTDAQDNSTTEATTDPLIVPPFLA YIHKSQPYGTPGAPVIRRVDTAPLLLMISLQLAKLEATDQVGRQDASPLAHTSKVAYP QGIAGRCTVTRQDCLLADNVTVEERSAVKECVIGANCQIGEGAKLFRCVLMEGAVVGK GCKISGSILGRRSVVGNDSVLQDCEVEDNMRIEAKTEAKNEKFRSSEGLEATEEEMAD AFADEIDDDGLMQE VE01_04766 MAILKDERRHFENVSLDEKHHFSTKSSLQVEDEMDHPSIAQVVP PAIHVPPAIRQVVPIDKTWWGFIKTGSNLIRNGLYFVAWPFIATTLGFCVWFTFSRVL HPVNSDIIIAREIVDIAIRIRQQCGCGS VE01_04767 MAISPMDDHMNQGGPYTHHNNSNLSNTASFDSYSSGESTTSWDV ATPSPSQSDVFGTYDRDGFSPSPSHMFTSSLAHQFNVPSNHSLSMGDPSMMYPESFHR SVASQDHMTYELHAPLPLPISSPAFLPEQHYVSPGQTCFDPLRPTPPPLDGYYDDQPR YYMSPVQATSHPSHSAPSSYNNYSSAPALPPPINMRRRRDHRRSRKHPSHSPTIVMSD HGYEATKVPAGHFECTQADCKSKGQKFKRQEHLKRHMLTHTQPRDVGCPFCPKRFQEN RKDNLKAHLLLHSKPNADRKRTAYAPGAAEALERLGGLGKKGDWEAERGDVKAICEQA RRKGERERGL VE01_04768 MAVDMSFDYNFSFGPATPPDYSYELAFQEASYQPAYRRADSLSS QMSMYSKESSPDTTNIQLATPIKSPIRQHGPLLLPKIRSQDQAIESPAKRVKKNGTAG TWKPSHSRSYTNPETITYMPAALATPSHSRSSSTLCSPISMTSSVDAQFRRASSCSLD GQTIGKYGFPTYRQMPTYISSTAGTETFVPAPYYTAPPREPSPMRNSISFDDFCEELE LAPAPPADEPTTTLQTFLTAPNPTPSLVRQLNIHVRDPASKHFWWDIRQIRPWTSFTS TTITSIPGLAALLTVALPASSLPAPSAPRTTHPETETELAAIYTSFYAAKLNAALAVA QGTRHLLMRAPTPSSTQDASFVSNYTDDTSALIFGRGLGRVVGLVKSFDRWNSAMRVE GNHKRVAYLRGLAHLHRCMREHGCRYGFIMTEIELVVVRNGGEATPHFGYLEVASIPL AETASASDAEEGAEVKMTALLALFYLHMLARDAPLQGQVGWKAEIGAPAEGTRRKCLP RDEWMPAPQLAEKREAKRARGWVWPEEAVGRKEMGKRGVRYAGAVAGV VE01_04769 MSLPSYKDAITPLDPLDFVAAYLSTHDLLTCSLVNKNFNTVFAK YLWTDPLRIIGNGAKRIPFHLEKYRLFSKSITTTRESTKNLVQTLDYRQQWIPKMYAL PKHVSQRALTMDAGYWNSQRIVYKLLSSLPAHFHRTKFLFLDDIEFPLSLPQPPRETC HPLLLSLRNCQNIATILLPEDAADFLSEIIYLDISHTALRDAYNHPTYPPAHLMLPSL RILKLRHVSLLDSDLRALLAASPHQLQLASLDVRDNKLTDGVIPDLEQHIVWRIDATS LTTPRLPNYGATTEQGNKYLESPPAYSERPRTEEEHQETFIRDTPHPPPDDPESFTKC AREHGDMSDLIPFAGLTALYLSGSGFTSDAFLRLVRASDRIQVLDLDAPFKETRYSAS IPAPPATDEEQEDGPTHPVEIATHYLSLALSPRLSTLRAHHALVTHSTTSYHPDTNPH LSSLTLTSIPPLSPPSLLNSLKTFLLAAATQEHAIALARSSGVEGGRRGAKVRPGLRH LRLELGAQVESVSGDRDADVAAAAGAGDFSFFDNPATTAEEGEEGDGGKEGKVGGKEE RVDVRAELRRWRGAEGGGERRWGGRLEVVVRGGEGE VE01_04770 MVAPTGVPKQPAAILAMRDMTFTKAPLYKRTDECPQNYALCPSS LSGGCCPSDHSCGTSSCYATTPTPLSICTQLGYQECRLVDGGGCCLPGYACASNSCIP TTSSTISTPCDASSTHCPAAFGGCCHSTYACGQGYCYATALSTVKVTVVTTKTDSKGH VATVNTVISTAYMPSTQPTLGPSPVATAAVPRFTPTTIPIAKVASTPSSSSGLSKGAL AGIVVGVVVILIAIIATGWCVLRRINAVAKQSEGHRTSGSGSNRTPMSKNRGPNGGNS GAPGSGPTNVDFDNMSIDPLMMTSSGAATPHLSRPTPTHNSSYDTQITQAPAISPPIP SPYASPPLGNTQGGGYQAVPLGDAQYFDTRHHSGQGSPSNISPYSPGYPTSASWGEPV PDPAIRDQNLRFGRISNPSPGGNRHWSQISDVSALSAGSGPGIGIAELDQRSGLVEMS EQESSAAAGVVAGSSAANRSSVDSHKKTKSFEFIAHRRKRSSAGSGMSQLLTPPLPGG QSPILENEAAETEAEVGAGAEWERRHRATRSEEAGESGQIVYAHQAERNSLPTPVVAR GGGVGDGGLPSPVGGVSRKPVGGGGV VE01_04771 MAMPTLLSLLLLPLSAAALGTIDCSKMLADKHNFDLSALDGPHS VMHGVEQPPSFLNTTYTINICRPLVPDSDAKKEERCPGPTRVCAIERLINPIEKSTTI SRVIPIAGDLQNFPGGTLLDASYTRLRTAPSPADQAREGIRVELHGGSYNGRKQRAVV EFLCDPEVEGTEEEEESVLDVYRREEKGEKEEGGKGNSTTRATKSLVFKKYGPEGAKG EVDVLTLEWRTKYACENVSGGGGGGKEKGNWGFFTWFIIIAFLSTAAYLIFGSWLNYN RYGARGWDLLPHGDTIRDIPYLAKDWSRRVFNTVQDSGSRGGYSAV VE01_04772 MASIIDLRFEHYHPGPTQLLVTQDTAPRLSWTFQNASSAFSPQS YDIEVSKESSGSTLELLCTVRRASSLSHLVPWPLDRHLRAREKISVRVRVWDSAGQDT PWSAPARLEIGLLSHADWQCERIAAPWASDTTVSDPEQLFRQEFSLPAASIVGTIDQA RLYITAQGVYEAEINGQRVGDIFMAPGWTAYDARLPYQTYDVTSLLAGGVNCLGVRVA EGWFSGRIGFEGGHRNIWGPHTALMAQLEIRYVDGREERIVTDGSWQVTRGPIRLAEI YDGEKYDATLEVPGWSSPAASASITSSLENSAEISVVARWEPVVVMDFLPATTNLTTG FTEPVRRIEEVNPVQRITTPAGKTVLDFGQNLVGYVRLKNIIGPRGHQITLSHVEVLE DGEIATRPLRVCKAIDVYTLKGDASGEHYEPRFTFHGFRYVQVDGWDDTVDLSASIKA VVCHTDMRSAGTFDCSDPLLNKLYQNIRWGMRGNFLSVPTDCPQRDERLGWSGDLALF APAATLIYDCFGMLKNWLVDVEYDQKVLGGVPAMVTPNSTIVDPVWCRRKPCAVWHDV TILAPWELYSETGDATILAQQYSSMTTWIDIVPRCKNGTTHLWDPSIFQLGDWLDPAA PADAPWKSATDAQLVANAFLVHSLDLMVLISRIVGQTADCAKFSSDALATRQDFQNEY VSPNGRLISDSQTAYALAICFDLLTPTQAVVAGNRLKYLVHKNGFRIGTGFAGTPFLC EALARTGHTNIAYAMLLEKTCPSWLYPVTMDATTMWERWDSLLPDGSVNPGDMTSFNH YAFGAVATFLHGRVAGLRRLAPGWTKCCFAPLIGAEFDRAIVEHVTPFGLVSCTWETK QDGYGMNTIEMRALVPYGVTLEVVVPQETGEKTETVGMGEWVFKSRFSRDYEWPIKPL PPKS VE01_04773 MFERSDVVEAPSDNTLTTAQKPSKDLPLPRDFVWGAATAAYQIE GGAFQDGKGPSIWDMFSHVVPSRTSGDNGDVACDHYNRMPKDVELMESLGLDVYRFSI SWSRIIPLGGRHDQVNEAGVTFYNNLIDQLAARNITPSVTLYHWDAPQALYDRYGAFL NTAEFKADFENYARLCFSRFGDRVKEWVTFNEPYVISVFGHHSGSLAPGHNRATGFDT KTEPWRVGHTIILSHTSVAQIYADEFRPTQEGCTAIVLNGHMYEPFDSNSNADKAAAR RRMEFYFGWFADPIYLGQDYPAVMRAQLGSRLPKFSPDELELLRKSVSLNTFFGLNHY STKYARALPDPPADDDITGNVEEGAINSEGEEIGPVSGMIWLRVAPEGFRKLLSWLWK RYSIPIIITENGCPCPGENNMTLEQAVDDQFRIRYLGLYLDAISQAIYTDGVLVKGYY VWSLMDNFGMLNILNFVVGMQY VE01_04774 MASQPISDKPTNTAEHNEFGEDGDIKGSDVVADAATKGQAITGY ENLTPWQTVKHFKMATALCFAAAFSAATDGYQIGINASIIANAGFVKQFATEKNANGS PALASPILSGWSSVVSVGQIIGMVGLSFYTVKFGRKVAMYTYWLILVGSILAETLTRS WEGWLVGKLLAGIGVGCLQSTIPAYISECAPPRIRGGLLMLYSFWWTLGSFFAQVALN SLNASNPHNYLNALYSQWAQIGLMLTIYLFLPESPAWCVTAGKMELAKKSLSYLNRGV ENYNVDAQLEIILLNVEHERALAVEQRREKWYAIFQGVNGIRTVITLWTNLTQQFIGL SLFGTFGTYFFQQAGLKNPFRIKVITSSIQIATVIVVVLCADRVGRRYIACCGTTLSW LACIAVGILGVVPSTNASSYVFILFACLWNVGLAANGATGWGFIGEISSQRLRPYTAG FGGAATCVIGVVMSVLVPYMTNINKWNWGLKTAWFYVGVGAPFMVGMWFLIPETTGRS AAELDELFERGIKPWRFHKTETATQRVLKEHEAE VE01_04775 MSFNFVKRIIFSAAAVAVAVAAIPPPNPEFFEITQLSLPPAINT TSVGACTASINPRRTGCIYYQENYDFQSGDFTPDGKHVVVSVNFTGAPAAPDPASIYS GAQVILITADGTNFPNGDSWKCMSCAVPTQNKQSLDPVIDYPHVFRSGTKILWGHNIL DCNGQPLADESCTPTRTHIYPIFWPVSTNAFAAGGSPREMRLHPDDTHILWSSLSNSG QSTFTGKLQFNARPTNGSLRAPRYDLIQVNVLARANSTPAIYADGNQIKINPQPLSLG ELRGWSGNGEEIIYLGSNVEANNVDLYAIHVVTGAIRRITSHPEYADPIVSSPDNRNY LIMDTRGSNRQMWMAGMRGIPPIIDIVVTLLAVSTRNNGPRRFFQPILLDQFGDRSDI DYYGQRINTEGDLSNGSVNDPNWNGRADAGFSLDSTKIVYWQALVTSPACGGVNPLKC PASTAQGGSNYRVMLAKRTSRAPTRPVPVFKIPDIIPWATPFPPGASVPVDKTLAPGD YTLYGKAHGFANVTLTSSSVAIRYSNFSDDYRHIIDGYENATSSVKPPNYYDVHVDWF SDIFQSGAVFGTKKTSPGGFHAEIDAFLNIFSANGSLTTTINGVEYLQPLNFS VE01_04776 MSLPFHCTSCTFPIPPTSPRISCNTCPSHFCASCYILSRSPGPH LASHPSLLYEYSGYPPRTPPELPPRPPLQPINTAASTQRRPVSTQLPLASLKQVGGEG LPPQRYPPQPSVSASTTPPPFASPHAASPQTSHAPPAPEIPSSASSQTNYAAPSESTN TVLPEAGPSRSSPYAYTSRSNSTPGPQTPQYTYEPSQGPVPSQPQQQQKYQQPPQQPP RPQLQQTHSAASTGWQPFYNNTAPTAFGMAFFNEIFNHLDTNRTGLLLPEQYSGFLDI IGYSLEQNAWKNQMSKPVFGYNPQDFADYQLRQEYINFSIDHVMAPRPPSAPNTDIRS SFSSLRNIVPPSFSKILDSIPTGNSISGNQMPLLTRRGFIDHCANEFLYYPNDGFNCV TKAARHYGIWRELGEMPRNVFPVVAPQELLDRVKIINIEAARKAEDLLAAKTIEANIA AKGRRNALNLIGGDRWEYI VE01_04777 MTSKSQHLSQTRPVAMLGLTYGAILRGNDYENRSRRCPYNLRPD AANWEYVAVNHRGYLYAHQDGEYSFTAPIADNLSLLWLAPNAYSGYTRANANLEATYT PVGSAPLEYKVTLVKGKYYPIRFSWDNSGGAGYYELKIKAPDGTLIVDGTTTISPYLV KFGCRDT VE01_04778 MVAQFWADDTVKYLVPCRFPTPSSHRWPSLAHTAYFSIPLGNLG APAAPAAAASAAAQPVSVAWTPFGSWLLQPSRYRVVWPFPLVLATNNGPAPAGMPAPA VACPASCVAAAATVTVTSPPVTTTTTTTLSGPLPTEIANGGAFSAGGNLPKPDPVFDE QGNWSCCIGPFSGGSGVGCT VE01_04779 MHIKDMLNQGEQAGKPTFSFEFFPPKTAQGVQNLYDRMDRMHGL GPSFIDITWGAGGRHAQLTCEMVSVAQSVYGLETCMHLTCTDMGKEKVDDALKNAYKG GCTNILALRGDPPREKEKWVATDEGFQYARDLVKYIRKTYGNHFDIGVAGYPEGCDDQ TDADLLLDHLKEKVDEGATFIVTQMFYDVPNFLDWVRKVRAKGITIPIIPGIMPISTY ASFLRRCNHMNAKIPPGWIEAMEPVKNDDAAVREIGRGLVTDMCRSILESGINHLHFY TMNLAQATRGVLEQLEMLPSEERPLKQALPWRQSLGLGRRGEDVRPIFWRNRNKSYVM RTQEWDEFPNGRWGDSRSPAFGELDAYGIGLKGSNEQNIKLWGEPKSVKDIANVFLRY LNADIESLPWSEAPISNEADVIKNQLVELNERGLLTINSQPAVDGVKSSHPVYGWGPA NGYVYQKAYLELLVAPELIDELLDRIARDPEVTYYAVTKSGTLRTNAPSDAPNAVTWG VFPGKEIVQPTIVETISFLAWKDEAFRLGMDWAHCHDSSSPSRNVIQRVMETFYLVNI VHNDFHQRDALFSLFEGLTVPNIDKAAEGTAAPAAAPAPAAAAAPATNGDKVEEAPPA IVSNVLPVA VE01_04780 MKTTWKDIQPVPTSQEFLDIVLSRTQRRLPTQIRAGFQISRIRN FYTRKVKFTQETFSEKISLILDGFPRLQDIHPFHKDLLNTLYDADHFRIALGQLATAK HLIETVSRDYVRLLKYAQSLFQCKQLKRAALGRMATICKRLKDPLLYLDQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSVTRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAILYFMDLSEQCGYTVAAQIALFQSIKP LFANKLVFIVINKIDVMRPEDLDAESQAQLQALLKPGDVEMLQLSCTTEEGVQEVKNA ACERLIADRVAQKLKAGTNSNGAVGGRLGDVLARIHVARPMNGVVREAFIPDAVKEMK KYDKNDPERRKLARDIEEENGGAGVFNINLKDKYMLENDEWKYDKVPEVLDGKNVYDF IDPEIEAKLAALEEEEEKLEAEGYYDSEDDLEDAEDADIRMKAELIREKRILIRNAAK MKKSLKNRAIIPRTLTKAKLTDMEDHLDSLGLDTTSLTTRARSQSRGRSVLRSRTGTE DVMDVDSPEYEAKMRAKSRARSQSNRREDGVPEGVIRTKAERIAKLGQRHRNRMARAG EADRHVAAAMPKHLFSGKRGMGKTQSR VE01_04781 MRLTLNIASEEALEHDLLNLEVPTETTVGSLKGMVQAEAHIPTT SQHIYHNGQLLSDDNKTLEQLQVVDGDMLALHVRDIVGNTGVPQPGPAQQPARRPAAS REEQDPEVIRLQLLGNPRMRQEVQRAQPELAAAVENPQRFAQLFRQMQDQERRERMMR QQHIADLNADPFDIDAQTRIAEMIREERVQENLQNAIEHNPEVFGRVHMLYIDVEVNG HKVKAFVDSGAQATIMSPSCAESCGIMRLVDKRFAGIARGVGTANILGRVHSAQIKIG SLFLPCSFTVMEGKDVDLLLGLDMLKRHQACIDLSKDKLVIQDVEVPFLGEADIPRSF EEVTEPTVEGPGGTTIGGRSGAISGPAGPATANTPAAPAAQAAATPQPQPPIQPSQAA PASAPAPSAESIDQLVALGFSREEAVNALNACDGNVEFAAGLLFQG VE01_04782 MPLDPDHQEVQDAPIIQNVDELGGPPGDYSDDANNRFFITNNAD EGQQGEDIRSLRSLHPYTRPLTVSDLDSCIALENAAFNENERCSPDKFKYRLSKCGEL SLGLFCTATPDSDIPAATLATGKPVETSRANGAVSVLLAHVVATKTRDGLATDVAMDY PRDWESIKRDERIEGHHEDGRTICVHSLAVLPEFQGSGIGRTIMMAYMQQMNGAGIAD RLAIIAHGPLVPYYEKLGFVSKGPSEAQFGGGGWIDMIFDLKSIEARAMYG VE01_04783 MFAVKGWSVPASALKTQTLKPAKSEQTPVADGASNEAGPAGAAG QKRKRASKDQKQANVSEANVADLYASVIEKDQTPKGGKAAKAKPSEKRQKVTQEPAKE LPSTDAKAPAATEVADAKPAAAPAVDSTGAITLSERGQKRKTMKDKKREKKAKANAAP STDEPTETHTISAPAPAKIQPKLTPLQASMRQKLVSARFRHLNQTLYTTPSAHSLSLF SENPEMFHEYHEGFRRQVEVWPENPVDTYIAQIRTRGKVAANPRGKGEHPDTGREIDK LPLPRTVGTCYIADLGCGDAKLTQALEKEKKALKVQVFSYDLQNPSPFVTKADISNLP LEDNSCDVAIFCLALMGTNWVDFIEEAYRILHWKGELWIAEIKSRFGRVGGAHKRVEH SVGNRKKPAAKAAKKMDEDADNADLLVEVDGHDDTKAETDVSAFVEVLRKRGFVLQGE KAVDLSNRMFVKMTFVKALAPMKGKCVPVPKGMEKMGQTTWKPKAKPKFLEEEDVPVS SEAGVLKPCVYKLR VE01_04784 MDAAGPAAELHQGTSRDDDETLVDAPTEASAAKNAANARASRRR TKTGCLTCRRRRIKCGEERPTCGNCIKSKRQCEGYNQRVIFKDPLNTYRGPTSSIATH SGFVARPIRQQGSLGAHGRPVQSKVPGGASQQNIPPRAGGSSQSDTSRPATVFQGFVH NDSATFQPQQSVVNPLRRESEISHGGSEAPAQWDNPVNSVPVSSPLDAQWITAPQPPQ YHHEPTYSEVYEDQAQMTHDSYLGDIPMQQSHDTGNRSADLSNRSSVSQGHVDDISYV QGYQQEYQAPTQQGAWSQVARPIMSSPPYEPVTNEFYDTRNPIHRTDEEDEDDDDSDP FDVSDDEDEDEEPRNGGAHFDPRSREIQRLRRDNELATVMAIQAAQTREDTRIRTYHS VIENYGPNMLASYYPSARDSPLSNPIAAGIFCHFINVIAPSLSMFERHPVNPSIVFRG NPVPKSQQHIWSYTMPTIALRNHALCHAMLAMASLHIAKLENGPITVSLRHYHIAIRK LAKNVGSSTRRKQLATLATTLLLGFYEVISADHSKWCDHLLGAHQLVKQIDFSGITRY LKTKKAYMANLPPQNVYYGGDMSSDTPIVYGHDSMEDSSLSMNDEVDENLVGIIMGKQ IRYAEYGEIIEDPDGPYMGNKRFTQRELDLFDTQQDLFWWYTKQDVFQSILSQNRLLW SHCPPRAPLGRRDAVYGTFDHLVLLLGRLCCFAAKDVRRKKRAMAANRGQWGPPPGAP GGPNPGPPMPPNQQPQGGRGMPPMPGMPPNQQPQGGPGMPMPPGGRGMPMSAMPSFAG MLPGIRKASLPRGFSPSSEDSPPANSDEGDERLSEQTAKAEEEWNGIRSVFGMFEQHL GPDFAPLGPEYAQEIPSPFGPAIQYRTYGMALMWLTYYMGLIVCHRSHPSMPPSAMMA AGFAAQQTGLFANTIGRISAGITADTSSATRVNVGTGAALNDSCFALFVAGVQVQDQA QRHWVVQRCLDIERLTGFETASHIARGCETSWTRAAAMGKGAPYTRYTEQAPVDKVWS RAGQRLERMRITAGEEQHVHPQSTERVGRVQFAVGILGVTSHFGKLDLESDDEEDR VE01_04785 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATGG GVVLGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVESQNHAFN YNEPLRVESCTQAICDLALRFGEGADGEESIMSRPFGVALLIAGFDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEFHKSLTIEEAETLVLKTLKQVMEEKLDSKNVQL ASVTKERGFRIYTDEEMAAVVERLPAN VE01_04786 MSSSSNQRAATISDRRTTASGENVLSSKARLASTLGGGSKTDRD GRQLQSPQNAPGVSQHRRMPSGSHSQRSSRTAVEERRTERHTVTTRETISSRPRSPER RQAPPVVPTSRARPVDRTRYATPDVKPIPSKPEPQQLPWDPQAVLIPHTSAPLAARIS IPPLASQAPQSLQPRPMQELSLDAQESSILEDLLFVFMGYEGQYIRFAPSYNPSAEKE RLAGPTFKIHPGLDPSLRDLTTTMLKMATHYMAVEAFVEVQSREEFGAVNHALCAAIR KLLQDYLVLIAQLETQFLTNPSFTLHVLNLHTLPTSHMMFQVYSLAHEILKRNSLLEE DLEDSEGDFDDIENILETLREGGDLGPGSIPGKKICKGGNVLGLITRRLETMSGDPAA RTLLTGLLRDASRPYMMMLNEWLHHGSIKDPHAEFLVKEQKSIKRERLEQDYTDEYWE RRYTVREQDVPPQLEAVKDKVLLAGKYLNVVRECGGVDVSKAVKDVPRSFDDNRFLDN VNSAYAHANESLLRLLLTTHALPARLRSLKHYFFLDQSDFFSYFLELGTSELRKPVKA VNTSKLQSLLDLVLRQPGSVAALDPFKEDVKVEMNDISLTNSLTRVVNISGIEEGEKF QAPAQTTAETEKSAIGYTSLQLDYSVPFPVSLVISRKTVWRYQALFRYLLSLRYLEQQ LVSSWQTHNRATVWTHKSSHRPIEMWKRRAWTLRARMLVFVQQLLYFCTAEVIEPNWQ ALMARLKTKDENKDDGAPASRTVDELMQDHVDFLDTCLKECMLTNSRLLRVHSKLMQT CSMFASYTAWLSRELEKTDTDLNGPNKPNNMSKKQWQRFQDERSARHSDSTSSNAGKV SEAARLEKINETLHKYEYNFSRHLQILLDSLNHYAATETVVLLGLCARLSTANQGTPF SGLRTDEDGIA VE01_04787 MLSRTVFRAARPCCRLYSSTTGTGTAPPLLLKIRKDLKTAMQDK DKNKLNVLRALLSQSLNASKTNSPIVTDMQMLALVRKNAAASKQAAAEFVEAGRQDLA DKETEQVRVMEEYIGEVKTVGEEEIRTVVGGVVEGLRKEAGEKKVQMGEVLKKVFSKE VLGERNVERADVARIVKELLA VE01_04788 MSSSSREHDEGTTEVDPRYLETVTYHNREFQQHSVENSIYLSPI DEDEIERLTRQHRLLSIVFDERLVFPPINNPRRILDCGHGSASWAVDVAEQYPRCEVY GVDISSHMQPDEIPVNLHLQVDDLNRRFTFARNSFDIVHSQLVAGGINAARWTTYLRD LFRVTRPGGWCQMVEVYYQVQSYNGTLTDEHGLRQWSTRMFQSMEGLKDLRMPLHLAN AMRTAGFVDIDHRMIPLPTCAWSDDERERRIGTENRDNVQSFLSSLAIYPLTERLGMA PNDAQLLIAHARNEANNPAFKWGFAGVFSTICLHRSKAASVKIALASSRGVRRYNASL AECRNAYWDAFHLGKSSMLLKYVYELLSSGGSP VE01_04789 MPSTHKKEKPWDTDDIDKWKIEAFKPEDNVGGTFTEESTFATLF PKYREVYLREAWPLITRSLEKFGIACTLDLVEGSMTVKTTRKTYDPASILNARDLIKL LARSVPAPQAVKILEDGVASDIIKIRNLVRNKERFVKRRQRILGPNGSTLKALELLTE CYILVQGNTVSAMGPYKGLKELRRIIEDCMSNIHPIYHIKELMIKRELAKDPELAGES WDRFLPHFKKRNLSKRRVPLKVTDKSKKVRTPFPPPQEKSKVDLQIESGEYFLNKSAK ERAVQEDRLERQKEKKIEKLAKREKEFEAPDEDGEKKEKKRKRKAEGEEDEEKPKEKK KKKKAKAAEEEGEEEEEKPKKKKKKSKDVEGEEEEEKPKEKKKKRKSVAADEE VE01_04790 MSSGNGKPIFFGPFEVTDQVFYTTPLSFALVNIKPLLPGHVLVS PHRSVPRLTDLTPPEVNDLFLTVQRVQRMIALMHFPSPSTPEEGGFNIAIQDGVEAGQ SVPHVHCHIIPRLRGDGKGDGIYDEMAGEGGNVGGHLWDREVVGRPVPGGRFPRIEDE MREARSTEVMRAEAAEFRERMKELDESEAEV VE01_04791 MLKALSILASVGSALAGTILWDGRMNDFTSSADLNKWSWSNQVG PYQYYIHGSGAVTDYVNLSPSYKNPADSGSTQGAKISLTSTAYWNGQNMRRTELIPQT TAAINSGKVFYHFSIMRSATNAPSLNREHQICFFESHFTELKSGWISGAAGTSDPALR WEVGGTSQWDVVWDAGVWHNVAYEIDFSANTVAFWHSTGSAALTLAHAAVSSSTSSNG ADWHLGVLELPRDGYPDSNEDFYFSGVYIESGTLTTSVAGPGGVVTNPSSSSVVVVPT STVATTMKSTTSAAATTSAPPTQTNVATAPKWGQCGGNGWTGPTVCAAGSTCKVSNEW YSQCL VE01_04792 MTSPLRMFGRFTPVFYIFIPLVAITTLYTVLFRLDRPPRSHNLS LFPLPSSSGSLSASSFPALRNLCNETEWTEGLWLQCHNNVGPSKTSMRGGLSNLRNRM QTCVRLAISAGAGVIIPTFATRSDTDLMEYITEECPEGLFDTKLYQQSLSAACPQLNI RACKDTKGLDTTVDAKFRKYLEPSHSNDTFRALIDDTIAKSEVVSTRAEISKDRPVRI LYGDPYLAWNYTAAGETEVKKELFRALRYNDKLSQLGGRVFDALRETVSGPVVAVHLR GEKDWPNSFGELGTQIDLYTQALLELRNNTLGGNDTATIKDVYVSCGNPDAIRKFEKR LEPLGYIVHDKTSLLSKHTKILDKIERLRFDEKAITEYDSLVSADYFMGILTSSLSAI VAYARTVDGDGDYFEEYIHPNTTRGNFVDRVFPDSPSVRGDGKTKLMVLTGADIMDCF P VE01_04793 MSRSSTSQQRNLTLTEELEKLEQTITLTLQEIDHNFSRAHRIVT TSILPIVEQYGKHSEAVWDGSKFWKQFFEASANVSLSGYEELQQEEEEDTAVSYSHGN STYGASLQEDDSLPVDTTHHGYGHDDESLLDNGDISGSTPRAPPSRTATGGKFADYGS PYEALKREMKGGKAKEEEYDDDTPLPPTTPGTEQRLPRMSMTPLSSPFEPTSHLASTK RAQDPLLHRVMDKNYRLQATPHTAQKQAKAAASKPSWRDLASPSSSSPIQAPQLHHEI FSSPIRQPQIRPNAAPRTPGVSVQTPARGKSTRDIGITDEITWDSDSEDAEDVYKTLG MSPPKTIQFAIPPNRVLQTPAREASKRIVEDLLMTAGAGGYDEEDSPSMIKPSHNLLD DSF VE01_04794 MRAPSTLAFLAVAAAAMVVDAQQGAYSQWIYQCVPSSFPGPTPT LSGDSPATSTLPPAATLTPNNLWIRAVEEPYFHKYLQSSSPNAAGAAVLGDASTAAQF FFVYGRIVLIPPRPSPALYAVVHPEVIGPAPGRLAITFETEAVNYGGFLYTGDTLMWR NLAVPRPNESAWLVCGGEVFVNLGEVGEGTPEGCVDQTIHFYFGKTADV VE01_04795 MAPKRDREDDAQVPHVKKVRGGFKVGPDNLPDGTWRRKVIKIKK DLIHNAKVKKSYAKVKARTSPPPVPAAPDASTIVIQAPEEPVAPTPAAEEPKQEQDQE LHPSRQAMLDAPDAPPAAASVPRFSGPKIDGKSRGAKRPGYFDKAKAEADAKKKEAEE RRAEFERRDRERKGKVEERERHRRAMAKARTGGRNGQRKLGRESVVLLDKVRRMVG VE01_04796 MPYFQDPDGHAASTPPNRPTFTFPGPRYRELRREPSEESIRTDL CEGLVPDSPDDAAPTAEDEAGTSSHDASSCVSDRQELMERLKRGESPIWSPKRLETPG ARRTTPLLPPAEIKSSPISPRLDETENLQAGLSIERPLSALHSGNFREERAEPKRDLN SELDIDRIRAASPPRPWLCTSPPRDFIPFRHDRFHSSSRADVDFVSRSRAVSLSSSYS SSFAYIPPTSPLVHSQTNDEVEFSPLGDSMDIEADFIRNRRRHTFQPYSSTPVTPSSA VSDYQLPFQRRDASYAYQAHQPRRSLALNTGMSQPTSSPQTPAFRHSRRPSLASDSPL HHASMVGSYEESILRGRMSTTPSKPLDFVAQIGVLGLGKCKPSLKCPPHVALPFPAVF YSYATTAHVRHTASEDGPSPYVGQIDLENGLPAAPPSENHSTKRTRHSKLRPRVSDGP EPPSPQDQTPELEKRRLQKQKRRSTSPRAPPGGCYRIPEKGQLQIIIKNPNKTAVKLF LVPYDLEGMEPGTKTFIRQRSYSAGPIMDIPPTTTSASSTTQQQPEQNDRATLRYLIH LHICSPARGRFYLYKSIRVVFANRVPDGKEKLRNELQFPEPRFTSYKPVRDTNPNPSA ISPASEKAFRRRSSGFPLTGLRGGDFEAMDGIAQTGGAYPYDGEGRSTTPIEPIPFSL YKRSDAAASSDTGTSRSGVPSRPPTQDGNGSGEWGASSTTNGGGGETYDKLCKGDIGY GGNAFATPLLQGRQVAEGLLALKLRGLGVGRGDGGVDEGR VE01_04797 MGEKLTDVAAQALLTLLRSDSSVDSKVASLTTAKSSIKQHNLPD ACVPPLFESARLAMVSQHTALVNAGFTTLNHLLTRMTRQEPRAIVRETKATLPLVLER VGDAREKYRGLAGLCLTAFWRVAGPEVERGVREGVLGGRSGRAKEGGMGWIVQMHEEY GLQFRSFVPSLMELLEDADGMVRDCARNTVIELFQNAPNAAKSDLKKQLKAFNVRTTI VSAITSQLSPTGADPDPIDQHTASPNLRSHHSESTTSASSTTARPVTPVIEQRVLEHV EPAYVNTVRELEDTLRDMHPFFEGKESEHNWLKREQSCSKLRKLNAGNAPADFHDAWL VGVKGLLDGILKSVNSLRTSLSKEGCAVVQEIARTAGPGLDPMVEILLQNLIKLCGGT KKIASQAGDATVDIIILHVSYNQRILQHVWLACQDKNVQPRTYAAGWLKTLLKKLANH KSTIEHAGGLDIVEKCVKKGLADPNPGVREKMRGTFWPFAQMWPAKAEAVMSALEPAQ LKLLQNDPGNPNSPKKTNPVARPGLAMSKSTGPPKPSLREALLAQKKAAMAAKTLPTR PGSAMSSFSPMRTASAQSNSSTATAQPGRSRPESTTTSHGGLSVAPMRPTKFRPKEPP RPATAGPYSVRQGPAGATATPSTTRPAVRTPSAATVSPKRSAVPRPNTSHSSHASQSS NASPIREKVSVAREANVAREEMVVRESPRVAREEMVAARESPRVSREEALMARESPRP RPQQQTQTQTRDYMSSPSKADEDFTMVIPTLTSLPFSSSAPPPASPPPPTQQKEPAPR LATPAKSVKVYEDPFSSAGDDETTPRPPLPATVLEEVSVNEETFSLPPPPSPPKASTN GLLASPERAKKDVRLLDSGIAKVKAQSLDVHGFRKLQSLIRDSSRDIWGPATPGGEGR FDALLSGLFTYLAGPATALAPEKVQDVKAQILATIRAMLRKDRDAFAARGEEGIAALL AARARYEGRAHIVAGLEVLAQELVGLGDADSVAGTVVRRLEGEEMGVEGCRTLSMGLR MLTGLLEGPGGYVPGEDRAAEMGRLAGRCLESGNSGVRMDGVGMCVALHRGVGEGRFW EVMGGVGGDGKSLITYYIVKRQREAGGV VE01_04798 MTVPQPPTSPTTTPLPITKPAAACPADTPTTTAAAPPSFTIPPL SLQIPTLTHPGATALLSNTIISTALPKHITTVLTHLYVSPKEPTTHLPPTKSVALFLR PMDGVAYTIGTQAVDGAKEIHFSVDYISRIAAARVKDEIAGVLVHELVHCFQYNGLNT CPSGLIEGIADWVRLRAKLAPPHWKRSTDGGWAAGYEKTGFFLEWLDQNKGDGKGGVV RKMNEWLRKNKYQEGKFWRECVGGEVGGLWKEYGKFVAGGGK VE01_04799 MEAPEAAVAAGEYPDSAGEEEAYPCKGCGEILEEGKAFELANNR WHLDCFRCNQCHTLLDSDANLLLLGDGSLICNNCTYSCSACGNKIEDLAILTGDQAFC ATCFRCRNCKRKIENLRYARTSQGIFCMSCHESLMARRRKKSKLAAAAAKAKEKDSML VDKSLPALPPSEKAAAEAAQSREPPMNLHTELSPRPRPQYPDEASRSSSRRAESPSDM GHRDGLTLPTTTYRNNRHSQASDMTADGDGFFIPLALDPSPAPTPRPAQNNKKENAAA KDMLSPSARSTRGQVPMSQSTPHIAFQEKGRTTSSEYSESSKDGGATTRRPPPRPSAG SRTTSATASPALGGEEPKRQHLANSMSNGNANEKAQSAQEKFKLQDVPKRKRSNESRN SGKTEAVENPQARPLVKRENLSRTESAESTRVSQERPRGGVNQYLGAESESRPSTDSA MSPPPRDQARTELPTASKPIPRKEVGGSKLGKTQPPSYAASDAMSSSVSSTDSTALST PTVNGKSISSPVIKPSEDSGPRLPIRPAPPQQKPSDTYMAPRAPPIPPPPSQPKSGKQ AINPITGEPISPKLPRWSAGGDFTMDEDMARILGTDERAESLLRRVSNAVRHGRTPSD HSAHRHGGHGRSISETTSRTATSGHWPKTPIAEDGIVASPQSISMGSPRAGGDDSAIL RRQLRNSEQRVAELERVFVASGELKSVTKQLVEKRKTVHELGSQAEIMIRQIEVLAGY VERAKRAEGKHELDMEEMEESAIKDFVLRIERLKQSMSREVETLFEERETLFDEKAAA IKSRDRALAEFEQLSIKNAQLADLNNDLTTQIQERFRAQSGAAAGVESPKPPMSSGGA SANGLGIYGHSKDKLSLPTLDDASSTTAAATSYAPSLATAHSYPHVLDADAALEPATV LSAPHVVNIRKAQAKKFNWKKGGATVAKGVSKGFKGAFGSERERNAAAAAGGMGEIGM PYNTTVTPVDSPSLMAPPQNSQLGGGGGSLPKSQSSDPRGFGLFKKSHANSKSAGGAT ALNPAAESPATLFGSDLVERAAFERRHIPSVVIRCIEEVELRGMDVEGIYRKTGGSGL VKAIQEGFEKETPGMEIDISDPDVDITAVTSVLKQYFRKLPVPLLTFDVYERVLEALT IPESDPTARCAHLRHTFSLLPPIHRDVLEFLVFHLARVVSKASVNLMTPKNVAVVFAP TIMRDRSVEREMSDVARRNEVVQFVVENSEEIFGGE VE01_04800 MEKAALVTQADDQFLFVESSESEVTAMKENRRLSLGMHGETQEM HSKTFEREDNAKEEETVLPMEQQGTQGRTSNSVEKQTESMEQTHTVSPKMNGETQIHH NHQNQPRGATGLQKQQRNPAKWEDWLALQEHARELRKASENMAKQVEIYQPKKWIERQ MIRNALECQALERQAKKTTMIDRLQRSTSVKKIDRYYAKIRQMNKEEADEAALDMIEK NLYSESYYPCALVDKPWIPSTLKWLRDFRAKTEKPQNTLLMTYEPKAKVKEWLKTVCT NKEEMEGTLFMDTETSVKQVTAASFMDTETKVNSDTAATRKQYAEIQSKISPPKPATK MIPWTSNLPIPPPEGRYIFYKPAETRTPLGFGAASPFVSQEQLKKRKREEKKREVEER MMDEELDTEVLPKPKRGKRLNEFEEAMRVAGLCPEDPDKKEKGKGRGKGRENGGKEER PWEEKEKRGGGRGGGRGKRGGFGMM VE01_04801 MSFPSLQTPQRPLPGAFVQTPAASRYPGPNPVRQLFRAPSSNPA AVGAPTAQGSQSAVALLPQAQSQSQALKPVQRAGRTINEVLRKDANFPELDSYVKQGI SSDYEIPSVLGHDSAWAPYQKTKMYDIPDRIFEQYNHAQVSTMMGLFSELNHAWITID NALYIWDYTQTNPELNGFEEQSNSITAVKLVVPRRGVFLDTITHLLVVATTAEIILLG VGATTNPATGAKVVTLFNTGMSLSIKGIDVRVIEGSAASGRIFFSGGGDNEVYELTYQ QEEKWFASRCGKVNHTSPGYTSLVPTKIIWGTSSKEYVVDMTVDDSRNLLYTLSSESS IRIFHMDTPASLKQVVEKKRHECLRDISHMISQSPLLDNNLKIVSISPISATEAAKLH LLAVTSTGCRLYLSATRGYGYLAGKVEAPTSMQVQHIKFPPPDQQDPQPRSPAQPSGY QPVEQPINTQSRALQYARRGLRYPPGFFLCFVAKDTNPNTDVLFLSAPDTGRIAAESR DISGQSSKFYEQGLWLKLDSRAEAIGLVSNTFAAASTPIGYGNELAVQFDEPSTEMAV LTNTGIYIIKRRRLVDVFAAAIRQGDAEQGLEKQMAQFIRHYGRSEVTAAALAVACGQ GSEGSSGERTAKVSDPSTLEAARKAFIDYGGSASLNADAVSDANEPAINFVRPSARHD GLAMYISRLVRSIWKVPVVREATLNVGAGANGTMSLQSTVSGAKLTSIQDDMARLNTF LEKNSTFIQGLAGPEGPQRAATQMEEITLQGEHQALRSLWALASNIIEGISFVMMLFE ERMDELWASLDDTTRQQLRDLTYESLFTSDDGKNLGKVLVKAIVNRSIANGSNVETVA DALRRKCKSFCSADDVLIFKAQEQLKKASELGSGTEVGRSMLNGSLRLFERVAESLTF ENLQSIVEQYVNLQFYAGAVQLCLTVAYQGDRGNMALSWVNDNRPAGDSREKFFESRK RSYDLIHLVLEDLDRVSGQQPEFNADGMYTVVAMKRQEAYAIVNESTDEVFHYDLYDW YLTQGWTDRLLAIDSPFVISYLQKQSLTSIDRADLLWRFYASGKRYHDAASVQVGLAK SEFPLDLKTRIEYLSRAKANGSTTTIGIARSAQQVLLREVTELLEIANIQDEILQRLR NHPRLDQSRREEIVRELDGPVHSLSELFNEYADKANFYDICLEIFQAAGHSNPSDVDV TWTNFLDSTHGQILEKAAAAGPNEPLPAKPYEAIINAVRDLAYRLNRSESTFPPELLI PKLERYAYEQQRGVGPPTWVMDLFIEIGTAFERIIPILEGMIHNDEAPFHGRNRRFIA NDALYVIEKWYQDCIRHNREVFGGVDYAAGISTFLNMLTQNGLEGDKVYEAQELRVKI ERVLRR VE01_04802 MSWKITKKLKETHLGPLANTFSRTPSTPSISTDASKEEKPGVPI SPSTSLSADNGIAVSEAMVQQPPTEPRPGLLIVTLHEGTGFSLPEQYRHIFQSQHTQN SMSAGNGFGIAGSMRPTSSQQNVAGSFASGSVRPSTSGGGGFSSVPTNHGRYSSKYLP YALLDFDKVQVFVNSVSGSPENPLWAGDNTQYKFDVSRVTELAVHLYLRNPNATPGSG RSQDIFLGVTRINPRFEERQKFVEDPKASKKDREKAAAEFATREKSMGQSGTEWLEVQ YGTGRIKLGVEYVENRQRALKIDDFDLLKVVGKGSFGKVMMVKKKDTHRIYALKTIRK AHIISRSEVAHTLAERSVLSQINNPFIVPLKFSFQSPEKLYLVLAFVNGGELFHHLQK EQRFDINRSRFYTAELLCALECLHGFNVIYRDLKPENILLDYSGHIALCDFGLCKLDM KDEDRTNTFCGTPEYLAPELLLGQGYTKSVDWWTLGVLLYEMLTGLPPFYDENTNEMY RKILSEPLHFPGSDIVPPAAKDLLTKLLNRKPEERLGANGASEIKAHVFFHSIDWRKL LQRKYEPTFKPNVVDALDTENFDKEFTREAPADSFVEGPLLSSTTQDLFHGWSYNRPV AGLGDAGGSLRDPSFVGSVQDNR VE01_04803 MVKAADSPAWKALEEHHSSVGKSFVLKKEFESDPKRFDKFSFAF DNAADKSQILFDFSKNFLTDETLKLLVEVAKAAGVEKLRDEMFNGEKINFTEDRAVYH AALRNVANDVMEVDGVNVAPEVSKVLDHMKEFSEQVRSGEWKGFTGKKLTTIVNIGIG GSDLGPVMVTEALKFYGDRDMKLHFVSNIDGTHIAEALRDSDPETTLFLVASKTFTTA ETTTNANTAKNWFLTKGGNQEAIAKHFVALSTNEAEVTKFGIDAKNMFGFESWVGGRY SVWSAIGLSVALYIGFDNFHAFLAGAQAMDKHFREAPIEKNIPMIGGLLSVYYSDFYG AQTHLVSPFDQYLHRFPAYLQQLSMESNGKSVDRDGKMVSYTTGPVLFGEPATNAQHS FYQLLHQGTKLIPTDFIIAAESHNPVENNKHQLMLASNFFAQAEALMVGKTAEQLKAE GTDDALIPHKTFLGNRPTTSIVAQKITPATLGALIVYYEHVTFTEGAVWNINSFDQWG VELGKSLAKAILSELEEDKEGKHDSSTAGLIAAFKAKAAKGSKI VE01_04804 MATPILQRKRDTAYLVYFLIHIVVLFLVDLSPLYPSSLRPALST KLLAYQAEVYQDRFFAIEIPFFEFFRYMEAVIHLPVSFWAIRALVNADHKVPLVLFAY ALQTVITTATCMYDFSQWEGVAVEAKWHLASLYGPYLLIG VE01_04805 MFAQRRWPCSSFLLAVVLALSSIVGFAAAAIEDSDIRPQYHYGA RIPITCLDRSIETGEHIQEGDKLKYVPFATCEETGLPLDLQYGVEADINCTIASVSDP LFHLLEFYIHNDAPLSCRLPARPPATVSLAGTQPPEQEYVPLVFALAGTLQRSHLHVS THLNILLHSVPKHHLHKHDSGVVDSGAAYSTSPLASSSKDPHLAFPYFANSNHKLIIG DPLPLSFSVRWFPTPALPTTNGRFEWNGMGGHVYASTVFYVLVAFGAGVLVCTVYFWG IVLPKRLQARARGMGGATPLGGGYGINSAGLGNGWGMGGGKRID VE01_04806 MSPKVIKRCSCGEKLKTGKAWSDHIRDSPKHNTNHFMRNRLPKP WNLKAELAAMETNPESSTQVNNMPITAVASSTPSVSEAATTPSIHCTAKDKGKEPEII ETTIEETPTEKLEKANAKVEEVQSLHNRLRAKLSELLQFEITSELKAAIKRDLEDDIK AEFGGDLKKLKSEMMSDLISEIKSDFKKVVKEEIKNEFRMTVAYQLSSKTEQKIEPMD DLKSELKAELKIELMEEFKNSNKFGSSKKSAEKLKRGWRVPSKKAALTDDDLDLIGDP NPAWNEFRNSNKPQSTEESTEEQKGGTDIRNEEPALTEDDLDLIGEPNPDWIEL VE01_04807 MGVIRKKTAARGGEGGVKYVCDVCSADITSTVRIRCAHAVCNEY DVCVQCFSNGESNRDHNPATHPYRVIEQNSVPIYDSSWGADEELLLLEGAEIYGLGSW ADIADHIGGYRDKDEVRDHYVKTYVESPSFPLPKRQAPEEIDLSDEGTRARFQQQKKQ RIEKRKEDAKNAVPALPKKKPTASVPSCHEIQGYMPGRLEFETEWANEAEEAVQHMQF EPGDGINPRTGEMEPEMELKMTVMDIYNNRLTGRADRKKVIFEHNILEYRKNAAADKK RTKEERDLLTKAKPFARMMNHDDFDDLNKGLIEELNLRQAVHQLQDWRHNRVGDLRSG EKYEGDKTLRAQKTQPMGSLDRERFASQRAKAQPAMEVPSGAAALVAPELPLRLLQNG PSGMANGVSSAVDTPTDSPRPNGVNGHTNGISTPLSVRQKTVIQPVPGIQPLNINHEN APDIHLLTKEEIELCRTLRLQPKPYLAVKEAILKEAVKTNGQMKKKQAREICRLESQR GGRIFEFFVTSGWIAKA VE01_04808 MAPAQYRTPPQAPPLFTATTASLVADAKKLCANSKSVMDNIVSS VKPEDAAFQSVVLPMAVDENEGSLEARIIGFYQAVSTDKALRDASTEAEKIMDEFAIE ASMREDVYKLVEAAFQKGAKLDPESQRLLEKERKSYIRNGLGLPAGPKRDRFKEIKLR LSQISTDFQKNLNEEDGGIWVTRDEMKGVPEDVLGGLEKGTGENEGKLRLSFKYPDLF PTLKFALSAELRQKLFIENENKCNVNVPLFKEAIVLRDEAARLLGYPDHATFRIEDKM AKTPKTVLDFLGDLRTQLAPGGVKETEHLKELKVADLKSRGLEGTYDGNYYLWDHRFY DRLMVEQEYTIDEQKIAEYFPLESTVNSMLNIFESLFGLVFVEIEGEARDALSPTGKR DDIVWHEDVKLFSVWDDAGEGGAFSGYLYLDLHPRPGKYGHAANFNLQSGFVAANGTR RYPATALVCNFSKPTADKPSLLKHDEVVTLFHELGHGIHDLVGRTQYSRFHGTSVVRD FVEAPSQMLENWCWAPAQLKGLSKHYVSGEAIPDDLIEKLIGTKHVNDALFNLRQLHF GTFDMAVHTPKTHEEAIGLEISELYNKLRMQISGMKGPEELGQGNAWGNGPATFGHLI GGYDAGYYGYLSSQVYSADMFHSVFAKDPMSAVEGRRYRHMVLEKGGSQDEMVTLEAF LGRKPSTEAFYRELGIVGK VE01_04809 MATPQIHTYHCACTSLLLATTTPLPSLPRRATSLDRATILPLHS DAHPPSATIPHTTLLSMTPDRRITTIRREDGFEKRILWRCGRCRVVVGYELDPSHFEA PTTAVGGEAEEGSSAAPESGVAKVLYILPGWVQSTEHMASGKKIGEQGAVLEGAQLGV AAWE VE01_04810 MAANNSYDAQASTNYKEAFALFDKRGNQRVQLESLGDLLRACGQ NPTLSEIRDLEKNVGSDFDFETFSKILNRPGGFRDPGEPEEYCRGFQVFDKDMTGFIG VGQLRYILTNLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTVLAN VE01_04811 MATFAKSSFSASSYASFRPTYSQTFYNTLLRYHHGPTASLLELG TGHGLIARRLSPTFKHIVATDPSPSMIAQARSSIVDRPEFSNIDFRQASAESLDDIPS GSVDAVIAGQAAHWFDFAKVWPELSRVVRKEGTVAFWGYKDNIFVEHPRATAILDRYC YAMEEGMMGPHWEQPGRNKLRDLYREIVPPAEGWEAVERREYEPATTGKQKGKGEVVM AKRMTLRDVEGYTRTFSAFINWADANPDRKARKDGGKGDVVDDLFDAMVAAEPKWKEA GENWRDVEVEMEWGSIMLMARKK VE01_04812 MRSLSAVALLAAASTTSAAVFEAESGTLAGGAVIASDLPGFSGT GYVTDFIDDTAKLTINVGGLDAGDYEVKVLYNAQYGDKFTTLTVNGGSSTEVALPNVT TTTWQTATAGVFTFTAGTNTVAFARDWGYYLIDAITVNPPPVKPVVVVDVTKGAVAQA EDGILNGVTVGSSVAGFSGTGYVEGFDADTDKLTFSLHSDKQALYDVILGYAGIYGGK QTTMSVNGAGGGEVVLLDTSAAASPWANATAGQVLLNAGNNTISFSNDWGWYLLDALY VSQSPPPAPHQVTKVLVAPNPLPETQNLYNTLLAKYGSGTIFSGQADTSGVTWLEQNV GATPAIIGLDMIEYSPTRVQYGSVSTAVEDAIAFDKRGGIVAFQWHWNAPSGLINSDT VPWWKGFYSYGTTFNLTAALANPKGSDYALILRDLDAIALQLLRLQAAQVPVLWRPLH EADGTWFWWGNFGPESSKALYKLMYTRFTQHHKLRNLIWLWNSVTPSWYPGNDIVDIL SYDSYPAAGDHGPVGVQYQALLALGGNKKMVTLPEVGSIPDPDILKAYHADWSYFVTW NDEYINADTYNNLAFKKKVYADPTVLKLKDLGNWKGVQVKYGQCGGNGYTGPTLCVVG TKCMSISPPWYYQCL VE01_04813 MASNGDRAIQLNKFLRSIAFGKSSITNADRAKLFLEALCAQEDR VTCVERLFAKQLSIDALRRALRLDTSVNYINQSIAVFLGYISDPAIKQISSGQFLQDI LVIIADPPTLWNALVVSHENGSLSENATHGFAWLLWELLSMPSNDNIEVMETAKSVTN SRSLLESSSHETRVLGYKIQHFLKAKESSAHSNPDNTGPGGRHDNDFVDYREIAIFPT ADEFMSDTQPFYRPAKEIPLAEPENRVGIHLDNQFRLLREDMLAELRDDVRIATGKKK GRRSPVILESLSLAGSECGEPKKWKQCALKLHCGVGMEKFEKMSALERKTYLKNNPRS LKHQSFGCLLQGDQIVAFAILDRDEAGLLEDPPAITLKICGDDAFKTLLLALKGATPV DYIQVDTPFFAYEPVLRCLQDMKDIPLAQFLLGTVPDEASQTTLAVDSAFVNELRVKG SSVIQRLLKTDQPIDLDQSQMDSLIAGLGQSVSLIQGPPGTGKSFIGALIAKALYEHT SETILVLSYTNHALDQFLEDLLDNGIPPQSVVRLGSKSTPRTAPLNLFERQKTGGYNK SRAGWEIVNNLEKLVEDYRTELSVELKSYSQTGVSWKDILAYLEFSDDDDHFYPALLV PDEEDGMTRVGKGGKEIKPDYLYDRWSRGEDAGIFKKQIAEHNEVWAMDATSRLERLK RWSTALLDEQASKVATLLSRYNRYQQRLAQALGERTAQTLNSMRVIGCTTTAAAKYAS DLRNAAPGVVLVEEAGEILESHVLTALSPNTKQLILIGDHKQLRPKYSNYAISVEKGD GYDLNRSMFERLVLANYTHTTLSKQHRMCPEISSLVRHLTYPSLQDADKTLNRAPVRG IRDRVVFFNHVYPEVDAQEIADRRDPESKTSKSNSFEVDLVLKCVRYLGQQGYGTDKL VILTPYLGQLRLLRDQLMVENDPVLNDLDSFDLVQAGLLSEVSANINKRPIKISTIDN YQGEESEIVIVSLTRSNKKGDIGFMFSPERLNVLLSRARDGLIMIGNAQTFLKSRNAD QAWSPFLHFMKENGHLYDGLPVQCQQHPHKKVLLKTARDFDIECPDGGCSEPCGTQLS CGTHYCPSKCHQLYDHSKMECQALVQFVCSQKHRSQRPCSKSQAACAICAEEDRQRER IRNRNAQLDAERDSNMAAYARQLAESQAEIDHQKRILKDHREAEDSARVLEQMKQDLA NLKVTANNLEKQRQSCTKPQASPASKSSGKKVAVENEEDWSTAKKQWEHFKRYEGADN KALDDLMSMIGLEDVKDKFLAIKLEVDTAVRQGLDMSSKRFGASLLGNPGTGKTTVAR LYAKFLTSVGALPGSQFIETTGAALANEGVPGCIKKLEDIQNNGGGALFIDEAYQLAS GGNFGGTAVLDFILAEVENLTGKVVFILAGYNKQMEKFFAHNPGFPSRFPHEFQFKDY EDDELLQILAHKMNKRYENRMDVEDGPGGLFSRIVARRVGRGRGREGFGNAREMENTL SKIASRQAVRISKERRAGKKPDDFLFTSADLLGPEPTEALNNSEAWKQLQNLIGLSAV KKTIQALFGTVQFNYQRELDEAPLIEYSLNKVFLGSPGTGKTTVAKMYGQVLADLGFL SNGEVVVKNPSDFVGNVLGASESTTKGILASTVGKVLLIDEAYGLCGSLGESSTSDPY KTAVIDTIVAEVQSVPGDDRCVLLAGYKEQMEEMFQKVNPGLSRRFPLASAFVFEDFD DGEMAKIFDLKLGKLAFKTTDLGKRVAMDVLRRARNRPNFGNAGEVDILLDHAKARQQ QRLNEGNGGDDRSRFEPIDFDEDFDRGERADTNVHLLFKGVIGCETIISQLEGYQNAA RELKSMDMDPREEIPFNFLFRGPPGTGKTSTARKMGKVFYDMGFLAKADVVECSATEL VGSYVGQTGPKVQKVLENALGRVLFIDEAYRLAGDGFAKEAMDEIVDCLTKLKYAQKL IVILAGYDDDINRLMAQNPGLTSRFPEAIIFRGLEPTDCLDLLIQLLRGRQLDMRKKK KDLDLSALEVPAPAFKQETLNQFETLSRIANWANARDVQTIAKGIFGLLLKAGAMKET IAVVTEDHVRTVLDSMISERNQRQDATNIRPNSLHSDMMAHIQAIQAPPPPRTSASSS SAMNTSEAETPQQLAKDVPLAAPANETKRDSGVSDVVWEQLQRDKQAAEKKEEQYEKL IKASADAANTTKILQQREHASEQAFQQAIKHNKDQSILQEAKRLREEARLQHEKERRA QDELFAELERQRKAVEEERRKEAKAQQKLRTIGICPAGFRWIKQNGGYRCSAGGHFVT DSQLGL VE01_04814 MIDLAGVLVVVTLQHVEAVDKAGVHELEADQASSSDAKITGLED VASYNWLKANEPTIMTPGKPPKWTPTARPTKLAEDNPNGIYYRDQNAAYFPSYPMEPV VRSIFEERPDFNAQEVDVFGCGSTFGNLLRFARNVETEKPFRFLVEAVGETVFFIRRE NSPKEVITDIRGYGHSFPEANTTWDADLQSSNSHQRIIRYQLGHLNTIIRFEADGYLT EKVPEKKTYASAENREVNEDFLVSALAANTISAHTPKNSDSLKVTRGQGSVPQSAVFD LKTRSIKKKHNDTTISDQIPRLWIRQISFFVLAYHDRGLFRSEDVTVHNIEPDVARWE SDNKGDVRKLIGLIKKIADVVKDTPRRKLEVRYRYGLGLELREQVEGVASVLPNDLAA RWADQGDRAESIAVAVGNSSVDGAIGLEDDSIEKGVPLDEKIVNALDDDDDEYDWDKK EDYTACSMESCNYCGHCSY VE01_04815 MEFAEEGGSVLGGDKAFGELFKKVSTVDPTPYVCVEEALKFREL TCGGEAAVREYCEHIAQAGGKRIAEIMGTEIMENETPTLHRCCFVNARLPLVVTNGAA SYDTRAEPAQDQEAVNVQENKKISYIRDTDATEISKWMTERSIKDYETMIPVKFYRGE VWCRISGQVYLELQNFEWAAYRLEEMCERVLRGEFKDAPV VE01_04816 MSDGQEDKADAPQGYNNNDAAYKSESESDNINDGNAVTKGKANN QGQKSKSLYQARAQRQEAARNGNAAQPSRDEAREKMGYRAAAIRESRIKDRPVNKKAS DSALKIKIELDLEVEVDLYARVKGDVTIGLM VE01_04817 MAPQLNGARARRLKIVTEPVRERETFKYYSTLTTGGTSNHSGEP TSSSELSSCDDAVLTALAQAGAFQTGTDRSLISLFDSDNQYIVAEATQSSRLLPSVRS GDCRQPLWLCGTSIPRSHGVCELSLLGENTTRTDEARSETSTELPLTLADDLVIDPRF CSKPYCQPGTLCRFYAAVPIRTRRGINIGVYCVINETPGKVWTEEYTQTLRDISHTIM DHLESKRLGDLHRRNERMNRGLGSFIEGKSTLSGWQPGPHAAAFVDKPAFEGDLNHKQ QSLQLEQNDLANNAAKSGILPIAASVDPTVPPRQNAEARSFGGSFRHIERPLENRDGM LDCESPTGVFSKAANIIRESTEVEGCLFVDATMEAYRSPSRTSTNVNSMGLFSAASSS DDSSDHAPGEQSWRHCRVLGYSTSEKSSIDGDSSKQHATALPEKFLAKLLQRYPKGKV FNFGADGVLQSSDSSEEDGNFSTSELTEILPLLSDSRDNMPNVSMRDKSLKKPWARHR EGSVLMKAFPGVRSVAFTPVWDPRKDRWYAGCFIYTNILTRSFTVEGELSYLRAFGML TMAEILRVNDWQVDKAKSDILGSLSHELRSPLHGIILSAELLGDTRLSVFQGNAAHTI EVCSRTLLDTIDHLLDYSKINSFARRGSKSKVSRGPQPVAVVDDSGQFCEKSLTCNTQ LDRLIEEVTESVFAGYTFQYLSITQPSVRQAKSIDRDGMASHQSNPMQTIVQFDPVPA AGVGLSQEFGEVSVILSIDARQNWSYFVQVGAIRRIVMNIFGNALKYTLRGTIKVSLT QERRSIQRRGKEQVVKFTVQDTGKGISPDFLQHELFRPFSQEDTLTPGTGLGLSLVKQ IVSQLRGEVSIQSQVGIGTTASVILPLEQVSQSPEKALLGSEDDQMFEEQVRDLKGLR IGLSLSNHNGDGSISDWQKSVPDICREWLMMEIVSNMPGTTTADILLWSQDELPSLST DIQALAKTPNVVICPNALVAYRQSQIFEAADYAAVFEFISQPIGPRKLARALFLAYTR WMNFSDSPTRSYATPSSVKRPDSLHRTQSSFTITNTSRPAGGRVSSYSHSASSSPTDY DDTSVFSDVKDETSVNSTTPDEPSTSEPPDPFTKFLLVDDNHINLKVLSTYLKKLGVE YDLAMNGKEAVDLFCLSYRTYTCVLMDISMPVMDGFEATRYIRAHEVREDQHRVPIIA LSGLASEDAHREAIGSGMDLLLTKPVKLKALGSLLSSMGIINV VE01_04818 MQKLENSVPFGREMKDAYFNFAKNYTPLNHGSFGTFPKSVRDYQ RDLQDLAEARPDTFLRYTYPDLLEKSRCAVAPLLSVSMDEVVFVPNATTGVNTVLRNL VYQKGDVIIHFSTIYGACEKTIDSLCETEHIERVCVHIDYPEEDEDIISKFINTVEDL KNDGKTVKLAMFDTVLTFPGARFPWEAMVETCKTQQILSLIDGAHGVGHVDLTHLGRV SPDFFTSNCYN VE01_04819 MDPLASVVGVVKLAAQIAGALNDLRTLCKQLPGRVHALSNEVSD IEVVLIQVARVLQERSCSPISMAETDSKFILQLLVKAETKLKELKSIVDGLAASSNQS NVIIFRAGLWRKEQPRLLALQEDIKAIKSSLNVVLGASNSRDMMRVRLDIESLSMVTI KSAQNQTASLHEFLQGMTLQHRETTEFVREKHQLVDERISRVEEMLRA VE01_04820 MRVFATARSTNSLSQLEAKGIEILPLDVTSVESISALKAEITKR TGGKLDILFNNAGTMYEAPAVEADIVRVRQMFDTNVFGLFNMTQAFLPLLLASASESR LPPTIINTSSIVSRVPFLFSANYNASKAAVTSYSDTLRLELAPLGIKVVTLFMGEVST RLMSPDNISFGANSLYIDAEAGVQERSRSHAAKSIKPDEFARQVAHQIFSNPALGKGE YVWKGTLATLVWFLNAVGFRKIFDTSVESAVGLNKRTVKKAIYDNGQRSIKQE VE01_04821 MGAVEVHQSQRRVSCEICRKHKTRCRRLHVNDLRCARCVLLGVE CTAGLQNKVGRPRRARASEDKSSKYSPNISVSTVNTAYTTPGAGEHNQARSPGRSHEG SPILDGGNQLDWSNFMSPAVTGETVLVTPANNSLDMAPAWPTMGMNLLSQASLPWDTA NDLDHMLLQPDTDPNPSFKILPSYALDTAVTTSPSGRTYPAVEIRAPSRPGRFAGDVP VASDTLVKLSKLNLDLHIRMAAVEINKSILDLDSFIYQNGVLHIDDTTLAEFMLKASQ EFLQILTQLLSSHSTPSHLRVLRTTDATFPKLLPQSISSYLDNHYLSPSPSLSSLPSS SDVSEPLLLAPTALTITSIFIQLISLYELILKHLTNRIERIAMDPIRPIPRLSSGGLP VAEPCIQGVVFSEVVVHLLERIERLLGIGTMLASGDVGLLSARQRDVLWSELSGSLSV LPSSHGVMRPANVRNTFKKVAVILKQISLDEQN VE01_04822 MTLGASDIEDDSITPDNTTIPAKVDEGASATRSTWNMASEMQQM RDRDKQGGTKPRKLGVTWQNLTVKGISSDATFNENAISQFYPFYKGSKGKPIKTIIDN SYGCVKPGEMLLVLGRPGSGCTTLLNVLSNHRLGYEEITGDVTFGDMTAKEAKQYKGQ IIMNTEEEVFYPTLSVGDTIDFAARMKVPYHLPPGIKTAEQYAQHNKDFLLRSVGISH TASTKVGDAYVRGVSGGERKRVSILESMTTRASVFCWDNSTRGLDASTALEWIKAVRV MTDLLGLTTIVTLYQAGNDIYEHFDKVLVLDNGKQMFYGPQAEAVPFMENLGFMRVSG SNRADFLTGVTVLTERRIAPGSEKKFPRSADEVVAAYDRSEIKPRMLIECRDYPTSEE AKENTANFREMVIYEKHRMVSDSSPFTTNFSTQVKAAVIRQYQIMLGDKSTLIMKQAA TVIQAFLGGSLFYSAPSNSAGLFLKGGALFFSILYNALIALSEVTDSFTGRPILAKHR SFALYHPAAICIAQVVADFPVLLFQVTHFGLVLYFMVGLKSTPQAFFTYWITNFVTAM TMTSLFRLIGAAFPTFDAATKVSGLTVVALFVYMGYMIAKPEMHPWFVWIFWINPMAY GFDALLSNEFHMQEIPCVGPYLIPSGPGYTDGIGGQSCAGVGGATPGFTSLTGDEYLN SMSFSHSHIWRNVGVICGFWVLFVAATIFFTSRWKLPGEGGRSLLLPREQQHKSRHLL LPKDEEIQAAEKSPAESDSDMSGESVIKNLNHNNSIFTWKNLTYTVKTSEGDRVLLDN VQGYVKPGMMGALMGSSGAGKTTLLDVLAQRKTEGTISGSVLVDGRPIPISFQRSAGY VEQLDIHESLATVREALEFSALLRQSRDTPDNEKLQYVDTIIDLLELNDLEHTLVGRP GAGLSVEQRKRLTIAVELVAKPSILIFLDEPTSGLDGQAAFNTVRFLQKLAKAGQAVL VTIHQPSAQLFAQFDTLLLLTTGGRTVYFGEIGDEASTVKDYFARHGASCPPEANPAE FMIDVVSAGSSFNDRDWNKIWLQSPEHDQLSNHISTIVTEAAARPSGTIDDGHEFAAS MWTQVKHVTHRMNISLFRNTEYLNNKFAMHISLALLNGFSFWMIGDHLTDLQRNLFTV FNFIFVAPGVISQLQPLFIDRRDIYEAREKKSKMYHWAPFVTGLIVSEVPYLLICAFL YYICWYFTAGLPTAPEYAGSTFFVVVMYEFLYTGIGQMIAAYAPNAVFASLVNPLVIT TLVSFCGVMVPYSQIQPFWKYWMYYIDPFNYLMSSLLVFVTWDKPVKCTTNELAIFDP SPNSTCGDYLANYMQGMGSGTNLLNPDATTDCRVCQFAEGSDFLRTLNLKEESYGWRN AGIVVVFAIGIYGLVYLMMKLRTKATKKAKS VE01_04823 MRFSAALPLALLPTAYAQLNTLAKAKGMKYFGSATDNGELTDTA YVKILSDSTEFGQITPGNSQKWDSIEPSQGTFSYTKGDAIVSLAETNGQLLRCHNLCW HSQLPSWVTSGTWTNATLIAALKNHITNEVTHYKGKCYAWDVVNEAFNDDGTYRTDVF YNTIGPEYIPIAFEAAAAADPTVKLYYNDYNIESSGGKATAALNLVKSLKARGIKIDG VGLQAHFIVGSTPSLSAQVANLNTFIAAGVEVAYTELDIRFTSLPATTSGLAQQTTDY YNTVAACVQVGCVGITIWDYTDKYSWIPSTFSGQGDALPWDSNLVKKAGAYYGIVSAL GGTATATTTAVPTTSATTAPGGSGTQVHWGQCGGNGWTGPTACVSPYKCTYSNDWYSQ CL VE01_04824 MSSSNNAGYDVVVDVDDEGDLGHTDLQEDLSFHNSTFTSPNNSN KPSAGLPPPATSSSSTKHFLSLGFYSQFFDVDTSSVLSRCGAALYPRANFLDVLDGNP DLYGPFWIATTVVFILFVGGTVSQYLADTGKSSDGFRYDFKLLSGAAGLIYGYTAVIP VVLWGALHYFGADTAQLLECVALYGYSNLIWIPVALISWSRISILNWVFVGVGFGLSV AFLLRNLYPVLGATERKVGKALLVLVVVLHFALAVAIKFLFFKSGSPVPGGDGAGGAA PVEPTPTETPAMMFF VE01_04825 MNTATPRIASAIARASRSAAAKQSPSQLRPLGSRIQSIRIPTSI PQSRLFSIAVARRDSSKTAPAPGTSSPAPSKAPSKIYSFEEVRTHAQNPTPTSPILID VREPGELHTTGRIPTSVNLPLSSCPDAFFLPADEFEERFGFEKPREGRGVVFYCKAGV RSRAAAALAREGGWGPVGEFPGSWLEWEGRGGEVER VE01_04826 MASPGGPPPLQPGQQPTPEQIQMMQRQLAADAAKQGVSVPEFIE MLKKQQQERQQHMQQQQMQQMQQQQQQGGGQRPAQGQPQPITPGPPNPAAIAVANFLK SQDLKPRTCILNGQRKDMFKVKRALRALQSPAYEKARAKNALLPPITDRPSLENVFKL LPLSLLALRVSKIDPHEGHDHGPVAKGKRVKGLWTVKIEQQQECREELHFVWLYEGSQ VMTKLYAAGALAIVFAIVMFPLWPMKMRLGVWYLSMGMLGLIGLFFAMSIFRLILFGL TYFVAPPGLWLYPNLFEDVGFFDSFRPVWGWQEEKKKSKKKSSKAASSGTSARPLQVP GQPPAATATTTSSSAPQMSTATPVNRNLTPRVEEVFDE VE01_04827 MSSDDYAPGNDALLSVDETRVQLSQRDLSLSIPINFMTVPGVSL TAACFGDRVIGEGELSRCFSNLLSVGFRRFELDLYWDAGRSLWSFCPVEMKQMPGNDN GSSSLSQSRTTPATTTNQGSLSFPAGVATSISAQGTNDALLVSGTSEGSSGPPAPTPS SEPMHAEGPYTCSPAATLETFGHQLHSYLVDTQNTLAAQISYYVFNIHAAASPTSPDS PAQAPTNPPVGGELIGGMLSSNLTSYIYSPINLSNNRANLNSSWYTVPERYRPVSDYY SIAIDDNGVWSTDDGWPSESFIEFSSLKRVLFQFGTIDPQMQGADYTPDTSILFPPGY ITQPQPGVSLNSTDRLTSGCYLHSPPATPSNTNSSWATYALTTPPTNSTLTSLTTCGI SPHLNTTLTAPASTWPLPYRSFALSTHWSWAPSEPTNYSMSLSPANAALMRCAVASPS GSWAVASCADKYFIACRSSPFNWSISDHPVAFPFAASACPHGTTFVAPASALENAYLA QAQQDTHRDYDRRGVFVAFNSVQVDGCWVIGDADAECPYDRVTLLSFAREREIVVPTV AAVIILVISALTVFSKVAGNRRVGRGRRGRDKWGRAAANGFVYEGVPL VE01_04828 MATIQDINNATAFASPVADASFASFVENPFVDNIIQTLGGLSAW QIALTLFLGLVVYDQVSYISKKGSIVGPAFKIPFMGPFLESVNPKFEQYAAKWASGEL SCVSVFHKFVVIASTRDMSRKIFNSPQYAKPCVVDAAHKLLGADNWVFLDGKAHVDFR KGLNGLFTRSALDIYLPGQEDVYNEYFKKMVQVTADAGGKPVPFMANFREVTCAVALR TFCGTYITDEGVKKIADDYFHITEALELVNFPIIIPFTRTWYGKRAADLVLIEFSRCA AKSKARMAAGAPANCIMDQWVKTILESAAYTDRLNSGASMEGITKPAPLLRMFSDYEI AQTVFTFLFASQDATSSAVTYLFQTMAQRPDVLDRVRAENLQVRGGDRDKRVTMDDLD EMTYTRAVVRELLRYRPPVLMVPYLVKKAFPITPEYTVPKGAMVIPTTYPSLRDPEVY PNPDTFDPERWISGDAETKGAKNFLVFGTGAHYCLGQVYVQRNLALLVGKASMSLDWV HHATPLSEEIKVFATIFPKDDCPLTFTDRPESANEL VE01_04829 MRPRSPASAAEDDGDDDSDPSTLAAPVAPDNIITSTWEGTEVNG SGNGFRPPSRRDNSETLDAPSRLGVNQHIRRSFESRNSIDVPDTARSRRPSNTIWKPG ETRNGSVTKNGSAKSGLVAVPPTEVINDGKMPPHFVARRTKFRSPWSNSPTTFAVTLL AILSMFMIFYSFTTRQLDSKGCRMSYMRPAFAKLKDFDTEHTRFASKYSVYLYREATV DEDTKVKGVPILFIPGNAGSYKQVRPIAAEAANYFHDVLRHDEAVLKAGTRNLDFFTV DFNEEFTAFHGQAILDQAEYLNEAVAYILSLYHDPRQSQRDPDLPDPTSVIILGHSMG GIVARTMLVMPNYQSNSINTIITMSAPHARPPVSFDSQIVEIYENVNRYWREAYSQQW ANNNPLWHVTLISIAGGGLDTMVPSDYASLESLVPETHGFTVFTTTVPTVWTGMDHQA ILWCDQFRKVVIKSLYDVVDVNRAAQTKPRAERMRLFKKRLLTGMEPFAERTLPRKEP STLLTLEDGSNSILQQGKSLTLRKFGQSRKPQAYLLPIPTNLPGTRFTLLSDQKPDED GGHGKLEVLFCSVFPLKAGQSATLLSMNIDLSGDKPGSARLACKNAAADVIQLPASTR ESKHPFIGQDDEPVTPFSYLQYELADIADHQFVAIVDKATEPSPGWVVAEFSDNEVSK RVGSLSLKKLLAFGMKTKLPDKRPMVMEVNIPALHSSLLSYNLNVGNQACGDEGQLFT PLVRQYLSKPYESKYFVNVKQASINLHGVAPFMPPPLEPRQGHEGISLQIWTDPTCGS SVDVSLTVDVFGSFGKLYMRYRTIIAAFPLLIVCLVIGKQLSIYNDTGIFVSFTEGMD ACLRRTIPLVMLCLTIVSWVLSSSSASPVSANSSPWSWNGNVTSPLVDFKQNELLLGS RDPFFWFLVPLTGVISVGICIIINYGFLLLIQTCSIVYGLVHTSPAWLGKNDKRLSSS AAFYPSSTRRRLIITGILLILVATFIPYQFAYLVACLVQITTCTRAWRLASDTRSAAN HHFYNYAHSVFTLMLWTIPAINLPILVVWANNIVVQWLTPFSSHHNVLSIMPFILLVE TLTSGKMIPRVSNRLRYAMPSFFFIIAMYAAVYGVTYVYMLHHLVNIATFGLFVIHSS CGTWSVAAVSDIFDSESSIEKRKRGKTP VE01_04830 MAITIPQSLQPLAIKLVMGWVNTPRSVKLALPTILAILSLRKVN GLLSQSVLNNFVSDRYVWSQEVVVVTGGSGGLGDLLVRKLAAKGIKVISLDVMPPRTP LPANAYFYEADITSSANLAEVAQTIRSKHGDPTVLVNNAGVMKIKTMLAESEEEIRQV FDVNVISNFLLIKEFLPAMIKRNHGHIVTIASLASFITGVQNVDYSCSKAGALALHEG LAQELRHAYNAKKVRTSIVHPTYIRTALIDKVHGQGKFKPLLLEPEPVVETIMNHILS GNSGQIFLPGRYSVGSALRGWPAWLQEAVRSTQQNVLAH VE01_04831 MASERSLTRARVVSSIAATAISIACGTNYAYSAWGPQFAEKLQL SSTESNLIGTSANMGMYAMGIPVGIFVDNKGPRPAVLAGALLLGVGYFPLRQAYVSGE GSLAALCFYAVCTGFGSCSAFAAAVKVSALNWPHHRGTATAFPLAAFGLSAFFFSAFA QLAFEGNTGDFLLLLAAGTSGIIFVSFFFMHIYPHSAYSSIPTSDTPSSTDSNPLIRT RSQETKHANRRASMEPESGAAAPVTVPIEISETSSLLSSNASIRDDLEGNVAHKDPSH HVDIRGLRLFMNTKFWFLFALMGLLSGIGLMTINNIGNDATALWRHYDPNTDPTYITK RRAMHVSILSICSFFGRLLSGVGSDILVRRLQASRTWCLTIASAIFTIAQLLAITIRD PHYLFLVSSLCGLAYGFLFGVFPSIVAEVFGIHGLSTNWGFMTLAPVLSGNIFNLFYG VVFDAHSVIGKDGDRVCDLGLECYRNAYVVTLFSGLAALVVSLLSIQFDHACQRAAAK AKDLNRVA VE01_04832 MGALLSIPLLAVPSIGTVLGFAASCCGAATCSAICSMCGKCGNS VATRIAYALILMVNSIFAWIMLTPWAINKLQHLTLDYMTISCPEGACYGWVAVHRINF ALGVLHLLLALLLLGVRSSKDQRAGIQNGFWGPKIIAWLALIVLSFLIPDGFFMVWGN YIAFAGAMLFLLLGLILLVDLAHTWAEYCLSQIEENDSKAWRGILIGSTLGMYAISIT MTVVQYVFFAGGGCSMNQAAITINLILLFVVSAISVHPGIQDYNPKAGLAQSAMVAIY CTYLTMSAVSMEPDDKHCNPLVRGGQATRTTTVVIGAIVTMLTVAYTTTRAATQGMAL GGSTQSIRLSDDEHGLITTQPDSRREMRAAALRQAVAEGSLPADALLDDDSDDESDAG RTGKDDERGATQYNYSLFHIIFFLATAWVATLLTMNFEEDSSEDGLDFVPVGRTYWAS WVKIVSAWVCYGIYTWTLVAPVVLPDRFEFS VE01_04833 MLLLRSFFLAIPFVGLSTAALTYKGADWSSVPVEEKAGKSYKNT AGTSQPFETILKASGANTVRQRIWVNPSDGNYNLDYNIKLAKRAKAAGLKIYLDLHYA DSWADPGKQPTPSAWASQGINDLANTVYQYTLNIANTFASNGIDVALMSIGNEITAGM LWPLGKIANSGGAYNTARLLHSAAWGIKDSNWGTKPLIMIHLDNGWNWDTQKWWYDLI LSQGPLLASDYDVQGVSYYPFYNSAAKLSSLKTSITNMRSTYGKQVQVVETDWPTYCP KPAYAFPSDISSIPFSPEGQTTFMKNLASTISSAGGNGLFYWEPAWIDNASLGSSCGY NLMVDDTGKAMSSLAKTFLRRKKRHGDGDGSKNHSADDELRDSDGTASSNVTAHPPLP LLFRHSHQSTQSGHKDSTHEQQPVSQPKLSSNIEVRKPPAKDPLGLEVIYRPPGEHKV DIVFVHGLGGGSRRTWSKGSNPDNFWPLNLLPFEAEIEEARISTFGYNGTFNGPGIRN LMLFSELSKDFLYNLKYASYESEQGTKYFGIGEKPIIFIAHSMGGLIVKEAYLEGQID FRYKEIIKSVSSIIFLSTPHRGSNMPETLHKIFGVTNINYHTKYLEDLVPRSQVLQSL NDRFRHVASNLQIVSFYETLPTAIVMRKMMVLEKDSSILGYPGEISKPLNADHRGVCK FDGPNDPNYVSVRNVIQSLIKSHKPNVMLVAEEVQESSVPKVSFDFEAYLSAPESPER DYNFFRDRWTPGTCNWILKNATFKDWLQDTRRNPRVLWINGNAASGKSILSSFVINHM AQLGWSCQYFFIRFENNKKRTLNNMMRSLACQLARSIPEYAQRLRQLQVTGADLKTAD FQSLWLWLYQRSLVTLGIKRPLFWIIDGVDEAENPESIKLQKQIHSDKIHIEGNQEDV LSYITNEMNLAGESPYREDIIRQILEKAHGNFLWARFAVEKINKCHTRLDVKAALNEL PLGMEGLYDRMANTIQTQPNGNNQTLSKIILVWATCSQRSLSVEELRDALGKDRPLDI YRAITDVCGGFVVIDNEEKVSMIHATAVEYLFQKDREDHQLVINQKTANDELFKSCIL CLMDPKLRSRVNRNDPPVFLDYAMNFWFIHLAHGSATETDVLMLLFRFLQEPHVLTWI SIAAKKKQLRGLIEAPRHMVAVANMLSELHIQDDNAIEQHKAIELLTSWATDLVNVVG KFGSYLTKDPDSIYKLIPPFCPENSAIYRQFGWMEMQALSVSGTSATETWDECLACFS FGPDDDASTILATGNHIAIVVYTSSSSHIYLYSSITFKEERQLIHPEWVHEIRAGQIG DHLITYGRKAIKVWKISTGECIKTINNEANNPMPQALLFHDQRNRILVLGKDRCIISI SINDGDTAEWKINPSIIDRSPDDTKLKEPICSAFSPDGNMIAFGYSGHLVTVWETQTQ KVVSKLSMKFHKENMTIPKYIDGEIDNLLWHPSSGEIIGLQRDGLLFKWDPYDDESII KVRVEQAYRLAISPDGSRLATGDAEHVIKIFATADLSLLHSLSTQGSIRSLSFSTNSR RVYDVRGGYGNVWEPSGLVDPTSVVGSLSHKEEYQFSRAQNITTLYGQSMAPIYCYGT ENGPGMLREIGSRAVWQLKNGLDDVPIHKLALSDNGSLAAIADMSGRVSIKVISKDDQ ESGDLQIHHDFAINIGRSSGVISRLLFHPGGGQLFVDTTRAIYTINITSHAVISSKFV RRMMKTQWICHPTNPDYILGFSDTLVYVINWDNVEEVKTFGYFPPRQELHPKSSSYKL VHNQEYNDRASEGEQVPNSKTRGPDILLEILHHELLGQPGRVYLGFSVADIKVGSDGK GSLVGDTEAPSNKLPYTILPQNVTSHIREPLALLSHGRLVFLDTEQWICTWTLSSAVT KAPQAHSNSEREDAAPAASERPQVVSDHKKETYRSIEKYYFLPADWVTSGDGQACSIM RDGTLLCPVRGHISTVQCARLRTYFSS VE01_04834 MGDPDVYCAICGGPVVEVKISAKPRSEVFKAAQRGEEPDIDSDE YYWEERTYDCEIISKEEAAWTRNVMVLGFNPNPKARVNGAFLATFGTYDGFGEVTVHD SFDPNFDLAELGDGPNLDNSFQCYENMGEESNIEPVFPFHRPCYYVLRRQVSERIDGL PLVSGLVAMDKDILFDMMRILADFDKLNIDYGTPNPQEEEGGRWISNAGKEIFAANPG PVKGLEGRIRGLISAGYFTLSQEAEFDLSNGVRQDPFQKLPFDIFIKIGEQCEDSTTL LNWAKASWFANVAFRSTQENFWATIIRIQMGWFFELLPCLDDDKLCYGSSMRAVFLWA AYHSEQHLGIKGGPFLCIANRRRIWANPCTELADRYCSKLPPHLLVANSKNAPINFRE MLLSKATWSRKYVVTHRNQTQLYSTVQKCFWIDEWKDTYEKTQTVEAFFMQSNGFLTA IALTTEGGESRMVGSIDESSVRFKATISVEDWICAIVIHIPGIELVGRLWGSIEETSP KGITIICKSGRELHLGDTNQGYTVRALVARQGYQVVGLVAEMAADNPSATFTRLGLIT VRNEELDERRILRDHITRRSHPRIDELMWKEDGTRFFGTPYWNNPSLKVLHRDEAQEL GELDGWLTEVHQQLVPQEALIWAKDMTELRQLKRVSIFTTYSHMADISGVKVEAYHIC GLGVMFSEEHTEGQRLIGVEGKLEVDGQGDDLPALDGPRIPHRAGAKLREMKGDGNFR IDLDIDGPGGEIITEVFLGWGEYDSVDCLRFRTNRDREVGCSTAPNGCYSGPDAPEWV SMKSSPNKTIVGIIATFAGGPGVSRGEAPRTHTELTSLAALSMSLGIDEETVEESDEE DEGESEDYEEADNEMYEMDDVDE VE01_04835 MLRYSVILLVAAFATAATATATKPPPVTVTVTVACESTPTPTVD LGYGRYAATVNDTGYPYYKFSNIRYAAPPVGDLRFRQPVAPSGSDSTVHGGKTGYSCM QGDPAWYLQVAAGLVLPAFIEGKNVSSVVGKAAASQDSSSPATPSLSDIPKQDATTSE DCLFLDVLVPQTIYESPRRTDTKGKGGAPVLVWIYGGGYTSGDKSGHAETLLASAKDN GEDGFIYVAFNYRLGMFGWLAGSTLEKDGSANVGLYDQKLALEWIQSNIHLFGGDAER VTVMGESAGASSIMHHITAYGGKKGNAPFRRAILQSPGWLPSKAAAADENIFQQTLTI ASTVTNKKITTLSGLRSLTSSELYLVNAAVVGLSPYGSFTYGPTVDGDYVPKLPGDLL LNGNFDKSVEVMAAHNANEGILFATPFAKSNKDMATYLKSAVPSLDDTALEVILDSVY PEVFDGSFDYTDQFERAATIVGEAFFSCNTRYLEAAFGEKSYSYLFDAVNRGFHGEDL TYTFYNGPSAVADGGTVNATVAKALQSYITHFVATGNPNGGSAPFFPQYGSNSSVQVV APDDFGTQITDPEDNKRCSWWQSATVYDD VE01_04836 MATSTDQESPSKHDGSLRSTSIQLQDINKEEEGKNSSLNVTRNN ETHGAESNANSNLVGWEDGNDEANPQNWPEPKKWGMIILLAFITFITPLASSMFAPGI PQVLEEFGSTSNTLATFLVSIFVLGFAFGPLLMAPMSEIYGRLPVYNICNVLFLIFTI LSAVATNMGMLLAVRFLAGVAGVAVITCGGGSISDMMPPEKRGRAMAIWSVGPILGPM IGPVVGGFLCESKGWRWVFWVLTILSGVITLISFFLLRETYAPVLLTRKVARLRKETG NTALCSKLDTGLSEKDAFLYNIVRPAKMFIFSPIVTMMCVYIAVAYGLLYILFTTFTF VFRDQYGFNSSMAGLSFLGSGVGTVAGLAYAGTLSDRSIRSVIKAGRQPRPEDRLPPI ITFPAALAIPAGLFIYGWGAEKRVHWIVPEIGTAVTGFGMIGIVMCVQTYLIDAFTSH SASVIAASAVLRSLFGALFPLFGLNLYNKLGLGWGNSLLAFIALALAIVPWAFWVYGE RIRTNPKWQTRF VE01_04837 MISRMQFMALALLGLASASPNILDSLRGQTITAVLGGLDLTAEA ACACKLLLNSYSDMILFPTSANYTAETKLFWDIRSDLSPACIFQPDKADEVADGIKIL SGCDAQFAVRGGGHMNYPGSNNIDGGVLITLNKLNSYKVENDTIDVGPGMTWYDVYAA LDPHGRIAIGGRLKTIGVPGLTLIGGVHYFINKYGFAMDNVVSYDIVLGNGTQVTAND TTNQDLFWALKGGANNFGLVTKFTLKTYSIPLISTTIQTFNESGIYDLVKATCDLASL DDADPIAAGSIITIQYNATTKAASGSILGVQEGISNPPSQFANFSAIPGPIKLNNVTT LKQWGSDKVTPNQMFRVMFSHKTIVPDADRLYSIYQAWRAAVDQIADVQGLYPTFVMN TVAAGAARVGQTNGIGNVWGLKVEPTIWWQFSTGWDLAQDDLRVTAWSRQLTEHLHGI NRKLGLARDHIYMGDAGEWQDPFASFPEANVERMRAIRSAYDPSGTFSRLNWGGFKLG F VE01_04838 MATTLTLTTAITSTSIVDIESVGEEKRDITTLLNYWKRLENRSI SVDFSTPGAQERLDELSNLNEAHTVLIHDVRGDESKYTLERNGFQYVHHHVKELEDCS NEEQVREVLLPATEELVKQITGATKIVTFAHRIRCFATDERQFAASQAPAHDVHSDFT SSGAMEHLKDIIQDQAEFNRLLDGRILAINVWRPLKQIRKDPLAVCDWRSVDSETDLV ANRMIFSHGWKELAKANFNPKHMWYYLGGQMPNEPLLFKQFDSKAKDGMNLPHTAFVD LKYIECNPRESIEIKMYAFLSS VE01_04839 MSDSKQLPPYGQFCWLEVPVTDIERAKDFYTSVFNWECSPQGSP SPLTGARDVIHMFTKGGLHGAFVTVAEDCMVKAYDEKSPGKAPVLPTFCVESIEETLK VVESKGGRLHVPKTDIGGGMGFFARFIDSEGNLQGIWAQN VE01_04840 MSTQARKANANLDLTGKSAVIAGGSQGIGAGIAIRFAQAGANVL IVGRNKERLQAVISEARKVAKSTEQKLDYLSADLSLVSEIKAVAKEIEAKTGSHVDYL IQTQGGMPNGLFETTSEGIEVHFAVQILNRFLLNYLLATSGALKDTSIAIVGPGSTQT EFKLDDIELASAKDENRYSQIAKHAARDSVVTDTFTKSLQTHFPQLKFFHLAPGFVQT DVMTNQDVPTPIRLAINYIVFPLAARSFGNTTTSYADIPVFLAANEGRDAVVEAEGYF LNEKNKRVELNLYATEEKNQEAMFEKLKGYLDGR VE01_04841 MKALNSAQKAKLVQHIKNKELELTCQAIRNEADKLGLYNHASLS GSKLIGGLDSQLRTHQQGGQHASEYIHGNDVGSWESARVVI VE01_04842 MTMPSQQQNNKYPGSNAPYIAGLSTGFSLDTLATAPLGPTYDRL AEWADNTSTRDNPVTDFLFEIVPVENPTEKGTSGKKSTQKKSTNKKSTQRQDAAHNKS TEKKPTQKKQKTK VE01_04843 MSQVSPPLQIAVYIAAHGFGHFTRTLNLLSLLSHSKKYNFHIRT SSSLHTEPLAYALHPSIVQTNPYQLDAEQSLHSLANFDPSLPLAEETKFLKEHNIQAI IADAHSLPCLLAQTVGISSILITNFTFDSIFQAILDSDPTCTNKAALQLKIDEMTHQY ALAHSVIRLPGHISFPFSGPQIIDAPMHSRKALQTRSETLAGLGLQCLESKKILLNCF GGHNSDSLSGVPKLPEGWACISQTIHSPPLFYKISHNVYMPDLIGACDVVLGKLGWGT CSEVIGNGYKPFIYVPRSAFIEEAGLLRWMESAHRRIVRLEVDEYESMDWRGAIGEAE KVVTSSSVSAKNWEKDDAELVRIFVDALEGALN VE01_04844 MNSTTFSPLSNPLRDLQGRVASLFGSVPASWGSKLGTAQPELTK PRALRSNNANPVDLSLSKSQKSNNVLIPAVQAYNVERSQTPPRKRQSDAQQFPASPAT PRLGKPKHPLYKRLRASTRVRRKSRKLADAEVERIDCECGATEEGLQPEHWISCEKCK VWQHSACVKFSCTRCTHMVAQDQEQSASTQTDASVDKSMVQLQTQLDGANHEIYSLRS QLAEHRVNEHEQTETIASLKTDNDLLLDVQRELTKHHDWSSDDLSTHHHQVRKALHRQ IRSQNFLGTFTQLSSTSSRRSTTEQLETGIRKVFWLTQQTFCPYGNIKTPFIQSLGQH ETLRVLVCKVLGLHDSGTYLLDKARRLLSKLSIQPAMRALTGAAVREWVFESDIPIFE AGRGLQYYRECLRGNRDGAVTLRNWDLAALSKCVKSKEFLEEFIPQQAETLAIQLSNA LAPFFSDTEDDSPLLDWDGFSTWGEGLEQWKERRRSFVAIFTAALTTKVELCLNIENY ELLTYVPGTKFDETTMKVEAMDGSMDITQSHQGRAIQLCVEAAFFIHPRGELPMGATI EEAIVPMVNFISRDQNDKRVFKPLLKAVVILFEDD VE01_04845 MPRKERKPIRRRKPTARKTAVRSIFSKPERNASSLEYDSEDSEI EAAAQGKGARYIAATDFGATYTAISLLRVDENGNADPNIIPISNYPDDPRTVMGESSI QVPTQIGYCDNDLEEQLSVEPDSRTYDALLQSDSDEYQVSKSRWYKSKLRETKKNQKT TIWGFEVQNKLNPEMDSAKVNLISGFKLLLDRSEDTKSEREYICNTMEQLQRRKLIAK DENIIATFLTHLFLHAKQQSRDVHGIPASACIEHALSVPILWDAYSRLLFQNAIQIAI EASGFGTMENLFFVSEPEGALTYVLSSSSDYRPGDKVLNLDLGGITVDAGIFEVKHDF PLRLGDEPLVTPEGPLHGSSFLNSDFRKHLEKRLEDDIEPVERNGRKFQDVLDESTWE FERNIKRNVDFYKPLPLRYIKADGIKKDRTKRFIDNNVILERSDLYDIFEPRLTGIRA LIRQQHIAAKQKGHIVDKVVMTGGFSTSESIRGLVRIVLKQISAEFGYPVALMNDWNN TVPPDYMSRGVAHRAYQKYGGPLRISELSYGFEIMETYDPEVFEAHRGITPHVDETDG CKYVKNVLDWPLTKVCIVSI VE01_04846 MAFSKVASLLQSLVDAVIGKEPKIQWTLDNPSTVGKKIVELLEA GSYQDLRNLLTRRIRWLLSTNKLETSWKSVLDSQGKVVSVGEGEVTKSGDVTIVRFPL VLEKIAVKVVVRISTSGYVTSIRAVPDHSNVPWSPPDYVDESLFEESEVTLGADDRDV PASLVLPHSPCAAIIMLQGSGAADRNSSVGQLKPFKDLAWGLASKGIASVRFDKAAFA HAERLSENITLEDEYIYHARAAIALLRSRSEVAGLPVFILGHSLGGCIAPRVGKEEPS IAGLVILAGLTEALQRSAIRQMKYINTLKDKTYHVSEAVLDAAAKQAELVESPTLSLS TPTSKLPFAVPASYWLDIRNYNPVSTAAGLGKPMLIMQGGRDYQVTLKDDFEGWKKGL DEIMGIEFKVYDDMNHMFRKGKGMSSPDEYNVVGGHVDGDVVEYISRWITQLTLPRSS S VE01_04847 MATISHNHVKYQARLDFIQAVLQERFGLKEKAEVTPIQCDPECP FKYNNFVNRVYFNEPIIATNDGPGQPGCVPIPDDTKELIFRLANPDAEGMIRTTCIEN EVATTALASAALAGFVPQIAPFVYAWGSASIESSGYFCRAWFADTIRTSGLKARSSAN IILFDPKSNRITALIDYDFACMSHPSYEFLRSFDGAGGQFRGWSTDEANDGMALRHAK LHGFPSPLPPTTKDGVKWDIVKAWEDELEKLNVKIPRNMRGIDKVADVDTVQEI VE01_04848 MVEQEALQALGGFGEWIWGDDAETTVFALAFGDGKTLIFRFVVD QTEPESLATRVVNFFHGLKTINTRARFLGWASMLTKIWSSVATVWDECSDEPTVEDPD VVIDIYEARLTDNAPPQIMWKICHEVDLFNKYAYLLLPQDQLLVKQPTNTVDFKDLVR QHQLGGRGCTTLAHMPSSPQTKYVFKGIDFRTFLFGYESGHIREEVKIFYRSMELVCN MPPHPNVMFPA VE01_04849 MAAAVAHTHLVAHTYHMDIKPGNFLLDEESNLVLINWEQSDAPV TTAALEIDGTWDIEEIRSENQTTTLRYTKYTGPERCNMPIHANYHTKKSWLEHLDKFD DITCTEEVVEDWESSENIPEHWKRVVEDCLHKDPNKRIGLRELVAFWDSERQAMYERN T VE01_04850 MSTLTTEPMYTIQDVPGKGKGLVATRDIPMGTRILSEEPILRIP ENLPHCETLRSYVRLQVDALTPNQRQAFLSMHNIYADDSASKYLGIMRTNALPFGQDN AGIFLDACRINHACDNNAQKCWNENIKRHTVHALRNLEKGEEITIYYLGVTNNREARQ EELQRKFAFTCACRLCSLPPDQSRESDIRLNEILVLDHLIGRDGLMGISAGSSQKLRH VDRQVTLYNEHGPNDSGLPRAFLDVVKITVVNGDLARVGIFAQKAMLGWAVLGGNDGP NVLENKALLKDPSKHGLYGRSMQWKTAVDDVPSGLDPTQFDNWLWRREKPKRPGQVAD LRNQTTFPHVYGLPDDKYITTEFYSSSDATTHQPRRHWLLLAEIIDIYTETRFQVDIQ DITGVSIPLIFHTDGAGSEFVPSEVDTGCTVATLYANRRGFMHSDPAICLKESSNLKV R VE01_04851 MAYLSVGDPLSDRLDDIDDGTADLIAKLQLRYLGLSGSSAAGKG RSGEFTDESHALQLQQQELENDDLSFSNGSMAQSSDYAQSHVPTVLNTTSQASIAGGD HDLAQTPKEHAGLPYSKDFKASLGRRILSKLKSLFTPVTVNYDTDLCEACGDEKTLEE LGCGPCGHEYCRDCLQDLVTAAITDQSLFPPRCCRQPIALEAIHTLLTSDLVDAFIDK RVEYETPNKTYCSVQTCSAFIKLANIANNVATCLKCDSKTCTLCKEAAHGNGECPSDP EFEQILQMVRENGWQRCYMCSSVVELNYGCNHITCRCGTEFCYLCGLRWKVCTCAQWD EHRLIEHANVLVARRQATRGLRLRERFESFKRTIQNLRENHECQHLDWRYIQGPGYCE ICGQLMITRFIQECKQCYIVACRRCRKHRM VE01_04852 MSTPRGGNTGRHDATKEGGQADGNNNVAEDGSEDDIVLDAPTMT DLLPMQQPQNGEGPGVHEGGPWNGNSFAGLHEPLLSPIDEAPHNGLPASNLESPYDPI DNWLHSVDPPITHLLHLLGLQEDRDRLQRRPTRKNHKHKHKPQQRKLPASLNYMLAFI YLAYLMMTLLLETVPAFEDTWIECLGDLGRYRMAIEDDNGKNREINTSLVSGI VE01_04853 MSLPNIFLIGASGYIGGTFLTFLTKARPNLPIRALVRSEEHADI LRKFYGSAVTPVIGSLEDSDFLRAEASKANIIVQAAPGMETAIAALIEGAALNPLNKS LKESDRPAIVHISGSSNISHPVLGELLPRVFSDVDDLDDILALDPSRIQVPCENAIRT LSKEKNVRSVIISPPTILGHGKGAIKTETFQVGWYNAIIENGASFLLGKGTNAWSTVS ISDLGRAILFVFDEILKGEQSRIEYGDSGYYWVEAFEVSLMDRAKAIGERLFKEGKIG TPEVELKSLEEVTSRWSVYMGYLIGSSSRIKADRLKALGWKPLDFDWKVLVEEKGGKR C VE01_04854 MGDTHISGRDGGRDGGRDSGHGGRRSGKHDGGRNGGRNGKRKGR RNGASREQQNEGGEANGPPNEPDVEEEITLPGDPTIPFLANQQMRNGERYSTFEGGLG NGYSYAGQNEFILGNGGRENARSYNEPMAGAQQQGNNGLAPGGQLAGLDDLDRYSPLG TWAGDVSRGYNAWTDRFLDSGPNGGPPARNA VE01_04855 MDHFPIFSSSQKPWPDFPALTLEDYNAAQLPLIIQPKNSARYRL SEKDFDDFPFFWLEDQRLHTGTEFNEWKDHLMDPNETLTPLAEKLKLKLKLGSRKQER CRSIDEDRLWSARKEIYDFSNYPDLEAAIFVQNKYYFGAINDVLAFDQPIPTSELVVE RAEGEWILCTTKLNGYISSWAGYLNSLPEAERSQRLNKTKGLLEQMLERRWHKDRHLL LRVSASVQILARTIEAAMIWYWKIYPNATERLGDHDKCLEIAGWCKSMAPKSFHYLTP LTPYYASAIDTHLDRRHSHTKCTGRICETFTMEDESTYRTKHTPECDGTCGDVKIDSQ SLHDLIMQGKIPIVSLSLGPDGKLNTEVTHSGEVPHYIAISHVWSDGLGNPHGNALPY CELLKIFRMLEEWPLKHAKPDWMNSFLNPMDMAQKRMESTGVDPLALQKVKEMREIME PMAEEYIDNWLDALKASGQYKKVNLWMDTICIPHIKESRKIAITQINDVYSGSFYTIA LDAGLESLPGTASVTEIFLRLELSSWKGRCWTFLERERSTYHLRVKVGNRLVDIVEAF EFDELDGLYQFSSFYIGKLRSFNNWCKLNGTLKLKGFQGLNPMGLFSSPGGIKEDKLL HLLNDFLEVTSESVESMATWRAKPHGQYAESFSLLKCIKGQMQQRFFATYMGFLDQKV NMITTWNELAARSTARDSDRLIIFVSSLDIASNSGTLKEIMQVKKEERMEAWIRKQSV IPVEFIFLRSSRLLTPGLRWAPNDVYPKELGGGVTPRSVDELELRITRPGILLTLDME FKLNSQPHESEAKDATGKYYEIPWDTVTITDGKTKYRVIRLLDAGQPSKPTLKFPKGD KIGIIFRFPMDPNDIVAAAIVHVHSESPDEIHATFHDTVNVRLDGELSPQDPTVDPST RSKLDRFFVGKSSTNEEMNCFWNFELVQKTWVVG VE01_04856 MAPAPFSQLFPRRDFDTNAPTESFASEWANPSNYAFTILLLLGG DVISRALAQLAGGPVTPVAFSFGWVSYATTAICSAVGENKLMPGADCPCEVINGKNGY VRSNNSFVIGRIVRDYEAWMGASVHKITQSLIDASWKYQKDIAENDCAGSGAEVPRPR QAGLVVSFWEPSQTIEAGKPGHDILHWSGVITTAFQLGIAAIPCGIWGDWSVLLITGG ASVLCYSMGALSQWGVEKWACRRLNKRSKKNFILTRGNGAQHAIAIISGGRGLDLEDL ATGFDNLDAPSITLFAQLATIFLGLLWIVLLITSSAITDSAWFLIAVGGVGILQNMFV AGWKRHPQALGVPIEYLDVVGDVKVMNTLLAVERKYEKLGQSMIGSFFPGDLRDNEKK LWEDVAAEWAEKKRSEGVNKA VE01_04857 MEEKETNEIELEQSSEIERGKTELDNNIPIPAEEEIEPHVSAKT WTVAAILSMGYGLSFVPVPAMAAIGPQLSAEFGNPNDYVWFVSAWIISITVCFMIAGA NTDLLGRRWFLVLGNLVCCIGQIILAVAKTNNTIIAGMTVTGFGAALCQMAAFALTEL LPNKWRHIGVVFADIAVYACITVIPVTARYGYYVGSWRANFIAAAVLQFASFLGLYFF YFPPAHPLGLPYKQVLRELDYVGIFLFLAGSLPFLVGIVYASIFPSTDVHVIAPLVVG CALLVAFALWETYGNTKHPLTPTEIFTNGWGRDFTAPCIALAVINMFYYSSSILWPTM ISVFYTKPEDGWQRGAILSLPQGLAITAGGVLLSLFGSKIRHWQHQQTVAVTIMVFFG SLMALARPDNMGMMIAFMTLSLMGYGWSIYLCIAVTQMGVPHEKLGTSGGLSGCARFA GGSIAQAVYLAIFSSTVTKYTARLVPAAAMAAGVDEDKISALFSLLGTSKLGEVFDER VVAAVGAAIQEATRKGIQGIAWASLGFGIVGIIACLCCKDVDAKMTNKIEVYLENTKG AKYNKRHYIKR VE01_04858 MASTSKKVAIVTGAASGMGEALATHFATRGWNVACVDVQREAGE KLAASLGDNSAFFYANVADYESQATVFQAVYNKWGRIDALLANAGIVDKSSIYILDWR GKEGIPPAPNLLCTDVDYKGVVYGTQLAIHFMRKNPTPGGRIIATASVAGVYQHPTYP EYNGAKAAVINFIRGIAPVLKLKENIHINTVLPGIVPTKIIPQAMLDAVAPEHLTPVS TIVAAYEDLLREDNELNGEAVECSVEERIVVPQTEFVNGAASKRAITAWEPLFKAFHG EVSGLEDAIP VE01_04859 MAPVSDRGVRIGGASGGFSDRVIAIERLAKNAECDVIVGDWLSE MTMTIHGAGKIRNIQKAGSQPESLEESVKNAMFAENFIDCFKPAIPYLAQNKLKLAVN AGASDSEILAKLVKKMCEDAGHPLNVAWIEGDDVTEEVNKLIAKGEKFESLMHGKNLE EWGFDPICAQAYLGGLGIAEALRQGADIVICGRVADAAPTIGAAAWWHDWKPDQYDEL AGSLVAGHLIECASYVCGGYYSAFKDLMKAGKHLNVGFPIAEINSKGECILFKEKHTG GCITVGSVTSQLLYEIQGPLYYNSDVVAQLEGIQMEQLGEDRVLIKGVKGLPPPPTTK IGITAAAGYQAEYHVYLVGLDIEEKAAFTEAQILESLGEEQLKKFSMLKFHVNGSSPI DARNQDVATVDFRVFAQSPDRDLLRMTNPDGFFRRSMTTFLEGVPGASLGNDMRQAEG KPYYEYWVTLFPQSAINHRVHCLFGKQRITAMGIPPKTQEYPRQQPSYETKDPVDLSS FGETVRAPLGYVVLGRGGDKASDCNNGFFVRHDDEWDWLRSLLTVDKIKDLLGPEEYV GKLIDRFEIPNLRAVHFLLHDHLDRGYNACSTYDTLGKNCMEYLRAKTVDIPKKFLDR GRI VE01_04860 MSNLPTLVFVPGAWHKPTCYDKIIKPLEDQHKLKCIRVTLPSGS GDPEATFKNDIDAAQDAISSETTQGRNVVVIAHSYGGMVGSSAIKGFYKPQDTSSTSL STSDQSQSPAKGYVIGLILIASGFTFTGLAFMDPFFGHPPPSWRVNKETGYAELVSPP RELFYHDVPAEDAEYAVSQLTPQSLKSLFEGGEYSYAGWLHVPAWYIGTVEDRGLPVV AQRLNVGMAREMGCPVEHRELQTSHSPFLSQPEETVGIVVEAVEAFSGRTVERELVVR GGGNEIVVPAARLWQPITWYRFGLPLGVVPEISVLV VE01_04861 MSSPPGPPGKTSSLSKIAPHIDLDGHDLPPSPAPSSPRNGRKYA LSTELVYTDSKDQYGASSMPIYQSATFKQTSAAGGNEYDYTRSGNPTRTHLERHLAKV MNASRALVVGSGMGALDVITRVLKHGDEVVSGDDIYGGTNRLLKYLSTYSGIIVHHVD TTDPECVRKVLSPKTAMVLLESPTNPLIKIVDIPTIAKLAHEASPTALVVVDNTMMSP MLCNPLDLGADLVYESGTKYLSGHHDIMAGVLAFNDAALGDKMFFTINATGCGLSPND AFLLMRGLKTLAIRMDRQQANAQRIAEFLESHGFRVRFPGLKSHPQYDLHWSMARGAG AVLSFETGDVGVSERIVEAARLYGISVSFGCVNSLISMPCRMSHASIDAKTRAERQMP EDVIRLCIGIEDVDDLIDDLTRALVQAGAVTVTLDGFHAKGSASDSGSVNGTTA VE01_04862 MGDGPGRKYGFLQDIILIVFANVSVYYLARHVLSSLPAQTDPEK EQHEQARLRAAANLRRLDRDNDSAETSDGEAGGKRGPPPPRKEDLVLNQYESQIAMEV VAPEDIPVGFDDIGGLDDIIEELKESVIYPLTMPHLYSQTSSLLSAPSGVLLYGPPGC GKTMLAKALAHESGACFINLHISTLTEKWYGDSNKLVSAVFSLARKLQPSIVFIDEID AVLGQRRSGEHEASGMVKAEFMTLWDGLTSSNTEGIPARIMVLGATNRMQDIDEAILR RMPKKFAVSLPNSSQRRRILNLILKDTKIDTANFNVDYLARVMAGLSGSDIKEACRDA AMAPVREYIREQRAKGVAMEGVTHEGVRGVRTEDFFGRKGGGQILTDNHERQQRQAGK QTSKVKELESSDEYEDVEDSPIAESMD VE01_04863 MPRLSYSRPGLTGLIDSDSDDAQFEEQSTIMSPAQGNENAVPAP AKKARGRPKGSASKIVKAPKSPAAKKAKRPALKDRTNAPPAGSDSEDEMAGHPQEDTM TIDEEMPSMSELDASAMTIQETVKPVRPTKAPAAKKAPVRMTKAAKIAAAKAEEVEGT PKPVPKKKANPRKRQREEEEEIPETQVSPEDLEDEDVDMPTPMPVVYQNKMARTATNA RKPTLGRPKATNVEEAEAPEPSPVQPKNQPKNETDLRKQLESLDMKYRNLREVGIIEA ERTFEKHRRQTEESRKIADGLIATLKAELAAQTTQMKELRSLRKKANAQEDKISDLQA KLSQVNTEYKAETATQTAQAKETRELKRKVEEQEDEISDLQRKLARVNKSRSEEHGEE LSRLERKLTAATESLSDAQNENKSLSAKLTAARAAAASAESNARAPGSAKKSAAAIRM MGGAEAVAAAQAAQLKEDLYRDLTGLIIRSVKHEEEEDVFDCIQTGRNGTLHFKFGVP NDKNADYEESQCHYVPQMDPSRDEELKELLPEYMSEELTFPRPQVDKFYARVVKALTE KPM VE01_04864 MARVTRFGFLLTAVVFHFVYIFSIFDIYFVSPIVSGMRQFEVER PKEVEAPAQRLVLYVGDGLRADKAFQSLPIPYPTSPADEEPRHLAPFLRSRILEHGTF GVSHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSRHTWSWGSPDI LPMFQEGAEPGRVDGDTYGHEFEDFSMDATLLDIWVFDRVKALFAEAATNATLNAQLR QDKLVFFLHLLGLDTSGHAYRPHSKEYLHNLQVVDKGVEEITTLIEEFYADDKTAFVF TADHGMSDFGSHGDGHPDNTRTPLIAWGSGVAKPVTVEKGIAPGHNEFSSDWNLDHIQ RHDVAQADVAALMSYLAGLAFPVNSVGELPLSFLAGSTTEKAEAALVNAQAILEMYRV KEEQKQANQLRFRPFPALGNDNAVAARIADIRALIDANRPEDAIRATAELVQLGLQGL RYLQTYDWLFLRALITIGYVGWIAFAVTTVIDLHVLHGAIETSRSIGSVVFFASVLVA LYASFMASKSPYMYYLYAAFPVAFWEEVFARRTSLAAGRKALFAQVKGVGGWAAVLVQ GVLAMVFLEALALGYTHREIFSGLFVLAAIWPFFYGSKFISENRLLIFTWIAACLAMS TFTLLPVVKVEDITQILYGGSLMVIIGLLYLIFEYQLLRNSALDGENPTTRPANPMSR IMIGVQIGLIILSMIVTRSSVLSLQAKQGLPRGEQVLGWTILVLSLLMPFMHRLSPNN HYLHRLVVLFLTFSPIFVILSISYEGLFYFVFCVLLVTWVRMEHKIYVSSNPPATAVV AASPESKTTTTTPAKPKASKTTKVKSNGKAEPLAEPPALLPPSLAATPHRSLSLPDLR TSLFFLALLQSAFFSTGNIASISSFSLVSVYRLLPVFDPFSQGGLLLLKLLIPFALIS ANLGVLNKRLGVAPSALFMLVMGVADFLTVHFFWTVRDEGSWLEIGSTISHFVIASLL GVFVAVLEGVSEVFVGGVEV VE01_04865 MATGSSSTAYCTRRIHIYIYYMPDPAAEPAPVAHHVRCDAASLG VPCTNCVAFSIECKIPTPKRKKTQAAAAGSTRMKDSDSDRGDTAEAPSPGTESIASIG YAAPPPHSSGNFVAPSGTPTTQVSESQARQAEQDEGIYLHFMKPKFSRAPIKEAGRVA YLGESSNLTLLVHDRHGSADVVHYPLPDNVRGARSRLTDLDDVEIDILHQRGAFLLPP RSLCDELVESYFKWVAPIVPVINRTRFMRQYQDPKNPPSLLLLQSMLLAGSRVCVNPQ LMDSQGSTTPAAMTFYKRAKALYDANYEDDRVTIVQALVLMGWYWEGPEDVTRNVFYW SRVATIVAQGSGMHRSVDQSQLSATDKKLWKRIWWTLFTRDRSVAVALGRPVHINTDD SDVDMLCEEDFIEEEDDNPNQQYPPDQTHVQFFLQYVKLCEIMGLVLSQQYSVASKAR RQNAIDLTHSDMALADWLQNCPKDVYWEPTRHQFWSALLHSNYYTTLCLLHRAHMPPA SSTRGGFPEDSAYPSRNIAFQAAGMITSIIANLRAHNELQFAPAFMVYSLFSALIMHV YQNRSSVPAVQQQTQERIRICMDGLKDVSRVWVVAKMVYTLFESILGNKVLEERLQKA AGKRHKKTQQTWGKLHTTAQQQQQQQQQQDQRPQEDTKRKYDDMALDFAPPNGLAPQE SYERSRPQTPARENAPMGAPPLILSPRQQAAAQLQQQQQGQAQDTFMGGTSSRPHTRP PTPFNPSFSVPATPPDLYLVTRNSPSISQALWENFQPDQLFPEGSGVVGMQNSPPPPA GAQWGSPSAPGMGAGRMGSMGSQGGQGQQGVDVGMGGLYPPGAGGAQWGGMGQGFEGA QQGQSPSESWSSATAVPATLNVEDWFQFFGINGDLSGMNAADLLSAGTSSVS VE01_04866 MSPSTTTSPNEKHHHHHHTTSPHLLSTPPTTTDLEKQPLPPPAP SSLYADTEDGITDTLRSRAKAALQTFLTSIIALEGVYDFLAAGLRMGVLAVQALCLGG VAWEHKEGTFTVMPVVYLIVMIVVLQMVQMGYCVLDAISKYTKVMEFMLRIEELNLPR GEDFV VE01_04867 MNNISEKQTQDPLLPLTNPINPINPIITHTTTTQIASTTAPTRS DKFFAAMDAFAPKMERFNRALDSIAMSIMIATQILCLGVVLWYSAKLAYLFTTSRRAN TPELALEVVCYIAPFLAFGTGVRFMELVSVFFRYREVRTVPLPAI VE01_04868 MKFYVAATILALTVGAMSVAVPEGFNEALNVAVREAVTNPSNSA TGECRPALQSCDRNSECCSRFCLLGLCL VE01_04869 MEKAGGVPLFQQWGKMSQLSKLDLIKGLTKLENQLASIPFPAYG SLYLRDPCPDLVRYQPLDAAEDSASSYCVGPSCERAYMLQTSDSSMDLNVDKGPWSSI SAFGKAIANTQVNRITNGVQTQLGTFHHGTSAEQIALLRDTIQIMELLYTHPILSRHV QPILWHSDLHMGNILVSPDDPSRIVSLIDWQSIFILLAFLQAQWPEFLKPPANYPEGF VKPTLPDGFENLDSGDKALATLEWNQATIAKAYEVSNFLENRPAHNAMNVPRVFKELF VRCGEVSEYGVIPLRTCLIEIFENWIPLGFTGVCPYSFSDAEIRENELRFQDYPRCIS PELDFEEKQRQNKELLGMFIEGMEGEKTVDEATKMWPFSNGL VE01_04870 MTADDYSAMNGSSSAKAKMELSKTLKIQLSNPAQLDKIDKLREL GVANGKSSVLEAVMELPLPRDSGLCTRFATNITFRRSPQTSITVSIIPGPTRSPEKVE ELKAFKKTGLTTLDGQTFLSILKDVCQVMGVTGPGESPSATKSTFSADVFKIELSGPD RENLSIIDIPGIFRTATEGVTTQDDIDLVKTMVGDWIQDERTIILAVVSANVDIATQE ILTMAEKADPKGLRTLGVLTKPDLVDDGAEHQVIDLVMGKRNKLKLGYCIVRNRSQKE LDTDSDSRTRKERSFFGTDPWSSLPKDRVGVDALKSRLQDLLSEIVQREFPKIKRQIE RRLILCKKNLYAMGADRESSDQQRHYLEGIAAQFERITNDALSANYCRHDIFGQDHNL RLPTLVVDRSDRFAEDIRSKGHSVAFKGDTSAEAVTTTNKIEEKSALPSANNGSDDAD ESHVSNESDGDAESGHKLRLDSKSSKYPELLDILQQDLYISLTKGCDILAWIETEYRK ARGYGLEVMDPNILPMLWQEQSSNWKGITLSFVNDIIVYVHDFICRLLNHVCPESKVR NGLISFIMDDLLDKYSAAIKHVEFILRVERHGTLLTKNHYFGNTLSKMRNERLVKAMK QHEFLTNRQDAGGACHYVTVVPVSGMTSALPQGNLEHAVDDIHTVLNAYYKVVMKRFI DTVVAQGADYYLLTSDESPLKILTPLFISSMTPEQLDEIAGEDLVTKRERQELKEEIL ALEQGKKLLRG VE01_04871 MPPRKSKKTSDTINSRLALVIKSGKVTLGFKSTLKSLRSGKAKL VIIAGNCPPLRKSELEYYAMLSKCPVHHFSGNNIELGTACGKLFRCGTMVVLDPGDSD ILSQET VE01_04872 MRPISLVVALSSLASAALNTAVRADTFTNPVVYEDFADNDVSKG PDGLFYFSASNMHFSPGAPILRSADLVNWEMIGHSVPTLNFGDNYNLNGGVAYRGGTW ASTMRYRESDKTWYWIGCVNFYHSFVYTASSASGPWTQSAEIPTCYYDCGLHIDDDDT MYVVHGNTNVNMAQLSANGLSEVRTEQLFHYPTEAQGIEGNRMYKRNGIYYILNDDGG TQSTFIYKSTSPWGPWTYKRLQANTPGPLPGGGTPHQGSLIEASPDDWYFMSFTWDYP NGRIPVLAPITWGDDDFPILTTVNGKWGATYPSPLPTVETPPWTGTDSFNGTLLGPQW EWNHNPDTSKYSVDNGVTLSAATVTDDLYKARNTLTHRVHGEHPIATIVLDFSNMADG DRCGLAAFRDWTAYIGVVRSGDTYSVVMQEGLTQNSTDWSTVSTGTTVETTAVEKGRI WLRSSMDSRGDGSKLVTFQYSTDGTSFVDLGDAYTMNTDWAIFMGYRWGIFNHATTAL GGSVLLESFTQT VE01_04873 MDFLDLHRFVRITAHDKALGAIIGAALGDTIGLYTEFIPRTECS RAYPTGRFSLLEADLTPFREDSHRDKFETADWTDDTDQSLALILSFLHNYVPGSDTPP VKPSDIAQRLQIWVQQGLRCLGRPPLGIGMTTGRVLMDPEYLRDPEAVALACWLRSGR SIAPNGSLMRTHPLGVMCAGKTLEETFQVATDVGKVTHVDPRCVVSCCLVTALIRGII RGEVMTEADLDALIEASFTWVDGNAELKNPTGKLLNEEGPVMMEGLEGVESTLKGEEY RKYCYAKTLRELELDDRTMGYVFKCLGSAVLTLRFAIREGLGLDTKSTGLFETLISNL VMEGGDADTNACVAGSLVGSWVGYSRLPSHWSKGMRNAEWLVAKAETLSFKAGIMEPR PEVRVKMLVTDPDTELDGSKGLLNKEQLEKMEKDFVLKMLLMQKARREAKEAADTAAR KRTGVGKWFR VE01_04874 MAPKSSIASLSLGRAWVHPLEAKLDQAAAHNFAGIELFYEDLEY LAKTIPGGPTRSNLLHAATLTRAMCISRKLTILCLQPFMHYEGLLDANAHAKRIEDFQ FWLLLCKTLGTELIVVPSTFLTEGVTGDRIVLVSDMREIADLAAQQQPVVRIAYEALC WGTFINTWEAAYELVCDVDRVNFGTCLDAFNICGREFADPAAQDGRMLGAEKSLRASL ERMRDVIDVKKVFLVQVADAERMSDPLVEGHSFHVDGQPTKMSWSRNARLFPGEEDKG AYLPVLDVLRVITGQDGLRYDGWISSEIFSRTPVDPRPEVVEEHAQRAEISWAWMERE LGWEQKEITIKKVDGGSEDLSVNSFGALRYLYRSVKGAFGA VE01_04875 METHSVDRPAEQAQVYASCQRLKKDCQPASTVRKKRVSKRPAAS VSAKTAALEQKLDSIVQILQRSQGSITGAAQQQIAVPTQNPDARTFTGQASNSNGTVN ASEPSLIEGNNGTGSSVDIDVRRLSVDSSHFGNETEQRPQLCGVSKEGCRECPNGHPT PAASRVSGSSVAESPDSVDNFPLESDAELEETLETYRTKMVHNFPIVCIRPDVTVDEM RLQRPFLFLVIRAICSKNLRRQEALVVEVKKTLGREMLLEGTKTLDLFLGVLVFAGWC HYYICSKPIISTIIQLGMSLAFDLGLTKPLLGEHVDVMRHYIIAHGCPKRSSGPVRVV TMEERRAVVGLYLVSSVASNYFQRTELMRWTPYLGECLRILEENKDYSTDLLLVHLVR AQLICNKSATSTWDDMFGDTSNQVPPNFYAKSLKSQLDDLERSIPAEIKPNAILQLHI HATSLTIHAHCLAYTPPPPFPDPAAQLQRLESLWATFTAVKSWFGVFLSLDAFPLARY PHVSIATLTQLGHCLAALYRLTTFESADVAWCGGRVRAELDIGDVTRLLAERWDAVSR ADWGGEGLDPWPHATKRALGIGSWWEAKVAKLGPRDTDLASGVGAKVIEAVARQGMPV HQSVEPLEFDDAVDIDILDDPWMMDLLGGGYDFNMETQPW VE01_04876 MLPFDPSTTKKDDLEAIGFGLSSLVVHVKDTNSVVKTFPPLDKD QDGERRIYEHLQRQNCHHPNILKYFGSWPYQIVSAMDFIHSRGVIRGDIGLHNLLTHD DGGIVLCDFAGSGMEGLPPTIGAGVRYSDPQRNDNMYSTKEDDIFALGTVLYELSARK RLFDGQSS VE01_04877 MGPQDTPKLSEYSTDEQSQNVPIEILTGQHRDIFTRAVGNVLST EIAQITYAQIADGLPLSSVEKDTYAFRALTYDHPLHTNHIDLCPTALEKTRELYADFN PHTLCMDCKLIHAYQAASPGSRAFQTRLIELIAVAIHQIAVQIFKLDTGLHKDDGIAS WTPPKENTMFWRRNPNDPPPTLFRHRFYRDYDQYPEGVADGVGYWAEARILGGVALFD RRKPESVPSIGLEHLPSIDPDAIYFHSNRKRVTYRIYGLLDSQKQQLLDFLLSEETPP ASCPLPILGDDDNRQRVDPEEPIVDTGIYRDEWERKPPPRDKPDGRVRGVKDGLNYPT MDDWKASRSRGFDKKEEMYRHLEEDSDP VE01_04878 MEFYARPEDRNPDQCGTPYIFTNYLGNSPGTLVSMAYIVRVFDG SANLPVAPSTNATPRTRREIRRQLREMSEIRRHRVPSTLPALRAGESSGSSRNRAVPD YQDQGNYGLVQAPDPRAGPSANNRGGGGGYYRY VE01_04879 MRTSTFLFTLLSVPLSLAAPTVIAIPEGGLNIRQSSDTRNDLTD GSACKAVTVIFARGTTESGNVGALVGPPFFSALATAIGTENLAVQGVDYPADIAGFLA GGDATGSKKMAELVGQAFTQCPDTKVVMSGYSQGGQLVHNAANQLSADNSAKVAAAVI FGDPDDGDAVGSIPAAKVDVICHAGDNICDGGIIILPAHLTYSMDAGAAAAFVKGKTG VE01_04880 MSSDDGAAQMPAFKMTKACVKCRGLKLKCKVDQGPSCRRCLRTG VPCVFRPRANASSTREVLPSEGSPNAVRWTSQTLHSVLNRLDIIESRLDLDSATGSIV EQEQNMPQIDTRDPALSEVWNAATQLRQKTHHPVNEKIWLPANIQQLWQFFHINIPSL HFLPNKQNFSSPTPLLLSAMLYISALNHGTRDLAGLAPDYFLVMCRAIAELSIPSPTT ELVADKPSFKSEENAFHDVLGIVLAGLACEASIKTTGIWISVGYRLILESCPKEVDER SREWQRLFAGLQIIDLEHASLHMSCPIIPLQAPLARLHISSEDDIYSLTQMLHTGLTH FTGRGLPTIWSCFSSPQERPMLTASLTAVDAAIIRDWAVQLDRWLARFNKPGRHFTSE SDRRVVFRQYILHRLLVLSIYHPARGFNLFATSTTSSERHELLVSTRAALKMQFDDKS IWTNWDLVMITWAALIVLQAISGGVGEADDIPLVQDLLHTLRATQQLPVNFRHQLAAQ LEASLQNTSIQPVMSSDFVPPNVEDHLAWSIFDDMSIRFVDQRM VE01_04881 MSIHDHADRVAAEFEYDGEHVREAVKHFIRQMHEGLRADGGAMA QIPTYVTTVPDGTEKGLYLALDLGGTNVRVCSVDLHGDTKLTTVQSKTAIPPELMHAA TFRELLHFLAQQIELFLQTYHDDLLQAHRARTTETSRDALLKLGFTFSFAFKQLALNR GTLLYWTKGFNIPDAIGQELCGLLQDELDALNVPVLVAALVNDTVGTLVARSYQSPGA TTTLLGAIFGTGTNGAYVEKLDNITKMLPAQVDGSAAPIPSGDMILNTEWGSFDPELS ILPNTPYDIAVDRESVHPGIQMFEKRVSGLFLGETLRHALLAIAPYSETMVIPLNSPI HDQYSISTTFISSAASDESPTLEIVRSELKRMLNLDATPQDAEAVKKLVLAINKRAAR LAGVAIAAIVVNSGRLTDVSTPPASPLSVVDGKVPLPISLKAVETESDEAISDVHSKS KLHISPYRRLQSLLRRIFCAANPNLTTPESDLPPSKPQTPPIRPVVQNEGIIDIGVDG SIIEFCPGFISSIRSALRDVDEIGVEGDRRIRIGIAKDGSGIGAALIARMAELEMGRG VKG VE01_04882 MKLLNLPEEILYIISTYVLARDRVALLSASQKCREFFEPIHYRQ DAHGKKPVALIWASENGNVRTIKKAIAASDGVHIPRGDDDRGPLAVAAKAGHKPVLEL LLAADIADPNERDDYCQTPLSLSSFMGHTGVVTLLLSVSGINPDPIDNYGRSALSLAA EAGHVGVVELFLSIEGVDADSRDVDGQSPFWFAIGNGHKEVVDLLIGTGRVDIDMRDN DGNTPLAWAAWKGNSDMVELLLATKGVNPSSRNNKGQTALTTACINGNEGVVKLLLAT EGVDPDSKDNSGSTPLLFAASGGHKSVVRALLQTGRVDSNHINNEGNTAIAEALWNHH LGVVELLDGWDNMRRIQISK VE01_04883 MSEEKQVIASVVAADETMSGSEKPVDADELRLAQMGHKQELERH FGIFSLIGLASTTTISWTGLGLGLVTEISAGGPGAVIYGFILVWILQSFLGASLAEFV SSYPTEGAMYHWIAAIAPKRMTGYLSFVTGWCTVFGWIFTAASTNLIYSQNFMALIAL YHRDLVIKAWMTFVVYQVLNLATASIVMFGNKAIPGLNRFSLFYLQLAWFVIMITVAA TAPSHRDTEFVFKTWINKTGWESNVICFITGLVNPLYSLGGLDGITHITEEMPNPSRN APLAIVITLAIAFVTGLTYLITLMFSVQDYAALATTSTGLPLAELFLQATQSVGGAFA LTFMLWIAIGPCMVGSQLSTGRIFWAFSRDGALPFSSTWSKVHPTLRIPFNAQLAVTA IIAILGCLYLGSSTAFNALLGSAVTINNLAYLIPILTNVLMRRTTIHRGSFHMSYAVG MTVNIITCAWLIFAIVFFSFPYVKPVSAQNMNYTCACVGGFLVLETAWWFVAGKEYTA KILKAREENHVAEVMGRDEKRE VE01_04884 MRVLSLSLGVVAAIVQVVTASPARLDDAPLVTTAGDAAAIKGWY MQSTAKTSSDMLAVSQPGFDMSSWYRVGSRGTVMAGLLENNVYNDKSLFFSENLKTMV DRSQFDVPWLYREELTFDPATNQRYFLETHGISSKADLYLNGKQLASKETLTGAYGGE KFDITQYLVKGKNAVLIRAYPTNYLKDFALGYVDWNPYPPDNGTGVWREVFVSQTGPV SLLKPRVVTDYKGKAVSSVTATIKVVVKNSETKSVQGTIKGSIEDPCGSKLPISASYT LKANEIKTVTLTAKINNPQIWWPKAWGAQPLYSLDLAAYIGSEVSDRAAQRTFGVRYV TSSLNSHQDRAFAVNGNPFLVTGAGYSADVFLRFDPAKLVQQFEYILDMGQNTVRLEG KQEHPELYDIADRMGIMVLAGWECCDKWEGWSYNDEANGVKWVDADYVTAEKQMEHEA YMMQGHASMLGFLVGSDFWPDERASPIYVNKLNELDWDVPIIASASLRGFPKNLGSSG MKMDGPYDWVPPNYWYNNQYGAAFGFGSELGSGVGTPELSSLKKFLTASDMKDLWTAP NKGLYHMSTIDSSFYNRKLYNDALYARYGAPTSLDDYLLKAQAMDYEGTRSQFEGYSA LQSASRPATGIIYWMLNNAWPSLHWNLFDYYLKPAGSYFGAKVGGRAEHVAFGYGSAK GDVWLINHTIDKKGSRTIKVDLLSKDGKTLVSRSVDATTTPNQSKNVATIPEATTLKD AAFLRLVLSDAKGKVLSRNVYWISPKVDKLDWKHSDWYYTPVTEYASYKSLATIKPAQ LTASSGKGVVVDGKVNVDVTLVNKAAVAAYFVRLELRDSKGEDILPVLWSDNYVTLWP GEKVVLSVSWAAGGSGQGKVDISGINVQGVEAVVVKW VE01_04885 MACRAVANANHGRKLCRPRLIARAEEAYQELLGALRVAIECPDF TETPEALMIAMLLGLYEIIVAGESHAGNHNTHARGVAAILKIENSPLDLFGAVHFISS NHPSAKTAGLGVISQPTTPHPLSALLHDFSPLYHKAHNVLSSPAPLTTDLTILKADAM SLYNQFAHWQATQPDILKPHLLGHIPALCHGSAAQAGAWPGRVETYFDHYIAGVWNTS RAARLLLLDLILALSDALNDGEDHVRERTEAARLIDDIAASIPYHLTDDLRSFVDGGA DRELKPGRAVGGLLLMHPLFVASRVGTVGEEMREYLQECLMWIARNMGIGQAARFAKV RGLVFVGTRCGASTEDGIADM VE01_04888 MGSNTVPLSSATHQPISPAILYWGTPVVIISTTNPDGTTNIAPM SSAWWLGNRCMLGLAANSQTTVNLRRTKQCVLNLASDDMGSFVNALARTTGCEGLETA PGDARYAFKRANGYQYVHDKFGHSGLTPTASEIVKPARIVECPVQMEAELVKVHEMMG DTEKKGNLLALEVKVVRTYVHEKIRMEGFENRVDPDKWRPMIMSFQQLYGLAPSKIAE SVLGRIPEEAYRPFTKKVESSS VE01_04889 MSSSLPPQKLRLFPSPRLTTPVSTPLSILDATVARFSSTGAVWL FSGPSPSHANLQSSFVATLNDFPQWAGQLQWSRVRDGGFHTERFGRPMVVYGCDSDPG VEWVVSKTERTLDSIVPSVHERVAGNATWMATDFPQNIFLSDSNLALHNLVEYASLPG MSVQVTEFSCGGYAIAVKMAHSLADAQSLMVFIHQWAAACHGVAKSPMDPPIFNPGQL DSHAAGDIDGDVADTSSVESARLLPLHRFDWWNDAKDPAFPSFLAPTSENSKPPPPLG DSKSLLSFSPSTPAPWSTWDFSRPVSQALLHFTEEQLSILRASTRELPDCRPDISRLD ALLAHIWSAINRARGYSDSADDVFLNVTLSARARVQPPLSDSFIGSPIFLTHIRSSGS EASAASLGSTASAIRETLAIFTPEKIGAMLHDAAFERSPQRLWQAFLGDRHTLVTSWL RLNVYEVDFGGGIPRYVHAVMPKMDGCVQVMDSSREIGGVDR VE01_04890 MARLTIRDAGYTPGQLPSGPKNSILDVPGVHVGQTTVGDDGDDV RHGVTVILPRHPDDINTPCYAGTHTLNGNGEVSGSFQIKDWGFINTPIALTNSLSFGT VFQEVWQWILQRARDKGMSLNDISHDYGTPIVAETADWWLNDVHNSALKPEHVHSAFK RALEQENVEEGQYGGGAGMTCHMFPGGTGTSSRVVKCKDGKTYTVGILCQTNYGHTHD MQIAGVPVGKLLLKDRKLAEEKAVKTASGKVDEGSIVIILITDAPMLPHQLNRLARHC AVGLAQVGGHGIGTNFSGDIMLAISNGNKTNEKISGERVNGIADVEVNQIEILKSESV DTMFRAASEATEEAILNSLVGGRAGRTGFNGLHLGGFPVERVKELLGKYRVVV VE01_04891 MVRNLPYAALLCIGLVAAQTPGKIPEKHPKLDTWECTKKHGCKK QESYIVIDQASHPNYQLHQPSLNCGNWGSAPNATVCPDEKTCAKNCIVEGIPDYSKVG VTTKGGNLYLKQLAKDGSSVSPRVYLLEKNQQEYEMLQLTGREFSFDVDTSKLPCGMN GALYLSEMDKKGGKSKLNPGGAAYGSGYCDAQCFTFPFVEGVGNIEGKGACCNEMDIW EANSRATSIAPHVCKHSGLYRCTGAECEFNGECDEWGCGFNPYALGNKNYYGPGLKVD TRRPYTVVTQFPAVKGKMTEIRRLYVQDGKVIQNAVVNIAGPPAQNFMNDNYCTNKPG SERYMELGGMGAMGGALSRGMVLIFSIWWDEGGFMQWLDGESSGSGPCDATEGDPKNI IKIQPDPAVTFSNVKWGEIDSTYTCSKGPAGPKGPRGISY VE01_04892 MAIFSDFLAILLAASVVSAINFSEVIPGPGLPSLASLNLTSEAL HAMGPIEIPPDYHIGDVNPMSKRDNPTPPACIYYGGALIDRANMLSCVNFLYALGTTP CTLSNSAASGQWCNVGNVALYGLNWNNLPTVSSYCIDVAVAAQWVYNNCNYDNVNQWG GLAYVHGNDDIIANVTRQ VE01_04893 MAIPQSIPQSYKAFRRSTGNSPTTLESVIEEAPSLKPNDVLIRV HAVSLNYRDGLNGTEEESLLLRGNVDGVLREYAVFDQNVLFHLPKHLSWEEAACITCA GTTAWNAIGMPQAKRTALLQGTGGVSMFGLMICLNAGIRPIITSSWDRKLDLAKAVGG PDSIETINHRTHPKWEEEVHRPTNGRGADVVINNVGPTAIAQSLASLAQRGTVSLVGF LGGYHMDRFPDTVMPLLVKNSTMRGILVGTKIDHQNLCGFLTEKKVNLKPILDDVVFS FEDSQAAFNYLYSGKHIGKVVIKL VE01_04894 MSLPLRPLGRDGPQVPAIGLGFGSIGGFYGPAGTLDEKLALLDH AHSIGLRFWDLADVYGDSEEVVGEWVKRSSKKRDDVFITTKFSLQRKPTGGHTFRSDP EYVKEACKKSLERLGVDTIDLYYCHRVDGITPIEKTIEAMVELKNQGKIRCLGLSEVS VATLRRAHAVHPISALQMEYSLFTMDIESSTSEILKTCRELGITIVAFGPIGRGILTG QFQCHADIPEGDLRRMLPKYSEENFPSILELVQGLTDVANTHGSTPAQVALAWLLAQG PEIIPIPGTKSTAKMDENAAAAMLRLSDQEVEMIRTLVERTEIKGTRYPAATWHTLCT DTPPL VE01_04895 MTQIDDQEIVLHNIATGETVHQRAVIISGTCRTSDDSVDDYLIV KSTDYVGKESFPVQNWPVFEGHFKALVILSPGTNLLLLEHHYGSADCRSIQVELNYVP LLQVPPLHLAIMIAKDSPLLIDCPPNKRGAISSAHSDLDAVVKKFRMTAYMWQALTAE DLRSKHLGRRSFRIEEEWADETLSREFLSDPTKTRMSSTAKIHLIRSEKTVSEIRDAQ VAQQNKQGRRRDDLHKYFEEALKKHGGPFVATAHPIVAGLILDSTFSAEQNLILGHAA LGCSNTRGLSLGVFGSHLTYSWPRFLEEVASSLLDCSIPGDTVGNDNNECGTMWEACS IGQGAFLHEVGHAFGAPHTTGIMERGYAQDWPKAFLGGTAYCSARKIQGITVVDGKTT NNCTWDLSDALSFSVQPHFWLPMDPSLDVKDLKIEPSALVVAEDGQEDLLKLVISSPA QVCQVKFNGTPEPGLSIINTVREMQFTMAELEARFDRTNALTLEVLGKNGKSRKIGNV WKLFANLSFIRIPGSSVVLQKKSVMSPTLEAEDDAEDGKWDWAVLLNEKGKDGKLSRA VEIDSRVGCLLDGAVVYFEDGHRTHCGPRWNSAGRGHDFGGHASERFLLPEGIEVTKV EVGRGHELNGLRFHLSNGFEGGYLYDDSPVVTLEPAASEKIIGFYGRSSWGHCFDGIE QFGIITAPRDVDLPMIIYDLPELQNTDGGNGPRQEGCDNDDESHWEEESDN VE01_04896 MSDANATTPRVFLARHGETEWTINGRCTGNAEIPLTENGISQVK GTGEALVGPGKLIDPSKLAHVFTSPRQRAVVTLDMLLGPIHKDRLEKEGKVTVTEDIT EWDYGSYEGLKPHEIKESRAERGLPKWDIWTMGCEGGESAEEVQKRLDRLIGEIYKLQ TPHMDGKSGQPADVLIVAHGHILRAFTKRWLLYPMAFQFTMMMEPGAIGIMSYAHHNV EEPAILVGMAFPQTK VE01_04897 MATPLEVLHVMTPAEWGKPIDVDEKWIHFVDDNVKAILPPGTVV ESIRPHGASYWTRTAEISTQMADGTPRSYFLKVSQGDNGKGMVTGEFSSMTALYQTMP EFVPEPIGTGTYASAPGIHFFCCQLINMTDEIPEIQAFTSKLAELHKKGVSPGGRYGF HVPTYKGTIPQYTTWHDTWEESYYHSMKWFMHAEEKSQGVDEEMRDLCQGILDKVIPR LLRPLETGGRHIQPRLIHGDLWAGNTSWNIDTNMPVIYDAAALYAHNELEMAAWRPIR HLIGRQYMAAYFDYFPVSAPEEDQDDRNMLYYLRWDLKSSALFSGNLRYRNMAKETMK TLIEKFPGGYEEWAKERGEEPVHRVMPPTTIGLELEERPIDNRTTSISSASISELTRN EKMADNNHGLPYLSGLRTSASTKADIQQPQRGTPLNSYETTVIIE VE01_04898 MSEYIVLDMTPIGARRATWGSMTLQAPIVFVGHSMGGLVIKRAY LLARAKNEFASLVSRIQGILFLATPHRGADCAQLLSKVLNLMGGKRGYVNDLNRDSTA LHSINDEFPDCCQDLLLYSFYETIATSFAVTKSVIVGRDLATMGYANERRAYIHANHR GICKYDSQKDPGYLTVRNALASVLSILRDRFGSSKEEFDTDRRQLDDLLGVSDAPEDD FISADSHRMEGSVEWLIMKENFQEWIHNAISPIYSITAKPATGKTILSGKVIAYLRKL KKQCSFYFFRYDTKEKANVTSFLLSMAWQMANSDKRILTTCLNILEKDKNLKSDYRTI WRKLFLEGIFKILCEEVHYWVIDALDESNNEAEIISLLIKTAEVSFIHILITSRNRFE PRQSLGESKVSVISEPILEEDSKSDITMYLRANMDALPSVDRDGQQSIVRQILEKSRG CFLWVSIVVQELRNIHTSTDKQRILDEVPTDMNELYARILDIMSKATYGKELAKAIIT WTVCSIRPLKVPELHEALQLDLKVTIDDLENSIRSCCGQLVYIDTNGHVQITHLTARQ FLLDAGTDSEFSVNEKEGHRRLLLTGLQFLNRDPIKGLRNRRSNISTIAREDSAFINY ASNSLCEHISHASSTDRDVLLAVAAFFKSRSVLHWIEYIAKHSDQQRLVEAGKALGTF LQEIPVQASSTSAEVALLSSWATDLVRLVMQFGKNLEQYPGSIYHLIPPFCPSETALR KQFGSSARSITVRGLRAETWDDCLATVIDMLEKYSSIACSKSQFSVGCFSGKIFIFKQ TTCQKIATLQHGEPVRILIFGEVKNILVSAGSKSICVWDLSSNTKLHMFEAPQQCMAL SLDDEDRLLLGALKDHRLNFWDLDAGGPSVPVEWTRGLEAMTIQVYRRPTTAAFGIDC GLLAVIYKGQDILLWNLDTDSFEALYSRESGKIAESLGRPYGSSGVRCLVFGNASNAN LLACAYTDGELVLFDTSIGEVKERIVAFAHVLACSPDGRMLATADPAGTIQLFSLETL QLIYRIGSVEPGLQDLTFSLDGLRLLDIRGSRCRVWDLADLVTAATDESGCAADDANT TGGVTPDPSENSILITSIACDDIGESFFVGKEDGSVHAYAIGSGLHTGELFHHAHGVS IYFLRFEAESQTLISVDSSSRTMIHKLIRRGHSLSATQVLFDYRTHVAVRQVVCQPGL QRILISSAQSDMLWSISPDDNNILATADYEDREPCRWTNHPHNRDQLIVITHLKAHIY DWRTLQRLTVEAGIELQGKILPELSIQSVSPCFDGTALATMFSKPNQPHSKSKLIVWN ILDFTPESTSATPDPSYRTLSNNVEVLIGNTCAISGQSQRLIFLGVGQWVCAVDMEAA KTNSFVRHFFFPADWLTTRKDLDLTMKVTKNGDLLLIKDDEVAVVRRGLLTPEFVEGG GNTGSSTPSTGISALTRRVRRVGL VE01_04899 MTSPYPSTSSRTRDERSANTGTLSSATVRPRVRRLISTESDGEA PPKDLSPLPAAHPSRIGGSDSIGGRSNGGLLGGSMRDGNIPQRQGLGKGLFDGGWTGS WTALQGLASSVLSGDTAASPVLKPVGATRRKRQNSFTPSPAFSEWGPPGKPNKGKGRD IAAESLAARNSAVNVLKTASAMQDHEGTNSGLQIGRHKRRTSDDLTGPAVDDDTDALV YVHHVKPTDTLPGVILKYNCDPAVFRKANRLWPNDVIQFRKTVLVPVDACAVRGVPCD SPTELISPASALADEEPPNLSSPSAPSPWANSILSPPDIPETDTSDPDGDPWIPVRWV LLPSSPASEPTQIARLPRKKLGYFPRRRRKSGDVPSGVASLLDSPRESVDVSASASPA ASPGPRRLSNLTSGMAAGRAVSVGRPRRESTSEAAARGGWFRGPGGVGTFGPDVKRPG PAQDGLNRWVNRHVPGLTIDDVASKHGAGFSDREGMSGVGDVSGSGGRGIEVAAAAIE GWVRRLGRPVTPLGRQVTREEVGDLIELRDGPGSDDGNMGGFGIGTGGVPRGRKGAKG N VE01_04900 MSTKNTPSHSPFSLSLNTHIAFLQNAIISLLAEQTKLSDKIDRL KGLVEELRWETRRDTEMLKERVGCPSCLSGRFTPVGELVGMRDGKEGVAKKEQLWGGK GEVMKGEFEGEVVKGEFGGV VE01_04901 MEGESKPKTAVAQQPRQQSLAETKNSLRNLVIMLRGIAYKHKQL LQQESALQRDCAPQLVANLHQAIELLGGRPVPKDLERIQGLLRGAERLRAVMGQRRAE MERESGQLDVYISALKKAAFFITGYDTSSGTVAGSGGALSG VE01_04902 MDDVPDEPEDYFPTPAPPENPIIILPTSSTNFPLPTRSTPDEAV EDTSEDEDPSTGVSTPKTPMTEAERAHALYLHTTTTAASAANLEGSRYFRSNDLVQAE ALYTKAILIDPSAPMLYTNRAMARLKLGLLEGVLEDCSSSLAIKEKANMKARHYGAQA LVGLGRGREALKEAMEAYKIAAKEEAGSLGSVVSVVLKCKKAAWEEREQERLAGTEGV RGKVVEGLRRDLERRVEGAEEGEREGLRKEGEEMIEEVERVWVEAGKAEKKRVVPDWA VDDITFSFMVDPVITKTGKSYERASIMEHLRRSPTDPLTREPLRIDELRPNLALREAC EEFLKENGWAVDY VE01_04903 MAGTTAPWASQKAYRTYRSAYGPKYKIQPNIGGWTVKSTTKLGM TLAGFGASAGFFALFFFGEVPIVRKDILSKVPFIGQRFIREIPASDNPF VE01_04904 MPSPTQHPPRPFLSNFLAAFRARSSFQQPTKQPSTTTSTASTST QPPSPSSQPSQPSQPSQPSHPRTITPPKSHNHPTTSALSALHSPPLSRSPGTSPGYMS TMANSAAARRRGSDSESEGGGGFREIGSGGGEKWYVGGRTAAGEERFFRLGVVRRDGS LDRVSSDRLSL VE01_04905 MALPLPAGLTPAETAFLCENEPITVIPRQRMQSIELLSGPTPQL NPPRPTTLPLWLALLLHRQNRATLVPPPWLTPAGLETILAAELASPEFCDMLPFHWVE IAQALVSGGCLGGEEAVVGRLVRGVREVRGGKVRGFVVAGEGGVRGVGTVGAWEVGEV RGVVAGVGGGLARLGGGGGAEGRREEEEEDEDEEGGIEMGEGF VE01_04906 MWQSPFGNIDPITISAAAALLCSIFTQRLASRQSELWSETIGWL FLPLVYRVVGRRRQQDDSKTWGEAPLGNPETQPATAASLWLVSLSIVTFCIFRAESFM IAFLPILTPLLLAAQRYLRSDVRTSADSRFFSPFVNTVWGTALAALFVILTMLDWDFR WYTLLIIPGAGLLVLFDVLTSGNIKGSRFIQPFDIENAILPLAVRVVILLAILLGVEK VAFDFATSEPLMTLTLGLAKSFTWHFIIQTARNSSWRTVTTTATFSIVSTLDPFVQTL DTQAVSHVIVSFLVLGQVIHILPRQAAAKSALWVFSLASLVPFIANTIAIQTAQSILV RSQEHPIASLIHNAKAGFESLVERQSMNYTAAHNEYRRRYAVDPPPGFKAWHEYATLH QSPIIDDFDQLFHSISPLWKLSGREVNEIITRVYETKDSDLWFCTFASDQAQTSCSHP YRTADRNIQNYLNGMFENLRGVLPEVKFLINHLDEPRVLFPPSPVGDFHSGLNRTSNA STEFTINHRGGQPVWDKLTKFCPTQQSTRPAEPDYPVEGYDIPFVNNLTATIDLCSHP EYSDLNGLALSPTSFKLIEGLVPVLSCGVPSTMSDFVYPPAAYIEPGFRYDESGDVEW EAKRNNLYWAGSTTGGYANNDKWRYYHRQRFVMLAQNLERKAHYYLTEVEGVVTRVTS LFLNSRLYDVGFSRILQCEKDNCKDQSAYFPTKPWSDKDRPLRSKLVFDLDGNGISGR YYKLLASKSVPLKQTLLREWHDERLVPWVHYIPISQEMEEVPEIVAYLTGTQTGEGLA REVAEEGRRWFGKALRDVDRGVYMYRLFLELARLQDVERPAWE VE01_04907 MAPKYRNHLPHLDPNRKPFLAEGGLETDLIFRKGVTLPHFAAFT LLDTPEGTQTLRDCYTPYIHLARRHRTGLILQTPTWRLSEPWARRLNYNEPAEKVIYA SRESVELLESLRDEFETEETPIVISGSLGSLADAYKSTHDTSSLNGPATARSQISALA AAGVDMLALMTTSSPTEAIAIIELARDAGLPITVSFSLEADGTLLGNREFGIALSHVD GATDKYASYFGINCAHPSHFLPVLRTMHPDQRKRIGLIRANASEKSHKELDNSAVLER GDVEALAESYKEICELLPRVMVVGGCCGTDEEHVAAIAEKVLGKIEED VE01_04908 MGSKTPEEHRRGPNESSSGASIEAVNPPKPAAASGLVHGGLEGE DEDGDGDDEKTGAVVKTDAEPDTKGGKKKRKRNKKKKTKAVHDQQTTPPRVALADLFQ DHRYPEGEIAEYANRNDNLERTTAEELRHLSVVKDMDDDFLIDYRKAAEIHRQVRQYV QTIAKPGVSMSKLVEEIEEGVRALTGHQGLEPGDSLKAGLGFPIGLCLNNIAAHWTAN PGAKEVILQYDDVLKVDFGVHVNGRIVDSAFTVAANPVYDNLLAAVKATTNAGLKEAG IDARMDHMSSVMQEVMQSYEIELNGKTLPIKAVRGITGHNILRYHIHGDKQVPFVKTR TNQRMEEGDIFAIETFGSTGRGSTREDTGVYGYGRNEHASAAGLHHASARSLLKTIDE NFGTIVFSRRSLERAGAKNYHLGMRTLISHGIVDQYGPLVDIPGSYVAQFEHTVLLRP NCKEIISRGDDY VE01_04909 MAGIKQQKTIPTVYNMSSSKAISALWPLEELADAGIKYKVVNLP RRGADTYKVLKSHFPLGKSPTVTLEHVGGEAEVTYQIMPNVLTETRLILQFFSDHYTD GVWVPESEEDKRRDTFFQEFAHGSLITKIDHILTFEVIPTMLFFPMRYLTLLMVLPIR MHFMKDLTVIYQIMEDPLSEEKPWFSGKNIGLADFNTIFAMDMAVQRGYIQLEKYPML AKWYNTVQDRPAYKRAKEVGEVYDCATFA VE01_04910 MHAAIPFFVLSLSGIIQNGCSAESGIMGFGLSLYQDLCCQTCHD SLSALYLNCTTFPEDDNMDMDMSMDMGMDMSMDTMPMTSEECYATNIPWLQTMAYCIQ QNCNADGYSADKQAKCFSTQAVAGASEPTFQDSLPAKAPTVELSEDAMWLNTTSLVNG NTYSAIHGTLKEFTRQEYMHTRYSVILLFIVLGICIGGGILAQTTSASLGFQTKLHAS TLWSKFQQHIFLPALFGSRRLEPLPGNVGYVPSRTLTIFITIFVILNIILSSVSYRTS QPNTWFKSGQFELCEYVGNRTGTLSLVNMCISILFAGRNNLLIAWTGWNQTAFLTLHR WTARVAALQAVVHSIAYTLAYFEPGYGGAAEYAVEAAMPFYFWGIIGTIALCLAAGFA ILPIRIRSYEFFLITHIIFVIIALVGCWYHLVPHFGYVFGYQTWLYIAFAFWGADRFA RLARVAYYNRLSGSTAIIEAIPDCDIMQVTVFPRAAWDFGPGQHSFIYLAGLGKFWES HPFSIAAWKGQSSTTITSTSNLLSSPIARSDTATREEEAKDPGVISLGSKPNSTTTLP ETHAEGKAYIRFLIRVHSGMTSTLQRRLLSSPSRASLETSVYTEGPYAGHKATLQPLF IADTVLCIVGGIGITNILGFVQEYSSANRRGGETSKNGQRIMKKAKRFILAWSAREKA LIKHVKQNFLLPKDEVDGIEYSFWCTAEPKVDDVHEESQKDDSAAQLTSAIIAGRMDI RTVMRSHVETGQQTTVMVCGPGNMADEATRQIIDCVKDGFKVNLLEESYTW VE01_04911 MPPPLDSKNSSWESTPTIFQQGDAEKGQVEGSSNSYVDGNNEKD ENDGANTPPLSNWKNESDGDVPAELDSGFIVWWDGPDDPENPMNWSSSWKWVNICVIS VISFIVPLVSSMMAPGVQLVMEDFETSSPTFATFVVSIFVLGFACGPLILAPLSELYG RVVIYNTTNLFFLAFTILCAVSQNPSMLLAFRFLSGFAGVATITIGSGTIADIMPREK RGKAMSIWSVGPILGPMVGPIIGGNVAEVAGWRWMFWTISIVIAVVTIAAFLVLKETY PVVLLERKASKLRKVTGNPNHKSRLASDLTPKKLFKHSIIRPLKMLVLCPIVTVMCIY VAILYGILYLLFATYSFVFRQIYDFTTASTGLVFLAGGLGTLIGLFYVGYFSDRTLVK RAAAGKTIAPEDRLPFIITIPGSLTFPIGLFIYGWTAEEHVHWIVPQIGTAITGFGSI LIFVGIQTYLVDAFEEYAASVIGANAVLRGTAGALIPLAGLRMYDGLGWGWGNSLLAF VALAFAPMPWVFGVYGARIRGWKGSKVKL VE01_04912 MQLRVSIVGAGPAGFALAADLDSRGTNVLVYSHPTHVRHANYVV ENGCLRATGKIEGSTTLRVTFDMAEVIEFSKIIILTVPSTGQETVLREFKQFDLRQHT VIAVPGNLFSLVADAEMKIGSILETNLSPYSCRMKEGELAVMGKKNLFLIAALQTSSN PSFTAEIQRIFPMELKWCHNVIEVCLSNINGVFHPLMMLMNAGRIESTSGEFLLYHDG LTRSVANAMLAVDRVRLEIGEAFGFKLDGVTQTSNKCYNQTFTDLVDLAQNSPPHNKL KAPPTMDNRNISEDVPDLLVFWCGLAEKLGIDASPIKAVITLAEMASGADYMKTGRNL QKLNLEHLSRSELIERFGVPQTQ VE01_04913 MADTEELAKGYTALNGTQYDAGKFLLKRLNITTGNNILDVGCGP GDITAHIASIVGPSGSVVGIDPSKERIALANEIATPNLSFYVGRAEDLSRFESNSIDV VFVNSTFHWVEDQATAMKEFARVLKSGGRVGISGGSGDFEAAHEKIKADVLSREPYRK YPITSGPKFLKRSEIEGLLDAAGLEKREIVVNTIVKEAKDGAAMIEWLDTSSSGKTYG GIPLDLRPQAREEMKVEWARITTEEGIKMDMELLVTVATK VE01_04914 MISQFSVASIATTAALLVVAALLLQIIQRLFFHPLRSAPGPWAN RVSDIPATIALVTGNQHTYYRSLHEKYGPIVRVSPGELSFVSVEAREEIYGLRKGGLN MEKSAIFLGAVGSVEGQTGVSLALNAEHTRQRRALGYLFTNTALLQHESLLRLHVNKL VAVLKKKAAANQPVDVSSWFTYISFDVMGDLCFAEPFGCLDQASATEWSTSVINVFIA ATWTQGIRRLCGVGTLLESLATKLLVPAKAAQWRNTHLNNSREKTAKRLADGDREHTD FIYQILKHNEAKNSLSDTEIKLNMALFISAGTDTTATTLTGWTYYICTHPTVYARVVS EIRSAIPDAEDIKWENVRNLRYLEATIHEALRLFPPSGASQQRIVPRGGATIDGFYVP AGTTVAVSPWASTRSKLNFHDPDDFRPERWLSEGEEYANDRLGASLPFGTGPRVCIGR NLAYMEMRLVAAHLLWSFDMAIDRGRYEGENSAWGFERRMKTQKVFHSMTKPELWVGL KEVDR VE01_04915 MGSLLQVGFGFTSLVFFLQLVLARSLPLTPPVWDRSAPIYPSDD PFYFPPDGYESSAPGTILRSRTVPNSLSLFTVLPINIQGAYQLLYRTTDSLGNAQASV TTVIVPHNADTRKLLSYQFAEDASWVNCAPSYALQNGAEFLNTGSSVAEVLLVIAALD QGWIVNTPDYEGPQAAFTSGIQAGQATLDSVRAALASGDITGVSSSATYQMWGYSGGS LASEWAAELQPSYAPELNFAGVALNSLVPNISSVLRTINKGPFAGFAPAGILGLASAY PDLAVLLNDSLIPSKADNFNRAKTQCLDKGILDYAGDDIFSYFKDGDAFLSSSIPQAI LAETGLMGTHGTPQMPMFIVKAIADEVSVVADTDALVKKLCSQGAQIEYVRDGLGEHV TELITGAGNVNFTVSFFPTPEEAICKQDNIANAISLTTSTIPTGYVCFNLTDVFTQPS DNGSQDVSKILDDAPTQGVDYLLSNRASYNANTNYTNIWYQQVNQTGDIKHDADGTWV LYIYAFEDCIQNRDDAFAPKDFPWFETSCQTKEGGECQQLPQPVKSLAIGPAAKYNAG HGKCEVWAKFGGTGTLNRRGSALLVVAVTMAGFVML VE01_04916 MKFLKLSSVVLALPFAIANPVKNVDFAHGQPSDGKLKGGPILGG TNHAIDLQNPDNLGRQSTDGGRVKSLKWSFSEGKTNIYNGGWIREQVITDLPQSHDIA AAQLHLRKGALRELHWHTTAEWGYVYAGRMLISAVDEHGNYTVDTLKEGDIWYFPKGS AHTIQGLDDENEALVAFDNGDFDASGTTFMVDDWIAHTPRDILAKNFGVDVSVFDDIP DKDPYIFNATSTTTPDSGPESGLTGPSSYIFHDSATKASVPIPGGGGHISIVDSRNFP ISTTIAAAIITLKPGALRELHWHPNAEEWLYFHKGTAQATIFIGGGNSRTIDLVAGDV AVFPDNSGHYVLNTSPTEDLVWIELYKSERVADISLTQWLALTPPDIVASTLKISREV VDKLKKEKQLLLK VE01_04917 MARAALALAALASVAFANPVPDLSLPPLIPYISGISELLYQVVP PTPILQAPLPPIPAAKIPSSNLKPKKVGYFWTGSADQRYADFLATYSLDDDTFGEFIH LTEVPSSGNSPHHLGPSLDGKTIVGGGLLSLLKTQDTAFYFDTTDPYRPTFKKSNRAV LSSIADEIRAKPDGGFFITYMGSTVGTSPGRLVETDKDFNIIAEHPQDLASTINILGE TFAPHGLSIDWDANLILTSDFVVPVTILKPSAGIIHANTLRLWNLEKRSIIRTLTIPN GGGIQDVKFIPGNKESAAIATAVHLGQVWIIYPFRTDKDGKQGTIELLYDLGEKARDT IAIYSDLTQDGRFAYFTLTTANHIAALDISDLDNVKRLDDPNVTQPTIGPHYLKVSPD QKHLVVTDYFVQTGEIGIINTPADFKALYIDIEDNGALNFNRTIDFTDFYKTRGGAKP HSVVIYDFTDPEKPIYY VE01_04918 MNDPQDPLFEDGIDLLNTDPQATTHAAEPSNTDTGAIIHTIELS DTDSEATIRASSHDHRKPVGATPPPETPATAQPTETTRVAGFYTETPPYSPPNPVITQ QPVPHRILTVGTSTPPPTPLINPSDIVLPPINNAAGRTRVYSRVERNLARIREKLYIR VAQNAAVVTWNQQERYAKVWMCTLGVYLLAGVALVILAGVQFWKAKNAR VE01_04919 MQFNTFPTIALLLLGHLSLGEAATNGYTKTCNSIRFTDHPTRGS SWIIVANCKQTNTVYNVDTQLALDKCFGVSKGKIIIKANGGFSSHCRNGKLKNGVLTG TCKDGSKDVTSSVILKWTYEMLIKGSEERWINASDVGR VE01_04920 MAKIKTVEYFRLPPRWLFVKIVDEDGNAGWGEASLEGHTQAVEG CLDAYRSRVIGMEADDIEHIWQLLYRFGFYRGGPVLMSALSGIDIALWDLKARKLNVP IYQLLGGKVRDTIKVYAWIGGDRPGDVEIQAKARKAQGFTAVKMNATEDLGWMDSPSA LASCVERVKAVKALGLDAGVDFHGRVHKPMAKQLARLLEPHQPMFLEEPLLSENMEGI KEISRLTTAPIALGERLHSRWDFKRFLEAGAVDIAQPDISHCGGISEMRRIATMCEAY DVALAPHCPLGPIALAANIQVDAVSANFAIQEMSLGIHYNAGSQDLSSYTTNPEVWDV KDGYIGLLKGPGLGIEIDEDMVRRLSVDAEAWVSPGFVGPGGEVREW VE01_04921 MLLSMCIVQILTATAFAASCWRDTPCAGPSRTSFPGPWESNIFA PASRTLIPKSVLSLPLGDIVSQYIAGSNISLQTNDGQGVVFDFGLEVGGIITINYTLH GPSATLGLAFTEGKQWIGRKSDNSNGGSGADGALVTNITSAGRGSYVMNDTHLRGGFR YLTVFSDALAGTTIEINGISLELSFQPTWSDLRAYQGYFHSNDEPLNKIWYAGAYTLQ SNTAPANTCRHTTNLKSGWLNDVTCGPGDTVLLDGAKRDRWVWIGDMGVAVPSSFVST GDMESTKNALQVIWSNQLTSGALPKAGPPYLSSDSDTYHMWTMIGTYNYILYTKDYEF LTQNWPRYLKAMKFVYDKVTPAGIMNATGQGDWGRWTYNTEASSASMLLYRTLRTGAA LTSWAPELLKTNITAEYTEKADNLQVAIMEQFWNDTRGAFKDSPSNISLYPQDANSMA IAFSVVPPKGNYAKRVSDYLANNWTPIGPICPELPKNVSPFISSIEVEGHFQAGRPDR ALELMRTLWGWYLGNPNGTESTVIEGYLLDGTFGYRGDRGYRNDPSYTSHAHGWSSGP TSALTEYIVGLSVTKPGGEEWELTPATFTHLSTAEAGFTTSLGKFFAKFKVEKKKVTV VWDTPHGTKGWVALPGKRPQWVKGGKGTVVIRID VE01_04922 MAGQVAGLFPFLFISIEAVFELSTLQFSDDTSYWRQNSYYIAFQ NHRAGFILSGDNIHVDGYNSGGINGNGDVWYDEDKGKSTEGRPMPFVLWNITDSSVDN FFVKQPQFWAINIMNGTNLSFENITSSAVSNNAPAGSNWVQNADGFNTMDAHNITLKN FLYQGGDDCVAIKPRSFDITIDGITCEGGNGIAIGSLGQYLEDNSVENVTINNAKMVR SGFPMRWCVYIKTWMGDLVPQTSYESEGQPRGGGWGKVRNLLFSNFELVGVERGPYIT QDNGGNAENKGTSKMEISDITFRGFTGTLSSTIAPGYYQAAIGAVCDAYDPSEISTRP EHSAPFPIPSIFKGYPRLHISIQKKT VE01_04923 MPKAMLTQPFLALKASLRLVFRAFYLRRKATIAVVTLTLLWLFL SSCRRPPLIDPEFGLVRNTTSESKYAIATFLTGGNKKSLNAKDLDSNPYNIATRVLAY QLLHAEETRCNASVDFVVLVTPNVPKYTRDQLTADGAVVVEAKDIPLSWWVSTGVTRW KDQFLKLRLFEMTQYDRLLFVDADTLIRGKLDEIFNELEVQRPAQTLSHRVRRADEAP LPAQYMFAARSDNQFTGERRHPFPPLNTEVFSAGFWIAAPSQELFDYFLSILKHYRRF DPHTMEQSLLNYAFRRDGPMPWREMHYKWSATWPNSGDVEGHVVTLHEKFWKTGPQDL RKLWREQKGNMQRYFSKHGN VE01_04924 MRSQTLLTAAAAIAVAKASKEVLATPPMGFNNWARFMCNLNETL FTQTADAMASSGLLAAGYDRINLDDCWMQMSRTDNGTLLWDTEKFPQGLPWLGRYLKE KGFHLGIYQDAGTQTCGGYPGSLGFEQIDADTFTNWGIDYLKLDGCNVPTAPGRTDVE TYKDIYSHWHEILSKQANPLIFSESAPAYFCGTDNLTDWYTVMDWLADNGELARHSYD VATWGDANPWSSIMSNYDQQIRLARYQSPGFFNDPDFLVSDYPELTIDEKRSQFALWA SFSAPLIISAHIPDLGKDELAFLTNKDLIDVDQDALSQQATLASRDSTWDVLTKSLGS GDRLLTVLNRSPAAASTSIPLAQLGLSSTCNFAVKDLWTGDATGLVSGEFKIKNVPSH GTVVYRLTPSKNSCKTVIPTGLIMNTFSMTCLTAGAAKGSEVSSASCNFPSSQVWQVK SDGSIRNLAEQSKCLTDSGNGPITIAPCKGNPNQNWSYALSGDLTNEGTGKCLTEGGN GQITTETCKFETNSQVYALPIGAKLQ VE01_04925 MRLVELSLLLASADALTLRPARANPKVVGYGINRRDTSPYSTPI YNYFAGFDYTINITIGSPPQSVMVVVDTGSSNLIVNSPQSDFCQGGNCTQYGSYDPTT SDTSKWYNNLMYTQYEIETQKGAWVTDDVTFGGKTLTQIPIGSGNESSNSDINIWGFG GNGQLGAALPGIPSNDTTLKSIHKSGVINSASVSIYLNQTGSETGSMLFGGVDTSLYT GTLQTLPVIPRDGFYDRLTVNLTTISYNDGKTTKSIETHLPTRVMLDTGNFDIKLPLE IATAIQKSFGITQQFHLKEYDFALTACSMADSPAVVSFGFGGELINVPMSSLVVNPPE SILASYGLPPGTLPEGVCLFLINGFKDELVESGQLVYILGGAFLANAYFVSDEDSQEI GLAQANFNPGPSNILEIAPANGGIAGINNSTAGSGASKPGGTPTPTGGAVPSATQTGD AMKAWHSPTGWIVAAAGAFGLLLSF VE01_04926 MSNRAVVIVAKGEVQINEVTVPKLRDDYILVKNHAVALNPTDWK HVDYLPDAGARVGCDYAGTVLEVGSKVTKDFKKGDRVAGFCHGANAVYHEDGAFQEIL TAKGDVQIKIPDNLSFEEASTLGVGITTVGQGLYQSLQLPLPGKPQTVKTPLLIYGGS TATGSLAIQYAKLSGLTVVVTCSPRNFAYVKSLGADAAYDYNSPSCSKDIKEYTKGQL EHVFDCISEGNSTKICVEAMSSDGGVYSTLLTLDEKTVTSINKNVQLKMTLAYTVVGE SFKYKSTEIPAVPADFEFGKIFWELSAKLLADGKVKVHKPSVNKFGKGLEGVVQGLQH MREGKVSAEKLVFTF VE01_04927 MAVEYDLIPLSDFDDIDSARYKSKHWARRRRCSPALHLFRRTPR LFRPVYLIIAFFAFLNWQIIFNASYQSPPPFTIPREGNVYIAANIINADLITGAWGDS LNDLVDLIGKDRVYVSIYGGPSAALKTLESKLDCDNSLVSEEDDPIDLDTLPHITLSN GKQKTKRITFLAEVRNRALEPLNTLDKKFDKVLFINDVFFSAAGAARILWGTNVNAQG VSEYKAACAMDFINSWKYYDTFATRDFEGYSMGLPIYPWFSSEGNAVSRRDVLAGLDA VRVKSCWGGMVAFDARYLQRDLSSTTKTRATREKPKNLSSRKVEPPKLPIRFRSEPEP FWDSSECCLIHADIMAATPFQAPSKELSKTYGDGIFMNPYVRVTYDASTYNYLWLAQR FERLFRGPQSIINSIAKLPRYNYRRAEAEGDIVDDREWVPNTTSRTRSDVGESSWQSS EMASSRVKRGSTEAAKGKEYWDKKGHYVDVKREATRGAYCGVRSLLVLKESKGEGEGN WDTMLDEVPPLEN VE01_04928 MSDRTGIYQVFHGTFIHSTSPGSIEIVHDGMLVVGPDGRIVHFL HNIPVEETRTALDGLNILAGEYRISVISRDKINPGFMIPGFVDTHNHAPQWAQRGLGR GLQILEWLDTVTFPNEAKFEDKDYARKVYESCINGSIKQGITTSCYYGSLHGEATKIL ADICLAKGQRAFIGKCNMDKNSPAYYTDASAEESLRVTEDFISHVRSRDPTFSQITPI ITPRFAISCTRELLKGLGKIAAENAGLPIQTHFNEAESEIAATLTLFPEFDNEADLYA HFGLLTNRSVLAHCCHMTPYEMERLKALDCGVAHCPIANTTVGGGFMAAPIREFLRRD IKVGLGTDSGGGFSSSILDAMRQAFIVSNAREMMTKGEDKSLSLEECFYLATLGGARV CGIEQESGNFAIGKLFDALHVQMASVDGDKLNLASTGIEAGDGMKTVFEKFMMTADDR NIVNVRSSRIRCDGKQDCSKCLEKGKECLYTRSKRGGPRVSLHGRRSKEKPRISTADI LKQESPLSVPEQFLEASIISDTGVGLRQIDEFSGEDVVLSDAQTNAGAPLYGIDQLNQ VHGFSGMQFDPLGDSESSAWDSFNSASLTSGSDENTSDVRTYETDADILDAYYVYCHA YFPALPPPIRPPVDRPVALGSLTGGYGPSTPLPLALSAMLALIPLPDDPDPKGENSTW NRRIQAHKFAQAAFEALDNETELVDSIINPKDALSNGSPRTYRAPFHQDLPLDLESNV ALMILSIYEYGQRGNMKKMLNRSGQSLMLALEAKLYSPIVDQFVEARRRVWWATYINV CEVATISGTETAIDIRDPKYSLPYPTLAADPEAIPFFVQCQYAILDASKYTNDLGKII ENGGDLSVLYMRTLDMEARLESLSQIADAWFDKTPCNMPVTSSEAVVAKSLRLMGRVK INSARIKIHRYPAFMNTPIFTKLHCGLQPTTSNSTPVLASNSPSVDISHDPSRQLQPG QSSSSLTHASTGGRPPPILSPPGDSQLPFSALYSTNIARKAALDISETLHALPYPNPS GMVDPAGLLSTPLSALPPRTMPTFMCCALMGAYALTLLIYKLRNGQLESPNSEITLAL SPYEGALRHGLGRILSGLENYASAFEAVDGMREEVAKAFKVSLVESLSEPNNRMNWDP YYSGLN VE01_04929 MLERTAGCLELGSVRRFVPGSKSMKSRRMLHSAFWNHGAMELEL SPLWQVLIQGTAHNDRPIETNEHDHSGGMMLDFLYPSGALNLIRQYSPWTPDRTEFRR PRGLGRIGQRLYTSAAGDGSVMMSRRAEEVGSQYEVRSMEHRVDWEVQHLKSDGTGQT SAFLQLISESARTNDYEEAWRKFGQLNSDNKLALAPQLLSYLITSNRPIDADRIVSVF DTIRDQAGHSSYEALVNAHVVLRNYEDAANVHSESLATFRKPTSSDRLLGLLIQYGRW HMAFDAWKSVKDLGTASPRNGQDIWARVVYLPNLNEKVLQMVSGLTGHLSDTPDLDIS RELPVDKVTFITEALSIALFPQDDFSEANFLSVFSRLERLGLATESIYQNTILKLLKM DRTKMAVRLYRKFRQTDGIPTTHILDAILKIFCKYKSNMGMHQILEDWVRHFDKPSSP AYRMVMTAFASQGNIEAVKGLWKKYLERQTYGQIRNADDLLPLMHVHAKRGEVDEVVN IFESIESDYNVVPNIKHSNILLNAYGKAHAVDKAFEHYNKLLDSDVHPDKITIGTMMG ICTQRGDAMAARELYNSAASLGIRPNTAMVDCLVLGDIQNGNINKAEETCMKALTMAL DGPKTRMWNYLLVAYAMGRDLENVSRVHRIMESSNVSPDTYTYSALMQALAVAGQPSR AWKILTDVMPEAGIQATEFHYAVVMGGFIATKELDMVFHVRDHMLSRPEGLKPAVSSN IQTLKAAVMDDSRRIQSGDADTDFAKAEDYFFQFLMNADRQELASNPRKGMGYEPLDV AYSAAYFDFYMFVCGQHKAFDRVKQLYDNFLQRLPEDRRSQPPIRILNALMVSRLKEN DHDGVQEAWEFALMRAKERGKPISGNNVLLYSHRLSLCRPLSTLIKSLAAQDKLTQLK ETIADIRAFGFELDSNNWNLYVQALTKAYKYQEAFGVCEKRLMPGWLGWAMIRQVEPR RNRLSLKDRARKRDPTYLRPIYHTILMLSRAYLDMEGSYSAAGQAYHVLKYVDQHCPL TLNAIQTMRRTEDELEKKILGTTQG VE01_04930 MDITKFIVTGRDEALLYGDYSTYRAQLSRKLLSARKKLGLATRK GAKYAKRPAVAAEDIARNHEYLRIQLLTSERAWAHAMYMKSAHSSDAKGITGAARSHI ISRLHKAYKVQEGVLEALSDRSVSGATETDLLEARAYATSLAGAEQFEKQSWQACVTD YSIVWAIYTALTTSTKSDIFKDLVTSTVEPSIRYGAYQLKLARTMAIPVIVRKFFPMD DQPLVKAVEKLDPTILKDTSKPKPELAESDAASRTITWRSRTVDLEDASIAVALSAVT TAAKHLSETLSSTSTSHPRERASAYDDILIASQDAVDATKHSIDELVAEGISQGDKRI QSLQITRTAIGYDLISWRIGRNRVLTGDHDGALPDSAPIAKSRKSKQADEVTVDKEEG TGRKLAKLRERVVLYDSTLQSLDSIKELPGVSSDTEFLGELEAKYNYFRALKCLAIAR SHSVLSSYVEALSLLARATALLTPSLPHLSAAAAPSPTAPPNLAISPASVSFFASVLN AELQRHRALVEITTVSGTVAKLPPSLPLVETLEHYPTSGDVDLSNLVSYPTKLEAVPV KPLFFDVAWNYIEYPGRSSQATAQVDEEEIPSSRGEEKQEAKKGWFGFGRS VE01_04931 MSIPTAFANASGDDNLLPPVNQLAILDVFFPGFSTVSAGLSKYL QIDISFYLPFIVICGVVIYASNYVSEHLWSSLQHYLMSTADIRIDDEMYNYVMGWVTQ QKFSQVNRRFIASTNVNSRSWFMWVESRDNEREGEGVDEVDENGIPIEKRKHRKLQYT PGLGTHIFWYKGRLMVFRRNAHERAYGPISEREEISISSFGRDPTKLKELLNECRKLF LDSDEDKTVIFRGGNKPGTMSETAWVRSTARVSRPMSTVVMDESVKTDLLEDMRDYLH PHTQRWYWNRGIPYRRGYLLYGAPGTGKSSLSLAIAGYFKLKIYIVSLNSPSMNEETL GTLFSELPQRCVVLLEDIDTAGLTNARNSEASEDAAAAMAVKKVQKDPSQPPSLVAGA PPAGRISLSALLNVLDGVSSQEGRILIMTTNHIEKLDDALIRPGRVDMTIKFQLSDAA MMRKLFTSIFTKLEGDFPQKKTALLDSHVNGALPLKGANLQNGHAKSSGVEKYNAADQ NIDEDEERQKLEARKIEALAESFTLAMPEGVFSPAEVQGYLLKHKRSPEIAAREAAKW AKDMIDERKRKKEEEERKTRKRLEDAQVAVMTAQAAAEKRIEEAGKKGEDDKKDSDDT DDT VE01_04932 MASRGMSSNNHDTSIAMAKALLPLTLTGGFLGVLSTAWLRAVNS NVPSPYMDEIFHIPQAQQYCASDFYTWDPKLTTPPGLYVFSLLLKPATRAGCTAAELR EIGAVALAALLVVCYFLRRSLSGDGKRVNRSWQVAHEALNVCLFPPLFFFSGLYYTDV LSTLVIVVAYFAFQRGAGGASVGGGLLAYGLGVVGLVMRQTNVFWVGVFLAGMEWVRT CTDMAAKGPTRGGQGKDASLIERVLGPYTRGELHDPSIEEAGPLDFLYCLISIGISAV SHPIIVISRLWPQIALLLSFGAFVVWNGGVVLGDKSNHVATLHLTQMLYLWPFIAFFS FPLFLPTVITALSPTFPRASTLAWALLAIALSLVIVHFNTLIHPFTLADNRHYMFYVF RYTILRHPLIKYALAPIYILFGWFVLRTLYRHEPISFGTTTGLQETRRQGKPSCSAMQ KSERNPAAETKTSFALIWLLTTALSLITAPLVEPRYFILPWVIWRLHVRPSHGSLASV SVEWTRLWGETLWFLVVNVGTCAVFLGKEFEWASEPGRVQRFMW VE01_04933 MPDAILDDISHRRYNPLRGSWLLVSPHRTKRPWQGQQETASKTT LPEYDPACYLCPKNKRAQGDQNPDYKNTFVFVNDYSAVKESQAEYHPEAKEGDLSSLL LRAEPVTGKCYVLTFSPQHNLTLADLTPAQILPVVQMWSKIYAAHLSPKSPLYSLQPA TSAASDPDYGVSAPTAQYRWMQIFENKGAAMGCSNPHPHGQVWTTTGTPEEPGQELEQ LQKYRCEHAGQNLLADYAKLEMEKKERIVFQNASFLVVCPWWAVWPFETLVLAKTHKR ALVDLNDAEKLDFAEAIAEVTRRYDNLFETSFPYSSGIHQAPLEGTEEEINASHLHMH FYPPLLRSATVRKFLVGYELMAEPQRDITPEQAAAKLRDAGGELYRNSL VE01_04934 MDAILPYLPQHAGFLPKWMLFTSIVAIGNSVQSFATLYYTRRIY NIDPARPKALPATPSAPVPHSPLVTPLSARTFGTWTFIAALVRLFASYNIDNPAFYAL ALCTYAVAFAHFASEWLIFGTAEWGSPLAGPIVVSTSTITWMLMQKEFYVAA VE01_04935 MAIDDILAAIPKPVTVGFAALGALWLGRKVVSYIALLLDLFILS GTNLRKYGPKGTWAVITGASDGLGKEYALQLAAKGFNILLVSRTESKLATLSQEIETR TPAIKTKYLAMDFSKDNATDYAKLKALVDDLDVGILINNVGQSHSYPVPFLLTPHKEM RDIITINCLGTLEVTRLIAPGMAQRKRGLILTMGSFGGLLPTPLLATYSGSKAFLQHW STSLASELGPQGVDVQLVLSYLVTSAMSKIRRPSAMIPNARNFVKSALGSVGRSGGAR KTANTSTPYWSHALMQWWIENTSGTSSPTTVAINKKLHQDIRTRALKKIERDAKKST VE01_04936 MPVHVAKGAIIAISVIAATAVAVYESPEVRQFILNLRRQAAHTL HNLGDNIEPRERREEEYNQQPLFNRPEDAEGVVERDGVDADDASRKRQMEELAYWNRL REEKEKEREQNPPAEGQRKRTRSSSFGDFLAQDGDQGTYVLRSGAEPVAAENLVHRHA GRGIDRGAMFANPFGDEHGIELSSREAGWGSQIDQSQTLMTPGKDEVMSVADSDGLYC ASDNVIRRPAGITGASALFTAPVPFQVGERPVGIVETPSLFSAPTPSMNQELLIDASE SNDAAPVVSERAVPDEAEETQYATSASRMHADGETDAYAAIHAWADGANSTAGFYSPL PATPQVISEASDGGFGSDAASSNGAATPKTSDSVSLVGGESGSEGRNTPGSWTEVGSV VSSIEGRVV VE01_04937 MLEGPRAAKGFVFVAADAYGQAAAGDRKLIRSHVMRGKNTRTRA LPGRVKGRSAATAVGIQRPQHAAGDSDSSEESHGARTRSELLDSDEDALARIRHAEMV PGAADMFTFIKFPEDIDSSSRSLLCAYFSYMKDEMYPIVRYSRPDTPKTHWFHWALLD LAYLHSILYMTSFSLDSLRGQKSKRTEFHAYRMIHELNKQLSDPNTALTDSTTIVVMA LALIAESFGDVESAHMHVMGLKKIVDLRGGIESFASHPLLQSKLHRTGLVHSICTGAN LAFHQDAASFDSAFDSSPELARLKPSDASCFSRSRSVVRTLERRLYAILKDVQDLSQL INDSHDSGHRIREMSLEDLITYIQSRLLMLEFEDNDIFPELLRLSLLAFLTTIFWGFP GVKFEYPRLANQLRQACMTFTPSTAGESYLFAWALMVGATSVFRGPDQTWLLQRLRPL IRDSLGRTWFEGKNNLRQAMWIDSIHDGPGIEVFNQCLGETGDRSIVPI VE01_04938 MSRYTASHANPQGPGDARPTALQVVEDECLIGKLTGKVALVAGT NSGIGVETARALHAAGATLYLTARDAVKAQQAVDKVKNGPGPKSDAPVHGIELRLDSL ASVRAAAMAFHEKSDKLNLLILNAGVMATPEGRTEDGFETQFGTNHLGHFLLFQLLKS DLLAASTPEFQSRVVVVASAGHRYGKVRFHDFNFEKDPYDPWASYGQSKTATIYLANE IERRYGSKGLHALPVHPGIVATNLSQYLSQEIVEGMLNDETRHKTIKSEPQGAAAAVY AGLSREWEGRGGKYLSNLVDEGPADTTGDWLNNEVGYAPWIYDEESASKLWKASNELV GFKSK VE01_04939 MTTPTNIDPKDIQAHWAKYQGDKYVKGWASLWDKSDNLPWDRGF PNPALKDTLVQRAGTIGGPIAKDGQRRKALVPGCGRGVDVLLFASFGYDAYGLECSAA AVEACKKGEKENHSRYRVQDEKVGKGKVTFVQGDFFDDTWLKEIGVPRNGFDVIYDYT FFCALNPELRPKWALRHTELLASLHAGNVICLESPRHKDPLAPGPPFACPSEAYMEHL SHPGKKISYNDKGLVDADPLREPSKAGLERVAYWQPERTHTVGKDTNGVIQDRVSIWR RRD VE01_04940 MVEAMTDAPTPRKRGRPRNATHDQQAPERRRRQLRVAQQAYRKR KETTIVNLQSREQELESGIEELSESFLSFSNLLLEAGILQNQTRVTVALQKITQQCVS LAKKGCDEAEQPAAPADVSPSTSPTLSDTQDIISNSNPLITQLDSLPIIGDTFQSSSD LAAQWPGLSPAPPFQEQAILPFGIPLSCPNIPFSSVPSPALNFPTIVSPDNLLKQGRW TLSHLIVRQCCETGYYLLTSLSGDDPRVKAIFGKRLAIDERNCLISGFVAVMHDEIGD TIELRTKVLSSRRNSYSPERLAVSSRTWQIVNESGANEWMDASGVQRLLQQRGICIQD PSSPLSSPRFNSAPQLNAAIFIKYLSLCTICLGRGPAFRKPDVENAIRFATLDDPWAF NPVCEIP VE01_04941 MSSFTQPMPVVACGKIPAMGKSISQHMVPEYEVIHFMLSYEAAE AELPHLLAGRDPQSRSPNEIGTHDYSRPPCAVIFGRGYDPQQVEELKKKFAGVAKEPV AWVRGNPADLPAGAAGPDYAQNIVADMKKVLKKWRDGGGNDEEILVY VE01_04942 MEVNRDPEKAMYQASSLGKPSGQSPSPMADPIVNSSAEQSDDES GKKTDPRDISQWPITPDILQIRQRNETNGGKSKKLGVTWQNLIVKGISSDALYNENVL SQFNPFGKGSKSPPLKTIIDNSSGCVKPGEMLLVLGNPGAGCTTLLSVLSNHRHGFAE ITGDVSFGSMTSQEAKQYHGQIIMNTEEEIFFPALSVSDTIDFATRLKVPFHLPPDIK NEEKYAQIYKEFLMKSLGITHTKDTKVGDEFIRGVSGGERKRVSILECLATRGSVFSW DNSTRGLDASTALDWTKAMRAMTDILGLTTIASLYQAGNGIYEQFDKILILDNGKQVF YGPRGEAVPYMEDLGFLCDPAANKSDFLTSVSAPAVRSIAPDFEDRFPRSNEELLAAY NNSPIKPRMMAELDYPNSPEALQNTADFKEQEAQDKHKNLPKNAAESAGYFHQVQTAT IRQFQILWGDKQTLFIKQATTIVQALIGGSLFYNAPDNTAGLFIKGGSLFFSLLYPTF IALAEVTDSFVGRPVLAKHRDFALHHPSAFVFAQVITDIPIMLFQISHFGIVLYFMTG FQYTAQAFFIFWLINLISALAMTQLFRFIGAAFPNFDAATKASGFTIVAAFTYAGYMI PKPDMHPWFVWFFWIDPMAYAFEALLANEFHDQVIPCVGPFLVPNGEGYSPETGGGQA CTGVRGAPPGATSVTGDQYLASMSFSHSNLWRNFGILCAWYVFFLAMTVFFTSRWKQM GEGGRGLLIPREKQKKVPKAGVADEESQAVEKPSESSSSDSKKSDATIDNQLVNNTSV FTWKNLTYTVKTPHGDRLLLDNVQGFIKPGTLGALMGSSGAGKTTLLDVLAQRKTDGT IHGSVLVDGRPLPVSFQRSAGYVEQMDVHESLSTVREALEFSALLRQNREIPREEKLR YVDTIVRLLQLEDLEHTLIGRPSAGLSVEQRKRLTIGVELVAKPSILIFLDEPTSGLD GQAANNTVRFLRKLAEVGQAVLVTIHQPSADLFAQFDSLLLLAKGGKTVYFGDIGHHA RIVKKYFADHGAPCPREANPAEHMIEVVSGSLSKGKDWNQVWLNSPEHEKITQELDTL VADAAAKQPGTFDDGHQFAAPMWEQVKLVTHRMNISLFRNTEYINNKLILHILLSLYN GFSFWSIGNSVSDLQQRLFTVFSFLFVAPGLISQLQPIFIDRRNVYETREKKSKTYHW VPFVTGLIVSELPYLVVCAVLYFVCWYWTAGLPNDTKWAGSTFFVAMFYEMLYTGIGQ SIAAYAPNATFASLVNPLVITTLVSFCGVFAPYSQITAFWRYWLYYLDPFNYLFGAFL TFTTFSVDITCERGELAVFNPPANETCGDYLSTYQHGMGRGTNLLNPGATENCEVCRY TTGQDYLKTLNLNEEYYGWRNAGLLVLWAGVFYALVFLMMKLRTKATKRASA VE01_05424 MPPLDAPAAQQRRRILFVRRRMIPPRLFLSYLNYILIIIFVILF GNILYFLYSAPHCDEHSGLLCWNTPSRVTDLDIIGINAGGVSGRDIPPP VE01_05425 MASLISTIRGLTSASPANTAPLRTFFSAQPLGLRTAGRRPISIF YFSANSGARTALRPTNPSSKLRFQPLRSRFFHSSRPRRTGAAEADAVNAAKDAAPKAP LTLGQRMKKLSREYGWSALGVYLALTALDLPLCYLLVRWLGTDRVGRWEHAVVSRVAP LIPVIVKEKWYVFKAWMHSAEETITGNDDVSDAVDMAGWGVEEAQRQNKKEASLGTQL ALAYAIHKSFIFLRVPATVALTPKVVKVLRGWGWNIGKRSTKAEKAARKATKEAKGVK NVKVVKE VE01_05426 MHLTNLLLALPPSLLLLSSHATSAHAHSNGAALPPPAHMPEPQV IQRRQEIAARIEGGAKPVRVRKMSEDGGEMFFGEYWGFDARDEEGGDVLRRDYESEDD ISRGNSSATFSFRPAFAIHEAESSSPRGLSEAQALHHRSSRALSALLKRAFQCPTGTT ACTSISQPNYCCASTESCITITDTGLGPVGCCASGQTCEGEITHCAAGQTACPQSLGG ACCVAGFVCFDVGCVSSVVVTEITTVTQTPVVVPTTTSTPVTTTTTTTPSTSAVTTTE GPAPPVRGTGESSTVAPPTTSLTSDSEACPTGFYACSAYFPGAGCCRVGRDCAQTFCP TTGSVTVISGGVTVAVPEGSAATTATGAGKCASGWDGCVGGGCCPGGWECGVSCVSVG AAATSVLAKGAENAGGRGGGGGVGGWGVVVAVLGILVG VE01_05427 MKSFFTTFFLATMATTTFAHMEMSDPPPLRSKFNKLATNPDYSM TSPLSASGSDFACKGFLSDLGTAAGGSVASWAAGSEQKFTIVGGAPHNGGSCQASLSF DSGKTFKAIHSYIGNCPLVPDYKFTVPADAKAGPAVFAWTWFNKVGNREMYMNCASVT ITAGSGSAAVAFSARPDMFVANTGTGCTTLESSDLLFPDPGPDVTNDSAGTAAPVGSC ASGSGSGSGSGSGSGNTVASASVVAPAAPTGFTTSIIPSTTNTAQSGSTAPKTPTGGA LTPSTNGECGGPQTCAGSAFGQCCSKYGYCGVTAAHCGEGCDPTLGTCGSSASGAAGT AAVVSSGAAKATANTFLAVSNAVAGTKSSGGALTVSTDGVCGGSVTCAGSAFGQCCSQ YGYCGDASAYCGQGCNSAFGICGASVGTKSSLAVANVAGSKPEGGEMVTKTETEVVYS TVYVTDRAAATVNDVGGRSTFQTVTRAETERRSGITVIPAVVPR VE01_05428 MEGLEGYDEATVFTSPNTEQKPNFGDDAIPPRGPALSAQQKTAR ERKDHRDQNRRYEEAISEHGFGGTTMGTTHTTTSTRPRRGSVDDNGESGVNITATREE QQQQRRHSSYETSPEVEEKEVRDRKRQGYGEGSGVGG VE01_05429 MSEGDVVPTAGPVVVEAHEVVTYPPPTKLFEKHPSKPHIDGMSQ YESMYKESITEPEKFWGKHAREHLTWSKDFQTVHSGNLNNGDNAWFVEGELNASYNCV DRHAFKNPDKAAIIYEADEPNEGRTLTYGELLREVCKTAHVLKQMGVQKGDTVAIYLP MIPEALVAFLACARIGAVHSVVFAGFSSDSLRDRVNDAKSKVVITSDEGKRGGKVIAT KKIVDDALKQCPNVTGCLVYQRTGADVPWTTGRDWWWHEEVEKWPAYIAPEPMNSEDP LFLLYTSGSTGKPKGLMHSTGGYLLGAAMTGKYVFDMHDTDKFFCAGDVGWVTGHTYV VYAPLLLGIATVVFEGTPAYPNFSRYWDTIEKHGITQFYVAPTALRLLKRAGDQHITA QMKTLRVLGTVGEPIAPDVWKWYHDTVGKKECQVIDTYWQTETGSHVITPLAGVTHTK PGSASLPFFGIDPAIIDPVSGKEVAGNDVEGVLAFRNAWPSMARTVWGAHKRYMETYL TVYPGYYFTGDGAGRDHDGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHAAVAEAAV VGVADDLTGQAVNAFVSIKDGNEINDQLKKDLILQVRKSIGPFAAPKTIIIVTDLPKT RSGKIMRRILRKILAGEEDSLGDITTLSDPTVVEQIIQTVKSGRAQK VE01_05430 MTSRLVLFKKLLTPGKIGQTLCLGNLTDSATYTYLRSISPDLKL VRGRFDADAPSLPLSGVVTHGSLRIGFLEGFSVVVPGEADALLAEARRLDVDVLCWGG TGRFDAYEYGERFFVNPGSGTGVGGGEASFCLMDVQGISLTLYVYQLKTDEKGAESVA VEKVTFTKKVEA VE01_05431 MAHVHMPPSKADSFPPLPGNLDARHHDLQGEYARDGNGNIASDA QYTPYLGLRARLSQVWINKWTILLLLVLARVLLAIQGLDNNIASAKAKALSACTSVEN VGSAMASMPHYMSGGVNAMAASGVTRAVNGLMSMLMLAITGVEEIVLFIINMMTSTYI CLITLVVGGALHAALEVIDKAGQFLNKTLATVTGEITDGINGFQSNMNSFLKDIAGVT GLFGGESSPPTIDLTSEMNKLKSINFNAANLDTNVKALDAKIPDFSEVHNFTNNLIRT PFEEIKKLINASTIGYTFDKSVFPVAQKEALTFCSDNNGINDFFNGLSKTAHVARQAF IGVVVALAIIACVPMAYREIRRWRITKQRALLIQKQAFDPMDVMYLAARPYTSTAGIK VASKMKTTKKQVLTRWFVAYITTIPALFVLLLAFAGFFSCLCQYILVRTIEKEVPALT NQVGDFSQNVVLALNNASEQWAVGANGVITSTNTKINTDVFGWVTTSTTAVNNTLNVF VDMVDTLLNDTFGGTILYTPIQETLNCLLLLKIQGIEKGLTWVHDNAHVAFPPFDKDV FSLGAAASLSGEPDQESFLASPGSSASDDISGAVQHVVDFMYKTIREEAIIATVLFAV YFLIVLIGASRLIVGMMSRDKTRAEGGGVPADSPPYEEREVRPAEFGAFGGEVETPFP RDNENQGGPWMAGAMGGGEQMEKVGHVGHGAQRSVGEASTGGHGRTSSYGFVGEKTG VE01_05432 MEPSPLTQQSRPEVFQQKIVELYDGLFKDEEGGDKSEGFWTEFF LLKPDLATLRRKLGGLSPSDLLNLQNQTRSLFSRAIKCIKTGSAPADTHALDTLTVLL ASVLSKKYNNPSSDIINVLAGLDEVDSVVTEFVAVLDNTIRTGRSLDIRQKAIEVTLS LTSGAYQTSLLSYFTHRDLFPSLMKFIQDTDSITRTFEPFTLLGLLANYNKFEFQNPY RLRLEDFVNEAAIQKIITSTGATCSRLRTKYVAVQNDLPEGWSLASAFGMLGLGGLIG AKPAAPVIDPEVAKKMFAELPGAEAAVLLATYDFVHANKLFCFNLVTLELENKQSEPP IASFISLTSYLLEHAYISTRTSLYARLNLLTIRLLVEDPSLCKRICSPESKTPIRLCR QRSPYLPLIRGDRVLATALIDAMIDGINHNLRRRLDVDLYALFLDILQRLIAHLARTR TRLPYHWSELFRSLLTLIRFMATYAADLAGLSRIDALQDALVNLIALALSAGEAFLPT PAAYDDLFYKLVETGDILVKFSEAYGLAKRPGCSIGTLVSVSAHYKELLKDGVRGSGV RNLTSAQVAQVIKQGYETLSIQTREGLDGWEKYREADERVFLKKVARAAVADAKMLVD AP VE01_05433 MATPRFATPLASSTSRLFQCAFAPSATPALRPCRHAMRRVSEAQ SARMSTKRKMQTSTYQAYTLEPQAPPPPRSSGTPETSIGRGIPQLHNTMPPPIPGQQA AATQPEVAITEKEAQKTKPVQANNSPAAGAAPATQEKPKPRSRLRAARKAAITLTPLA IEQIRNLLNQPEPKLIKVGVKNRGCSGLSYNLEYVDKAGAFDETIEQDGVKVLIDSKA LFSIIGSEMDYVEDKLSERFVFKNPNIKDECGCGESFMV VE01_05434 MPAPSTPSSNRWTLSWTSSSPPPKPLPAGIRRSFITTPSGPLEL LSATPDPTRPRIAQFPILESPILFVHGGFGCASVFLPWLTLLANHGYNVHALSIRGHG HSWTPGFLRMALLTTAYALATDIRAGISHLETLFSARGEVVLVGHSAGGGLVQIVCDQ ELARVQALALLAGTPSFGADHVYRAWWTLDPLFLPRMFLRDWLHPRSPLSSSELVKAA FFCPSFPIDWVKPFEKLMSEYESLRWPISTMWRGYVDPRRVLLGCGMRLFVLAAELDQ LMRMELMEKTTAEYAGALKQIGKDGYDIRRGEVEFASVEGSGHHLMNDLQFEDAARIF EDWLEKLNDDE VE01_05435 MAASKFTVRAPCSSANIGPGFDVIGLALSMYLELKVTVDPSETT SLPLNCRITYEGEGAPDVPLDPEVNLITKVALYVLRCHGQRVFPKNTHVHVINPIPLG RGLGSSGSAVVSGVVLANEAGKLGLSKARILDYCLMVERHPDNIAATLYGGFVGTYLN ELKPADVARLEIPVSEVLSNTGGVDTGLNPPEPPFNIGHFHKFKWAPEIRCIVVIPDF EVATAKAREVLPTSYTRPDIVFNLQRVALLPTALGESPPDPEFIYLSMQDKIHQPYRK TLIPGLTEILSSISPKSHPGLLGTCLSGAGPTIMTLATDNFESIADHLISEFKKEGIT CAWKLLDPAQEGTTVTYDA VE01_05436 MTIIHIVLFEFAADADPEAVNDICKRMLNLSNTCRHPENGQQYV FNGIGGKNNSPEGHDGGLTHAFISHFVSEADRKYYLEKDPVHLAFVKSVGPLVKQVKV VDFEDGVF VE01_05437 MRFSLLLAAASATIVAAQVDLGDVQNCSDPQGLSNCAAPYLKDV QNCGNSDISCLCKAATGGQACFTKYCPEVTFPYSAELQAECGGSGSGSGSGSGSGTGS SSGDTSSGSASSTGSGGSASSTDAPTNGDSNSNTNTAPKSGGGDPGAGASIFAPAGSL LAAIVAVAAML VE01_05438 MASSPAIAPLPPEVVAQIKSSVSITSVNGVIIQLLKNSLDASAG KVDVQVDYGRGGCIVEDDGLGIAPAEFQPSGGLAKLHHTSKYTSDTETHGTQGCFIAS LAALSLLSITSHHHLHRSHNSIALSRSQVISRHTPAPEAQNLVSLNHGTRVTVRNLFG DMPVRVKQRAIENAESSRNPKDWDSLRKEVMALILAWQGPISVTIKELSSNTKLRTLR ISSRQRNINDDGALISHICSTLAQASFIPSVDTSSWVSASGSTSTVSIRSGISLDPAP TKGIQFMSFGIHPLSNEDGRNILFEEVNRLFANSSFGIVDDLPQLDETEKKRRAEDKR YKSDGFTNKELKGSGKGVDKWPMFYMRIDIHGPIPNHDPVEDVFDDEKTLISITKLLQ AVIVEFLRSNNFNPKFIRPPGSPSKRKAESSEVDMGRIEPSGSSTKAIYDMPGTSVSP KKRAITKLPTRHPSPRPSSPFDIWPRVKSGRVPTLVKTSKRELSNVDDGVQAAKGAQP SKRPSAGSKDTGRDSTSKIEKSLPRSTTPLISKSGTVSQLPFMDVAKPTRRPAMAPKK EVPINESPPDMEKDELVPWTDPTTKENCFVNMRTGSVQQTPKLSVKPTPETRHGLQTL NRKYAPKSHDTPTQPHQPSDWLNSILTNWNNPVFPPAEPPIPQIQPDWTDTHTQSILH GHHHTCTQAAIDRAFQVSATSSTGHLSKSGLQQARVIAQVDRKFILARMPAAAASMDD GVSGEDMLVIIDQHAADERCRIEALMADFFLPPSPLPGLGHDELAPRVRVERLEKSIV FEVADAESELLERHRRHFADWGVLYYICPGEGMDKVVLTALPPGIVERCRADPKIAIE LIRREVWGVDGRGGAGPSRLVRGNETAEQTDVEGGATPDWVARMQGCPAGILEMLNSR ACRGAVMFNDVLERRECEMLVRRLGECKFPFLCAHGRPSMVPLVGVGEGVVVGMEREG KVREKGVGDRDGGEGFGRAFRMWKSETRRSS VE01_05439 MQLSTNRLGFRVRRLDKPLLRDSVPPVAKIASLTTVSTTAKERS PSSVQLELVALARESLRETGRVSGDLTDTITVERPPRTKLPDPTSPTPPADPVTADSD SRRPSPADTMTAVESHTAPSPFLDTPADPPTSRFTAVNNREALPSAAPTNNGNGSSRR ASEDRPEPLARTTPPVPEKLTITTTNTQRDNWADTVNGDKAAGSQSVPPPPTFPDGES SHKRKRSGSVERDSAQPSSSSSYHKHSLPALKPNEQQKGSPNSPYPDSAQSSKRDAQS ATRDPYVTPQTPYPHYPEESRENSAASWYSHQIDNRTPVDGGHSAVSQHHMSPDDQLR EALQRENNSDGQGNYSETSPAQNDRGAPYRGEYGQVQVDHKKRKRNFSNRTKTGCMTC RKRKKKCDETRPECNNCMRGGFICNGYPSRGNWPKTEQKQGPVPLQSKDGYDASPSQY QPPPYQQQQQPQQPKREPLPSYRGQQLRVDPLQPPARPVGADEDRSASTNLSASVGSP DKRLSAISYTQANTFPTPVSAVSQSNYPDRLSQMDYQRMPPLLDQNRSEHDTGTPQSA HSVPHFSILHASNTNSPHPHTPQSTAAQDAARLALSHPASNSRRTQKEEMLAGNNFFP FDRELVLERERCNAASWRFNNSTNPNNGNLPEDRSRLFRDILQPKELASMPPHLASPV NPQGYVGERCVVAAPFTCDYGYNITIGQDVSIDRNCTILDCASVKIGDRCVIGPNVSI LTTTVPIDPKKRLGSKGPNQGKPIIIEEDCFIGANATILPGITVKRGSTVGACSVVTR DVPPFTVVSGNPARVMRGIFQVHD VE01_05440 MVRSAPSKRCYVLGVGMTKFIKPRGKVDYTELGFEAGVKAMLDA QISYDDVDQGVACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLHIGRDVIS NGGADCVLVIGFEKMNPGSLQSFWNDRENPTGTSAMMMAETRGVTNAPGAAQMFGNAG IEYMEKYGASNDDFAEIARVNHEHSQRNPYSQFQQVYTRQQILDSPTIFGPLTKLQCC PTSDGGAAAVLVSEEFLNSRPHLRSQAVLIAGQALTTDAPSLFNRSSIDLMGYDMSKA AAEIALRQAGRTTADVQVVELHDCFSANEMVTIDALGLSEKGKAHELVRNGDITYGGK YVINPSGGLISKGHPLGASGIAQCAELVWHLRGWANNRLVPSGTKVALQHNLGLGGAA VVTVYERADGQTATKVSDEEVGKINGLGYNPAVVAKGFTAEQASKVRSKNQKSAWALG EAEAKVLARF VE01_05441 MAFRSRITRAAAYGSGAAVLGGGVLYYTYRPRNIPGLEPAAVPP PGELPPRFPKVKSRDEQIADLKRSGGIFTPTSTAVKNALLNPTVVDEVAITTPQDDDI YDLLVIGGGATGAGVALDAATRGLKVAIVERDDFSSGTSSKSTKLVHGGVRYLEKAFW EMDYNQYKLVKEALRERKYFLDTAPHLSSWLPIMLPLDKWWKAPYYWAGTKAYDLLAG SEGIESSYFLTRSKALDAFPMLKRTDLIGALVYYDGAHNDSRMNVSLAMTAALYGATV VNHLEVTGLNKDANGQLCGARVKDVVREKDGKKAEEFTIRARGIINATGPFCDSIRKM DEPSIKEIVAPSSGVHIVLPGYYSPSNMGLIDPKTSDGRVIFFLPWQGNTIAGTTDAP TTIQQNPIAGEDEIDWILSEIRHYLAPDINVRRGDVLAAWSGIRPLVKDPKAKNTESL VRNHLIDISASGLLTCAGGKWTTYRQMAEECVDEAITTYKLKPTRVLNAPCVSGSTQI DDGATLDGSCQTHQVRLIGAHGFSKTLFINLIQHYGIDTDVAKHLTGSYGDRAWTVAG LSEPTEKRFPVRGKRLSPLYPFIDGEIRYAVRHEYAQTAVDVIARRTRLAFLNAQAAL EALPEVIDVMASELNWDKKRQELEWTDSLKFLESMGLPKSKLSATRKAVESGKLAFKD SDEYKMYSRHNVAPSEPLTTDDGKTKSE VE01_05442 MAKKPSRTEGSKHCQCDIAGSSQDRSTLKHLHQETTDETSLRRD ESSNLTREDVTEPYFNETHLNMLNDFLKANRKDKDIQESREYLGRHWEVFFEERRNEN QGRQGGNGSKRSRQMESIANFDPSVDVYDLQLEWKNIPRKYRRNYWPGLMLDILCKRP DDALKFLVATTLADPLPNRACIEDSLDYIVEYHLYRQSRSPITSKNFAVLFEAINNLL TKFIPSKVRISSYAIYHICKHADRLQAETLLETLSRRGQEVNPNLRLHFADFLARRGA TDSAVTLLGSMHRDKVVDFTEPRVTSICATLLRCSQQNPDAIHSESHIYSLLLEWGIR PSIIFYTILAHNAFESWDHETAWKIYDMIIENDIEPSDHTYSIFLHNAKLRKDQEALE NILNGVKANNIRNEYVATDLLHAMLLLHENQARNLRGDEARASSESLFQRMVLLYSTY FELSPLEDLAPEYFTLDRKLSPEAEGRRMHPDCATLSLMVSTVIRCRVEQSELPLFYN RFKGLVDAGHPDFVNVATEVNVFNAFIKALGKDRDTLPFSTQILGDMLGAEASGVKAQ STDIESNDRKRMVSPTVQTWSILVHAFMTQGQPRAAEKLVAMMRRRGVDPNKITWNTL ITGYLQMQNMAGAMHSYDRAKEEGWEIDESICDQLGLSKHHMMLDQQSEAMPRIAGSQ EPHRGSEEQEDTQRPISSTDGIRSLRRAEFQTGEMLDFLLGVELKRVEIVTRIERLLG SAEGNPELARKRSIRLKKASSGLKRLENLVDGRLYKLEEVSEV VE01_05443 MSAAHSSLGAAADERKSRLAKLKSLKRKQPSDEDAAPASPRRSP PGSPDVSKLHLSGRNYDPETRGPKLGFEAPPTLSLEKDTLEQQAAEVEAEIRKKTQEE EQDDKGVDIFKLQPKKPNWDLKRDLDKKLEILNVRTDNAIAALVRERIGQAQKNAGDK PGAIAAAAADQGGDEIGMEGIALVEGARLREQEEKLEEQREKEDEMMV VE01_05444 MNSYRGSTIGAPSKATPSTLCQKCLKRGHYSYECKAVAQERPYV SRPSRTQQLFNPKLVPKLTNDAPQDLPGSKSKQDEQAAKKKLEEDRGRKRDRDSHDLD NQGEPKRIRSTSSRSSVSVSTVSTNAERSPPPSKDRKRDQRKRRRPSPGFQADEVRSS RAHGRKEEGEIPSGRGRQTSRSWSRSSYSSLSRSRSRSPRRDKLREEPLRRYSQSQTP PRQRRAYSPKQDDEQYQPPRRSTERTGPVDTTGRRDAGQAREFNGRNDTRAYRENQAE TAPPRRSRSPRNARVERSLSPFSQRVALTKALGR VE01_05445 MASAPPKAENQLSFAKVAASQGKENTALSYAKMAAANPARNAPL SETNESSNKNATGSSRQQTINGGTKSDEQANADEKLADTFNSISLAPSLVVNGSGTDA DGEERDIFGEGSAEYPFQRTDSGSDAGTKPPSLDGKSITSGTTFALDEKESLRPDDSA SVKAAEDDDTCSGRGSIVAGSRIGSEAAARAYRAQTHEIADRRAVLPVLESRAQGIMT PQSGSSGPPVAGDLSLKPLASPVAAAEGFNLFYKHTPDEKLIEALESPKDRIFLLRLE QDVIEFVKDSKEPFIDLPPCNSFCRMLTHKLADYYHMTHQFDAVAGSVRIFRTPFCRL PQSLTSISNPPTTGHTPPPTVPAMKIMRRGGDNGGSPSKATSETGSDGKDKSLSAKEK LSREEREAAYNKARERIFGNTEKSGDATPDTEDGNDISRSSSVSGKAKRGKMVKKHRT DSESFDVRSQYTPFFPPQQPQPWTPTQPGTIGAPQYTNVLQNSFSGPAVPQYGPTPPH FHPSMAAGGAPTAYHQMPQQFPRPSPPNYQNHGASVPPYGGPMMQNSPQQAGPWPPMG YQAPFQPRGPMPAGQTIPYAYGQLPSTINPADPKSQHPIPGSFNRHAFNPKTQSFVPG NNGAPMPQPLPHQGSPHLQYTGYNTPQQFGNGVGYNMSRQSSNASLPSYHASPHMPPR PMIHQGIINNQIPVPIPNQNNNHLPHFGNMGPLPPKPPPTA VE01_05446 MAGGRMKYRHLGRTSAHRQALLRNLVTSLFTHESIQTTWPKAKE AQRLAEKLITLGKKNTEASKRRALSIFFTPHSLLPKLFGPLRERYAERPGGYTRVLRI EPLKGDQAPSAILELVDGPKDMRFAMTARTLARVQEAGQEVNDMTAKNIMKVTRYRPD ADADLQRMVADLRDLEIEDPKREKGVEKRWGGKI VE01_05447 MGFATGFTSGVTLTLGIAYLTVLAHERNRNAQGANLRTQSSLLN TLLLSDPSLAYSAPDLETGRTSRATLVETAKDRWNAEIQNAVRWVQGAEWGNVKEGME GAVAKALGTGLEKSREEIQLAEDKAGPIAREAIERSRQGAKMGFDGSRVAAERAASRA LSATSERELKFEGKTEHGLENASATAKEVWKKGVEKSHEVAEQLRLGEESVAHAVRRE LEKSAEAAHEIGKREGIYGDKTEHGLENAGLVAREAWRKGVEKGREIEKGLKLTEAAT ENAVRGAARHGASKAAEVAEGLKLTETAIENAVRGAARHEASKAAEVAEGLKLTEESV VGAVKRTVGRGKEVVENAMGRTSGHEEADGLTDVQRALKQRYDAASPLNKSVREALAE RYKPVDQKSNSNLRGL VE01_05448 MAFHGNRGGARGRGFSAGGRGGRGGSSRGGARGGARGGARGGGG GRGGGRGGRGRGRGKPIFDSARLAEKKEDEEESDSEDYSDESASEEEDSDEEMSDDDD IVQASAVKPYAALLQSLAADSAPAAKRRKLDTSSGQQVAEDSEDEEEITNVDDVDEEE EGPETAVEGALDEDDDEDASDPFEANFANPDSNVLSRRLNNLKNGVWSPKKIIVKNVG KAVVAVPEKDAPEQATLSAAISGPAGLVLKKKLIDPVTKQRGSFDPLEQNMAASIFNY RDILFCDRTAANAENLRRLTCLHAVNHIFKTRDKVIKNNARLAKEEGNEDLDLRDQGF TRPKVLMLLPTRESCVRMVNMINKLCEPEQQENRKRFDDSYVDKEEKFSDDKTEDFRE LFAGNDDDMFRLGLKFTRKTVKYFSQFYNSDIILASPLGLRMAIGTEDSKKIDHDFLS SIELVIVDQADALLMQNWEHVEYIFSHMNQQPKEAHGCDFSRVRSWYLDDAARYFRQT IALSAFNTPELNALFHSKSRNWRGKVRIGATHQGALQQLGLRVKQTFSRIDAPSISAE PDARFTYFTSALVPALMRHKHDSAGTLLFIPSYLDFVRVRNYFSSSPTTATLSFGCIS EYTSVREVARARSHFLTGRHSVLLYTERAHHFRRYQIRGVRKVVMYGLPDNPIFYKEI VGGYLGRSVQEGRLEVGEGSARAVFSKWDVMKLERVAGTERVEKMVMEKGDTFDFL VE01_05449 MAVAADSFLHLARPLGPTAVGIQPTTAPLNVIVQPQVVFSILDH ALRRDPASNRVIGALLGVRSEDGMEVEVRNCFAINHTETEEQVEVDVEYQKNMLALQL KANPREVLLGWYTTSLELNNFSALIQNFFASSETGTFPHPAIHLTVSTEAGKEIEAKA YISAPVGVNAERAAESCLFIPVPYEIRYGEAEKSGLELISGAKDVDARAAPVVTDAES LERAIEHTLDLLERVSEYVSSVLDEEREPNNALGQFLMNALSLAPKVDAADIERDFNN HIQDILVVSYLTNTIRTQIDLSQRLATATITLGSTDAIGGNTGGEGGERGERGERGGR GGKRGGRGGRGGGQPREPREPREE VE01_05450 MAKTSIIFVAGGWHTEFHLQPITPYLEAHGYRVVPVKLPTSGHH DPPPSIKANVTHIGAILQAEIDAGYSVCLVGHSVSGQSVALAANEFLASASAEQSARL VHIIFISCFLNAARATEGLTWFTIDFETMDATVASPYEVFYNGMSPEAAAPFVAALDA NRAEMPPDVGDLWRTKVKGTYFLCRNDKAILPELQRLEAQDCGMEIVEIEMDHCPFVS QPGEFAEELHKAL VE01_05451 MSAAATSLAPPPTSLDSFTTDLFLALHSPTTPQSSLAITRAFTL ILRAHNLSSHLRIAHLHEHDSQQASGSQVTCATDFSMHGAEAGGMVPVAWAVKGGMVV PVEYFPGWGRGAGINFEGEMLREFVREFVGVVRECGLEGVVGLRLVQ VE01_05452 MGKGKPRGLNAARKLQNHRREQRWSDLSFKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLSALWKEKKEKPRS VE01_05453 MSQTPTNKGRLREPPPSLFLGPPSQNASNISLAGPSQMGANLAQ TTSAMTVSSNGSRIPLTRQRSNRHVPRVNGDGFNDPTNISTIPRPLPRIESESQKQAD KTDALWAQMQSTLEEVELNAVNGIQVFGPEHTKALDSLRMAQIALAQAWVRSGADDAV ETIDRSATGFRGSLLPGEGREETEGKSASGVSGSIPVDLNTDTLDEETDADTRLARQR REANDEYFQRVNGGVLDVVAKLQVVADAMKAVEKESRDIWEDSEVSLSTESIPQ VE01_05454 MTALFNFQSLLLVFLLLICTSAYAHQLFPGIMDRNKNGVLGVFW KCARIGERLSPYISICCLLMAGSLLIGN VE01_05455 MAPRPPPKNPPRARAAKNRGQQNFTDQELQMLLYAHGDVPNSLP GTIRVLDEMLSDFIIELCFEADRPAQLAGRQKVKLEDFKFACRKDPLKLGKIEEVFER KAEIDAARKAVDVSDDKITKTGVENLVGEELGEADDDADTQIVGGKRGKYKKKEPKEP KE VE01_05456 MTKASSNEAPTFENCTQIATIIVGVEKKKWRIPTDLLCFHPLEI LFRGVKPYLPIAKATSARPLPSVDPNAASKMSLDDLENVELDDYRAATIAMRKSELTP FNSESLAARVKFLNPATFKRIVSGEQHRLHRQRRLHNNGDFMDGEDLGEGEDEDIEAP HTKPAPSFETPSQWTDT VE01_05457 MCLTKLTASAPSISPGGPTRTPTREDGPEEETSDEDGVRFISEL GSLALPPYPGTESHKKRIIGCSITYV VE01_05458 MAQEIDWSPSYCLACDRQTDTSAYCSESCRLAEYESSASPSSSP SWPSHSHTPFQLPSAYDFSQKTSSSAIRPAVHARAHSQPQPRQQATYSVPRLQTRPVL TPSSSQSSLFSMQSGASVGEVVSEEARRELRGYASAFDQSRYQRRQSAS VE01_05459 MSTPSDSWCWPDQYTTDFAQRVASTGSDYYPADFAHFVARSATS AQNHRLGAYVRYGGEEEWRRQRLSPRREQGGKQLTAADNTEMCAKPPSE VE01_05460 MPSNLHPQAMFDPIPPDLDLSALVEKTPNFDYVIRISTDQIREL GLQEFEKLVLLHVIQGGKPLVVEGWESDLPPWLYSATWMQDNLGKKQEQVRDIANHTN VPMTIGHYLNSLEKLTRQWTPTNFRDAKRQRLYLKDIDCPEAWDHQLREYIPQTLLYL NNCVGEKGGPGSVHERDKYNHLVMGQGIAPAGDLMSNLPPEMRAENMMCYIGHEGTYT PAHREMCATVGQNIMVETSDVGKGEKPGSSIWFMTETKDREVVSEYFLSMLGHDIEVE NHFAQIVAWKKAPFPVYIVEQKVGDFILIPPLAPHQVWNRGTRTMKVAWNRTTVETLE MALSEALPRARMVCRDEQYKCKAIVYYSLLRYYTDLQKTEKEEESGFGAYQSEPVRFA PRVRQLQRDFKRLFGLYKTILVSEMFSPDMPKEKNVEFIQFDSNVTCSYCRCNIFNRF LTCKTCVGELENGDEDTYDICMDCYAMGRSCACLSNLQWVEQWRWPELTKRYDVWRAM VLYIDGYVDNTSPQTLEIERQHSGKKPIAQICQEQLKKRPWRDITKPHVLDPLPGDSD VEPEADDEGRPKKRKGKRQSLAEKKHRSTCHICRKMEADWKLAFCTTCPLSYCYGTLW RAFDIKPEKIMETLDWQCPKCRLICSCGACRRVNSSKQIPYQPKGTLLGHDTRMVADP RSVESLVDFSRTNLAWLKGEEDTAPKDSVRMKKLMEKAQAEKSRDETLEEDSEMGQRM GSQHMDMQPVGDAIDPALLSGGDGGAPTAPMFGGTGVSKLPPTIDVDANPAFASPADV MKNPDSVYPDPQGIPRERMMGIGYYQQRNDADKILFDAPDAAAEDEHQDAAYPRLQSD SEDGLLVRPNKKHQRESLKGPVDEAYLQFQAAQKKQKLTDAKREGKFFMTKNKLEGGK PCKVKLLLPNHKEFLGRLQAFGEEEAPRHNPRPGRGSLGRKEQVGVDSMVVTSDVREN AVYLQDDEDEEEEPEINTIVPVRRYPRGSVSAPQEKERAPRGSISAPPAKEGTIVVEP RKSQGRPPRSQVSATMAPELSLANNVDTPKRGPGRPPRRSDVPEPARESILSNSPEIQ RRGPGRPPKSRISEPPALEPHLISGPENRRFIGGRPRRSEVSESAPEPTISSPEIQKR GPGRPRRSGVAVSTTPAPENGVGTTTAAPPPLGTRMRRSGMFQKPAAPDAPAAEPAIA QKRDPGRPPRKSTSLGVDEGLQANKRPRSPEAQNAEADTRLEKKRRGSSLFVSDDSDE VEEPTNSFTAVNSVPIKTENPPPAETTAAETITISPLRASSRANSIHKDADARPRSRD MDGSTSLSPRPVPGVSTDSPIRFDDNDDGEIVYNDDNDDGGFFSSHSSHSSHYQSATP SVADRAESQQPPPSGAASSAGSVISTGYRDAKMEAMRLAEEEMKEEMERARVKRGGPP KPAVAGGSAGFKAMFLDEEEEQESVSPSPPPPVKAAPATVPATKLAETRAVSVKPAAT APPRGGGGMQIRLPRFSPQQTSGVGEKRGIEKVGSPTSEESSDDGGDADEEIPARRVV PVAGRGGTVGLGLGFARRGRGGGRGRGRGVGRGG VE01_05461 MLVKQALCGLPRGYSNALLRPAYIRAAKPLCSLVTRNHHVSRAR PPKAASVCTALTSRRQLSVSQTAASPTAVAEAADPDALPVTPYTPPTTGLLSVLPASW VPYAELIRLDKPTGTYYLYWPCLFSTLLAAPLAMPMATPLSVVGTSLLFFSGAIIMRG AGCAINDLWDRNLDPHVRRTRLRPIARGAITPFKALAFTGTQLFAGLAILLQFPTQCL WYGIPSLVLVASYPLMKRITYYPQMVLGLTFSWGAIMGFPALGVDLLQNNAALAAAGL LYASNNAWVVLYDMIYAHMDIKDDVKAGIKSIALAHDKDTKAILSGLAVTQIGLLAAA GFAAGSGPAFFIGSCGGAALTLAVMIKKVNLKDAKNCWWWFVNGCLYTGGIISAGMGA DYLIRYYGNEKLESQKLESE VE01_05462 MATTDTAPKATPPQHDRQGRRRPFASWMKKLANFKSTSSSDAND SSNGKRNGYALKTQSKKKNNGPYMSPPNGRHSLSTIPTRRTASASSNGNGEPSFGSSI DDLPSHAVGYRSNAPTVSTERDAARSLAAQSHAASSAEGTTPTIGGPSMTMSRGADST FSSPAPSLRSLTTTLTTVHSTGAALGGPNQPNHSHHGSATQTTNPTLFSHQFPTSPPP SALPSHLAPPLGSGGHPATYNTATANNLLTDNASILTLASSSKRRRRRSMDTDASVRA LAPSSLFGGSRESLPLSVLSANIDAPPSATTSAAIQARIGTERASIYSATGVAPALPS ERNSLYTNKQGIVGDGGSINSGRLGHGRADSVTGSIAGIAGASSPLVSSKEREAAGNG RLSRANSGWGEVTDVAAEGEKGDAESEETETEVEKREGGKA VE01_05463 MTLHTTTTTAPRRSLFSRTPRPARTTRFGRKQRTTAVPRAGGLG HSTGVLGRGATTTTNHRTMDGHRTEKVVVQPARRSRLGFRHRPVVVEKKKPTIGDKIS GAMMRLKGSLSGRRAEEVRGKRRMDGTEGGVREVRHY VE01_05464 MVQTAFTLILATVATLVAASPVTLDKRCGTTILPSTQIQLKQSA PNTPFPNTAKTDKSISISQGPNGSNKVNELIAFTGAVGAFGCSLGITFPAGAVITQSG GPPTLNVHTVPTPLPANPTFNNIHPATLFGTVTVLAGQSTVINSRTCPTAAEGGLGFV FGYADWQTQQSSVGWTEYVNAANGAGLTGVFLKFNC VE01_05465 MGLINDASGALGGALEHVSGTLGCSVKFDTSSIPSQSGKVILIT GGNIGLGRETCRVLAAHQPRRIYLCARTASKAEATIKELKEAQPDAPLTFLPLDLADL ESVQACARAFVAKEDRLDLVFCNAGIMAVPEGVTKEGYEVQFGTNHVGHALLVKLLLP VLQRTVEEVEGADVRVVTLSSVGHHLAPAEGIEFAALKGEMGHLSTWNRYAQSKLANR LFAGQLAKRYPGIMSVSVHPGVVATNLYSNFVGDVGLKKMGFWWYKALLSVSVEEGSK NQLWAATSPREGLVSGEYYFPVGILGKGSKQGADEELGRKLWEWTEKELEGYTL VE01_05466 MSFSSLVQDLSFRDANDERRPPRAPLSTSSTIDDRRSHVSRAMS YASTSATSVSISGDISSQLHGGYSHPLARSWQAERQLTKSMLIYPLFVSDQDDEESII PSLPDQHRRGINKLVPHLEPLIRKGLKSVILFGVPLAPGSKDALGTSADDPKGPVMAA IRLLRQRFPQLFITVDVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVAVAYAQAGA HCVAPSDMNDGRIRAIKLKLIEEGIAHRVVLMSYAAKFSGCLYGPFRDAAGSVPSFGD RKCYQLPHGGRGLARRAMHRDIAEGADIIMVKPAGQYLDIISDAKEIGKDMPVAAYQV SGEFAMIHAAAKAGVFDLKAMAFESTEGILRAGATIVVSYFTPRFLDWLES VE01_05467 MFSPATTNGTPAANTRNARRRPRPTSSENIALQPKAKRQRSSLH DNTFVAPDASPEMQEVKAKKSAAGVARHESIAEPQATIRRDLTVRGKKPKTAERTGKG DGSTVLTSNDVYTVSRLPALPDRLQSTTTGRQHGAIYSDSGYALALTTTHAIVWPYAL NTTTPETFTFALPYPAKHTSEHLPLGSLVSASASTPEPGLVVVMPSSGKITYWESIAS AATLDLIQQQRNGVELTIPGLLHGEVVIQILNAESAGFLLSFSSGRLAYMSVRDGQGR PAISVQFLRGTSGAGNGGIFGSIRNALSSSSWRGDLAAARAGPSLKPGERDIVSASSK GRLQAWSLHRGGHNTLEAEGDVRELIVEQLKRTDKSLESLDLESFEVLDFSFAPQAGD REDSTALTYRDDNGTELLMLTSLGGHGICHYALVQVFLRQRDIIVSNVHHLRSYSTPV SRTSTSKPRLHLPKPGVAAFVVFDRAVVVTSMVQEPDSPGQQLRPGTNYFEDVVDFRT DAGVEITGSGLEEPHTPSHGAEDSRSRRHKAKFPAVVLLVKGGGIIRIATTDPKKFTA GEAPQVTAKSKLDQAVFFGILENNPLSFTGRSEIQFSPEEVGQAALQLSHEVLSSTTA HIPSIPASIEHNLRVRAQALHSLATHIKKMNVDLDRLTKWKLLWDAEKLNGAKGAWNN YDTLISSKPVGQKRSLWNDIVECLHEEYKLMPSKEFGEVDRVRHYFIHDIHRFEMVVP WAFHAVKLRYEESELGQHKIVELVSEANDLLIGALAGAYDFRTENLALYGLQGEDVQN GILMQNYQGIPEPWTCQQYVADNAKKQTDLAIGMVRQNWPPAAGENQPDPDLVDKIRT ECPEMLDLACRSTTEKYRWYLGQDDKKRHSQGLQMQHSYFKVREGQIYNLSEIGLVDE GIELAEKHRVFDTLVPLIVEEIQRTNEAIAETEATPDGDATDLKARVARLQAQVEGYY DLFGMEWAKSLYSYYIKEGELFALLSDNDTHQKYLTAFLRSKKEYAKVSWINEVTQEK DYHRAAAELLDLGLHRERDLWSKKVQLSIGKLARLAGQAGSGLRVAEGGEEMKETEAQ LEVIRIQGLVHKHVRGAVKGAIDDTAERQLALEVYDNPSLRGKTALATVLHEKLGWLL EHKAMGALDLVDLLTLLGPDSRGEGGGVLGGEEFHYALLALNAAGPAEGEEFRLAEQG VWRRCMLRDDWAAINDTDAKSDEEVRWRLEGTALYRTLKGCVRDRLFETPSRLHIHTP SSLSTTTPTLDTRYRSLDSGVRAPLLSDLSSEDSALKSYITHARLGKWFEGALDLAKR SVEEERMEKEEGERGLRDVAERLREIEAEIEERERGVAREMLRCRPRGGRV VE01_05468 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRSGSKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTPIPELCNGFPEELNKYLSYVRNLGFEDTPDYDYLR DLFTQALKGSGEIEDGEYDWMKLNNGKGWEATKQHQSQHLMHQANVAQGASNRELHGT TRATGSTPGHLTAARLNAAQPPPPSPAKAGLGKMRDQPSGPGRLAPKRASGAAGHGGE LTPAGSTQAQFQNSSNNLPQRMVQQPNMVAQQMGNGRVEEEAQPQGFQKFLKTICCST YIPRSVGGGPEDKVQ VE01_05469 MRSFLPALSLAAATLLVPVQSLYFYIEGTNPKCFFERLPKDTLV VGQYKAEEFNLDQNRYVKNDNLNIFISVEEVFDNDHRIVSSRGQSSGKITFTSADSGD HRICFTPSHSTGHSGWLGGQSLGGVKLTLDLAIGTTSEIETDDHGKITDLVQKVKDLN GRLMDIKREQLFQREREAEFRDQSEATNSRVVRWTLIQLAVLGITCAWQLSHLRSFFI KQKLT VE01_05470 MTSLDVRDMLDLPNSAGPRPAKKQKLTNARPNLKGLQREVQSLG GDNPISIVPAVPQFKKRRLVSRKPAAKWELKPFKNSAREDDMKLKHWRRKVEVPPKQE AQEGEGGEAAAEGEVKEEVDDSAFAKFNVQVNIPKYDDEQYEAKLKNEDWTKEETDYL MQTARDFDLRWPLVWDRYEYQPAPPPEGTESTALVPELKPRTLEDLKARYYDVAAKMM SVHRPVQFMSQVEFSLHQLMSSFNPVQEALRKRFAENAMSRSSEERREEESLLIELKR IMARSERLNEERKDLYARLDAPLSSSNVGVYTTSAGLQQLVQQLMNADKSKKRKSILG PEGTPTAGPGAAPVDRRDSTAVREPAAATPVTAKKGGAGPAERRKLSEEDQVVFGVST HDRLQSGPQFRYDRIAKMVSSRSAVLASRITNVLTELEIPPRLVMPTLEVGTAFEALL GSINVLLDTRKASDKLDGEIKLAEAQKAEREKKEGKKKEEEGGQEEGEKETEAEVEKE GEIKAEGGEKERSVSVARAGSVQAQTAAHKRSASVLSQVSDKSTKRQKK VE01_05471 MRTLATGLAATRPGFASEAILRCETLSAIPGRQGSRSGLQHPLY TSSQRQERSIMTKRRPRTALFFPGQGVQKVGMLKPWLDAFPRSAGPTIEEVDHLLGYK LSTLIEEGPNSALTATIHSQPAIMATSIMILRVLEAEFGFHPPSRCDVTLGHSLGEFA ALVAGGYVTFQDSLYLVRRRAEIMAECSRKATEEFGGEYGMIALVTEPDHLRPLIAAI QDFIGHNDAGVKTNSGEDVPPIQQVSIANINSKNQIVLSGNINMIRTLLTHVRSFGGH DPRAVRLKSDSPFHSPLMKPASGMMRRLLDGKSRVVGREEEDIVMWPGVMEIVSNVSA RPITSKAELKDKWTRQCVDTVRWWDSIKYLDQEQKVRRWIGVGPGKVGRNLVGKEVGM RGKDTVKGGGVWGISDPKEIEEVMRALEDTESMYCEDD VE01_05472 MATTPSIACIGIIGKANNPLHISIFPTCPPQSPGGFPEPLLTPL QTSLLLSSTLDIFEARARANALNGQGLSSDYGLLKNVDERLAMFGWETNTGVRFVVGV DMRGNGGEREEEVRRGKGVVGGLGLREGEMKIVFRAIQTAYVRLLQNPFYEPDDHSPL TGHGGKKIESRRFSDEMKRIGANWKAGAMTL VE01_05473 MADDFNFLNFIEDGSEPAPKDTPKETQDSDSDAENAKRKDFWDH VPTDNGGAEGSPNSSFNSSPTLPTQHDFPAPDAGHAKQGTRKPVLQRLRDARTYGFRK NVERRVFKGSGAMDHDESGNYDPNEEAAANRRLAKKKAATKSGPAEVETTQYDSQSEE ILPSIEEGVSAEESSVEQKHGKGSKKKTTAAAGQKKGKGKGNAKEPETTNEACSACRI FGFDCSILDDPDQFPCTSCSELSIACVLKNPMTPEAKAERKRAFANAKKKKKEQARLQ REQKKNKGKNLVQLAAQRAAPKIDPRNRKYISCATCRAADFGHCSLKTREQTGPCKRC TSKRLDCTFEDKVIKSGKAGTKNGKVGKVAIRASSKGRASLLMVSAARTRPEPKKKER VPEGMFKKTIKTSFCHPIAFNLDLKRTRFMGMNCHFHTTTAFPMLGIGEPQDVEVLGP TKPCPANPFVYTECAPRIVLVKKKKTGERGERSEDEEADNDDEATEDEDDEEGYEYVE EEEDPTYVCTDCTFARQRILFCDTHSISAIAGLKHPRDYDYNSAYEKIMRDQNKGYGA NSARVVKWCSVCVAPAFYKCCVPDRFVNEVGCGLLLCEVCAQGLCGGEAKLEGLLDEM VEEQARDPFMPIQERRSVVQQVSLDLLIDRAGRDAFRYEDGIRADAGFLTNRGELKLW MESQTVADPYEIEELEVRMDEATGAGAAGDAGWARWGEGIGVNGGREETFEEHDLRMK LEKGKAEQANPAFTSVAPSVSDTDDWAL VE01_05474 MLLKLLPSLALFSAVSALGQKQTIGFTASHDAFQLFGSSHGNHA SSGQILVSPDDYWGVQKAAGDLAMDFGRVTGTNLSLTAYAAKTAQAVYRWHAPTSDVV YAVGPEQQILGPLYTKTKDYKDTVIIVGTIGHSTLIDQLVKDKKIDVSKIKGKWESFV SQVVKSPLPGVPKALVIAGSDARGSIFGIYDVSEQIGVSPFWFWADTPAQKHSEIYAL STVKVQGPPSVKYRGLFINDEQPGLTNWVNNNYKPGKYGPGMNHLFFSTIFELLLRLR ANYLWPAMWNGMFNVDDYENQPLADAYAIVMGSSHTEPMMRATNEYGHFGQGAWRWDT NNASVRPFFEYGAQRAKPYINNSLFTMAMRGSGDTAIPLTRDKAIKAATDSVTAQREI LDELLADEGKTAKDIPQMWCLYKEVQDYFEGGMSVPEDVTLLWTDDNWGNVRRVPVGA EKARSGGAGIYYHFDYVGDPRDYKWINTINLAKSVEQMKITYENGADRIWIVNVGDLK GLEIPINHYFDLAYDIDQWGYDSVPQWLKLWAAREFGSKHAEAIGAVADKYGILAARR KYEMLSPGTYSVINYNEAETVLGEWAALAKDAQKLHDSLPANTQASFFETVLHPVTAG YTVYKIYVQTAQNDLYVKQRRTATNSKAQAVLTDFNTDAVITKQFHTLLGGKWNGIMD QTHLGYDYWQQPMRNSIPPLAFVQTTETSVAGNLGVGIEGSNATVSGDDQYHDNGSGT LTSLPLEPYGPKTRYLEVFSRGTQACKWSIASPSYLKVEPSSGTTGPQQGSDVRAHIS VPDWSKVTVAQTAILNFTSSCDWGNYNSPQIKVPVIPRSVPATFGKGFVESDRQVTIE AVHSTKSTTVKGAKYVTLPNHGRSQAAVSLSPVTSPSMPAGTGPVLEYDFFTFTDIAY PANITLYLSPSLNFMSVDRPLKYAVAVDGEAPQTIQFVGTVTSDLSPPGWGAAVADAA WGVTSGRQTTTMHSIKPGKHTLKFWSVEPGVVLQKIVIDLGGVRKSYLGPPESFRVDV NKVGKYDGTTYLSQ VE01_05475 MSVPRDPAGKLLFTFGDYCALCRETLPEPNSEIGFVPPLSDAWQ YKVIGLYNIASTDKPSRIVAAFGDLANAVCFEEIAVDFASGQSLALKVEINHRTRNKD RIAHLVHLPCWKLIKALDSDLSLRSIYEFAESTYSLFKTSHVPEGQDITATGFTGFID ENASQSNLGKFLNRISRLPLELQLVISEACPSNLISSLVTVAHTSFTLVSAFKCSQGQ RHIELICHSDVKTLSATTVSIFGNTYISSLRFNELSEGSEKVQVKESEVTGIKFAIGR YGLKALRICYASNESSDWLGDPRAGWIGVMRGTDITSLRILRDDLKCIRILTNLNRTQ LFGPSLVHASGFYGDKRYQWTLLNKGPGYRPTGIFFDALRRDGVSQRIDNIGITQRAR LEVQGIYPTVPMLTLELNTDEPRKRFVNCYLTVASFAHVKELRVSRTGPQISGMAIHH HDGSIDVLGKWGRLSLGFPSKTEQIYHCKDGTLTSIAFGFSGGEDEAKLVDTIFLAID HKPRIKDVVPQSKIVVEFQVDEADPPTVMWLSNVAHDHVDLWNGEYLPPNMYMSTHEY RITEFEVKAVGKKKIPMKEASN VE01_05476 MSAQKYTPLAADPGTAHTCPCGATRQPHWARRRSVWLLVALGLE TLLLLGIAYRAALVYAPSSSHRQGNSTGLLDPQSFLPPIPIQTVIFEDETPYRDIGPA GDKLWNDMMPKGKGFLRVPNARLYDLPPSKPSGNGTDGAEEYSVSLTHQLHCLAMLRD VIVQFGKGSASRFWDQSAKGGDGHAYHCLDYIRQGILCAGDTSLEFVKVEYDDFGKVK SSSVDGAGAAHVCRDWRVVREALEERRVDDQVGIL VE01_05477 MVRSRFPKPWGITQLVLRSISAGLSFATLIVAIYASARGHGRAV VGSYIASIWAMTVDVPEIAGLADSSRRTPRCTEGMTAFLSFITMLICGIVPVAVQISV SGWSHECGSKPVEVCREEERLRNEADHDTLLGWVLPCVVA VE01_05478 MAHTNEDLGESEKGAVGTMPEETDVATVINKEALSSNEGNGSET PSEAAVQTVPLPDSDPASEPPSEAPIESTDASTGAYSVFTVTQKKMIVLTASLASLFS PMATAIYYPSLTTMAKDLHVSDAKINLTVTLFLVIQGIAPAFFADIADRAGRRPIYAI CYLIFNIANIGLALETNYTALLILRMVQSAGSSSTVSLANGVVGDIITSAERGTYIAF SSLAGIFGPMIAPVLGGAIAQYAGWHFLFWFQLIFSSAVFVPLLLFMPETCRAVVDDG SIPPPKWSRNFTDTWRHRNRTKRGLVVDKIKQERIRERYRIGFPNPLATLRVVSDKAT AIILICTGVGIGCFYAISTGAATAFSKHYNFDPVQISLMFIPIGAGSILSALTSGRLI DWNYRRHAHRLGITVVKNQRQDLRNFPIESARLQVGFPMLFLAGAAVMSYGWAIRAGA PLAAPIVVLFVAGFALTFTFQVLNVLLVDMWPGKAAVATSANNLVRCEIGAVFTGVIG PLTGRVGEGWAYTILAAGLVGVAPVLVVVMRRGVRWRGVRREREERKEGVRGPPREEG EGGGG VE01_05479 MAAPSCKNCLTGYMKTEKPTGTVSTIHGLETYVATPPNAPKGLI VMIPDIFGWETNNSRLLADSLSQDGDFLVYLPDFMNGTAPPPGTMELMDSLLGPSPSL ATTIFYKPVWAVQFASAAIPFLARNRDAVVRPRIYDFHHAVRSDPSTAHLGLGSAGYC WGGKYTIHLCQEDGMVDAGFAAHPSKVAFPQDWEKVAKPLAVAIGDVDMVIGIEDVRK IEGLLEGRKELESEVRVYEGAKHGFAVRADPKDEGQTRSAVESQRQSLEWFGRFLKGK GKQK VE01_05480 MASATSVFDFKPLNKKGEPAPLSAYAGKVLLIVNTASKCGFTPQ YGGLEALYQKIEASHPGEFVVLGFPCNQFGGQEPAADDQIQEFCQINYGVSFPIFGKT EVNGDNADPLWEYIKSEKPGLMGMKRVKWNFEKFLISRDGKVVERWASTKKPETLEGD VLKEIAKKAAEPVKSEL VE01_05481 MGESSDKKPEGEGAKYVIVKPEQESATPATSSDNETKEELPQCP EILYKVQYKDFGGEIKGTKDLTAPYKMKKTAYSDLGIPILEVLYSVTVWFPTLYKNGR NNKKREEDTPKNMDDFDEKSMEEKELIIHSEPIIKALRSIVDYYPGQSLLGNTISIKE PFSILVHFRKELDEYRESCDDAETYHHIGVLQKYLEDNLGDKILEEDERYMRPTPVAT FEMLWMLFKPGMDVYANIDDQRGGFVVQSCTPTADVNKATKMGQLSPLKIMMWYMDFD GRYLGRRQHEVIIIPFEGEREITSLKVIPAMYLDSDPEVSPRQKLEDRGEKFYKMLMG RQMDYKGYSMAAKQRPKRFHEGRVVVDQGSFYTYAGWEETDIHPAPSLCVDDDNSGIL ADMHYDCNCSECMEKRRAASGSTKWGTYENIDPQETPSLETIKDGVVSRHRYFLCPRR IMGFDLKSKKWQALDVDFCREPKIKTEAIENLVLPNEKLGMIKALVHKYTTSKKTNPS KNETTWAADPIPNKGEGQIFLLHGPPGAGKTFTAECVAEFTSRPLLSLTCGDIGTDEF RVEENLSKWFKLAEIWGAVMLIDEADVYLERREVNELTRNGLVSVFLRAMEYYRGILF MTTNRVGHFDPAFFSRIHVYIGYPPLDAVGRKRIWLQFFAKLERERGDDVSVRAAAKK YILSDDVLRDTEWNGREIRNAFQTAVALAEYEADQKRKVEDKTKPLEIELRQDHFEKV VEMSDNFKKYLATVGPEEGSEMVKAKGHGDRGDDSLL VE01_05482 MEGLKQTFAQCKKEGRAALVTYFTAGYPTVEECVDIMLGLQAGG SDIIELGIPFTDPIADGPTIQKSNTVALKNKVTVSLVLQLVRDARKKGLTVPVLFMGY YNPILSYGEERLLKDCKEAGINGFIIVDLPPEEAVTFRNFCTKAQLSYVPLIAPSTTD ERMKLLCGLATSFVYVVSRMGVTGATGTLSTGIPELLARVKEYSGGKPAAVGFGVSTR DHFVTVAKIADGVVIGSQIINELGDAPAGQGAKAVENYCATVSGRRAAPVTREIGMVE AVHSAQEPSGDQVQVDAVVPPRPEGEVGLADQIVALNADGIANPNHIPSRFGEFGGQY VPESLMDCLSELEQCWAEAKNDPKFWEEYRSYYPWIGRPGHLHLAERLTEHVGGANIW LKREDLNHTGSHKINNALGQILIARRLGKTEIIAETGAGQHGVATATVCAKFNMKCTI YMGAEDVRRQALNVFRIKLLGARVVAVEAGSRTLRDAVNEAMRSWVVNLDTTHYILGS AIGPHPFPTMVRTFQSVIGDETKAQMQAARGKLPDAVVACVGGGSNATGMFFPFSADP SVELVGVEAAGDGIDTPRHSATLSGGSKGVLHGVRTYVLQDEHGQIQDTHSVSAGLDY PGVGPELSAWKDSDRATFIAATDAQAFEGFRALSQFEGIIPALETAHAVWGAVEVAKR LGKGKDVVICVSGRGDKDVQSVADELPILGPKIGWDLRF VE01_05483 MPRLLLRLNPAPYRRLFSTSSVRPAFATGPSPPRLPPKEQEEFE RLQKASTGAFSTPRATPQINQSPASKPQVNQSPATDESADALAARIEATGKGQELHPD VRRGAKPEFEGEVNPKTGEVGGPKNDPLKWGGEADWSYNGRVTDF VE01_05484 MATNSIKLLTGNSHPQLARLVADRLGIELAKTMSLNYSNQETSV TVGESVRDEDVFILQSTAPGDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPISAKLIANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSTLRWIRE NLDVKNCVIVSPDAGGAKRATSIADRLDLGFALIHKERARPNEVSRMVLVGDVSDKTA ILVDDMADTCGTLAKAAETVMAHGAKEVVAIVTHGILSGKAIEIINKSKLSRVVTTNT VPMQGKEESCAKLQTIDISPTLAEAIRRTHNGESVSFLFTHAPTD VE01_05485 MAPVEDVAPPLFERFLASVLKPAGLICYCYYYLLITFLQWPLLA FQDLQAFRHRAFSRVWGKVGAELSQQLEGNTFDMLKTASGVVLDVGPGTGEILCRLDP ALITKAYGVEPAVDMHPALQKNINKAGLAGKYEIVSCGAEPATLIPALDKLALLKTEG RSNEGVFDTILCIRVLCSVSNQQDTVNELYRLLKPGGRLIVCEHIASPWPGRASVVTW ILQKLWILAGWNVLMGGCKLNRDTVGALEAAGGGDRKGWKKIDIGYWETWHPIPFVVG ELVKI VE01_05486 MRTVLPSFFKWECRRGPFLFTLTDLHQSNLFVDKNWNITSLVDL EWASTRPLDMFRTPTWLTSKACDEIAEEGHEEYDKVRAEFMDKFTAEEEQAQSPASCN YDGKPLLSAAMKLNWDKGIFWYTLALASPTGIFRLFYKQIQPRFIMHTTGHDNFELIM PWYWAEDYVKVGMKKMSDREDYDIRLRHAFEGTAISDTVPNI VE01_05487 MKLNISYPANGSQKMVEIDDERRLRVFMEKRMGAEVPGDSVGDE FKGYIFKITGGNDKQGFPMKQGVMHPTRVRLLLSDGHSCYRPRRTGERKRKSVRGCIV AMDLSVLALSIVKQGDADIPGVTDTVHPKRLGPKRATKIRKFFGLTKDDDVRKFVIRR EVQPKGEGKKAYTKAPKIQRLVTPQRLQHKRHRIALKRRNSERTKDAASEYAAILAKR VHDVKAEKADLRKRRASSMRTATKA VE01_05488 MATKVALYPSPKALEKPLENPLPQLLQTPSGLALLEMQGTINTP SPPTQDEDDESNQPGSYETPIGRLVFPDYEPGTSEGGAWQKRVYLYVGMHQRLTGEVK KLSKAIAIIRKRAPSETENTSKEGQGVEELEIVEIVKWKIIFSNRPEPVGTAVVDVVV DA VE01_05489 MSNSFPERKILPVRSRRSLGRERRSVSNSDQGQLSTPPIFGNPL RITQPPEISPASDNLSPPVSLEHEILPMRLRRSLDQAGERQDPISTLGASANSQRVER TIEVAPASSDLSPPRSDSAVASNVTPSNAGFLSSSYEGNDFAFKGLDFGRQPTLQLDA MRPSPIPDSQTRSSDLSLPILSGLEPEDPQSLHILFSDEKIAVSTENEGLGMPIPVLL NGDSASAECGPSTPPRRRTSLFSQMSELGTSPSLYPHQRSVSVSSSISDVSYYNDPTS PYDVGSEEPPLEPFFASPFQTALQKGLDIAKDAADAMETAGQSLVAGGDLQRLINDAK ELSTFKSSETRTIAVLGDSGEGKSSLINSLLHFPNIAKTGDIGAACTSVVTEYRQKTT DHLAPITIEVEYLSMGEIEDLIKELVWNYRQMYLPHTEGDSVSENEYATMQRESEQAW SSLEAGFSHQPSFNKAMLINDISEAGLSIANSQLVQWAYELNWPDSGENGKWTSTADT ADECCEKTSVFMEDRFWPFTKIIRVYLQADVLKTGIILADLPGLHDTNLARVKATQDY LLRCNYIFIVAKISRAITDQSLKSSLYSILSRHAELEWEESAGKSMKVAVVCTKSEDI NVKAARQEFCGPNKSIPQGVMTKLDEDIKEAKAQRNKALKKHLKRKQQLLLISARNTH VKEGLQRAYSSKVPNGGLEVFCVSNTTYGKYTIKGNVEMVQASGIPELRRFCYTMTAH AQLLEARHFLSSMLSSLLNSAELLATKPTESQQPTETGLDESMLLAVNNGKTETLRAV SQSKSEFQDTFRELVLMLLDKQSEVWETVAKQNSASWNNWHWTQYNAWCRHDGYHYTE KRGKVNWNAELIWKMRMEMAFQWETLEEDIPTLFKDLLQSAKAPLLALQSEMREKCFS PLLVEGIDFRIQSLTYRCSLAEQNFAKEVKITRSKASEPNESSYIFAEMVPAYRSAAN EYGTGKAARQRNTVQGRITNGTLFPNISTAIKREIETAAKTTFDSVLKSLESDFALIE NDVTMALASAPQQSGDREDVACEEEERRRGELAGAVRGLKRQHAEVLASIADI VE01_05490 MSSIVAVIGTSRGIGLELVKELSLSANTRTPSPESHLKDDDIKM IALDMTDDASVIAAAMNVRELDTLIINAPIGNDDHLQSLSSLDFISYLNTNVVGRVIR AFLPALLVRNTRQIVITSPEAGSHQLQIGSTFGLVGPFGASKVAVNMLAV VE01_05491 MADSTTVDKTAVTLSETPQAPIETSRANSDHNDDTGKEIIKKLL QSQYRHNDGSAKKVGLIFAGLTIAAPYSDSVLVKTLPLAIWNTFGTDQVRFLKNLFRV NSKKSKTINLLSDFIGLVKPGEMLFVLGRPGSGCSTFLRAAANQSSLAVSGNLSFAGI SHTEFKKKHRRETIYLPEEDRHIASLSVSQTLRFALTMSLPSNFRDSATVDELVITVG KMFGLEHALETPVGGPYSPGVSGGEKKRVSIAEVLAAGSSLQCFDNSTRGLDSSTALD FVKALRTLTDVGQKTTLATLYQAGQSIYNHFDKVILLSEGHQVFFGRADEAEAYFEGL GYVKIPGQTTAEFLTTVTDVTQRRFTLGTTAEQIKTATDLSAAFRSSTIYSRLQREIS DYEKAQATESSLLSTSSYNLAFPTQIWECLKREYQLVRAQKMVYYIKWITTVILSLVI GSEYFDLTSDASGAFTRSGIVFYALLFNGWLQFPELFDAHTNRPVLERQASLNMYRPS AVAFARVLIDLPLIAFQHIIFIISFYFFVHLQVDVGKFFFFYLTLFLSTVNFSNLLRM FAYFVPSLDDCFRYGGTACIVCILFAGFLIPPESIRPYFGWLHWINPMFYAYENVFVN EFDGLTLTCDASSLIPNLNSNNIAYQTCSIPGATARQGTVPGIQYANALGFFFDHRWR NIGILIAIAIAYVLIGALGSEIMTFSSQGGAPIIYSKKSTDKKSSSCTQDIEKSAAVS LTEAKEDTNSLEKRHNGPALAWKNLTVNIGEAKIIKDVSAYVRPGDFVALCGASGAGK TTLLSALSQTNSVGTLDGEVTFGNTRPGRAFKKTIGFAQQMDLHDGTATIREALVFSA LLRQPKTYTNDEKIAYADHVIDMLDLRKYQNALIGDEGSGLGVEIIKRVTIGVELAAR PKILFADEPTSGLDSQSAIHIVSLLRNLSQQGQAILVTIHQPSASLFSQFDRLLALSS DGRQLYFGASQDVIPYFEKNGAVCPPDANPAEFILETVGAGINSRTDGVGKGWADTWA QSPEATQIASEIQHLQSEEHAVENFEDDPDSFSTSTARQTLLLTKRILTNQWRNVTYM YSKIWVHVVCGILVGFTFFNVGTSPSELQNRIFSVYFVVFLVNSIVNVILMRFFFARM YWEYREGPSNTYGWVALCSASILAEMPGAILCGTLYYLFWYFPSGLPLGSTAGYIFLF VLTYEVFQVTVGLFMMAMSPDLGAAGNILVFIICTLNWFNGIIVPYNQIQVFWRYWLY YLSPFTYLLGGMATSVISGQAVICSEADLSIFSPPSGQSCGSYAGDWALSASAQLLNP NATSNCQVCKWTTGDQYLEIFNLGSGKLGGIWAYWGIFLAFTLSNVALIYFFFWATKI KHWKLFYFF VE01_05492 MAISPNTTSSSAANLPIIDFSKLEGYKNSDGAITAEESSVEKRK LFQALKDVGFVYLRNHGIDESAVQTIFAHAARFFSQPISEKEKVETGESKFFHGWFSP ERTSGSSKFSDQKEAFDLGDDSDVTRPNQWPADWPEFRTDMNAFFERSHDIHLGLLST LAEEVGLPRNYFLQYVQDKDHFFRVLHYPETTIDTFETRVRAGVHTDYGTLTLLFNDS NGGLQVRGKDGRFFDVPPIPGCAIINVGDLLSRWFNGVLKSTEHQVIEPPTNEATRAT LGGTIHSRYAIAWFGHPNRNAFIEPLSVCVTEDNPKHFEGVFAGKHVVDRLAKLHKDG KNSESWEDNMYRENKSQGL VE01_05493 MLFQSRLPKLAIPNTDVFHYLFHQGRRSYSRERILYRVDGSDET LTLAQLEERSEKLAKVLIASYSIKPGDVITILATDSINFPIAFFAILAAGATVSLIPI QKDLGYLDVAGRLVQSGSRLIFTDQALLSIAETALAIIGVIPVITLEEVDSRFPRYPH LDVLLKQEVSAPRFHLNSVSDSRKHIAFINSTSGSTGKMKSVLTSHAHFIAVMDATRA TVPENTNPEEDVWTSTISLGYYICGKLFMGLNILLGIPVVLLKKPLDNTSLDVITQHN ITFLFITPTLAAQIAKADTTNQDFSSIKWLLSAGAPMHEKLRCSVSQQLNGKHLTLEW GTTETMLLAIQVDEQTCVPGSSGTLTNGIEAKVIDTETGEELGPGEEGEILVRNSLCP FVGYKDNDEANKDFDSEGFFHTGDVGYLDSTCNVFIKDRLKELLRVGEGYGSRISTSD LESALFDHPAVASAVVVGIRDESTQIYHPTAFVILQPGYDASSETAKEIERHTTRELT GLKQLSGGIYFVIKYPTVGFKINRGKLKSLIHIEEGQVKTSMTHTRLLEVAV VE01_05494 MTFIAVSTLQQYPRRDVDEVVEPINGRTLYNMPWDRKSWSQRIP AQEIQYPDPLALESVCQTLHSLPPLIEAGEIERARNLVIEAASGKAFLIQGGDCSERF DDVKSDIIRQKVMLLREQSTRLSKGLGLPVVQFGRIAGQYAKPRSSPFESLPDGTLIH AFRGENVNGFSLHQRLPDPQRLLFGYFYAAAALNSIGHLEKEERRKSTISSFPFFTAH EALHLPYESALTHEDYNHSAAFVWVGERTRQLEGAHIEYLRGLRNPIGVKIGPTTQPE ELVAVLNRLSLNKWDSKRIAIITRLGSKNVVDVLPRLAQAVRDSGLSPVWVCDPCHGN TVVVGGAVKTRVLKDIIDEATLSYAVLRETGCFLGGLHLEQTGEAVSECVDRYPAPGD EGLLMENYNSLCDPRLSGEQALRVIDSFLAFVEALEDKNVVAKTS VE01_05495 MSSSNQIYRAQTTEWDLPEGVFTPSEIITGVTPLLLTVLSKLGN DPQGHPSSKKLLLDNLANSLSTNSRESTMPIKDTQGEPSRQEIQAQANKIGIYLVEQA RKLDLENPNLNIDIRSPCEGHLWTRAVANLLMGRRSNGQLMQLFNEYLHQMVLLRDAL LPFENYQDVRFQIQKSKSGPGLRKFEKARSALLVECLTRAVSQKTLVEVAKAFTAPDL PTGGYGFQYSSFVVLPAFLFGSSSIRLLRIYPTQIEESENPILFDYEYPDYYTAQRTE ISQDIDVITSQTSFGTSVGTKYSLAVENSSKSPDNRGLLKLRLNVPEGSCLSVDVGQV ARGWRFSTHLQHAPGNTQTSAPPIGEITSIVHKINNVLDLPGLVSSTDADTHILFATN SLIRLALLGKLYPHNVFLAEGKEGIAEAESFPEMFGGRFVIIGGKFKESLDFAQLVKG ELKETV VE01_05496 MASETLTYPPYENTASKTILKSNAFRGTEGVYMLPHHAKEIDRL TKQHLFMRSTTENLQLASPITSGAKELRVLDCGCADGTWLKDLEILYPQIKFDRHGVD IGSDLFPQHSNIDLRPHNITTPFPASWEWNDSFDVIHQRLLVWGLKLSQWSQVLRNLY TILKPGGYIQLVEIEFIDPANPATLPQLHKQALMQKWSTASFGMDIDIAYKLGNLLKE ANFTNVTKVQFAHGYGAKARSAAQRDVSAELWVECFRSLDTKIPAEGGIPGVAKDAKE FHEFLDSLETEIKTFGYEPKLNFVYAQKPVSPDI VE01_05497 MSLSCDRTAENTATSSLRPPYSKLSESPRRDESMNSSAAQSSDI SSGHIMEKELTRTRVNIENFQHFLDSDPVLEVALAETSTMALSPGYQLSSAQSLFQIN DTTALLEGFNSQWLNSNVANFQSTSEHDWGNSVTNLSIEPSRDFGFNLMGSFGIPGSH TTSNAFQKIATECPGSSFDTPAWKPPLRPETLEYADRVSEDEPSLVVLNGPSRITPVV PINIGKDAQDRDLEVSTLDQGSEFTSYPVNGISYQMNSNKDLAKMLLEFPQMMARPGG KYPPFVHHRLYRCEEGDVLKPLAIAFCFVAALNAAFPSGKGFVHSLMNAERDQLLKGF RLLRESELEMIATVHAICVYQIMGFFDDSSPDSARFAELQQPFFLRMARRFVASYMPQ LRSSYDDSDYSEPVWEKWISTETVRRTFFLIHIINVIACRTEKQNPYFYEELDDDLVM DLPLPAPEKIWKANSAAEWKSALEEELQGGWQANRTASMNFSGNGWKESTVNGSEWDL SGDGMLSGATRTSFYEIHLTNSHEFTRLLMHCIDRRR VE01_05498 MGFEPVTATAYQIAHLGGLVLGRTIVPAVYHQTRPMAPSDNQGS IRVDYPLSPGAYTAKQLVAAVSPLLETVVCALGQSSPPEDSPLETLLGGLTAILSTSG RVSSLPLSYGASDTSRREIAGQAQIIGTVLVQHARDAFSLEKADANFRIRSPCEGHLW TSAVVSLLMGSRSNRYLMELYNEWLHQMILLRDLLLPFENYDEVPLIITTKAKKGLRG AEEPRKRFLLQCLTGATPYLAIVNLAKTFTAPDLLSGGYGFQYSQGLVLPAFLSGSRS CYLLRYHPARLEDTSSNLLFDYEHQNYYTAPQNNISEPETVVAPGAWPPPSLFALKPQ STKCSLEVNAGSDSTRRILKLQVDIDRGTLVSVDIGQISRGQRYVHHINLSTRPISSH EGPNGTISNGENKTSSKKDQTERSVFESRFTRKSPELSESRPKSASNIHVFLHKASNI LSQPGLVTLLSHKPSSDSLTNDANSIHIIPAKNQVIGFALLGKLYPENVVLLNENQAP ETANIVGKNFGARFVIMNFENHRPPLSNDDIT VE01_05499 MAGKSWSPGWIHDKGLRTLYFLLLIPLLSSSYQGFDGMIMNGLQ LLPPWQKEFNYPTGPILGLLNSIQTVGAMVALPFITWLVDRFGRRRAIAFGASWTIVG AILQASSKHIPQFIIARLLIGWGLAYTVVASPLLIVELAAPRHRGSIISYFGTVWFIG AIIAAWVTFGTRNIPNSWAWRIPSVLQAFPAIIQIVGIFFVPESPRWLVSKGRGSEAK AILAKYHANGDSLDSLVELEYAEIKDAVIEDANHKQKGSWKDLVRTAPNRRRLLLVFF CGVFIEISGNGLVQYYLNSILNSIGITGVTTKTTINGCLSIYNFVIAVVASLYVEKVG RRPLFIISTAGMLVSFIIWTTLAALYTTHGTSSYAIGVLVAIFLCHGFYDIGWTPLYS YASELLPFETRARGITFQSGVMHAFGFFGTFVNPIGLKNIGWKYYIAYIVYTILELLF VWHFIVETRGYTLEQIAQIFDTPNISWKQRRNMKAPGNILDDSVDGITTPGEKQTTKS SSTEIVDDSSAEKQ VE01_05500 MLSMRILLTGANGYIGGAVLDSLLADDFITVNKSNVSALTRASG AASVKAKGLEPIIFNSLDDTDVLAELASQHDVVIHCASGFHTLSARALILGLAQRKKQ TGNDVYYIHTSGTSNIADRPISKTFSELNYPLSDKDDMFSYLKSRDSAVPYAQRTSDV VVTEVGVEYGVKTYILMSPSLYGISTDPLHEFCHTPILIRKSILLGNTPVVGDGAGSW DNLHVLDMARAYKLVLSKILSGAGADIPHGKDGVYFLQDGHHTWLELAQMVAKAGVEA GALRTTELKQLSLEEATRILTGGKNLLAEIGWASNARTSGDKIRALGWAPLRSADFET HAALDWVNILADIKAKEAAASSTR VE01_05501 MTQPITEVVQLQLQPGAVIEELMSDFLRILQRQPGFQHLAWGRW EESPDNVQLLLNWDTIEAHRQFEVSGADFAAVGEVLGPVLAKPPAMHHVNFKPAIADI VSSTATV VE01_05502 MTSHGVPRIAAATVRTEQQRQDEVAKIEKYQALVDLIETKIHEH QYTSEVLDLTSKLLTKNPEYYTIWNVRRRLLTSGLFSKSSDGPLPSTQQPSTSQAKTT NSPSEKLPSSTSTSNAASNETPRSPTPQNPGSNGTTLELIKDDLSFLVPLLKQWPKCY WIWNHRIWLLQQATLRLEVPVARRLWEEELGLCNYMLVRDSRNFHGWGYRRMVVEKLE SPALNGKSLVEEEFAYTTKMVNTNLSNFSAWHNRSQLIPRLLDERNAIDEARKEFLDE EFDTMRNALWTDASDQSLWFYHQFLMVTLLDRTVSILPNFTTEQRIEYANSQIDDLKE MLDGAEDCKWIYNGLYEYTLAICRTCERQPTTDELQDLKLWLGELKKLDPDIEAFESK GLGRYDPATLNRIWFSQQPPNIARLDTFLAVYGYSSTSPLGPSSHDPNDLCSLLIMLS LKDDSFPSLASRCAISALSYYYLGMEMAAAVSKTNALRALQASIEAPELSQAMQMMAA SMLLCILETLDFNSPALSWTIFFCGTKRIANLVTEKDDTYFGEKALLMDWIFYHDVMY KFSIRHWDNKNADQIELAGQRKLISKAVFAPERLTVVPILGCSLELMDLICQVIDVVL DHNDAGYQSESHMAIIKSLEIRLQNLEQRHSGISEIDSGEAAHQVRVAEVFRLATLIY LLRLAKGESVGHKAYNLAVASAFDVLGQCVFCERPWPMFIIGLEARTDEQRSIILTVF RESLRRQPHGTMSLADRMVRDAWTQQDLCKDEIDHLLAEFLDASALNPNYIQAGINCL MNLSTKDCGGLEQYDLRRYDIVFNSGYDGH VE01_05503 MQVSQLNEASLLDFSSTFEDSGYDTCTTHINDNNSIEGAGLWAA EQLSVFDSEAPSPSASEEPEALDVEASIPAVTEQPEVSYTEDPLSPVTNLPDVPMAEA PIQSVASFEDASEADDEHEVFLERLSGRRWPLGICTMPRLNYKNGTSRLHPRPSLSFS VGPNGKRSSGSLRSPDRFLASVDSQDSSAQKFRVNKDSQQLSPSEKLIRNDSASLDAF SPRRNFTTPNPPVVAMNRGNIPPVRPGGKAPDPILKPQLIHSEPSTLMFRRDSTASNG ERQISLGSVWAVGGLAPLSVGVSDGRGGLTGSGTNAPLYTTSFSSATSKEEDDREKHE GRLATALDLDRAQRIHDFVDHSISPPRADPQRREYSEIGTKITWRGTEWVSSGSQAKV KKATEARTLPTAPFK VE01_05504 MSLYDRALPRFEVQQPCPIACLTWRPTVTLRPSRSPHSLGQLVK TEDLVVGDEVGNVYYYAVEWPDAWEVDRNGWTGVMTLLARISVHTQQICGLAWSHDGS LLATGGNDNLCCLFEAYKLSIPQEGDQQTDELVTGLDSVQRTISIPGNNRIKSVLLGA EKHRWVHGAAVKAIAFCPWRDGLIATGGGSNDKCIHFFHAVSGACLATISVAAQVTSL IWSTTRREICATFGYAQPEHPYRIAVFSWPECKQVAAIPWEGEHRALYAIPYPGGPND THNASEGGSSLTRTAQEGCIVVAASDESVKFHEVWSAGQKATAGGEGLLGGSDILEGL EGIDKEGEVIR VE01_05505 MKLDGAPSMRRYGVSRFYTRHRLAVLLVTLLCLGLIAKLRQPAR PRNCQGGIPLPQILAQQRKSGATANFAATTAGWRPGARCANYPQDASLVIVVKTGATE AFSKLPTLLLTYLSCVPPENVLFFSDMAGTIGNFAIHDSLDTATADATKDNPDFDLYN NQKELRQLGQNMDSLRNEWKSEAAWRLDKYKNLYTAQKAWDLAPDRNWYLYIDADTYI SWTNLFLWLATLDATKPLYLGSQVDVGRPPFAHGGSGYLLSKPAMQLLVGDDRKSLAK EFDKNATTACCGDQELGRTLFKKGLKVQNVRPVINGKNPREFNFGPELWCTPVATMHH VGSEEVQDMWDFENQRNSTKEPLLMEELYYTMIASLMTTPRRDDWDNQSPFDQLRPDP ILTPNHVGDFDFFHHDPTKSYNHCRKACQKDKDCFQFVYSKDSCKFDTAFTLGKPRYP KKAEDGGEEIRFQSGWLVERIQKWIAQNSPCPGPNWEKDH VE01_05507 MVKILKTIQPKHKETLSPYIQSFVQNASTAPWHTLPQALADFPT RWPFPRGDLYHWIPLLNRFDSDLETFCATYALNNGPQVKDFGCELLQKGGATDEQISE AGFGPEGDREVIERILHFSRILLENCGNRSIYSSSTHLSDLLNSTSLSLLAATLQIGT QLAQRYQATFRRIASSHRQTNATLLANHYNIDLDKVQQLSQPFSRTVTSTETTAPTVP NTPVTPNAKGKDKAYFSIPVAGSKTTTSKSYANDLVSLVRSGTLPDPSPKGKKAASTS SQTSAEVDWNEWGDVRISYYESQARGEEAPQSTAHTAPAAPVTPTPVRRTSMLGNQHG NRAPRTPASEEAPATPSRSSTLPAAAQAEEKATSNLKVIDVPASKVSEHSVQELLQEY LPSVPDAAKYTLLTKLRVAKALSHSLDTRRQMLAVRLLAVTNLAYIYPEPSFLEKVLK QDSDEPRRLQLIYQLAELIHPPAQGDLGIPRSLQTIAVNALEGLAQHAHKYQDVCTAL NTNVNHGVLLYIVRKAVAEMATDEPGDKHTENDEWHSALFALLSHVATLPRAGNELVT AGLVPILVEVLTLRTSVAEGSYPRVLNFLDAIVFNVKDAFQVLANADGLDAISNLIVH EVQSSTAVASQAEGMPADYRSPSIDYEIPYYQQQSIKWLFKFIHHMMNQAGGYGGNFD RLLRNLIDSPQLLGSLRQIISQAKIFGSSVWTNAVSILNDFINNEPTSFAIIAEAGLS KAVLEAVLGHEITVPAPKDGAPQPESTNEEGSPSHTDDDSIFSVVVDETPHPPTKEML ETPRPGPLARGIMPSSEAIIIVPEAFGAICLNNAGMKMFLESNALQSFFEIFESPAHV KVLDNDANNLATSLGSTFDELVRHHPALKTAILNAVLDMVARVSYLCKTRTETEKLGA KLLVTNANGDVVVADRNLLTDQDKGKGKSIDADADVEMTGVSTEAAEPSKVSKPVNLA EDDRENSVTPYIAAVANFLTQLFNNAGVRNLFMENGGVEAILDLAELPVLPNSPSDER SHRNMHTVIALLAEQKAHILVPSLMKRAQAAADTLAPFTQHQGREAYFGKFIDGCKQS DDRTENILLARGTSLVEAMVTAQNLCMALRQCISSPIFSRNQASSFSSMNLADYYVRL VKSLGAILAASIKEDFKLMRLAPRHWRRAGRQSVQMFMNDAPEPLIPTIDSTEEALHS LLPEAQGTAPMATLVTDSSGAENPIHKVNQTKTLTTEEKHSPEFKNFQNLHYLLGKMP ISMSIFFQVIGKGLVPKRTPDSFQRQSNVSIANAIVETVLSELTSVGDESSSETYTFW VLMFRTVKDILVNNARTAERPQECITIVVQAFMKAGGFNTINHMLGLFDAEVRNNADK TVNFNDVDLLLRNDLATLGIKHILELYGPLVTGKNVGESFQSTTLHSRSDRDRNSPNY FSAGQFVLEVRMAILPAVQKLWESDIVEKGSKIIPNKLIDIIRNVMETDLEEGAFRNS DKAIVPSKQGKKKWRVNRENLLKLEAAEYDEELAIEALFRCNNSYSSALEYCKAQLSE RSGGRNRFGKDDIDPNIGPEDAPGHETRPSTGSITPDQGAAQGGNGAGEAPNDGVPPP VFTQSPEEFAPRASVPPNLQQMLLENMNRTLENAEAGNLFAAISGGGEQTNLPERVPN QQPSSSSSDKTLESQPEIPTVERLNAERLKIRSNLIERCLEVINAHGEFTFEIADLIT TVIDKSPEQAEMRTTMGGTLVVALTSFLSDDVREVGKKIASYAHLLALMLQDPQFYKA SLDELKSNLGNLLEFVKLSPDHKSEESSPWVAHILLIVEILLSDDAEPRETEWKIPTS ETASLEQPVLKAPELVVSTEDRSTLFEAILDMLPRIGKDESLALAVMRILVILTRTRH IAVAMGEKKNIQRLFVMAKQLAGAATPRIQSPLMLILRHIVEDDDTIKQIMRSQIKVF LDPNSSRSSRHPDITLYLRSMSNLVVRQPELFVEVSNEMVKLVKWTTTTADQPGRQQI ELQDKYKRTRRGKPEDAVLPTLKPTEELSMEDVKPSTETAEGEAAEGSKAVEHKVPIV ENPDGVIYFLLCELLNYRDVEDKEAAPPAPAEDKEKAAETTPETTTPSTSSGADSSST SNSDTPQIRPLIPPPKPETKKKAEFKAEEHPIYIYRCFLLQCLTELLASYNRTKVEFI NFKRNAPAHAATPSKPRSNVVNYLLCDLIPSGSLDHAESLTMRKKVSTSSWADSTITA LLSKTGEQILDRDRDNTDSDDEPDLLFVRKFVLENIIKAYREASTSTESLDIKYSRML SLADLMSHIMAGKDNIGGGSDPNVTIRSQQQLKRIMFEKGYIGALTASIADIDLNFPG AKRAVKHILRPLKALTQTAIELSEVGKVALTPGQHDEDEIESASSVSDADDDREETPD LFRNSTLGMFEPDRDHESSSEGEDDDEEMYDEDGYEEEMEGYEDGPIEDDEDNISDED EELEGMGEIEGLDGDHGMDVEVIMDDDEDDDESGSSDEDDEDEDDDMDEDDEDDRVQI IDNEGNAHPLAELDDEEDWESEDEDEEEGYEGIAADEEEEAMHAGGHGPLGRLVHAFG GDPHHHGEIDNLLQRIEDERGGDPDMDIEYLDDDHEEDDEVDEEEEEMDDDEDMYEEY QPQPGMPFTFEWNDEQEPPMIISHRGHRAHAAPQPSPYFFASHRDPLGVRDYYRGSYR SHRPGPTPRDNNDGTNPLLQRGDRARGDAGLVGSTPFIGREALFNIVGRGPFGGEAGG PAAILNDLFTQLPFPGGAIPAAGRNGGALHFHVTGGPGGADAREFQAMFGGMRPGMAR GHERGPGAAEPGAATTFTTQLTATRWSEEARLLFGPRHPDMALRVLMGLMAVVVPAAL EAEKKAIQEKKEAEEKKRKEDEEKAAQAAKEAEEKAAKEKKEAEEREAEERARAEEAA AAAAAAPPAEEQPQEAENAQPMEGVESGEAAAAGEGEGAPAEDRPRVTIPFRDGTLDI TDLGIDLDYLDALPEELREEVITGAIAQRRSDAAATGAPPSEIDQEFLNALPDEIRAE IIQQERQDRRRRERDEARRQAAANGAPVPVPQEMDAASILATLPADLRAQVLAEQDED VLAQLPPEYIAQARASMGGHPLRSLNRVLEGARPGLPSGVEIVKPVRRSIVQMLDKPG VATLLRLMFIFQQDSLRNTLYQVLQNISENRHNRGEVISTILHILQDGSADMTAVERS FAHLSLRAKQPKDKDPKTPLSLSRKNTGTGLAALTTVPNSDISPLMVVQQCLGALIYL CKVNLHVPSFFLTEHESAVSGLKRNASRKGKGKDTKALKFPLNSLLILLDRKLIMESS PVMESLSDLLSRITAPLQTFERKQKEAEEAAKKAEEKAAEKPAEGEGEGEAGPAEQQG ENMDTDCPEPATTEDPPAEAGSSTADAPKADGDKTDDKTKEAEKKKVRPFVPPVVPDA NLKLVINIFVARECSAKTFRETLSTIKNLSCLPGAKAVFGKELIAKAQELGEVILLDL EELFPQIQKASNSTELQGVALTKFSPSGSDQNKLLRVLTALDHLFDPKHARKDATTEA EGSETADTEKQDLLATLYENSTFGPMWEKLSACLSAIRQRDHMLNVATILLPLIESLM VVCKNTTLKDQPLRGKDNMLTSPVPESRMESLFFTFTEEHRKILNDLVRNTPKLMSGT FSLLVKNPKVLEFDNKRNYFNRSIHNRNNPARQSYPSLQLSVRRDQVFHDSFKSLYFK SGDEMKFGKLSIRFHGEEGVDAGGVTREWFQVLSRQMFDPGYALFIPVSSDRTTFHPN LTSSINPEHLMFFKFIGRVIGKALYEGRVLDCHFSRAVYKRILGKAVSVKDMESLDPD YYKSVVWMLENDITDIISESFSVDNDKFGVVETVDLIENGRNVPVTEENKHEYVRLMV EFKLTGSVQEQLDNFLKGFHEIIPAELVAIFNEQELELLISGLPEIDVDDWKATTEYH NYTASSPQIQWFWRAIRSFDKEERAKMLQFVTGTSKVPLNGFKELEGMNGFSRFNIHR DYGNKDRLPTSHTCFNQLDLPEYESYEMLRQQVLTAITTGSEYFGFA VE01_05508 MSTPEGDGDTRPEPVVKNTQPESDDSGHETDTFEDADTTPIDHN QALSPTTSTRSLTNSHTAHQNGGSEAPVVPPLEAHPEDDVSPTSSQPRSPAAVTERLS TLSLDNVSLDGEEIAAAAASTDKAETTKPELPPRTSAKAVFEGLQGSLPSVPWGPPPP PPPAKDKPQTVVPPPRKLTGPFSWLSRGSAPPPPTVPRVPSPGNGSGGRRNTTSSIAT NNSNPDLMLNKLDEGNESPNGIRNSSRNSLRDRFKLLRMREEAGITSLGEEGEEGTPI ETMIGRVSLGQGLTSPTGSEDKALPATPNAAAFNPSLAPGTAAGVTSGPPANEEVDWD LWQSVVYEGPAAVARTSPEELNQAIALGIPSAIRGVVWQVLAQSKNDELESVYRDLLS RGTDQDKDRASISSAGASNTFSNGGKDKDTASSASSVHSDLSGSGLKSPSPTERDPEN IAKAQAAALAEKKKKAKDDAASLLKLEKAIKRDLGARTSFSKFAVSAGLQDGLFGVCK AYALFDEGVGYAQGMNFLAMPLLFNLPEEEAFCLLVRLMNQYRLRDLFIQDMPGLHSH LYQFERLLEDLEPALYCHLHRKGVTPHLYATQWFLTLFAYRFPLQLVLRIYDLILSEG LAAILKFGIVLMQKNAATLLGMNDMVALTNFLKDRLFDVYIDASPSPGSILESGFFGS SGASIDKEVYRADTLVLDACAVKITPEMLKTYTSEWEEKTRAEKEREAELELLRSTNA SLSLSVRRLEERVQNHDQEHAALATDLVRTKVENEELLDQNESLKGQVEELRGVVERQ PAEVEERLKMEMDRLMKRNLEVHEENTRLEEEMGEMEGTLVETKMAFAELNAQHETLQ RRWTDLRKALD VE01_05509 MASSMTLRVTTPAGKKPIRKLPSNIEIGAGTTVEEAKRIIARHT GMRDYHRIAIVDTTTKSIIKDRLSILAQNPGVQATGDIAVKDLGPQVSWRTVYVVEYA GPIFLSLLLAYPLRAYIYPTALFGPATPFSTVQTISLALIVLHFIKRELETVFLHRFS AATMPASYILRNLAYYWLSMLNIAYWVFAPNAAAAAPLEEGRDTYILAAGLAVYLFGE LANFNTHVILANLRPKGTTKRGIPKGFGFGIVACPNYLFELVSWIGVLLVTRSFATGG FCVVAWLWMQRWAVGREKRYRKEFGAAYKPHLYPMTPGWALPIRKAKE VE01_05510 MSMSLRLSARRAAAASLKMSNAPARRCASQAAAGASGSNLPEQL KNEIFKAAHLPNPDPAADSATTTVVNEQAPYMVKTYARPGPMFVKGQGSYLYDLENRK YLDFTAGIAVNALGHCDPEISELIYSQAKTLMHTSNLYHNPWTGALSALLVQKTRESG SMEGVEAVFVCNSGSEANEAAIKFARKAGKVADPSGAKHEIVSFNNSFHGRTMGSLSA TPNPKYQAPFAPMLPGFRYGTYNDVAGIAELVTPNTAGVIVEPIQGEGGVHVATPEFL VALAKRCKEVGAVLIYDEIQCGLSRTGTLWAHSDLPTEAHPDILTTAKALGNGFPVGA TLVTGAVAEKIAVGDHGTTFGGNPLASRLAHHIVSRLSQPELQSAVAAKSALFVGHMK KLQEKYPKLVGEIRGKGLLLGLQLDRDPTPIVAAARERGLLVITAGTNTLRFVPPLTI SEAEIEEGFAVLDKVMGVVAAGDN VE01_05511 MTTDPRESSSYNVTPRIRYNTIGGVNGPLVILESVKFPQYNEIV NLRLPDGTVRSGQVLEARGTRAVVQVFEGTTGIDVKKTTVEFTGQSLKLGVSEDMLGR IFDGSGRAIDKGPKVLAEEYLDINGSPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSASGLPHNEVAAQICRQAGLVQKQGVTNKGVHDGHEENFSIVFAAMGVNLETAR FFTRDFEENGSLERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVIMTDL TAYCDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPN DDITHPIPDLTGYITEGQIFIDRQLYNRGVFPPINVLPSLSRLMKSAIGEGNTRKDHG DVSNQLYAKYAIGKDAMAMKAVVGEEALSSEDKLSLEFLEKFERTFISQSPYESRTIY ESLDQAWGLLRIYPKELLNRIPSKILAEFYQRSERKTKKRQGQKDTRDNTEDAAKAGQ QEENLIDA VE01_05512 MALLRPTVRCVRRLNARQMRGFAFATTADPTPPPRNNRVRIVEV GARDGLQNEKKTISTDTKLDLISRLAKTGLRDIEAGSFVSPKWVPQMATSNEILESII KETPDSKYPINYSFLAPNIKGFEAAMAVLKAAGHTARPDAPKIEFSVFAAATESFTQK NLNCDIATSLERFKPVIQGAKDAGYRVRGYISTVLGCPFEGYDVDPHKVAEVATDLLE MGVDEIALGDTTGMGTAPRTAELLRAMTAAGIRNEDMAMHFHDTFGQALVNTAVSLEH GIRTFDSSVGGLGGCPYSPGATGNVATEDMVYFLESLGMDTGVDLDAVADIGAWITKE IEKPNSSSVGKAVLGRRTLAT VE01_05513 MEEDRAEGNLGIAADLEENQEPVVQRQPKKRFVGRRAAAENAAK GAESGTSIETAVQVATPRRTPRQLNQVPPEILNDPDINAAIALLPPNYSFELHKTIHR IRTNGSTKVALQMPEGLLLFATTISDILTQFCPGIETVIMGDVTYGACCIDDYTARAL GCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTAHLLATITRNFAAGKTIAMVGTIQ FNATLHGVRAPLEAAGFKVLIPQISPLSKGEILGCTSPKLDADGVDYILYLGDGRFHL ESAMIHNPSIHAYRYDPYSRRLTRELYDHAEMHGLRRSAIHAAKSAKKWGLILGSLGR QGNPHTMSLIEKKLTERGIPYIYLLLSEIFPGKLAMMEEVECWVQVACPRLSIDWGYA FPRPLLTPYEALIVLGEKEDWKGSGDVYPMDYYGKEGLGRVKEAVVTAA VE01_05514 MRGLEEEGMNWRQNGGGAVPPLSARTNTEKQTQSSENKNRNEKN GQSSKEGQSDAYELTDFNHPPKHTTADDDFEAREARRLAKRVEKLARREEEFEMKFSH SIQFNAVPDWSSHYIAYSNLKKLIYQLEKNQNQQNAQATDAEASPLLAGNVDPDQIFT RALDVELEKISSFYQIKELEIFSEISELLSQQEEYDAEMQENGMEGSSDVHDGHARRL SSFYRGNTVRNPRPLSAATRSMTDDVDDSDEDDDEETALHRKKPEVRRSMTAPSDVDG SHGAEDMWNSTAELNRRSSVAAYDDYANEAFTLYYSSGISLKKNTVSLYVQLCELKSF IQLNQTGFRKVLKKYDKILDRNLREKYMSQTVAPSYVFRPSTIKHVEENIGRMETIYA DVVTAGDLTLAKKELRLHLREHVVWERNTVWREMIGIERKAQAANMGIRRTLLGADPD PSHARLQGDDEGLADLKGINTPVGRMYYPAWLFSSTMLVLLLIIGIFILLLIVPIMAN PEQQNCLAMLVFVSLLWATEVIPLFVTSLLIPFLCVTLRVVRSDDAPHHRLGAKPAAA YIFAAMWTPVIMLLLGGFTIAAALSKYDIAKRIATLVLSKAGTRPRTVLVTNMFVAAF ASMWISNVAAPVLCFSIIQPMLRNLPSDSNMSKALILGIALASNVGGMLSPIASPQNI IALAIMSPQPSWAIWFFIAIPVGVISLLLIWVLLLASFKPGKGTTIVAVRPVKDPFSG IQWFISLVTLATIILWCVSHQLEHVLGDMGVVAIIPLVLFFGTGILTKEDFNNFLWTI IILAAGGLALGKAVNSSGLLHTIAASITDAVEGLSLYSVLVVFATLILVVATFISHTV AALIILPLVHSVGIGMENPHPNLLVMGGALMCSAAMGLPTSGFPNMTAIMMEDSQTGQ RYLQVKHFISRGVPSSILTLLVVITVGYGAMLISNI VE01_05515 MGYKIEKRRRRSSSPEHVDPKQSTTSRKRTRHGHNTRNTRSQSP NQADSPHPRSEYTPAPPSNLPEVAQASLIVKDETESLVLDAFPNLDISSPVPSPMSVD VDSTNPIESSDPDSPMPANATESESTMKLGSDVELAAFQSPEVLCVGYSTLPLAGIVE LLTPMDVSHIVDIRSKPVSNVNPEFDIVKLKSSRYLMENNIEYLWLGLSLGGRRDNME KDNMAAVIRHKEMLVPDLKNYAAYMTTREFKAGLTQLKDLAIEQAKIGKRVVIMCDEA VHWRCHRRMIADRLVADNWTVKHMGLKVKECVDHVMWNISRKAPDGEVVYDKPRPQLE N VE01_05516 MAPLAQLLALPFLNPATPPINSPRTGGVFGTGPNTTVSYFYPLP PSDPARIAFSTGFWPKDRATVPKTIYECDPPASQGFESRLYTCFNDDIGLTDVVVVPG AEVKEAAKSGEGRIAASKVKADVDMKAPERIRDYQLKKAKEAPKCDGRTGVAVDALHW GAWQHSCFDGSSEWAKRGQEVRAEVLKERAEKGFEINSRIRRMWEEKEEEVRVMEGWK AEKGGKEKAIVEREERFWRESFEVREEGREVDGGVEREFEKRMKGEIWGEVVEEYRSW EKKVDEDQEEEDDCDNCDDCDDDEEEPKRPRHCKPKRPTAQRPKAEKPAAKKPTVENT KAAEKPKEPAKPQQQEHPTLELGKSHYDRPSAMKKGKPAKVKVKQKNGKYKVKVKDGN TKIRLKGKNGKSTVKVEDKAGKTTLVESG VE01_05517 MSNPLDTDAGSELFSSYEAELKLVQADLNQKLDQIPELSGEPRK AAISQAERALEEANELLDQMRLEKSNIPSAARTKINQRFRNHETDTDSAKRRLANLSN DRAALFGARYADDPSGGDVQLEQRQQLLSGTERLDRSTQRLRDSQRLANETEDIGAST LADLHRQRNVIDHTHETLLQSEGYVDRSVKTLKGMARRMATNKIITIAIITVLVLLIL AVIVSKFR VE01_05518 MNGTLGKDLEKQASEDEQQIEVMDQIDYVPTGAIPSEDLEKGDS MYAKLARMGTKMKVEVRGIERVPEDERTDTSYWNIGSMWLAANMVVPSFTIGVLGQAV FDLGFVDATLVIIFFNLLSVLTVCFFSTFGAAFGLRQMVLSRFYFGWWGVKLIALFNV LACIGWASANIIVGAQLINAVNNDVPGFAGILIIAFCTLVIVFFGYRVVHAYEHWSWI PTFIVFLILLGTFAKSGDFVNLPMGSGKEELGKVLSYGSTVFGFGTGYTAFAADYTVY QPSKRSPRKIFLATWLGILPTLLFTELLGAYVVTATALNGGNNIYQEGYKQSGIGGLL AAALFPHLGAFGKFCLIILALSVVANNCPNIYSVSLTLMVMGRWTRHIPRFVWTVIAT AAYIAIAIPGYSNFEAVLENFMNFIGYWLAIYEGVALTEHFVFRRSFAAYNVEDYDNR DKLPPGIAAVLAFFCGVVGMVTGMSQSWWVGPIALRAGSAPFGGDLGFELGFAFSSAS YCLFRTIELRVFKR VE01_05519 MVYLSKLVSLAALLPAALACNAYTGGVPTATSTKTNSKVIEVAA GKVYDGGWARFDRGSGACNEQAEGGDADAVFLLRSGATLRNVIIGKNQAEGVHCDGPC TLEFVWFEDVCEDAITVKNDKAGQETWIIGGGAYHASDKVVQHNGCGTVNIINFYVED YGKLYRSCGNCSSQCKRNVYIEGVIAKNGGVLAGINSNYGDTATIKNSCFDTAHSCQM FTGCNNGCEPAKAGYCSG VE01_05520 MKKYLEIACFNAPSALIAASAGADRIELCADRSVGGTTPLLADL EAIKAEVKIPVMVMIRPRGGDFIYSNEEFTQMEEDIGRFRELADGFVFGILKDEEGVT VVDKQRNSELVELARPLPCTFHRAVDATSDYHAAIREVAACGFKAVLTSGGIEGDVVG GYGNLPDFGEGELVIMPGGGVRREVLEVLVRETRCRWFHSSGIVGEGEVVSGEEVGGM KEVLGR VE01_05521 MSNMASVSRPPSIHAPWRKSFIIPLFTIQLGFAAFVGIWHLMHY GFSIYEGTDSGSNSYTEALYATIGSFGVVSTFIVIGHIVLFAHFRLTAWIFLLGNGAV TVLSLISMVIGITNEKRRYEFGYSDYLIVAFPAVLVFISLWGLVYAVIVWRKVNSGRA VDMVKPGGGFGGATKGGDVKDDANAIPMV VE01_05522 MAQHYGAPPDQYTTTSPQNLQFYPSSYPSPPTGASGQAGGYGGY GAPSGSMGGYGVGASSGGFSSPGVGAGQARVSGRMGEQGGLRTGWLAAFSTEGYEGEP PLQEELGVNFGHIKVKTLAVLNPFVHIDSHIMDDSDLAGPILFFFLFGTFLLFSGKVH FGYIYGLALMGSTALHTILSLMTPDTPSGRASPAHMAAGNAGYSGGGDDRGGHLSSTL TFPQSASVLGYCLLPLVLTSLLGIAMPLDSVVGYAVTSLAICWSTLSSSAMFCVIGRM KSARGLVAYPVALFYVGFGIMSIFSSRGSGTLGKAAGLATA VE01_05523 MSHQADMSHTSSPPKRPRLSLQIKTPSAPMTLNKSSTALKADID PSSPTAFNTLSNAYAAAISLASPIPMSAAPITALPFSANTSARPKSLRLVTSAAPQSA IPLSHRIQTPGPFTVVYPDTPTTQAPTPVTAHPDVSLKAPFTFTPPQSAHPSSPSSTS SIGTNTTTTAAAVATTTGATTSRLRRINVSIPTTLAPSPFPSSPRTPRRRATTGSSTP YKPPYTHPRSLRSILRNSPLPASAAGAAVTTVEKGGRRVGYNNPLTQTITTELYVRSH VELLGEDDAGADGEGAGEAETGIEAVLAYDGATRDGGQTPGPFEEMRRRMAGLGEEVG GRKRKREKKRAWVWTIGVGEEGEEEEGVEKASEEEGEEGGLELLRPSTYVPSPPRVPM VMVAPPLEGRGSVQGGEMEVDPPERPMSSQSI VE01_05524 MSPAKQNNPPNMTTRSSKKRSNPFAEGVEKALPPNDPVAKRLAA LTLPIQKVPEVPAHIANYVPKNPALIAFLLDTTQHPTDFLSKLPPTPDYQYLTKSGRV KQSITLPHPPTKSDLKKKKNPIIPNEPARTHPTDSDGSSSDKEPEDPKERISRMRKAI KRIHSGSIGFQEDRPCHRATFTRCFATRHLDYFLTRFNVQEDGFLDRPAISLPIPDRI KAILVDDWENVTKNQQLVPLPAAHPVESILKDYEDDEMPKRAPGSPEASILEEMLAGL REYFDKCLGRILLYRFERAQYLEMIQLWEAPTGDMAGKNANQTYGAEHLCRLLVSLPE LIAQTNMDQQSVSHLREEIIKLTNWMVKKPNLEKYFVAEYETPNQSYIDMARGN VE01_05525 MAPAKAVAPFAKDERVLCFHHEMLYEAKVLDSRATDGGSWQYKI HYKGWKNTWDDWVPQDRVRKFTEENKQLAAQLHEQMKALQGKPAPSASKSAKTKGRAN GSDFSSARGSEERGSMAAQGGGRAPRRLRDYDLEQVCEFSYFCFIYRDNTTVVL VE01_05526 MPPPTESTILTSFLLPPSPLPIILPPSAFTALFPPSTPASSISH LYRLLSHQRALLSDAVRADIEDEVRRGVVQRRAVVRSRREGERAGGEEEEVGIERALS STNPSPLHHPRHHTLRTILPTLDTATEDIETEVALLELEAETLLAEIRNTVGGLSDLR YGKFRNQEVGEGVRGALEGGS VE01_05527 MRFSAVTLLALPLLAVAETQDPLQQLQDTALHYFNKAYSFIPHT NTFSAAEAALAKAGGSNIDILSLDNWRSTLLNSVTPSSTGPEEWWVLLTAGNKTCWGN CERLTRAFNESALLFKADPTAPHLGLINCDHQPVLCHSWTAGAPLMYIFEVNKPPART EVHIHGFNTTTVTAADMVKLHATKSWKERPAYEGHFHPFHGTAQQYGLDLATGWVIWA FNILPNWAFMILISFASRTMMSRREQARAGPAPAR VE01_05528 MGKSPTTDSRLKIKTGLKGIYIMEVFTTVITSGGLILRFLDACS AYSDEAKSLKTRFDWDIRVLQVLSDYFAQRGAVKASQQLAPEDAALLEQTAIYLDGFV GKVQKTLWKIERKGWLHDSIRHAAWIARRSDLKEMEKEMFEWTRRFDVRLLGLPKELR NIIPAASAGDEARLPAVVRSNNRLKEFLYLASNAKQTRVRDMLLEDSVELASLVTRRG DVSLQPLQYGTEQIIFASRRVPPGRIPGTPNFHSMAYEMGELAAALNCLDPAADIRLL KVEFYFYHADSNQFLFAQKPPYPTMSMMTLEAMISGDPFPEVDSPLDDRLKLAHKIAE AVFFLHTAGFLHKNITSSSVVALRRSTLPHGEVMPDFDDTYLMGFDLIRGSEALTTKE GAIKENTEVRSVWDFDVFQHPERLQGKSSPRYIRTYDIYSLGVVLLEVGFWEPLREIA RDITLADPSGWANELSETVPLLGARTGERYQDLVAWCLNLKGDHIVTDAEFLQEVLDP LEEIVNALSHEPRLDARK VE01_05529 MFATTTSFPKDLVHHVYTRDEDLPFTHDGNDAFIANSRNVDRVK RTSGSDGGLLYARKTLTIDERGKEGPVAKRKLLEEAKTLLQARHGHVVKLIETYFFVS EHYTRFAIVMERADANLDVYLTHRTSLKKISQLAGWFGCLVGVTSHIHGLGIRHRDIK PSNILIKGKRVLLADFGISKMGLGKTMPTTIPAFARERTKDYCAPEVEDGSTRGRSAD IFSLGAVFLEMLIAHSYYPERQSLDDKLTSDGHRSYARSVDQVHQFMDRIERELRPDK WFLKVISHCRAMLHVERDQRPLADELNLAWLSLQPSDLPLAPCTCFRGVDVSDDNKLV ELCRTGSLEQVEAYLASGRDPNTLGAIHQASARGCGKIVQSLLLHKADVNLRDCSGQT ALHCAAGYGHTEVVGMLLEKGADVQLKDDEGQTVLHCAAGQGHRSVVELLLSKNVDNQ ATDAEGRTALHFAARRGHGDVVRMLLSGGAHTEVMDAKGRTALHFAAGYGSEKVVEML LKAVDKYVIDAQDVDGQTALHFAARGKQARGKYDAVRKMLIEKGADPR VE01_05530 MADAETKGASTEEREGGRLSNHDPVDSFELAVDQPGPRPDGWKY RERRIGGLVIPWYASPRAQLLLVSFVCFLCPGMFNALGGLGGGGKQDPTLADNMLIAL YSTFAVVGFFAGSFVNKLGVKLTLAFGGLGYCIYSISLLASVHANVGGFNIFAGALLG VCAGLLWTAQGTIMLSYPKESEKGRSFAIFWMIFNLGGVIGSLIPLGENIHVKGNVTV TDGTYIAFIVLMFVGAVVGMFLCNATDIIRDDGSKVVLKKNPSWQSEFIGLYETIRSD PHILLLFPMFWSSNWFTTYQQNSVNGAHFSTRTKALNGLLFWLAQIVGALIFGYALDL ERFSRPTRAKASLAVLLTLTMAIWGGGYAYQKDYTRASVKLDSFVPTDWTTPGYVGPM FLYFFYGFYDAAWQCCVYWYMGALSNSGRKQANFVGFYKGIQSAGAAVMWSLDSHKTS YMNELISNWALLAGSLLIASPVILYAIKEHVVMDHDLADTGETIEDVLPAGHPEKNHV VE01_05531 MAQPTATPLNMSLEEKLDKIRMPKLQNQQQTGVVLKAVEDTLRD QKTDFTPTGYFAALLALLPQAITSSGVVNKELATSVVYLLDVVTPFAPEPLLRSKFLQ ILTNIAPALSLPEADAPLLRPSIGCLESLLLAQDASAWELSQTQIGPRRAIAGLLQLA VDHRPKIRKKAQDAITKVLRNPPPSPSSDHPAADMCAETALKSMSDLAAKASQAKKQR KGQADAEHEPALIHSLHLVKTVAAASGGWPSKKIEALCEVLLNISKSSNEYMTMAAFE VFEIIFQGMAEDEVASAKLPRLLEVISELRPSQNDSQLLPPWIAVISRGYDVSAQINP DETFQQLPELFTMISGFMASASHNIRISASECLMSFMANCVPDTILLEPSIYDEKVLE KLSKAAIDLLSLKYQTAWMESFNVFGAMFDSLRWRSDPILREVVKTVGDLRGNNSFSG KKEADEVLGKAIRAMGPEAVLSILPLNLAKPVPGQPGRAWMFPILRDFVSNTKLQHFR DELLPLSEVMFQRVLDNGDAERTMEIKIFDTLVKQIWATLPGYCDLPLDLTEAMDQKF AEILSTLLYTQVDLRSDVCKALQTLVESNQVIAAIEEEDDLVLQSRVSKAVAQKNLEH LSGFAGNMLAVLFNVYSQTLPQFRGYILKCINAYLSITPPAELMETFQRVTTALEGSL AESVAKTQAEKQKQKQQNNEDKMPQTSHTLMDLVITISVYLPRESFITLFNISSLIIV KDDDPQLQKKAYKLIPRLAESETGKAALQERSAELQQLLLSSSEKVSPPAKRDRLTAI TTLIPFLPTDSLHFIPSILSEVVISCKETNERARTAAFDLLILMGEKIVSSSGATIHN SKVAHMPDDAPSATANLEEYFTMVSAGLAGSTPHMVSASITALTRILYHFREQLSAET LTDLVQTMDLFLTSNNREIVRSVLGFVKVCVISLPLALMTPRLETLIPNLMVWSHEHK AQFKAKVKHILERMMRRFGVDVVTKFCPEEDRKLIANIRKTKERNKRHKDAAKAAGED DDEDKQQRKGRFESEYDEALQDSDSEGSDVSDNEVLGKSSKKRRAEEKGGKSYIVEDE EEPLDLLDRRALANISSTKPLRQRAAAGRKAAKTDLDGKLVFGEDSDEDDKMVIDTGD KDEEGGVGAYVNALKGRDAAQRGRGGKLKFSNKRDKNDDEMDVDDEDIQQVKKQIGGD RRDSRGGGRGRGGDRGGRGSGRGGFPQRRGLGEEKRSGPSGDGGRVMKSPRGRGGRGG GRGGRR VE01_05532 MSFFRITLMRSAIGLPKRTQGVLKALGLRRRMKTVFYPVSHDVA GQIMKVKELVKVEEVAEAKTKDELSAERRPDPG VE01_05533 MDTIDSTAVKLTELLRHPEDLDKIPALKSEFTRKKAAFDSQLRI GLKEQLEVTQAGMNNITDGQRTVQAIKEEMMKIDKLCAEAQDMIHDFPNINLVSQTHR NFSAVEEMMQNLEGFKERLDRVGYMLQQDEEDEENMPNLLNVHYELTRLRNIRDDAME QIQRAEDASFQGDLETLFGDLDDIIEMFDEHIGKIALNLINILISGNNAMVVRLAVII EAEEKSDKMVIALQEALKDHKEIAARFQGITDGAKQTRGYKDKFLQAIRIHAEQNMSE SQETFLDDSTKIEKSMRWFFNDLNAVKQGMVPLMPKKWKIFQTYGKIYHSVMHDFLIG MVDDPETSSSHMLAILNWPEKYYVKMQKLGFRADELSPHVIDSRETELVKDFRQLIIR FLDEWISRIAQSEKRDFADRSTDGANLDTDEFGYFRTRNLVDLWRMLREQTDAAGNSE RTDVVEGVIDTMFQRLKTRQVAWQKMLDDEADKYTGANPDMEGFQALQDWLVATANDQ IACIDDNEEAGRFGYLTSFREKLAPLVSSAYLSHADAEIASLRDGYVDLSTHCISTFA QLILRVDFRTVMPEFFTPKWYTTTAMKQIVVTFEEYVGDYKLVLHHSLLDILIEEMSD ALLVAYLGCVRNRGAKFRRQEPFQDKLFEDVSTVFEFFTSFPSPDVADIIKTKWRVTE PFSQLLLADKASVPDVFEGFKTDYWDLQLSWVEAVLRSRDDFDRALLNSVKARAAQME INRGQETIMSKVK VE01_05534 MSSGDAKFGPGGHYSGRNPVPNIQRFVENMDKDKKARDAQMIAQ QQAQSGEVQAHKNKRTSEPTSSRKRVTDPTTGRQVQIEDVDDNFMDAALNPKLSVPNA NLGKPTTMQSHHTQSGEEYKHAQDVTAPPDPIHPGSTSDVPIKGEMTNILFHPTPSIS YEPMFEALEKRTEILCIGVLVGIVILGKMFGGALYGLIPLGMCVSSGIFLWMKAVLRA GRDQEWASEQERGETAVANLIPESVEWMNTLLGVVWGLIDPEMFASVADTLEDVMQAS VPGIIENVRVAEINQGSNPIRILSLRALPDHHVEELKDDIHRQNAEKKDPQEVAADEE GGDYYNIECSFAYHAKPTGKGVSSKAKNMHMELVFYLGIKGLFGVPLPIWVELQGLVG TVRLRLQMSPEPPFLKALTFTLMGLPKVQAGCMPMLESNVNILNLPLISKFVNYAIGA AAAEYVAPKSMTLDMAKMLQGDDIQKDVEALGILWIRIHKATGLSKQDRRGSEGGGSD PYITVSFSKYGKPMYCTRVIQDDLNPVWEETCALLVTPDLIKADEQLSMELWDSDRST ADDVVGKVEISMQKMIQHPGKMYPQVSKLRGTKAESSMPGELMWEVGYFSKPQMRPAL RTHGKDINLPDSLKDNPALQDEKGSLDNPEEDAVVHTPPDPLWPSGVCSVIIHQIVNL ELENTQGSNGNRKGREFEPAVESGETKEEEGKRLPSSYCTILYNDELVYRTRAKVVSS KPIFNAGTERFMRDWRSGIITVTVRDQRMRQHDPILGVVPLKLSDILQTSSQVTRWYP LDGGIGFGRIRISLLFRSVETKLPINQLGWDVGTFEFRSPKIIAIGYQSSAKLKMRTG GSTGRIGRSHCQKLAEGDGVFWDLARKGGKSNVRLPVKYRYRSPIVFEFRKSGSRSVD AYAVIWLHHLEDNREEAINIPIWKTDKGMRLTQNYITEENFNSIPDIKIEEVGRLQFR CCFKAGTDEDHAHFVCDNDTRETQETWEACHAEGVRTTHVSKEMPPAVQQLHDQSLTQ GRDVLSQADEDDKKKWLSKDGTDWSGAFGEDPSKFVDYRGARSAQGDSYDGRPSTGQS GRPSTGGGRSSEYTYDDEDSDDSDLGLQDATTTSRELEGPADGGEVQTKADVKDLHRK QRGLMQWKPMRNLQFAKDETKFAVKKTIGKWKLEGREPGVESEY VE01_05535 MNAPRLGLRNAASALRSCTRTPIRRPVRGISGESKPRGASNSAP TMEQMRAPFAKKNTSTMFYTLSIILGTVALSYGSVPLYKMICATTGFGGQPIKSAAHN TDDPSKSLRPVVGAKRIRVTFNGSVSDVLPWSFTPQQREVRVLPGETALAFYTATNKS SEDIIGVATYSVTPGQVAPHFSKIQCFCFEEQRLNAGETVDMPVFFYIDPEFVNDPNM KGIEQVTLSYTFFKARYGKNGQLSPLAVT VE01_05536 MPPTPTPPEPISSAPPPGQTILYNVYRIYITQGTGPLHQGIALV PAQLPSQTAGRFYHVTGTVGLGMDYQCRPAEKFGLNPAFKSAVLMFQMPKSLLAEFEA IATSVPPPHDPRVLLERNPEPPARDCGAWVTEVLDRAGTLLRDKGVDL VE01_05537 MYMKNPWIWLDQNLLAELDVLVIPKAKTLSTIMNEHFPDALAIS FISHQILIELIEVTFEEHRRRLEYLPGGVWDTNFGLRYYNGPIHGPGVKRPEPNMDRF HDEYDDTDYLKSQGYFNPGSMMSFGEDRIFTAGIKVKKKHDIRITVPISCWDKKLEPA LRRGGSHTIKQGDWENGTAFGMQTKRILQSGTRVAKTNKSFNNRFLNLKGSATSLLHS DDIRKVNELFWTDNFMTGPQQLASVGKRVCKVDTQGNDFFSDPNALLTHGEYTELVQC IYATTMPPINSQQKIQNGVCGAHYPRSNGRELPPGGSKIYGS VE01_05538 MGDDDLTFFQTIPWCASLINDPSYVTIPTISRVSKEDTEDALFG DILRTDKTIAACLSLYKKPAVSTAPIGEVTTLLSLEYGLNGYRHVCHGGIVATILDEV MGILLAVNKDREAEIARDTGRSVETMAEVTAELTVKYLKPVTTPQTVRVKAWLSRTEG RKFWVEGTIEDKSGTVLARGEALFVQIRKSVL VE01_05539 MFLKILAILATTIVVIFSTLILIGLWAGPAQPSLPVISPEDAED RVLIPTDLELPTLNLFLRFASSGRLPHGAHSTLPLFPAPELARLARPYAEWAPKPFSE LPAPALVPGFPGVGVSPPVMIRVAHVMSGMDDIVRGVVGREVERSFRVVKAKVWFGIA PVTDVEWRERNLDDRENIEEAIAIIRQVVDVFDYLRGPDVQGRLRDGYNRIWAEWDVF QDAVNAMCEEKGESGPEWSLSKLWREYMHNHFEFMESQARAWVFGRLLKLHTLWKTHF LEVLQSGHSINETQTTVRIDHHAMLILNTLLDLYIDADIAIHGRTDGFVFAHGVDRRL VNGDKPETSPKRLNGIYAGIERKRVADMRSVLKAAVKEHKRAYEAETKVPGFMKAPMT ITHREFGHKHLQPQFEAPLVLEAEGWVRELGRDEVEGFGFVAYRVSYEESDDKWAEFL GKVEKGVELGWEGVVGAEGMKKKATLHWVDGQAAFIAEGDLEGVQRHFNANIPSSPTV SNQLCIVATPDAVSRFLLPPTAKGTGDSQPYLIAVSASTATPPPPVKKASANATPHST DDAPTTPPPGPTTFKIPPRLLYTDVYALGAYYPTLTAADFGALAAQHPLGVYQGSSTG VRRREWRKAREGAVDGHDAEGE VE01_05540 MAPSAVSPTPAAPAEASTNLADVTAFYDTTLRFFLNGTRVNLDN IDPEITLLEYLRGIGLTGTKLGCSEGGCGACTVVVSQWNPTTKKIYHASVNACLAPLV SVDGKHVITVEGIGNVERPHAVQERIAKGNGSQCGFCTPGIVMSLYALLRNEDQPTEH SIEEAFDGNLCRCTGYRPILEAARTFSAETGCAKAKTNGGGGCCMEKEGGGGCCQSKP ADDDQPIKRFTPPGFIEYNPDTQLIFPPALTKYEFKPLTFGNKRKRWYRPVTVDQLLR IKHALPSAKIIGGSSETQIEIKFKAMQYSASVFVGDIPELRKFEFHDDHLEIGGNITL TDLEAIALKAVEHYGPEKGQVFTNMHRQLQFFAGRQIRNVGTPAGNLATASPISDLNP VLVAANATLVAKTLEEDTEIPMTEFFKSYRTTALPAGAIIASLRIPVTATNEYTSAYK QAKRKDDDIAIVTAALRVKLSETHEVESANLVYGGMAPLTISAKKADTFLIGKKWTDP ATLEGVMGALEQDFDLKFGVPGGMATYRKTLAMSFFYRFYHEVLIKLGNDGADLEAVG EIEREISRGEQDHDATAAYEKMIMGRAQPHVAALKQCTGQAQYTDDIPVQRNELYGCL VLSTKARAKLISVDTSAALELPGVVDYLDHTDMPSPEANWWGAPVRDEVFFAVNEVFT CGQPIGVILASTANEAAAGARAVKIQYEELPAIYTIEEAIEKESYFEQFRFIKTGDTE KAFAEADHVISGTTRMGGQEHFYLETNACVAIPKPEDGEMEVFACTQNPTETQAYVAQ VCNVANNKVVCRVKRLGGGFGGKETRSVQLSSIMALAAKKTGRPVRCMLNRDEDMMTS GQRHPFLTKWKIAINSDGKLQALDAEVVCNGGWTQDLSGAVCERALSHIDGCYAIPNV HVRGRIARTNTMSNSAFRGFGGPQGLFVAETYIEEAADRLGIPAERLREINMYKPMEI THFNQALQDWHVPLMYDQVRKNSKYEERRLAVDEFNKTHKWRKRGLAIVPTKFGISFT ALFLNQAGALVHIYHDGSVLLAHGGTEMGQGLHTKMCMVAAETLGVPLDSVHISETGT NTVANTSSTAASASSDLNGYAIYNACAQLNERLAPFKTQLGPEATMAQLAHAAYFSRV NLSAQGYYRTPEIGYTWGKNEGKMFFYFTQGVAAAEVEVDTLTGSWTCIRADVLMDVG RSINPSVDYGQVEGAFVQGVGLFTMEESLWFGGGPMAGQLATRGPGNYKIPGFRDVPQ TFNVSLLKGVEWKELRTIGRSRGVGEPPLFLGSVVFFAIRDAIRAGRRQWGVEARRFV AKGLGNGEVGETTNGEATNGHAENGEATNGEASNGDTTSRESDESPVTSEPSSVSDDT TTTTPEDVPAADALQPQGQEASAEMEDGMLYLDSPATTERIRNACVDPIVQRARVKQG EGDKPFFISI VE01_05541 MASAANRQTRPCQKLSQESGPTAPRKLSDTIISRLSDVIFGSNA IEVVGGAIGVTDRFCRQVFSGKIPNIEDIDEGSDEYTLELDHLSSSGRATDLQSVMRS RHEIVQHALAAKHLFDTIVLQDVPLDEDIIKETHRILMRFSEHQASGGTYRESDEGAS HGLRLETDEEYKKRVQDCKRLKPNRPVPQRKQVPLFSSKFVRGKSVKLFMRNLVGEHN ERIKTAEKTGEINAIDFACRLSTAFVCIHPFEDGNGRVCRLLLNAMTIKYAGKVADIG KDPQEREEYLRDAWFANTTFRDEEQRDIPWGEQTAHVSLGRMVLEKVTNKMRETGD VE01_05542 MKYGQALYERSVPQWAPYNIDYNELKSLIRTHTTPPPGSSHSNT SQPVAIPGQADEALSCFEARFARELGAQHARVDLFVRSKADEFHRRLSDYEQKLIRLI ARGDPESGGIMTARRRERLARLETRVLRCTDDIRNLDRFIQAQRIGFQKILKKYRKWT GSPTLPRLTDPFLSPTTSFTHLSLLPLLTAFTDLSTRIAAFSPPPSSTSTPLTLSRRS SQPLPHSPVAARNKAVQTAAYWNEYDYPSDGGGAEEPYTIAFDATADDSAFPGQQRMA KALAYIGEKTAVLGWFRPTSSGGESSSKGERRALLGSSGRTSDLETGEEEDFEDYDAE GFPLGYETHYATFPSIATQRLTAQRSRLLLLALVACYTSSSLLMGISGILVATGRNRL RAEVDAGVIAGVVAALGFVGVGVRVGALRWEEAGVWEKVGVVVGFVAGCMVGGGLLVG VMGG VE01_05543 MSTMTTMATIATPSSNSINPFRFLDLSPELRNKIYELVLSFDRP VNLTCTTEVYREYTNYHINKCPSDKHHELPNLNRGAADRDEATWCVDPYTNVQRKLDF PRSVLGLRHVCKQIDEEMRYIFFAINEFHFRNAALAQRAFDQMTDTKAMEAIQVMGFR FYGDNAPKLYPALAKACPNLRVLKVSMDANKQVTIPGQHKTLRRARGVHAFASYVAGL EKLEKFEIVGTDYVNEIVHGVEKSVEVDINHPLAIGPWFRTKIQMGKMEREIIGKEVI ERERREKEKREKEMKEKRKEQRTRSLAKRAERERDRVRLEAERLERVKQETLRLDRER RAPGAAKIPKKRGRPSNASLLEEREEQPEAPPPKRKRGRPSNASKAPQESEETPESSQ PRRRRDKAQQEAEERPEPSPPRRKRGRPSNASRVLQEIENEPEVAAPPRKRGRPSNAS RIAEEVENRSEQIRDKPAKKKARVSTGGESSQRSEAGPNKRAREPGTRQSPRTRQSPR AASPPSPLPFQHLEPVECGISHNTIDATWEPLPATAHDRAMQLLGDIEKSVVQRLRDE RKRTQASTAIQMVTRRLGRKIGRGLPFPPGSRPQREEDFDFERILDATRKHEGLLTPA LHARELLKSEIRREEAMLEREQEALEKLEKNAAAERGRRKAEAKKLHPSLAKRGAEVV EWGDRDQLNLADEQTTADVLDDAKIDDDLRLIVEELQNHLESMENNFKQVEGVIPAIE KSEANIRAALLTQIDEQRYEQIIMG VE01_05544 MEHNGDRNRPRKTSQTPPAAPAPAAATSATKNPKKRRKERPCAR CIKRNIGHLCHDERRESDSSTKKVKARQSTAGEDEDGATAEPTQPAESGMSSSLDQRV DQAREDALVGVGTASMPPQQAPLQIVQPSPVSGAQANALSRTSGRFIGDRPSDWPGAQ SQYQDMHNYHPSYMFNTSEFTNEFNLLNDFLNNSLLDDGGLLEGDAAHFYNDQSLLMS SGMNNNGIPANFQQNAVQASNQNGKAISRPASAMPTDKAKEYYLQAADPTGNDTPEER MNRLLQAKYDAGMLKPFNYVRGYAQLSSYMDVHINAASKQKILRQLDRFRPKFREKVQ KLTDIELIYVEMWFEKTLMEYDRVFASMAIPACCWRRTGEIFRGNKEMAELIHVPIEQ MRDGKIGIHEILTEDSLVNYWEKFGAIAFDQNQKALLTSCSLKNPVEGSTDKPIKCCF SFTIRRDENKM VE01_05545 MTNLPPGKRQAKEKRVAALLSFSATTRSKLAPLPSGPASLNLQT QLHEQINGFPSSLTSLAVSKHQDLDTEGLTLWNLATRLMRSKDFPISPEQRATLLAAR VFAFLLLDGGLGKGNTSPVFVSRLMKIALKAAKGCIDAKRLDFALKVLEKAAVYEGQL SMHDGTVKSSLEKSETYSQLQSEYYVVRTTLAWRQDKVEVAEHMYKKAALSDNTLDPD TAESLGDLLYEMGRDFFKKKQHELADKWLERASRIITGQEIDRLSTDATNLQTSIIQA RVQALLAIEREDALDMANSLINNLESEVGDRLIVLLLKLEVLSAPVSRTFDCSAYGDV IYRMIRTVMLTEGNFKLIMHHIRKLNDKGPSLACSMLDSFLQSRLFEAGNEEWIEKAL VNRIWISTNTRDCPEVLKSVGEVLEAVGSNISKPLSASATHAAQTLLWKRIESNYSDG NYEAAEDWCRLAMQKVFEQAGDMNKAKIVRKLLLCALSRGDVSDARGIIGQIPGSSKD DPMTRFLMFKIAIRSNELELASACLGKVYEAATDDYTMIYACVIDAQQVGDRTLALAG LQLVLQKYEYNAPSAVNFPALLRCMIRFILSQLESGEPEKDIDVPSAVDQLCRLFDGG STHAQRSIKQEDKNNGTKLWTIQELDWFSKNAYNLSLKYSSEWHPEQVLQIIQSCIKF ASLYPKDIDNATSNDVTHRHIFCDFLSVILLVSVARAEDNIEAQLQDYLELRKHVDSF DARLQSIIDVLEEGPQQDLLKKLATLLVFDFEAAIRLKAWDDLTEIILKADCCKSMKI YEKMSDMLLSEPSIPVAVLIPTLKRVVNEAWSLESFDIAKLSRYMRCLFRLGLSSGDG PLAESLLDQVSELASSAENSETSYPLEELEYVATTAFNRAVDYYCTGEDDACRRWAEK AIGVAGFCADGGGLRNLLQEKLVGLQFAKEGA VE01_05546 MTFHPDTLPVLKGKVFIVTGGNSGIGYYTVSHLAEHGAHVYMCA RSPKKGTEAIRNIKKMHPSANIDLLQMDLMDLTSVVAAAKLFITLETTLHGLVNNAGI MATPFEMTKDGYEAQWQTNYLSHWVLTEHLLPLMLQTSKTLSPGSVRIVNLTSSGHLS APKGGINFDDLSLKDSGPWSRYGQSKLANILHTKTLQNSYGPGSPSAQNGGGEIWVSS VHPGVVDTNLATSVEESGTGISSLLSIMRMVGLIWPADKGSWNSVFCAASQDMTAEQS GAYMEIFKRFGELRCQSGAAKDEQLAERLEEWTRVAMAVVSK VE01_05547 MSESIMTSTYQPVNPVAPRYQLTSMPQLAEAISKEDDWTGTKDA AARRRAQTRLNTRAYRKRKALAANKTPVKSEELVECWDIKQQSFSVVPASRIKELYNG RSALLPDKQRKAQFNIVFPLCPDHLITLLQYNALRALAVNRTLISGILVTPLDCGEEI IHVIPYPSKPELLPLSLLPTVLQQTVPHGDWIDMFPCPEGRDRLIRAAGTFDEDELWA DCIGGLFEGFPDDEVERRGIIAWSPPWDITGWEMSEGFMRKWGWLFKGLPGPLAATNR WRMQRGEEPFTHDDCMSHATVLPLCCPDTSSSSDELGV VE01_05548 MLDLESDTQVDQTRKQVSSRSKLRDSCHACAISKVKCPKEKPSC SKCECRGITCQYFLAKRPGRRRENNTVNGTGSNTSRCQSSKSLATSSNSSSSSNSNSN PNTKSNTDSSRSMEWEAPLDMQPTPGTGLLSKGGTIIPTNSYGTDGYLMTPLSLSVPA SPRQDNMFSTNAAQFSVPGEVGMFSGLVDFGSEVNDMDFIMSAMDSPFDLLGMECGGI TGSITQTPNDIESLLMPTERVDFDHASLDTPSSLDLLSTSSAASSTASNIHSLPTGMT SISDIIETSPCGCLMQALDLLKKLSTSSTSSRTAFPSLDATDMPRTVNASSAQTVVFE NKQGMEAVSSKLACSSCVDDGFLLTVISMIVLKILERYATVAQAQPRKTGKSEPETDN PVRLSISTTSGSDDWMRAPNHVLNGSYDDGGSERVAAQLVLSELHRVQRLVNQLSPKL KGPKEREGQNIAPKMRYWGRPRAAGDGDRMTLTSILAGTLDQMERDVRKSLSTLSAEI INGLRQV VE01_05549 MDRLTELNGHSDQLAQAIRSLVDSRRGADSSDQLGPSADTDVDR DQSLFTRAKSNILASIAAIKSIVGEPADFLQDFSRQIEILACLRWLAEFQVFACIPFD ESLPIKDLADLVGVPESQLVRVIRLTATCGFLREPILGFVSHSPLSAQFISNQSLVDA MVFIAELATPTALQMPQATQRFGASSSATESAYNLALNTVRPFHVAIQERSKLRRQWS AYLCHAAGLHQEEEIIEVLSRLNWSNLGNACIVEVGAKSTSMASSLSKMFPSLRLVVQ IDNTQSSLLDRDYMWQNAVLASSIRDSSSSGSSPSPTNPFITVTYHAMGMPQSVTDAA VYIIHVPATSSGLTIKAELDDYLGVLRTSGGIMLILTTRLLPEPGTLPNPEIEAVARA RDLSMLQLANESEMEMSELLSIIKTVGDNAGKLVVTNHLRSNNGVILALTIKYQAY VE01_05550 MENDKGGYRQINKSLNICAFDEYLKGQTARLPHIESIEQLTPRV LRVLGQNPGKFTHQGTNTYIVGTGQRRLIIDTGGGEPAWAKLIDSTFISMNISLSYVL LTHWHGDHTGGVPALLRLYPHLTNSIYKNEPDQSQQNITHGQIFSVDGATIRAIHVPG HSEDHMCFILEEEQAMFTGDNILGHGTSAVEDLGTFMASLQKMAAQDCHIGYSAHGAT VTDLPAKITGELDNKLRREKQVMNALGRVRSRGEKSVTTKDLVTEIYGESLDEDTRIL ALEPFIDEVLRKLANDCKVAFEMRRGKKKWFSVEEMEETTTQRFMALKNPLAVTIQV VE01_05551 MEIVYFSNDFPKDDLVDVFRRLHNHSKDKHFPVLAQFIREATWA IKDEVRQLPTELKQLIPPFNTLLAWAENSQLREGLICGAVDGVLLVVAQLATYIGYVE SRSGDLFDFSITSLAGLGTGLLSSSAVSLSQTLADLPLAGADAARLAFRLGIHVLDMS ENLEARDLSESPDSWAYVVHNVDPTTAYKELDDMHSRMEIPNTGKIFISAVSRTSVTV SGPPSRLKALFNKSELFRESKVVELPVYGGLCHAPHIFGLDHVHSIVHGCSLNAAITN SPPVIPVLSTSSGLPYLAKSSMEMFECVVSELLTQTIYWDNVVSGIVERAKSNVTAEA VLHCFGNSTPLNDLNMTLKSNVPDLDVSINSLMSWISQVSPRDTTPHGTAQSKLAIVG MACRFPGGATNTEKFWEILEQGLDVSRRVPADRFDIDTHYDPTGKQQNKTMTQFGCFI DEPGLFDAPFFNMSPRESQAVDPQMRLALVTAYEALEQAGYVGNRTAATQLQRIGTYY GQAADDYREVNQGQDVGTYYIPGGCRAFGPGRINYFFKFAGPSYSIDTACSSGLAAIE VACQALWNGDVDMAVTGGVNVLTNPDVFAGLCKSHFLTKGHNACKTWDSTADGYCRAD GVGSVVIKKLEDAEADNDNILGVILGAGTNHSAEAVSITHPHAGHQAYLSRQVLRQAG VDPLDVSYIELHGTGTQAGDHEEMQGIMEVYAPLIKRRRKDQPLHIGAVKANVGHGES VAGTTALIKVLIMLQKKSIPPHIGIKTEMNPRFPRDFDKRNLHIDLEMTPWPQTSSKK RLAVVNNFGAAGGNTTMVLEEAPTRDISEADPRNTHIIAISAKTKGSLTGNIERLIAH LDANPDINLADLSYTTTARRSHHSHRVAIATSDIGHLKKQLTSRLENIDSMKPIGNSG SPPVAFAFTGQGSSYKSMNLELYHDVPTFREHIQHLDSLARRQGFPSIIPALDGSHPK DHLHSPVVTQLALVCTEIALAKYWVSLGIKPDVVMGHSLGEYAAMHIAGVVTASDTIF MVGRRAQMLEEKCTISSHSMMAVRATLQQIEECLGTKPYTIACVNGPSDTVLSGTKES TDDVAVVLEAAGYRCFKLDVAFAFHSEQTDPILDDFEEISKTGVVFQEPKLPFISPLL GKVIFDSKTLNANYVRRATREPVDFLSALRNAQKISTISDETVWIEIGPHPVCTNFVK TTIPSTKLTIPSIRRGDNNWKTMAESMAALHLAGFGVSWNEFHRPFEQRLRLLDLPTY AWNDKNYWLQYNGDWCLTKGNTFYDAEKEAARAKSVLRSLPASGFLTTTVQQIIDESF SDFAGTVVMQSDLMQADMLAAAHGHSMNNCGVVTSSIHADLAYTLGDYIYRKFYPKAK EVNVNFANLVVAKGLVAQNSNKTPQLFRVTAVTADVRSGVVDLTWQNVDNDGYAHEPF ATANIIYGDSSEWISSWASLGHLIHGRIEALERLATEGKANRFSRKMAYTIFASNLVN YAEKYRGMQSVVMHELEGFADVQLTTKESGVWTVPPYFIDSVVHLAGFIMNCSDAIDT KNNYCVTPGWNSMRFAKPLLPGAKYRSYVKMIPTVEDPTIYNGDVYIMQDDTIMGVVG SIQFRRYPRILLSRFFSPPDKMATFDVKPKGQVAAPKTAVPAQDATKFDAKVSLPILA RGASEPDSDDKQGNPPAPALPAPDAAAPSIVESAAASGTTTAKAIMLIANEAGLELSE MSDDALFVNLGIDSLMSLVIAEKLRSELEVKVSGSLFLDYETIGDLRQWLDEYYS VE01_05552 MDAFRDSTPQESAYSAPQVAAWLGRISLPSSLTQYVDSPSAFPK TATSLQSLFRCQITTFPYENLSVHYSQSHQVNIQPDVLFTKMMGPDHNGRGGYCMELS IFFHHMLRGLGFHVYMTGVRNRTRTDGKPQGEYQGWTHINNIVHLPCGSKFSADVAFG GDGPTSPLPMDGMASALRNLGTQEVRLIQENIPKQRLREPKLWVYQYRNSSDKEWNSF YSFSEIEFFQEDFEVQNWWTSAKTLHRWTVLVVRFLREGEPIKFSRDGAWRKGDDQEI SIVGKVMLVNNLVKVNVGGKTRVVHEFNSEDGRLLALQEYFGITLTQEEAQFIQGWDM ALK VE01_05553 MLVLIAGITGSLGKRLAEIAIARGLSVRGLGRNPDSLGPEISEH LESFVKSDSYYDIPAHEKAVAGVDAVINAYSPIPMLDLDGHLLLIRAAERAQIKVFVA SSWSRDWTNIKFNDFEHYNNHLAFEHQIATTSTIRPVYILTGIFTDLLFTPYGPGGFD TSGDRPRMRYWGNENTKSKWPWSTQDDAASRTIDILLYGDGVQAGKGGFFKIRSGVTT IEELAVVYEKVFGVKVDVTRAGSVDDLEKELARLREEKGRTGYGEYMAEAAALIASKG LWENRDLTVLDQFKKSPTLEEHFTEVKNKA VE01_05554 MSTNSIKKASSRSNRGPKWDDVKKCERLVPETSKKPESGSLPTS QNVTIDTTNSPGSEHPGVASSAVSPQAKPIVTPSAEDRAVSEAIDAIEDLTTDFDATS TEAGPSKRTQKATEKRNRKTLKSDTTAAISQKKVSQTRSVNDSPNNNYQGSFPTDDAY ENSPASSESSLWGDDQSWNTPNYLSFDPARLLVYQMKPQRKTMRQRLSSIKQKAVEIA TDVDWVKDAMASMRIKTGAESPRNKEKSAKNSRKDSKDTFDVILRGGFGDILGLNGGG NDISGFGEGSDTCCAQLSAGLGSSVVFNSSSAYAKSQSSYWALQQSELIPSCIVVPST ASEVSDAISIISTIESCHFAVKGAGHGTVVGAANIDGGVKFDMSQLNEIETNSEGTVA RIGAGSQWGEVYEYLDNRSLSVAGGRNGDVGVAGVLLGGGISFYGPRVGWATDNILNV EVVLASGEIVNANATSNQDLLKALKGGNSNFGIATSFDLRTFPQGEMWIGYLGQSINS REEVFQAISDIASNANEDPFAALVGDFKFNSATKSWVMNHTVAYTKPVANPPIFQPLV NIKPQLSNNIAITNISSIALGQKMGAKVAYNKNHLSYTGTYGNDAALLSKIFDISNAT VHKIMPQINGGIKWVTMMEPFPALVDSFGEKNGGNSLGLSADTGDRIVILQLAQFEGH GANDLVDTELHNMFDEIASVASEMGLLRRFRYLNYADKQQNPIASYGPENVAQLQATS KKYDPHGLFQRQAPGGFKLGMQ VE01_05555 MKFTLALAANFLVGAAVAAPGPAKDCKESFGLEGFAKENPLGVT TGGKGGPTTTVTAAAALATAVLGKNPLTVVIKGDFDLPARLLVGSNKSLIGHGKGATI TGKGVTIKAADNVIIQNLHIHDILDDDCITIHSSTRVWIDHNEFSSDIDGGPDKFDGQ LDIIHASDFITVSWNYFHDHWKSSLVGNSDAYGDEDSGHLRVTYHHNHWNNMGTRGNA GRFGHQHLYNNFYNDFHYQAIHSRSNNQVLVEGNVFRGDTPEALSTYGLVIPMDSPNT SPDGDYEIDGFANLGAKNDFGRSGVNITQKGNFTKAPYKYKLTPLKSVEGLVKQGAGR GKI VE01_05556 MVPSTVFLLASLVPIAAAVKHVEKPTVFVYVCGDETSTSFPGDH ASTRRPALSSSGVASGVDSTTAPGSFLTSTTIGDATSTSISIELSTESASPTDSASST DSDSSTDSASSTDSDSSTIVETPTSSVITTTGSTVSSTSEAPTSSATTLPPTPMSCAN AQLSCHNTTAVADLCCFNAPGGQLLLTQFWDTAPSTGPADAWTIHGLWPDRCDGTYDA NCDASREYTNITAILNSFGKTDLLTYMDTNWKDYQGNDESFWEHEWGKHGTCISTLDT TCYDNYKPQTEVVDYFEKAVELNKGLNSYKILADAGITPSQTATYTLIQIQNALKAAH GFVPTLGCKSGVLQEIWYHYNVLGSVQTGQFVPTEPDGTKSTCPATGVKYLVKYATGT PSGTATAPAPTGTGAPFSGKGFLQAYTSGSNKGCLIGAGTWYTTGTCAGYTATESGAG FTLKSSKGDCGIVDSVFTCAAGVTPSVFTDSAGLLAYEGSSNFYTTAVPSGSVQGKVT TAQQAVLVTFKWQST VE01_05557 MAAVWLFPAVTALHAIIIASVHVNGAVDMDIYGSFQICLTGVLS APVTFRLSRIFYNRSPGRNTILLWTALVLGVEFLRAQFSPCAQTDSEPPISPDPSKFP YNTTCNLTCSVQDGPFSPLREGSGNNIYVIPAPNKLTFGTATLFAAAGCVQVILSLMS MWRSTLELNWQERFGGKEEEVEGARVDESTGEIDKYTKIFLRAISLPLFIAALLAVIE KLQPQRSGRSSTFTGLDISIAYHTQHRR VE01_05558 MDPRLPQHPFSRNAASPYTPHTTFQQPPPSTQAPPYSPGADPRN APPFRPEHQRRTSENTYFQHAPPTYPRDAAPIPNTSTHSRTASASSIGHGTPLIRNMP PPSSPQQQSQQAPHPQGPHPQAYPPPPPPPSRQPAPAIGPPAAFPTNRDLPALSSIHR PNSVNSSSMSISSMLGGPSAAGRESGPPPSQYPAPQAMMPSGAPSGPSTPYAPPTHPS PRQSTAGPDYGRFRRPETPEHHHLPESQLHHGHRAVSAGSPQRVPNIPSPESNRYNTP QAAPQRNMPNQPGPTEERREQYPVRVPNPNAPPPRPTSQPALYNQPVREREASMPESV RSENGRPQAGYAPRGIGYGSREDIPAFREQARIEEAIMMRDMEREREQRDIRERERER ERDRERERERERDVRDREYRERERERDREKDRERELARERERMDRERDIMRDRERERM NHNRESAQFGRQEGPQYGRQYGPAQQGAFVGRPETEQGPWARGRPEEQPREPAPQQAS GGMGYEFPRNTSQPYGGTSGYGPQDQRQDQRQDQRYSSRDYRDTQPQNQPSQQPQPGQ QYGSPVQERERIGGPVGPHQQYSGQPPGPFRSNESPRSRSNEESQQMQRGVYLGIQQE INRKGRNSPFPQAVQGVQGLVNGPAGEPGIKSEFGKMFSGIGSGVGGLGSTSNASGGS QTPFSQPAQLRRDDLDGVAAQDSPTENGHKIARSSSRGGRRRKLQTGEGKDGDDSSTG RHTPSGRGTKRPKHQHSAGAPHRHSENQRPEPVAQLSSPTGPSLTPTFGHPGHGAAPS PPPKIVPHHHHINRHHHHHHHGSPHPKPAPQIIMPKPKTVVKSNAVLDSVAHLPRKHL GHFTYSTVLQPGDPPSVIHNHQRRRAFNSNPEPLPKMEGSENCTLTVRVPRVYLEPAS REEITARRSVWGTDIYTDDTDVVAACIHGGWIRGEWSDDVDISVLDLVIDDSAEDNST ATSKNGDITLTAPPARGPMLPPPSKDLHVTILVLPALEKYSSTTRWGIRSRAWANTHD GLSFQIMSVKFVSGVDTAKETRGSARRLRIDSQLREDDLERESAWAALLQVGNGHHDE VARNKDGIAESFVRGDPTPAQGIVGLGMGSWWKEPLKRVEEIRRVEPEGEMDVDEKVG EDGDVVKDTVQDGVQDVVRDDVKDAAVKKAAAKEAEEASIPDGVDQVLQDVDQPAADV VE01_05559 MTTLSRSSSQMRLPMPGSAGASWAAYRARFKAVFNGADPAVLVA FWLFGLINNVIYVIILSAALDLVGPNIPKGVVLLADVMPSFLTKLVAPYFIHRVPYSV RILSFVLLSSSGMLLIALTPPTADGGSVTIKMIGVIISSLSSGGGELSFLGLTHYYGP FSLAAWGSGTGGAGLVGAGLYVLLTTTIGFTVQNSLLASAFLPLIMAISFFLILPQGP LKFARQKKEYRTVPGDEGADDEDLPTESLLRDTTGSTGLLDSTPVSAPTFRQNLRRAK SLFFPYMLPLLLVYIAEYSINQGVAPTLLFPLPSTPFTDFRSFYPFYNFLYQIGVFIA RSSTPFIRIRALYLPSMLQVVNLMVLISHAMYNWIPSVYLVFMIIFWEGLLGGAVYVN TFADILEEVPEEDREFSLGATSVSDSGGICIAGFIGMALEVSLCNWQVNRGRTFCKQL VE01_05560 MATHDLAIGQTVELADGRIGIIRYVGFPHFAAGEWVGVELEDDT GKNDGSVQGERYFDCDMGRGMFVRPQAANVLEQAPPPPPPKSAPVPKRTSRPSSVVVP PARRVGSVSDPAAGKRMSMNASSPSPAARLGRPNSILRSPTKSPTKQLASGATSGAST PRTTTPSIGSRPTPGSVKPRQSLAGPGNRTSMGPPPIPTPRTSRQSISAAPGSRLASG AGRVAAGRPPSLAVPDRHQSISQRLGSGGSQVSSGQGSDSGRQGEDNDYSSGSDARSQ ALSPTSTSSKAISPVLTRTSAASKPAAASPTTTVRSNASQVTSRSTAGNSAATNREIE DLKTKLRLMEKKRMEDRDKIKALDKIQAERDKFEGIIQKLQSKYQPQQQELTDLRKEL KEAAAKFEDIENSQADHDVVLEMATLDREMAEETAEVLKHELEALKMKAEELELEVEV LKEENAELGGEMSEEDKASQGWIAMERNNERLREALLRLRDITQEQEAELKDQIKLLE EDVRDLSGVKEHYESAKAKLAQSEATVEDLRQQLDNALGAEDMIEDLTERNMSMSEQI EEMKVTIEDLESLKELNDELEVNHVETEKELQEDLDFKDTVINEQARRAAEQQDALGD MEYTLSRFRELVTSLQSDLDDMKASHALTETESEQLNSRSRAMMDLNLKLQVSASKTQ MKTIELELRRLDAQEASDHLAIVQLFLPDGYQTEKDSVLALLRFKRVGYKANLLHGFV KERIGSHHRGHEDDIFAACDVLDKLVWVTAMCERFVNAISHCSTEQFAKYEGALYELE PVERALNAWIDGLRRDELKERQCASDLQRTIALMSHLAEIHISDGLESYAHDVHMRAL VIQSHLDNTATALSSARALVQSHITATGEEDELAQHFTRKTDAIINSTRSAKVIVSKA VRSLEDLKSRSLSLTPDTIQNFEQCETATEELALFSRHIGMDLFTLLSEEGRAEPFTY TEVQSSIHRTTTAIFQSSESDIFSTYANKIRAATTHITDLAALASDLEMTQEFESAPA PWIIRSKELSSSGTIPVDAEEELRRLREDNHERARTVALRDQTLEESSVKIELLESRM RDATKKNERITQLETKIEEAKKRETELAESLEAQSKELVAMEQDRERWRKVAGENKIV EEADPATKAGKERAVATAREMDALKGEIEALQAAVRYLREDARRVKLSTVQPGNLDWL NEPLVKPKTAEAHRKELVQTEGADVLFELVNLAAESKVFDLSTLPENRLAWRSARSTP QWHVARQREEWESWKGWNESTTKKAKALEPRRIVRSKRGLQIARVDLRLPTLEAKGVY GGEVEIVDPGLFEGFKENWGIV VE01_05561 MDSAQMMEKGKAESPTPTPSPPSGVVSDKDKSLYGNASESERER DIYEEGMQKYNRLGWKRLTVVLIVEAIALGSLSIPAAFASLGMFAGVICSVGIGLIAI YTAYIVGQVKVNFPQVANYPDAGRLMGGRWGYEVINAMLILQLLFLVGSHCLTGTIAF QNITGSGICSIIFAVISAIILMIIAIPPSFTEVAILGYVDFASIILAIGITIIATGIR SSNEEGGLSAVNWSAWPKKDLTFTEGFIAITNICFAYSFAMCQFSFMDEMHTPTDFMK SVWTLGAAEIFIYTVTGALIYAFVGQEVKSPALLSAGPLVSRIAFGIALPVIFISGSI NTTVLGRLVHTRIFKNSNIRFVNTRMGWITWIIYIVLATIAAFIIAEVIPFFSDLLSI SSSLFISGFTFYLPALMWFMLLREGKWNTPKNLMLGALNLGVFIIGIVVLVAGIYSSV NDIIISYGAGKVRGPFSCGSPE VE01_05562 MAPRPPISNRPLPQVRGTPMNPGALTTQLNTHGTVAASSTETQT MSNPGQHVQVQRALPTSNGSRPSTPPSSANSTAITDVAEQMRSIMESLERQPPTAENR AQAAIMARNLAELREKMDAAASAAQPRLSADAPEFTPSPSQERGRQGAPSAGNERGAV GGGNPLNQGGMVVPTFTFTSESPGQPQQQYTQEEVRTQIAAIYGSSAQNNVSQLPVVN GSAMASFHGHMEPITINPVTGRPRTPLSHLTAISNNARRRSQERAEREAVVSQAQLDQ IIQQNLAQEQQMQQAQQLQQAQQAQQVQAQQMQAQQFQQAQQAQQAKAQAQAQAQQAQ AQQLQQAQAQALQAYQARQAHQGQQPPPPLQMPQPHHQPHHFQGQQPSNGQIFNQIQQ NLQFAPQQPQQQYPFGMNDMSYGQSNHSHMHPQQQTGNDLAFNNMQDFSQGPPVGPFI PQNYEYPSMGQFSAQPASQQHQNMPFNNVNSLPAQTETQNGVPLTHTLDAPIPTRPNN DNVTTNPSMMTKFTGTPPQTHSRPAVDGSSDDVAGYFPSAYELTGKWHTLPATMRTPP PQTVQQQNGRDRPRNGDDPDNGASGPSNGANGGVTGNGNGNGNGNGSMSLPTAKSPRN GAMNGNGNGNGGTTLSISKRTYDQNGKTHSRGPSQDNSTTHKNGNTRPQSASHTWRSH THTISGDFTTLRRNAGHLDLLGSPAFPVSASEYAGLRADTLAEKQARLTAQLGEMMKM KKEQQRVAEAMMGKVLYGYSAVLAEKEDVWSVNGSTGAGYPSVAEMKREHALAVSETG SSPGRFFPRPKGMVASVQQGYMEVREPDLVLANMPREDWSVKSVEKARAWQNEHAWGE IVAKASKEAAQKVNQIPTNDSEWLVGRELLDRLV VE01_05563 MVEKGLKKTNGLGVQVENTKICVVMVGLPARGKSLIAQKVTRYL KWLSIEAKVFNVGTYRRTDTPKPTADFFDTNNPAGEKMRRAAAEAALSDMLKWFRAGE GTIAILDATNSTRVRRRWIYDECTKEGIDTLFVESRCDDERLIMANILEVKTTSPDYQ GTDPEAAALDFRNRIRNYEKVYETVDGDGDEKDLTYVKIMNIGAQVIINQIHDYLQSR IVYYLMNLHIKPRSIWLSRHGESMYNLSGQIGGDAELSSRGKQYALKLPELVRQSVGD GRPLTVWTSTLRRAISTGAHLPANYNQLQWKALDELDAGVCDGLTYADIKEKYPEDFA ARDEDKYNYRYRGGESYRDVVIRLESIIMELERSEDILIITHQAVLRCIYAYYKEKSQ SESPWMAVPLHTLIKLTPKAYGTHEERTAAGIDAVSTWRGKGSVAKHEDPVGEPTF VE01_05564 MAEPVGPAPGGVEEQIALSVPPPSGPQQLPESTAGEVPKDGAEM FIAAAGDNSASDPIQPQATTIDASHTAPSPVTLPPTTLPPTSTPLQPTLPTATAPTPS TLGNPPEIPAPAPAPVAAPIPPPSLTSTHSHPTTSLSLSSTALLASTSTASLSTSPSS TDTQTLTSARAALEATILSTGTAYTHPIEARARDLHAMNIALATQQAQLLSATGALAK ENDRLAKLAEEGSRRLKEAGALDVWAEVLEGDLAAVEECLRIVEGGGEEHCESCGGDL AAVDEDAVRWCGGCDGLWHAGCCGEEGVSVDTAGETEGGVDRDAEVEWRCRECALRED LEAMVGELGGFVDDEMDTSGEITVEPPRGDLIHDGRGEMVYDEGGEAVMEEARNWKGK GVVYPQDEDVKVAEDGVERGEEVGAVGGAVEEGGATGGAEELEKLDVHADTLSDSKQA DPIQSQEVTDAPNSGDRPLEMDLSELEVAPRRAVWTWGV VE01_05565 MGISRDSRHKRSASGAKRSHYRKKRAFEAGRQPANTRIGAKRIH LVRTRGGNRKFRALRLDSGNFSWGSEGIARKVRVITVAFHPSNNELVRTNTLTRSAVV QIDAVPFRQWYEAHYGANLGRRRQQKTGEVVEPEKKSKSVEKKHAERLASQGKVEAAL EKQFEAGRLFAVVSSRPGQSGRVDGYVLEGEELAFYQRQLRK VE01_05566 MPWQPLPRIAFAVATFPFQAQNAADLPLELGDELYIIEQGGKNG DWFRGYLIAPPSLLAGLTSVKGQTLESRVFSGIFPRSCVEVREVLGDSSEEDLTESPQ LNGYHDDTLSKSEGSANSLNKIAQSLTNGTKNAKKRNSLRDDLRNGTLPPVARDTNAP KPAAPVPMLNIGDETPTSLSEPLVDEIASCLREWHSANLHELLLSRQYPLLDRMTSLV QGLDKSRQQLLHNVLTTHEVEQLREKTIWDLVKGNKLVSGEVIVRSPTERGRVLTGED SAVEITSLQSMMSCLEENPQHQVESVALHHLLVDVKGFVGASTETTTLVLYLASRTQQ AFPATLSESFVLEIPPNGSLESLATARDMRTLFMDLTSADIGDTNSADTEVFLVAKVQ QNQQPLHGKQPESRSGMVSRENLPSSVGEKTSLSGSVGKSARRSLMWGKSSQRATHSR NSPVSKMSSVAEKEVEHLPNTSDSKTGRTPPRTATGKVVSRTVGVGALKLTSIMKQDA DVEQVMSIWTPSADFNPDSQTLGEGWDEVIRDLLESNTGCYEKSAKAERMHLNLRHFA SEDGESLIKATPTLLSNISRTNKLGFSGAPTKPRSDIYVTIDKATLPRGALLSRAAGS ATALSTQMTCHNLQVTMEVRRATGERIENCIYPSSNGEPASSWESTVTERGEAWNQTI RLVVPPQDVPGCHLAILVSDAPNQPFAISHLPLWHQQAFIRDGHHSLLMYKYDETTTH TRPDTNGRGGYLGAPWNARGKDDVSKDEAITGPLSKMRVQTYLCSTRFSQDKVLLGLL NWKDQSIGELSDLLKRLVFVPEIEIVKLLNDLLDSMFAVLVEHAGNDTFEDLVFNALV TVLGIVHDRRFNLGPLVDHYAETQFNYPFATPCLVRSFVRLLSDPTDAESSKRLRATF KVTRHILKFITHARGQQIVKEADIGISNGSPGFSRHLRGIFKALDALMRNTAPILVGS QTLAVQHFQGWLPELTGLLSTEEILHIAIDFMDSCSAVKGKLVLYKLILIINYAKLEL FSQPDQKAALATNTVRWIAPHWGKTAEVTSQWHDQVRLCCSVLSTQIEMLGPEIPDHI PKIIDSYLAIQRAPRRPSSRLSLLFPSSYPFPTKPIEGSKPEFDEALVELSAILSAVS NRPQGMQLELAEDEMSTLLQDALRVHTSILECEAFPKSWLSIRIYHHRSTMKTLEYIA KILLESFLPDPEEEAGMDYNTDLWKSFFTTLLKLIGSDALALETFPEQKRRAVWKIAG DIREQGAELLRRTWEAIGWETTQEEKQRYGLQKIGGYQVQYVPGLVGPIVELCLSVHE GLRRVAVEVLQTMIASEWNLSEDLSTIQMEMIDSLDLLFKSKPLTESILQKFFINELL ELFEPLSTMQDDNMYASLSDMIATIDEFLDLLVAVYSADNANEASGLIDRLRLMDFLR DMQKEEIFIRYVHQLSVVQAESRNFTEAGLALRLHADLYDWDPLKILPPLSDPDFPAQ SAFERKERIYFDMIRHFEEGDAWSSALVAYKELREQYEENVFDFSKLARTQKAIGSVY ECIAKSNKPMMKYFRVAYRGLGFPPGVRDKEFVFEGAPAEKTSAFTDHLQEQYPGAQI VTQLESDDVEGQFLKVSSLGPYRNLDHAVFQRAKVANVTRDYLLSAHCQQFATTVSRN TSGPVEEHFAEKMVYITADQFPTISRRSEIITVERIRLSPLQTALERTVRKTQEMTSV ERKAADGDEAVVPLLIEAISISVSHSSESSIARYRALLPLPSFDEDGEEQVVILSPLE SALKMALIDHAIMIKRILTMFSRSMHPTVRYRQEELTSHFESTFGPELVTLAPVQPRQ ITPAPTWSVASPQLASDFPSPPQPKPSLKHSSTMQTTSSMPESPTRRKELGKLNFLNR PPKTGPPVSFKGGQARKESSDEGSSIRSGVSRGKENRRSFFGRGDSEGDWNTDTADGS GLRRSESHGSEGLNRPTTATSVGSKVGSVRKRLSKLTLGRKESRREFRAGVVIRETE VE01_05567 MAEPTMRAVGVSAFAGPAKYEHLILPIPTITGDEDILVRVKATS LAGPDVARAAGTLRIVQTIKLPVIIGSDFAGTIAAVGSAVTAFRPGDRVFGFTVNGGG AAEYLLLKPHRMLCLAKLPDNISFEDASYFPSTSLTIIQALDAAEAAIPGGLKGKTVF IPAGLSATGSLALQLLKPVYDVKKVITTVSTAKVSRIIELFGEGKVDQTIDYTTQNVV EAIGPQTVDFMLDTCYSSMKYIQIMKPGTGILYTLTGKKGADLREDFPTAPWLVAKIL DVANAVQTWRVSRWGVAYKPVFTKMSVYDLQRVGEWAQSGTVKAIVGDTADLADLERV KALATVVEKKNGLGKYIITIE VE01_05568 MASTNLLLTRATLLYISFVLMVAVHFDLFKRGPIPILTPLSHHL ATLLSPFTTCVGPLCLLAAIRYSTHAKWRHHRRSRQLVFLVVVLYSVLALLAYAALRR TRSGVRKALSHGEWWWKVPVEAALLVLVVVGERNRAVWDGGYINRVLDGKYEKMSAME EEKRLEREARDLKKRGGMA VE01_05569 MAIYNADEDSRRYFNKTRQTASELIVSVLGLLNNLSSWLHDLPE ELRLNLTDMDKCPNLSREIISIYLHYFQCITMTARPLLFHVVENRLKSQLGSDTGPAA WRQTLSPITVAVIDTCVSTAIDSTAVIYAAAKQNLIATYGFMDSDHVFSTTMVLVMGN LATPYNARCRASTTTALSMLQWMAERGNHQSKARHEFLLGLRAKTKHLWLWCPPESQT ADSQPETVPGVTTMPEPAIEACPSTSEVFGDRMGNDDTNRAYGNVAPLQLHGWDDELG VMDATFWEDSYGNLEVGMDFDWTTVAEK VE01_05570 MIVADNVPSDALGALQAKLSLRRGSLDVLSIKPRTFLIPVQPTL RALLQREDTDNNLQITIEDKGPKVLSVGTASSGGNKKFHLRGTYVLSNLLQELSLASE LDKSHVIIPETRLNENPVSRLSRIIKYSYWDRLTRRIDANNIEIVGRDPKDWTDDPRP RIYVPWGAPEQYEYYQEVARNRPEIRLDVRRLPEGPINPEYARDMDIAAPGLLALGLE EYVDEFGKISKRGLPFVVPGGRFNELYGWDSYFILLGLLSNGRTDLAKSIVSNFCYSI KHYGKIHNANRSYYITRSQPPFLTDMAIQVYDHIRDESGALDFLRQAVLASIKEYYGI WVALPRLDHVTRLSRYRSDGIGIPPETEASHFTQILKPYALKHNLSVPEFIKAYNLCQ ITEPELDEYLLHDRALRESGHDTTYRFEGVCANLATVDLNSLLYKYETDISRIIRDNF QDNLEIPREFRICEMQVGNESSAVWDRRAKLRKRMMDKYLWNAKENMYFDYDTINKKQ MLYESVTTFWPMWAGVASPQQSSLLMRHALPKFEVQGGLVSGTEKSRGKITQIRPNRQ WDYPYGWAPHQMLAWKGLLRYGYQEEAERLAYKWLWMMTKAFVEYNGVVVEKYDVTRP IGPHKVDAEYGNQGANFQGVSREG VE01_05571 MNFTKDDVEMVEDQSLGTDNTCLKTDLKAQAKIATEKELRMSLS EALRRYPKAIGWSILLSTAVVMEGYDLLLITSFLAFLPWTTKYGQRQPDGSYQLSAAW QAGLYNGAAVGEILGLFVAGYLAERIGYRKIMLIALSIITAFIFIPFFAPNIITLQIG CILMGIPWGVFQTVPTTYAAEICPVALRAYLTTYVNLCWVMGQLLASGILRACLTRQG EWAYRIPYALQWMWPMPIIVGVLFAPESPWWLVRKGREAEAKAVIQRLALQDPDDIES ADNTVAMMIHTNEIEKEMSSGTSYFDCFKGTDLRRTEISCVTWAIQNLCGSAFMNNST YFFIQAGINPTNSFNFSMGQYAIGFIGTVLSWFLLSHFGRRRLYVVGLTILAALLYII GFTGIAPNSNKGAQWASGSMLLVFALIYNLTVGPVCYSIVSEISSLRLRAKTIVLARI VYNVFSIVNGVITPYMLNPTAWNWKAKTGFFWAGSCTLCLVWSFFRLPESKGRTFAEL DALFDQKIKARKFATTHIDLFSDEPIIAEDP VE01_05572 MKQAYDCGINFFDTAESYSAGQSEIAMGKAIKKYGWKRNDLVIS AKLNWGGAYGEILVNNHGLSRKHIIEGLSASLERLQLSYVDIIYAHQPDRLTPMEETV RAFNHVINQGQALYWGTSKWSADEITEACGIAKQLGMIGPIVEQPCYNVLERTKVEGE FQRLYTRCGIGLTTFSPLKMGMLSGKYEGAKTLPSGSRFAESEDKFAVFMKGQVGGED WNTTVEKVTKLKPIAQRLGVTQSQLALAWCLKNENVASVITGASRPEQIVENVKCLQI LDKLTLEIPVLVGALLASESCYRPACSIPVRPRVLAASPSASLPASSPAPAVPPAAAT ALPSAQPMAPAVDPKRIRLADRSNNDVRKAISPEVRETTIPEHRGVRNIISGHNRAVS MPILPMTVYESIHQGFHPPTRTPTTLHEEISTLINDQYGPGPAEQDEDTPMIPDNLTN NSAESYRRYAAQPYVATSPSFGSSISITRATVNSTGPSNNTAAVDFAAGNTSPTNETS EAEFRAASQRAAAATRAACLLIRNAPNFNVAETWMEDLNNDLEDVIEDEHEFSMEIYR PNEN VE01_05573 MSLTCDPRAPQTVPNHVRDDLPPNLELVQLKLKQQELRLELKRL YGHAFVQGSIGTEASEEYRQLNRQIATVTKTFKRELKREYRRDYFYQIHNEELKKIIK KVKVVTPTYVEPVVKHQLSERT VE01_05574 MHRFVPSSGKRTEVVLLVYTGLYPIWLTAPPAQWLLTNSLSREF IRKEYGGFLETFDSYPFPVQRADAIRYFVLDHYGGIYLDLDDGCARRLEIMLEYPAWL RRSLPTGIGNDALGSVPHHPFIKRVIESLENYAIPSALPCINFRSVVNDARATRVADL LSDFRALQYSIVSVTCDSPRPDGFYTEGYAALRQCSVDGQHVLNVAADTRVPTGRSGP AEQEKAELTQVLLDSFSRRHEAQKICMRQSAAMRWVAWRDSVLLRPDPSHVPALVSGD QALRAELATVTDENIYNLLRNSD VE01_05575 MAQVQGHCDARFSKLRDLMQEFIVSGQDVGASLCININGEDNVV DIWGGYADPLTKKPWEKDTVVSVFSTTKLVTNLAALMLISRGVLRPEDKVAQHWPEFA ANGKSEVTVGQVLAHTAGLSTWQDNMTLEEACDVEAATDKLARQAPLWAPGTAMGYHA VTQGFLVGELVRRKTGMSIEKFVTEDICRPLGDGADFQLGCRKEDWNRVAPVVPPPGP SIQKVLSQLPEYDPDSLLVRTICNPMFSAEDANSVLWRSSALGSVNGHTNARALVKIL SCFSLGGTCAEGGHRLLSAETVKLALTEHAIGKDVVMGRSGRRGLGIYLTGSGSGVVE GKLPEGSVGWGSGWGGSIVVMDSDRKLTIAYTMNKMLNDNHPSPAAYIKAVYKILGVT LAV VE01_05576 MGLDNGASATIHKVEEANLIAALEEAVQAGLPGISCTIATKKGV IWQGCAGDADIDTGSPIEERHLFGIGSITKVFMSVIALQLVEENRLDLGRNIGSYLDS AVTDGIANAASATIPSLLNHTSGIASWEDDPVWIREGRGRDVDPARIWGKTDALEYIR SKAAQEEQSIADAPVPGKHSYSNTNFTFLGHVIEAVTGNPAETEIRSRIIKPLSLESI YLEGFEKSTACLVPHRYHFVSPEFRETAGVSPQFPFAKPDLIDASKSNLSVEWVAGGM LSSSADLAKFFLALRDGRLLTPSSMKLMATWIPTGRQGSEVSHGLFRTDRKNGATIGH DGGVLGFTAFAWWAEEGDCVVTVLCNVGVMHCGKELPAPIIGRGDTFVDLAKALANRY NLAS VE01_05577 MFTLPLGTTHRSFLRRRRLVEYLHLILFLLRIPSLLLALYSFPS HSIYIPAFDPSTPHPVAWVPFLGCLFALIGDASEFLVTCRFGTDENMFRYSLLSLYDE VAFPLYLVATLLVTSHQRAALHDGVTHDSLSDAKRRACLALLIANTTTRLFFVISPFI FYRVAVRTVRGFDEAFEERFGSRYPMY VE01_05578 MALDAASRSLSPLPAVLSHGLIPVSTFGLLSFISSTSLFLWLTF RLISWRRKSAVKGPINQFLFLIYNLLFADIQQAIAFVLNIHALRHNAIEVGSSMCFAQ GWFVSTGDLASSVFICAIAVHTFFGVVKDYRLPTVAFYCCIAGLWTFVYVMALIGPLV HRYDFYVRASAWCWINDAYQNERLWLHYFWIFVCMFSSVLIYATIFIYLRARSRGEDM SSQMIHHATPLMILYPVIYIICTAPLAIGRIAALAGNEVSLAYFCVAGSMIACNGWLD VLLYATTRADIVFTAYPPSDDIGLETFAFMGKGHTFGTVTTVEAGPGGASRLGGGRRS QGGDSVENLYGLDKIKVKGEVTISVDDGRGMRQRTAEHSTAETENSWDLPSRKSSQT VE01_05579 MLCDRALLLSVLFAHLPLAISQTCVWKDGTTATDYVPCRTGATS GTCCHAGEACLASGLCYGAPGLIYRGACVDSWDTPDCLTYCKESLPYWANIYPCNEGL GADNPSRFWCGSPTLKTCSVDEGKLFKVSPGVAVKLIPRVSTTVASSAQTSSPRCSLP TSTSASSKVAARNPDGVASSDKTTCSGATEAEKIHFANSVTAVGAGVGVPFGVLALAF LGLFLNERRLRKKLTKPTDVSGPGVAYGPVNSTYQGPDYGQGQVPVRGQEQEQVQYVY KPENEMPANSDPAHELTGGHHRPVHELGGQNYPL VE01_05580 MSTSARHPSDGPDIVGPSDGTCGSTRGDVMSTSADHPSDGPVVD MLDHPMELVGPPVVAINTGRYSTSMLGHVTFKGLELRHVRDLRKILTVEKRPLREQLR RRKNTFFWKGHEQVLSVK VE01_05581 MVAAKTPTKAANAKTAEARAKRKAEIKLKELRKKYDNGANPQYK EELDALQLASVESGDKLMNCQTDVEMANKEEIGIDKMDVDSLFVEEGDNGSDGPSSSS SHISSKLSSSNLGFSNLAE VE01_04067 MDKPELHLKEDVLQTESKTDELSNAVQLAHDATEGKYNPFTPSM WRLYGCLWVAYLCGCLNGYDGSLMGGLNAMTTYQDYFDVGVSSQLTGLIFAMYNIGAI PAVFCTGPVNDLYGRRMGMFVGAVVIIIGTCVQATATTTDQLLAGRFVLGFGVSFCCV SAPCYVSEMAHPEWRGTLTGFYNCTWYIGSIIAGWVVFACSYIETSNGFRIPIWCQMI TSGFVAIAVWFLPESPRWLMANDRYDEASAVLARYHGEGSATHPLVMLQLKEMQNQIG TQSSDKKWWDYRELFNTHSARRRLICVLGMATFGQLSGNSATGYYLPVMVKNAGIDDA HTQLILNAIYPIICFIAAVTGARMTDVIGRRPLLLYSTVFCSMSFLVIFGTSKLATES AANRAAANCTIAFIYVFGIVFSFGWTPLQSMYIAETLPTATRAKGTALGNLASNAAGA VSNYGIGPGLQAIGYWFYLVFVFWDLIEVVVMYFFFPETKERTLEELSEVFEAKNPVK KSLEKRGANTLLHTMRVEDPAKLMDA VE01_04068 MADDAPALRGTFDTERIEAPVTVKAYLMCAFAAFGGIFFGYDTG WMGGVLGMPYFIRQHTGLEYPWDKYPSMEGAAYEQYKLDFHIPATNVSLMTSILSCGT FCGAIAAGDIADFIGRRMAIIAGCIIFSIGAVLQTASTTLAVMVVGRLIAGVGVGFIS AIIILYMSEIAPKNVRGALVSGYQFCITIGILLANCVVYATQQRDDTGSYRIPIGVQF LWAIILAVGLFLLPESPRYFVKKGRLVEAAAALASVRGQEVDSKYIEDELAEIVANYE YEQQAIPQTSYIGGWANCFKGSLSDGSSNLRRTILGILMQMMQQLTGINFIFYFGTIF FQSLGTIDNPFLISLITTLVNVLSTPLAFWIIERFGRRRILIIGASGMVAAQFIVGII GVTAGRLEAHNATAVKTMIAFICINISFFATTWGPGAWVVIGEVFPLPIRSRGVGLST ASNWFWNFLIGFITPYLVGTEKGQANLGAKVFFLWGALCCVSFTFAYFLVPETKGLSL EQVDKMLEETNPRSSNKWKPHSTFVAEMRAKHDGIKIAEKSVERVEVLGEKAV VE01_04069 MRFHAFLGLAVVLVHSPLTAADVTTTISAQSNRGTWDGWGTSLA WWAKKFGDRTDLSDILFTVKKSQFLGQSLPGLGFNIARYNAGAGSSNSYNGESMVKSA NILPSRQMDGYWVNWASENPTSSSWNWTVDRPQRQALRHAIDRGATRIELFSNSPMWW MCKNHNPSGASDGSENIQSWNLERHAIYMANVAKHFKDKWNVQFESVDPFNEPSADWW RADGTQEGCHFDIATMATVIGYLRTHLDSRKLSTIIAASDESYYDQAVNTLQNIGSTA ISKISRVNVHGYQGGSGRRDTLYSLASAANKTLWNSEYGDGDGTGMNLVQNLLLDFRW LLPTGWVYWQALDGGGWGLIDASNDSGQISGVNQKYWVLAQFTRHIRPGMRILDGGND NVVAAYNASAQKLVVVAANYDTAQYINFDLSSFSQRPANGTAIARWNTQINGGDRYVQ YSNTTVSGAKFSSYFNTNTVQTFEVTGVVL VE01_04070 MKPPKKAPAKVAPPVLTGPAKLAEVARVIYELNRDLGKICMLPH QRDAALEQLKVYGRDSKDADPMFTNEGIETNTRHAFNSPSFTTSRCALRCLANAMLLN PSARARFVELHYEKKLCQRLKNGNQEDELLVSRIIFLTTYGGNIDIENLIDHHHLADN INHNIARHAKQYDEAHKIEEIQSDRPSYSFMKDDTDPSVVSLIHYDAVNLSLELEYIG PNLATFSDRDRMSLLPETSQNRIWRDITSGIEYMHAQNVTHLDIKPQNILLQEGGRAV LCDFDISVRGAKPVPCNAGTPWYVPPEHIFDGRRGREGDVWPFGGTMLFVTLHKEAHG KMLNWLREVRRAADAAPKNLSLVRSMLEPNPRKRITAAQLTIELAAQVSWKSSGSELV ANLALLNI VE01_04071 MTVPGATWTAKGTNQHVQAHGGDITQVGSTYYWIGENKLSGSAF QSINCYSSTDLVQWTFVNELLTLQPGGDLGPNRVVERPHVIYNSQTLTYVMWMHIDSS DYQEAKAGVATSPTVCGNYAYQGSSRPLGFESRDMGLFKDTDGSGYLLSEDRSNGLRI DKLSSDYLSVESTVYILADYESPAMYKSNGTYFMFGSSLTGWNANDNVYTTATSLLGP WAEWKTFAAPGSNTYSSQTTAVVSINGVVMYMGDRWVSNNLMSSTYIWLPLTISGTTA TMDNRNNWIINPSAGTWSSPPTETVIEAESCSNILIGGATVVSCSGCSNGKAVGWIGG SGNGTLTFPAISSWTSTTTTIRIQQQNGDGVQRYGNVTVNGVSNIIAFVPTPNGNTPG TSVLTVPLKKDSANVIKFEAYNGGYVADIDRLIVPIS VE01_04072 MSDKDANNFVQNVGYGFSSGLLFRQNVQVPDNALEAWSTSDTGG VTERISMGARNSSSSSIETEEPWEGPKMTREERERERLMAIFDGV VE01_04073 MVGITKLIVVGLLGHVSAISNASSKLWYGNPAGNFQEALPVGNG RLGAVVFGTIAEKVVLNEDSVWSGGYQDRVNRNALGSFPNVVSNLNNGDVSSADSLWN SDLVGTPSISRIYQPVGNMILNFNHDASLVSNYNRTLDLKTASNVVSYQINGVKYTRQ AIANYPRGVIGFRYTADRPKALSFTISLSRDQGVRSLDVNVSNRSITLNGKAPADSGL DFAAKIKIVTSTGTVTSTTTALTITNSQVVEIYFDAETAFRYPSEQQWWNAIDSKIDG AISAGWSSFYNESITDYQNLYNRVSLDLGNSGTVGTAETGTRLSNWRSQGGVNYDPEL LTLAYNYGRFLLIGSSRPGSLPANLQGIWNDNFDPSWGSKFTININIQMNYWPAETTN LGETSMPVFDHLKRMQSRGQDVARTMYGAGGWVCHHNTDLWGDCAPHDSDTLWSANPM GGAWLSLQLIEHYRFSRDNNFAANVALPILSDALSFFYDFLILKPDGYYATGYAASPE NQYYIPANKCTGGAIAGLDHGTAHDRQVLYELFKGFVELSDALGSTAGVSQAKDYLSK IKPPIVGNSGEILEWSGQYAEREPGHRHLSHLVAVYPGSQISPLLNTTLSNAALVSIN RRMEAGSGNMGWSRAWAAGIYARLLQGNEAVSHVLYLVSSYLSNNLFDLNTSVFQIDG NLGLVAAFTEVLLQSHAGVVHLTPALANNVLAAGSVKGLVARGNFVVDITWANHALLT ATVRSQIGGMLALRIAGGTTFSVDGVRYSGPISTIAGRSYSITV VE01_04074 MTNTKDDDHQHDDGSSSDDDDDATIEPRKLVREHGTERLKAALL DRLAEFMAAIPPGGRGPGEDKTAKAKDICATFLQMVDGTAVVYITKNGGLSPDDVKML KRLQIGLSAGSRTWQPRDKTKDLLRPTMVQFYKGRLVWYLHKLGEVFRQVQSTFLVDD NEINSKLARLQQLCFLNEELDDGQWTDAISLEYELRHEPPLKAHLHTLGLFEEDSQKL LRQVLFLGRPESA VE01_04075 MLSGKPLFQGWNHDRLLTKILNVLGNQDYMWIRSEDSRNAIMSN YKPERKVPLMDKVPKASTSALDLLKKLLQFDPTKRIRAEEALRHEYLREYHNPENEPS AAPLPESSVNFESIEDMEKLKKAMYEEIMSYFPSSSTTGRA VE01_04076 MHLSKASTIRPADVAVSTVIKLSAQANIAARPIPKDIASLSIEF CYVIDYLRDVDSPNVLSLNLLQNIEDLVSNPLVIRLGRHTQDAASFCAGCLETLNNTF TPSNDEAIATSYNKNLFTEFIFGLNLGGNDVSIPLAEVKAAEKYMHPSRLRAYELGNE PDFYGSQRPKPWNLQTYVAQQEDWLDQLAKKTAKGFSIRALAQLPVYQGNFSLDEIVA LGLPKKVDYAVSLSDHTYPYSMCDPERAKLVSLPNLMNHTALVEFLAQWDTEIKAAEE AGVPFLMGETGSVSCHGKRGVSNTLGAALWELDYMLHGATIGIGGVYFHMGTPFYYSA WQPVAHNGEPAAVYPTYYSMLFMATALALKEPYIASLPSADTDLAYYGIYEGAPSAAA MPQKLIILNMSFLSSNNTGPVTPAKAVDVSQIVGKRAKAPVEFLAVGRLADGEIAHSI SAATIDRVDAKIAGWKEKAGATRKGGEALWTQPGSKKTCCVAVKWSRVTDPRWPTNDY HNPADANPTFACPICVGSFTPCVWTHKDFAAEARVLPLPPGARAPGATPQTEGFYVRV FAQ VE01_04077 MKVTALNILALTTLVAGLTCPNNSGGGCGQYTVSGLGSRKTQIK NAGGSSRDLAIAMMETEKMDTNYAYGDNKSGDAANFGIFKQNWGMLRASCSQFKGQSS SQYNNGAVLNSNLASDLSCRHQGESYYGYQKWVAGHRNGASGLANPNTADINLYKGGV DWTEQQLNGGHLSDNERFWVDVVPI VE01_04078 MGFAQITIALTKIEDMVGDTTKQKLIDELARAKATIDQQKIDLT TAATLREELIAKHGEAIGEMRATARLHEEENVALKAQITELLRQLADPREQPPPPSRR GALTFAESIPRRPHVIPSPGDPTPLPVGTPHILPPQRDTLMTPSETPSARRRGSALEE WNRKKRRALSTSDVSSERVEWAVSTSDDEDEDTSRHPPFSAVLLHVPRPRAISFRWWR CAWLRASTVRPNRRDERDARFRLIRASDFRWRRDFSRIPGSALTIPLRVARIPWLTEP ASLAGPGSASSSSRGPGQIFSGFAPVPVLSGSAEDRTAPPGRRPASIAPGLSGSRGPF VGAPTVSLFPSDLAGRGVFSGAMPAPVLPGPTMGRGVFSGPAPAYLLPGPSQGRGMMP PPAPGIQIPSAGGFASAPVPVSGLAQSPSSVPSGTITVVSVVAIDHVAAKISEWWARA EANRPGSGRVWHQPTSKRILCVELKSRTSRVVVAQPASNYYGKDRRD VE01_04079 MQPSANFQRGGGNFPQRGRRGRGVLGSRKVPALAGNLMDSQIDA LVLETEEVLLFDTAAQVEEMLNSRRDAAELPAQLLNVPLVALEEVCRLERVQAQSLTR AHQAGSAILKAHDEKMEQFDKKLDMVKEAIDEIPGVPDEGLTTKQQRDVRRAVDEGLE DIKEFLETTQGPDGRLAKGFMEFCNYFDGAPTTIAKEIQDQLKKSAEDQAKEAKRATA ADMAMAKLLEEQKTASAKDLTNTHKLIEELKTSSYDAAKIFDKLATIDGMKAMSELIE GINHGREELR VE01_04080 MATRQSIAQKFLDAEETKLLDLVKAILDQDGYLDDEYMASQYAY SKQAIMLAAYHILLATPSVVLRAIIRGDLPAMSGNVEVQYLQDPKYTEVPPRYEFIYP TIYTIYLVDRETHDPPTVEYLLLIIHSIRQVAGPSAIQRPAMETALKLCTELEIRMAG QQLRDPIVGGIVDVGFSGDSAARIDQHLADESSNKIMQIFADAAI VE01_04081 MKKLEHCALAEIIFSRMAQSYTSRGGGFNGAIAGASIQGAHALT GVAWEEIAGVAPDWVLSNHYTSDAAKVRAVVQDHHARISCAQAVAPHQAIYGRIQGML SAEESASMDACADAARSVAYSQLAAGDYSQGSEDGTTPADRADETEDDMEDGTVQEDD DEIESYGLAPMMDLGSPDYERDVDPIIDSSDPIVPALRRARLP VE01_04082 MSSPAPASDGDAPLIPETPLTSHTNSTQDTPDPNNPHKANTTKY FAFYPLLDPGMFEAFDGAAIFGTTALNKHRISDNLASKIIIWATIHKKPKYGFMARNY FVTSGNNVRKRGKVIGFGSPFIYEGKFKVGIAAGDAWSIIELCEKQEFVDWFHRICDG RFAMFTPDILKKYPAILKTSLGSVVPTANPFLAEAALVKKALAGPTPLGDHTPPPQAP LPPSDQTPTPAPLPAPHDRTPLIRAGLPANDNGLAGSPPHTTKTQMVRPRPVNPAHQS APAKLASATIPGLHLLSRPPPVFDPAGICAPFSLPFRHPMRYDNPEEFDSEFSHVEYE RTPSPFIKGEDYDPLLFGAPAWKAPQTDSSEEYEPKLEYDSEYDPEFMEQVQQQPVQE PAQQQDPAQQQQPVQGPTQELAQQQEPAQEHQQESEQDQRRKAKEQDDKLRSFGTMRA GCPKNPTYEYHSISTTLFDPSKTYTETPPFVNNTNFKGPNLDFPLPPPSDTDSTPRPR DPSPMKGVRTTITASDGTVSSVYGEDEDEETGKASEISYDGDLEIGPEDAEISFEDEK EIHPDEAVDNHILSSNDSDYRIPFGGGKQSGIGRELGEAGMAGNT VE01_04083 MQFTYLVQALFVVVAVAAPAPRGGDIPAGIFPSGAWPTGGLGPT GTNIAWRTSTGIPYPPGTGMHYPTGTSSGLGPTNIAWPTGTGIPYPPGTGMHYPTGTS SGQGPTGANIAWPTGTGIPYPTGTGSGHDHQTSIPYPTGTGSGHDHQTTTQTRFLTRT ITRTRTGEAEATGSPTSP VE01_04084 MGPPNSSSSQGHAGSPAKSDSLVIQYSKRSLAYYKQFPVYDPGV FAPWDVKVDLKVHRLSNDLGVKVFVRVHTEQISENVGTAAPNFYTPSHHHVRTNAKVV GEGAPFVYNGKNTHTQKYTFPGKWGLKLKTRPPIEELVENEVFLAYMQRVAEGKYAIF TDEFCRKHPKIFLSITSPSTPGSIRSENPPAGPFFGVSPHLFPATAVQDADNLRTKML SLEYTKDDEELDDEESQPAPQQPPPNFPPAAQQPPIFSQPP VE01_04085 MNTQQPPNYSPAAQHPSNPPNFAQQHLGFSQYAQGPFASQAGSS FQAYQGQGHATGNDQGHTSWQSLRPPQEMQPPRGHQRAITYPATHPMQNQFGGKQEQV YLAAAYEFAANRSGTPSAQQGNAAQYDTGLRRPSDALMTDGPRRPETPCVSDASRIDT LLEKFKDDLGLICSDSVLKVRKHPQEAFNQIKVLRDTFEGLVGESEAVMHSISNFPRN E VE01_04086 MGILPRSNMALHTNPNIFHYPTSADITNNSHGSDWLWAVTAFFA FFTCGIIGDSYLVPRRARAFHYLAAAVSVVTTINYFTMASNLGYVAIFVEFVRDKAHV RGDTREIYYVRYIDWFLTGTLLVLATLLTARTPLPIMLWTLFLTWMSVLTLLTGALVA SSYKWGYFVISILSLFGVVYNLLWTARRYAARHTSAISRTYLSLAAWLSFMSLIYPIS WGVSEGGNVIGIVGEMVFYGVLDTVTRVGFVGLLLWGHRQIDPAVLGMAMRDYGEDEI VEKIHRAGEVAPAEATAGGVVETA VE01_04087 MSTSATNTAESRFDPKFTSHVVDTIGPATDDRTRAVLSSLIRHL HDFTREIELTPDEWMMGVRFMNSIGQASTPIRNEGLRICDVLGLESLVDEIAHKHASE SGSPTSSSILGPFWSPNAPFRELGGSVIQDKHDGQVVYMHGQVLDLETKKPVANAVFD FWQASSNGKYDFQDPDNQTDNNLRGKFRTNEEGKYWFYCLKPTAYSLPTDGPAGVLLK LLDRHPFRPAHIHLMISHDSYKPVTTQIFPSDDQYLTTDTVFAVKDDLVVDFVPYEGD PKATLELKYDVILAPKA VE01_04088 MLHHLMIGTWTPPGAIFTVEFDDEALTLRLVKRTEIPHDEPISW MTFDHARKNIYGASMKKWSSYAVKAPSEITHSSSQPMGGDPKSASPDTNTRAIFLLAA QKPPYCVYGNTFYDHALYGNVFSVDATGAIEKNIQNYEYQPNSGIHGMVFDPTETYLY SADLRANKIWTHKKSADGTLSLVGSIDAPAPGDHPRWVELHPSGYLYALMEAGNRVAV YVIDEATHMPVFTHITYPLVPPGLPLKMYRGDVVFMSHSKKYLFATTRSNSFDVTGYI AAFELGPKGNVIRQICLNPTPTSGGHSNAVSPCPWSDEWIALTDDQDGFVEVYRWRDE FLGRVAHLDIKEPGFGMNAIWYD VE01_04089 MAPGMLYVTMKPAESLSADQFHDWYNNEHGPMRLRLPFIKNGFR YRATDQAKDLPEWLAIYDIADMAEMVKQPYIGLRLPPIQSQRERDTMKQITVGRKFFD LLDSRQSNAFTPLEDVSAEGQNRILISTTQTAKPSDDLFTSASTTPGWLRTRLYQTAS IEDKEIEYLILHDYSPDSNPTGSRRYDLFYTIGPAQRDLSHIQTPIVSTDSKIREFPA TDSQTSAIESYITTRDGVALPYRLEGSSDPQAPLIVLSNSILVDYHIWDGFVAAFLAE NKKYRIVRYLTRGRLDACGDQPITVDLLASDIIDVLDALRVPKAEAVIGVSLGGATTL NVALNHPSRVASIISCDTSAKSPAGNSKAWGERIDLARAENAGGVVGQNLAEITTRRW FVAENYQQLAETFESVKQMVASNSLIGFEKSVQALYNYDMTPLLEKNTVRAAFFVGGQ DGALPASMKNLASSVGEFVEIEGAGHLPMVERPTQFAKEVSKFLDRH VE01_04090 MAALIVDALALHINLKRDPENSDPRVGSARNGSTSGGTLSNATK GTNTSSIPGLLATLVPVIVYAVVCLLIFWGCRTRYPRVYSPRSILSSLEPHERPKKLP SGWFNWLKPLFKTPDLDVLHKSSIDGFLFLRFLRILCTICIVGACITWPVLFPLHILG GGGGSQLDALTFGNVKKPSWYFVHAFLAWIFFGFILYMISRECVYYINLRQAYLLSPY YAKRLSSRTVLFTCVPEQFRDEARLKKLFGDSVKNVWIPTYSGDLDDLVKERNQTALR LEDAEIELIRLANVERNKVMRNGKSDIEANTPASEMESKGEESPEKDVSTLPTNVAGG ATSGFELADRGAVASPEVIGEGVGATELTVGSSALESVDATSEAGGASSPLGFTSGAS PKLTRGASIASASNLPGGVTSPSGLTDATLASPSSLTDATLASPSGLTDATLASPSGF TDATIVSPASDFTGATLVSPSSASTDEATMVKSNTKDSKNETSSITITQSQTPNLDTP NAEWPELKWGIQRGIPNVRGSVAAQWIPVHWRPHHRPLANYGRRVDTIKWTRNRLKAL APRIYKLRRKHRNGDARRMPAAFIEFDTLVNAQSAYQTLPHHRPFHMTPHINGIRPEE IVWSTLRMKWWERIVRNFMATAVVAVMVVFWSLPAAGVALISKIDFLTDKVIFLRWIN KLPKPILGLITGLLPAVALSLLMATVPMILRAVARQSGVPSLSMIELFVLKSYFIFQV VQVFLVTTLTAAISASLTKIIENPLSVQNLLSESLPKASNFYVSYLILQGLAMSATRV VHLPSLHRAVFANGKTPRMMSTRWHRLKTIHWGSDFPLFANMGVIVISYSCIAPIILA FGAMCFYFVHKVYHYNLLYVYSSEVDTRGLLYPHALMQILTGVYLAEICLIGLFGIQA AFGPLLMMLMLAIFTFLVQISLNDALGPLLYNLPRSLSVQGLYDDLEEEEAPIVVEDL ETQYDSDFDPGEPNAVTHEELGTRGVAVEGTKGYSKVAFRFLSASMQEKFSARAGSVS SFFQSIDFWSAWISPDGTNPKPNFLLKWLHPEVFHDFNALRGRIPTDLPDPDYTPELL REAYLQPSLNKINDPMLWIPADPAGVSRQEVEHSKGIIKITDQMSWLEEKKKSWFQMK NRGVNVKIRVDFDAESPVVRKRMRY VE01_04091 MATSRKVQLSPEVSGVFSLSKPSEESAQMASRLLQENHEKYNLF FNEEGFHNHIVHQVLTLYGLGAPPNVIEAHYKSNTSYQMKPKPLREENVKAMSEPEGF KKFLGNIKYTHDYIEFFHRELEAKGVEAVVQEYLFSRSELAEDLLARLYGGFLHPLIH LGFGLEFKQPLIVAEALAEAAVHDIWLKPFFIGAEKLAKESKTSKSLSQLVVDVDSDE KLKASPKWADGNKIRDGILKRAPQEMINHASKYHVPLDQLAQKTAEMMDATIFYTAAA QHPPKAIKIDFFFMHCVNASIFWPTFNALSWISDENKCRLLEWKGRLDLVMYVSRGTP PLLKEEIEEYTAETGKDLTWNQLGERLFKINNDDGHAVKLLRALGTAEQVTKGFEGGK VQKEQWLGIGRMVVDSVEAVQGSDQSPWARSVGFDKAWDDVKERPAKSSL VE01_04092 MHLFVQSVALLGCVSSAFAAFGITTSTASYAIDAGSAESFVVTV SRTNCDITSIKYRGVEYQYQSTKSHIASGLGSATVSATTINSQYAKITCVVKSADFDL THYYVVKSGDSIVYMATNTNAQPAIGELRYIARLDSALLPLEYPFGTASTTVGGTAIE GSDVYLVNGQTRSKFYSSERFIDDKVQCVTASDASIHACMVLSTPGKEASSGGPFFRD INTNNGGAYTSLYNYMNSGHVQTEAYRTGLHGPYALQFSRSGIPASVDANFAFFSDLG ISGYVADSGRGVVKGTATGVASGFQIVVHWYNSASQYWVYASSSGAFTSPAMKPGSYT MKLYQGEFQLASQSVSVSAGGTTTSNIAGASQSRNTLWKIGDWDGQPKGFRNADKQLR MHPSDSRMSSWGPLTYTVGSSALTDFPMALFKSVNTPATIKFTLSSAPSGTTTLRIGT TLSFAGARPVAKVNSWQGATPAAPTKIDSRGVTRGAYRGFGEVYDVTIPAGTLTSGSN TITIDVASGSSGDTYLQPNVIFDAVELFN VE01_04093 MSSPGLYSPAQSSSPSRLYPASSSGTPAGSPFLHPLQMHKVRET HKAAIEQDYITGRKLINQYEILGEIGRGMHGKVKLARNIETDEKVAIKIIQRYSKKRR LTRVSSSPETKTKREIAILKKIRHPDIVGLYEVIDDPDYKKIYMILEYVELGEIKWRR KGTSQICAFERRRIERELRCEEDGGDEERLFKMLERRRIRKDAQRAKLANQTHSADFW SLEHGDDEDEFGDVTPLSRVPTHESLFIPRSFQSHTSHVSHTSLVRSNPNSNPTSRAA SCTPSRTSSTAHRTMVPTYLDIGPIDSDNEDDEPCPLPSTPSTLSSATGGFGSANPLA LRDDALANRARSPSMADSIISHMSSVDDVTHNDSFEEDFSYVPCFTMDQARSTFRDTV LGLEYLHYEGIVHRDIKPANLLWTKEHRVKISDFGVSFFGRPTRDGEQGENASESDET EFDDDVELSKTVGTPAFFAPELCYTDLDTPQPRINDQIDIWSLGVTLYCLIFARIPFL AEDEYQLFRAIAQQDVYIPRRRLKAVDPGTPDPDHKIKEGDYRADGELVMEDIDDELF DLLRRMLVKDPAERIKLREVKRHPWVLRGIDDIIGWLDDTDPSRRTAGKRIQVDDREL ERAVVPISFVERARSAVKKVVGKIQGRHNGSR VE01_04094 MSRHLVQRPLSRAVRLQFQQARQPQPFLSCFPSAASRSFHATPR QKYTILDPVLEATHNAFLGIHHVLPWWAAIPFAAVAVRLAFLPLTIWSRRIISKQRSL TPLITAWRGALERNVDISKGTSNMKVLAARKTMEIYKAFSCQRYKLFIAPALQLPFFL IIIESIRRLAGAGSGLLRLIFSSVPSVTEGAAETASGATANTDIATALTRIEPSMTTE GPLWFVDLSAADPLLILPFALSATMLLHLVPSHYFTRAAAPVGTPSTFQRRLRNMLKL LALAAGPLTLALPAGVLYYWISTMLVSFVQGHLLDVFMPLKNPVMPCTPPRSKLMEGV GKAGWDTKVRDAAAAKLKAPDVKP VE01_04095 MIPLGWLLGITALVTLLPVGLLLYGHSLAQILGETIGWYLLKRT APKRAIILKKVAEEEAELAKEAARGKKAKEGDEEWERVESYTVGNAVNGDVAEKDWEG IVGFLHPFCNAGGGGERVLWAAVRATQQRWPKATCVVYTGDHDVTKEKMLERVENRFN IQLHAPTVTFLYLTTRHLVLASTWSFATLFGQSLGSLFMAYDAFSLLVPDIFVDTMGY AFALGLCKLMFPKVPTGAYVHYPTISTDMLASLDSTTNSTHGVNAGKGVGAKGAAKKV YWEIFAKVYGWVGSSIDVVMTNSTWTQGHIRSLWGGFRAERGVMSDIAVVYPPVAVEE LESEIEISAESEKKRSKTLLYIAQFRPEKNHTLILNSFAEFMRTKTPATKGAKLVLVG SVRDDSDSKRVYELRLLANELQIKDSVEFHLDASWPEILEWLRKASVGVNGMWNEHFG IGVVEYQAAGLIAVVHKSGGPKIDIVTEVEGKPTGFHATTSTEFAEGFEKALSLKDTL DWRRRARLSAKGFTEEEFAKRFIKQMETLVLLQVNGPGPGKKIDTHFTEGFHM VE01_04096 MPVTEFQERVYTLLTQIPEGRVTTYGALARALKSSPRAVGNALR NNPFAPEVPCHRCVNASGYINGYDGEVIKRTTFKRAKDGTAQGTASRSTPKGAKADVA KKVLPEGGNVSKKLLILKEEGVEFDERGILLKPKKVLWDGPWKV VE01_04097 MEPETTQKVVESTPTESTPAELPVESVPEVAAEINQRKDTPTEL PTELPAEPNPVVAAETEQSKTAETSPEAVVDKSQPETPLSKLFGELPKIIVDAEHGEM WGVKLEDATNVPTTIILQKFLRANNDDVAKAKAQLLEALQWRKKVDPLKLLTEVEHNK EKFGNLGYVTVYNATGTQKEIITWNIYGAVKDIKGTFDNVEEFIKWRTALMELSIKEL DLASATEKIPDGGPDPYRMIQVHDYLNVSFLRMDPSVRAASKAAIQTFAMAYPELVKE KFFVNVPLAMGWVFAALKLFLSAETIKKFHPLSYGGSLAGEIPECGIQLPEVYGGKGV PLTEGGLVVKYGNAEEPQPESK VE01_04098 MDDLFDVFNDAPQRAPATTAPKRDKSKKKDRSKKRNASGAVKQV EEEEQNGEEAAEEAVAEAEPEESEEADEAVKEKEAKRRRKDEEAAPVVTDTFETAQSR EVAASAGLQASKEDGALVLQHNIQHQVSLPPDYDYIPISQHVPPAKPARVWPFELDPF QKVAIASIQRGESVLVSAHTSAGKTVTAEYAIAQSLQNNQRVIYTSPIKALSNQKYRE FAAEFGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVGWVVFDEIHYMRD KARGVVWEETIILLPDKVRYVFLSATIPNSMQFAEWITKTHNQPCHIVYTDFRPTPLQ HYFFPAGADGIHLIVDEKGNFRQDNFDKAMSTIEDKKGSDPADINAKQKGRGKDKKTN KGGNKETTDIYKIVRMIMVKHYNPVIVFSFSKRECEAYALQMSSMAFNDDSEKAMVSK VFDSAIEMLSDEDKQLPQIQHILPLLRRGIGVHHSGLLPILKETIEILFQENLIKVLF ATETFSIGLNMPAKTVVFTSVRKFDGVSQRYLTPSEFVQMSGRAGRRGLDDRGIVIMM IDDKMEPAVAKEIVRGEQDKLNSAFYLGYNMILNLMRVEGISPEFMLEHCFFQFQNTS GVSGLERDLQQLQTERDGMEIPDEATIKDYYDLKQQLDTYSKDLKAVINHPNYSLPFL QSGRLVHIKHKGEDFGWGAVVKFTARRAPKGQVVEEYAPQESYIADVLLPVSESSSVG TQTHQEIPAGVHPPNEGETGKMEIVPILLSCIESIGHVRIFLPKDLKAADQRNTVRKS LDEVKRRFPDGIAVLDPIENMGIKDESFKKLLRKIEVLESRLLSNPLHNSPRLTDLFD KYAEKIEFGNKIKEKKKSITAAHAIMQLDELKSRKRVLRRLGFINDQEVVELKARVAC EISTGDGHELLLSELLFNRFFNELSPELCAAVLSCFIFEEKSQCPPLKEELAKPFREI QAQARIIAKVSQESKLEVNEEEYVQSFKYQLMDVVLAWTQGKSFAEICKMTDVYEGSL IRLFRRLEELLRQMAQAGKVMGSDDLSAKFEEALTKIKRDIVAAQSLYL VE01_04099 MFARTATRVSPMAQMAARRGFHSTRSQMASPYHYPEGPRNNLPF NPLTKFFALRYWGFMALGFGAPFGIAVWQTKKNQ VE01_04100 MSTFGEYFKVTTYGESHCRSVGCIVDGCPPGMELTEADIQPQMT RRRPGQSALTTPRDEKDQVEIHSGTEFGVTLGTPIGMLVRNQDQRPKDYGNSTMDLFP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAIADKYLRLAHGIEITAFVSSV GSVHMFPPTPEHPSPSTNPAFLKLLDTIDRETVDSFVPVRCPDAAAGEKMKKCIEEFR DRQDSIGGTVTCVIRNVPSGLGEPCFDKMEAMLAHAMLSIPATKGFEIGSGFGGCEVP GSIHNDPFVRAPAETGAETGASRAGIPRPRLTTKTNNSGGIQGGITNGAPIYFRVAFK PAATIGQAQNTVTYDGDEAGVLEAKGRHDPCVVPRAVPIVEAMASLVVIDALMAQQAR QASRSLLPPLKQTLPAKSTVVGGQAAVEKVEANNAGVNKE VE01_04101 MCGTQSVGWTPVIDKLGSADRSSVLRLVTPNPSASPQKFPNNYM APSRGITALLRANCSATTTFRYGLQRWHGLTRSYTSIPDLATDPARQTQIYISRSTDP YLNLSLEHYLLQSTPPTSTVLFLYTNRPSLIIGRNQNPWVETNLSLLRNTPSSASTDD ISLVRRRSGGGAVFHDEGNVNYCVITPTSEFDRDKHAHMVVRALHSLGATRAAVNARH DIVLDVSPPPIPRSKKANITTSAAATGPATPTHRKVSGSAYKLTRLRSLHHGTCLLSS PNIGGIGAYLRSPGKGYMLARGVDSVSSPIANVRVGNGEFEEAVVGEFEEMYGGVEVV EVGEEEIEGVEEIRKGVKELRSEDWIYLQTPQFTFSSHPTEEDPRERPLRPPYVHAAA SVLFTARNGAITDAEIRNGEGERAEGLVGRKVHEIMDWREALGGRDDGVGKWLNGLFG V VE01_04102 MAPSKPELPATEPVYDPSRPQADVKCLYNNLIPNAPGKSIVSYL ISQPPNGSTPPHTHAGAFVSAHILTGHMLNGMNKEPMQLLGPGDSFKEHPGCHHRLSE NASTTEPATFIATLVVDTKTVEELGVAGLTVIDAGYLEMIEKAQKKV VE01_04103 MQLLFPPVSPFLELIQHARQHPDRIALRDHSTGKTATAGQLLQR VSSLRDKLKDELLQNGWETAGQDKFIFLIAPPGLEYVVSMLTIFSLGAAMSAQSIVVK PEDVLRYFKLAKPLALLYAPALAEKAQAIKVLCASSDSGVNPKLPFVEIQTSCLDSST SLEYETSVSTKNLSTQNGTLFFTSGTSGNQKGVVHSYQALLASARERIGTWQMTEKDV FLNQKPGNWMGGIFGIIPSLMSGACLETCGGVFNPEWFWERIRQGGVSVFDVAPTGYD RLAKYFDDHIAVLPPAETEIYVQGMIDVKVAGVSGSLLSPHTQERWTKLRRGKPLLNL YGSTEVTLICSMRWENPDYTDMSSIGPPVTGVEVKLVDGEMRLKAPSMFSRYISDDPT LTEKAFDSEGYFKSGDCAEKVGDTYVLHGRANIDVLHFWGFTVHTGEVESALLSLPYI ADAISLPLADTEYKERVSAIVKIKPSLEQPSFDALRHDLTEKTGLMLFKQPTVIYWLK EGEEISLTVNGKISKIDARKKFFGDDWRGKDGVDVIDLSKMEYWRMGGQC VE01_04104 MAKVRPSPIESLEAYKHISPDSGEPTAIHPNYNPKIKAHVPQDL PHKEFTPPKDRGSYADPEKKALFAVATPADVTESIGTELKNVQLSQLDTKQLDELAAL VNERGVVFFRDQDLTTERQVEIFEHFGVLDTHPTQKDTKHFIVGGNNADWRTLLKYTP WPMSDFHSDTSYEINPPSYSLLRMEEHPAVGGDTAWVSGYGLYDELSPHMQKLLEGLH AVHTSRLQYDTLIDYFGDEPRRPPMDTHHPAIRTHPVTGLKSLNVNLVYCTGFAELKK AESDALLQFLTLLIHSSDDHYVRWKWQPGSIALWDNRSSLHRIIPGNYQSGIRRGIRT TVFGEKPFYDPKSEGRMQREERLIAESKK VE01_04105 MSGFGEESADETTQLLPKPAKPEPEKNSKIFRLWQVGALIGILL AYADTSLVWATHETIASRFNSLQNSSWMMTSFTMGYCVTLPLYGRLSDSYGSLRPLLV AYCTFCIGSTLCGIGQTYWQVILGRVVAGCGASGMVSLASIIITELADSSDVAVLRSY VNIASTIGLSGGGPLGGFLAGAIGWRWLFLGQVPIAAASCLLMAKSFEVMCPKVEQEE EPQREEPVLAFDFPGAITIAISISSLLAVIDLQGSLSWSHPLVQSLIVIGAISTLAFL VFETFPGNRELLMPLKLLKTEIGAFCAGQLLIVASGYGFVSQIAPYFANTRGASDAEG GGRTAPFSIGNAIGALLAGQIIKRFGTYKKLSIISLFFCIANSVAILIRWPHTIGVWE GIMTTFPFGLFGGIALSAQFIGLYQCSSKQYMATAISMYYMSQQIGVALGISISSGLL KHQFHATLQKIMTEVPGYTKDQIIQRILDDSSVVALLPEAVQSLIRQGYLASFWVVPV FAVSTQALTILPMISTTEKYSY VE01_04106 MASHPSDPANGAALGGAPIAQISTISLARLHKKDPAEIALLNKV CSEAGFFYLDFRGDTESKGVLAHLSDVNTAVEKYFDQPGVAKAGDARLDIKPSQDLGY KRGRGGESFEISRDEITSDSASISKIPELFQHDWAKISSFIAGCDEACLTLLKSLSED FLLHHRADHPSDTGLKFVLHPSLARLSDVGENLHTDSGTLTLLFYKDWSIHAFLPDAD RWAFIPPPPEGCTLVNVADTLQALSEGKLHSPKHRVTQPVDGANNRYFLSYFLRPENG WINKCSSTK VE01_04107 MISPLVQWFPTFATVASVVAVILLGQSRSKTWLRTKWGSNFGRS RFPNKPTEIKIEASHRKWEVRNKDSDDEKLDELKKLKQLYYQLHNLEKFPEVLPQAKR LLVLLMNETSATGRTLPLHDSILSVPSFSRHALEEFQHRRDRAIGKEWEDYNIRRKEG SPRELVRDREEAIWWLKQLSPVKYVDGAWLGYIGKVTTPFALLKTMKGAWQILSEELG DGDLRKNHVHLYHKLLETTAPELPTAESPDFGHSRHQLNELSVWKSAIAQLLISLFPH EFLPEIIGFNLHFEAISMDTLKATRELKEVGIDPYYFLLHISIDNTHSGHSAIAIEVV CEYMRHILESEGQEAAQKAWGKLQAGYLLSACLPGAVICPSQKILSNDSDIVLNSTEV KVISIFRAKAQVAHGFHCSSRVKIGSRSVADWLDPVALESKEWQKGLLDALSSSKYWI CKGDSRRSRFIQELQWNGRMFGSFTQDEYDVLTQWVDTLPSNSSILQALDATIQNDDD ENSKDILSGYPVFQQSLSGWSSGIPKSFQPATTLFKFQGLPSLDIGSRPVMDRLLPLW ISHPCLLQMFVSVPIRTKNGFVCSIIKILRAQGGFDIERECPAGMDEVQRTNSLGLAG IGMNMMVQQGLSPIALPSLKEILKIYPSEFAVYMLHFSMRPMSHKGMLIGMATAFAKM HSVLSESKSGLLSIQDQDILRRIARRELEGLELCWKELEHDKKAYSDCCEGYVKAADE IKRCFEL VE01_04108 MKVSCLILFLGISRCYGRLVGQPIKTSSGPVSGHAATVNSDVSV YLGIPYALPPVGNLRFMPPKKYHGDKPINGSSIGYACPANTPFAARGNDLNNYDMALA NLTAQGIATLSDLFQVSATFSEDCLTLNVWVPAGGEPHKAVMIYVYGGSYTGGSTQIG YYDGQHLAAEQDVIVVTLNYRVDILGFHGDPESENHNPGFLDQRMAVEWVRDNIGAFG GDVSRLTLFGQSAGSASVDHYAYSYASNPIVSGFIMESGAAGFGKALPSNNAEAWYSV SDTLGCGTNATSSHQDILSCLQAKDFKELFAAIGSNSFGPSVDGITGFADYPALSKAG KFAQLPVLTGNNDFETGAYIPLFALNGVTQDHQFWVDYANREFACPAGARANVSASHG LPIWRYRWFGNFPNTRLFTNPDSGAWHCSEIAFIWNTLPTGPGIPPDTATEVSIRGYI QGAWAAFAKSPLTGLTKYRGGWPKYSPFEPSLIRLAYDNVTGTNVASSGIYDEACLTT YPIGDKDH VE01_04109 MRFFLPFIPVLAAGLIGVAATTTHGADFIPDAVLRVTEETRKQS CVPEKDILVVNGTSPGPALRFTEGQTVWIRVYNDIATQNLTMHWHGLTMATAPFSDGT PQAAQWPIPPFHFFDYELYVPIGFAGTYFYHSHVGLQAISATGPLIIEDKDKPPYHYD DDLIVFLQDVFPDTDEFIEHALLAVPMEYERAQEMVLINGKGGGIVSSDGSRCNDELS VIDVEPGKTYRLRLIGGTGLSFDILAIEGHETLQVIEAEGAYTDKFATHVMQVSPGQR FSFLIDTLKKPAKQYYYIQLESREFGPPTRSFAVLNYGPPSTDKTPKVYPPATDPITL PPTDPYWLEYSLRPYNNTKHPAASHNALDFPTAEEVTRRVNITSHLDIPGGGLLYTIN GQTWNEGLVHEPYLVSLYKDGGSNWPSMERALQHDGLDPVTYAFPADIGEVIEIVVQG TGSAGGGTETHPWHAHGAHYWDLGSGEGVYNREENEARWRSSIGHPIRRDTTNLYMYG GKSPNGTLSAWRAWRLRIDHPGVWMIHCHLLPHMVWGMNSAWVMGNQTEVLSLIDRPG VEGYLTFGGSVVGNETHPPEVVEYFPLSDWEDGTVGNEQP VE01_04110 MALQLWEVCISAFCLGWLGLFVYRAALAPLSKLPGPWYSRFSDA ILMYKEFTAHRRVYIHELHKKFGPVVRLGPNEVSFTSVEALKEIYQSGGSGYDKTEFY NLFIQYGIRTTFSTLQKRKHDQMKRYIAGSYANTSIMHPEVVDGIQERVRAFLRQCEE AEKPAIDFYVYLHCFAMDCASFHLLHPHGTKSIEGRDLHLMEEYSFGDTLRKKLLRYR LPTMTRWLDETFKQKLESKIGQYVITACEQTDSGDHSLAYKLKNSKAEFQQMQVAAEC MNHLAAGIDTTGDALCFLIHQLSLPGSHHIQDKLIAELSSNKDKALDDLPYLEAVIKE GLRCFPPIPMSQPRCVPSAGRVIDEYFIPAGAIVSCQAWSVHQLNPHIFDRGDQFIPE RWLDSKAAIEMNRLFFSFGAGGRSCTGRHLATVEMKCLLRELYSRYRTRIAPEMKGSM ELYDQAISTRPFDQTCLLVLESIPLEP VE01_04111 MSTVYTSPSEIADLVKGVNEAAASFDTAAAAQGDLHVARRKLQH EARKLLNSLEEPNGEVWPRIFQVNVSVSIEIVSQLKLWEKFEGGKEVSLAEIVESTEA DEIIIIRIFRQLTAANLFVESMGPRGPGFILTSLGKPYLHPDHRAFNNFVFFDLIPSI MAMPKTLAEKQYKAPTKETGTPFKWAYGEELWTWLGSHPDRALNMVAGMTSHNALDAY PWGAELGKLDLKDEDIAVVDIAGGQGHIMGEVRQRNPQIKGRFIVQDLPSTFEAVPTP PTGVNFMNYDIFTPQPVKDADVYHYRHIFHNWSDDDCTTILGQIVPVLKTQPRSKLLL VDVVLPDSNGTMQEAIMDITMFPMGGMERTESQWRKLLARSGLGIKKIWRGSEPEACI ECQID VE01_04112 MDREQRIAQHNAIKTQLKTLTDAVNAYSATFEKNSSSDDLAAIG EISQCQVTMTESVKQMQSAIYGPLNMVMLHYEECFRSSSLRTLLEMGVFDILPADGSE MSAEELAKKLGVDEALLVRLMRIVIPTFFEEPRPEVYTHTPNSRVYLELPLRANFKMM YDETCFASMKMTEFFKKNGYINPDSRSNNPYTYAHDTKGLNMFEFLLQNPERFKNFND AMQARSSQTSLPYDLFPFKNKLGEVQTTDETVLLVDVGSGIGQATLAIREACRDVKGK IVMQDQKEVIEGIAGPLPTGVVGMAHDFFKPQPVKGALYYYIHRCLHDWPDSDCLLIL QHLAAAMEPGVSRILISEIVMPVGHVDIQTAWSDINMLTFSGVERSEKQWVDLLENAG LKIANMHGDDGGCYFRVLEVVHK VE01_04113 MAENQVEKPIRAPGIIERYYIARSHLGYYNNVGVTSTYTIPPAL LSSAFLAADCSAAVANLTYQVLAQLIAQHPSLGISVLGASTLTPSWNRLESIDLPSLV TFTEPNASVEEYLIKLFNTPILGDDGTSPLWRALVIPSPPVAADATLKSPAPFHLTIG FFYHHAIGDGLSGAAVQTCIQRTLLAALREPSSSPPTTVQPSSADLPPAFETAVPLTI TTGGFLRALYQTVFPNRDVWSGALITKSQPVVTKLRQFSLSAAQAAALAARCKRHETS VTALLMVVAAEVVAGKTKGAKGIDASVAISLRKFADGVGAEDMGTYISAMSCLFFRSN AKGKGRLGDGVEWEEAKRVKGLLAKGAGGTKNQFSAFLKVIPNMMKFLEGKPGQSREK SFEISNLGVVDFGSEKVDGGEESVRCESVVFGQSANVIGAAYSFSVATVKGGELNVLL SWQKGVVEERIANAVMVELERWLTAEASKHGATNGP VE01_04114 MVSFTFLGLGLLAPIVAAHGTVSGIIADGKYYVGYNPSFQWANP IPSVVGWSIPTDLDNGFIAPSAFSTSDVICHKVATPGQDTAPITAGSTLTLEWTPWPV SHHGPVIDYLAPCNGPCKSVDKTALRWFKIDGVGLLSGSNPGNWATDTLIANNNTWTV KIPADIAPGEYVLRHEIIALHAAGQADGAQDYPQCVNLNIKGSGTKKPTGVAMVGLYT PTDPGILFNLYTSFSSYQIPGPAVYVSS VE01_04115 MKYSIVFVGALAALASAQSVSDLTACGQQCVKSMLAQAATLGCS NTDAACLCNKSDFAYGIRDCANEACGSDAPKIIAYGTAFCKGQNGGSDSGASTSGSGS GAGAGAGSATGTAASSSASATDGAGGAGGAVGGGAGASGSGAEGGPVPITTSAVVSDS KTVGSTTIYSSDASATPVSTVTSDGKAVTTLFGAAGGANGGSGSGAGGDVSTVTSGSS TFVTTVSSGSGSASTGGSDSGNGGAAGGAGSSSSTGGSGSGSGTSTSSAGGARQTAAA AGVMAVAGLAAFVL VE01_04116 MATTTITETRGTVADYDSDVYNTVNHTLFIACQKAEERNIQPVI NTAVRDIFLKHNVEEDYSACLIHRHYDLAADERNVEADGKAVASKDFTGLYPSSWIFY KGTCYPYEYKRYVVPQPSPAFVADLGDLLEEKGLTDLVGFQTYTNGIVGLESTDRETN VSTTVDQDEKLPVPEGMAPASWAFFRV VE01_04117 MASQPSAETPKPIDPQLGAAVVAPPPAIPNPSALILRLLTPAEK EASWITNSVSWAGRLTQADYVAREAANGASSLLRDGGVRYWGLTTEGEGGEIYAAVET LKKRVLVQTSKGFNTEDSYGIASVFTPAKYRGHGLAGTMMRKLGEWLDTEEANCQFSV LFSDVGNFYARHGWAVVPSPEITIPASPLPTSASPSPATTPITAESLQPYTQSDLLTL TSEVMSPPSPGNHTRLAFDPSVAQAEWHFGAEDISASKLYPDQAPPTLKGVAAGSTFG YWVRDFNERKLIMLRLKAGGPLEGGEAQGVQEVVSVLRAAQAEAHAWGLGKVVVWNPD ERVKEACKVIMGKEVEVKNRTEGSVPCLRWNGKEGGGKGEVEAGVEWLALEKYCWC VE01_04118 MMLQVGDFITIAMVAARAAKALNDAQGSKAEFTSLLKTLNALAQ AMLQAEALCMGCQSARPSNDPSSIKMLESIGAEIIKERKECENLITQFLIDFSAYSDA FVEQTSGVVRKTYRKLTWLGRKEEAAALEKKITTHMQALQLRLYAFCFASMKSDVVDN SIVLGSIDTSVESLAMRFGDMHLMVAEAVKRIEVVQNTVTTSIGYSWGPEAPIILIDG LDRKVLLPLMLASTPDTFREVLLIMHRGGPGYDKIESGKYVVSDEDFGGALIPHAKWA ESFLPGKRIALSFILRHPGLGDEKQCPRCRTMETWLDDQPGRRRCLKCHLTFRIEDQE RTRLFWKSLKPRPKPPTQTQSAPSLPKKDKDPRRPLRRSSTIPQGEFAHQYRRVHYQR DILRQPSVEQRWQSSDPEFGEFLGALSPVHRAAATGNVEMLDELLNDGANEGTHWKVP PHSMFRHDRTGWDFTGAPPIHFAAYYGHNSAVLYLLSCGADIEAKDSAGTTALHAAAW TGNEGLFKMLMKKGADSSVCDYDGWSVAIYAMSQGHDGITRLLLGENADADTEMLVKT YKLRHAAKLGNTDTVLGMLLEDQEANAAEPSQDADATTELFLNEALLGAAEGGHVELV RTLLAKGADACATDDTGSTALHWAGWGGHAEIGNQLYDGQEQGQDVESRLKITSQRHE EAMTLLLGGGADINARNVQGCTPLHWVSGAGSVPMMKFFVDQGADPEIEDFAGRTAVE RARETGDEGVFGELAG VE01_04119 MPPQRATVIGLGLSGALLALSLNRYSSTIPTIYELRASPSTLGG AINLTPPVSRILDSLGLLAAAKEIAFETKEISIFSLRSGAKLGALPFIDEETGHTSLR IERALLLELLIRALDEAGVQVVFGKRLEGVREVEDGRVAAVFADGGEVESELLFGCDG IHSLVRGAYVEPDRKATYSGLSSAYGFSATPEGLIGKEGFEGTAVASGMRGSVIVSYC GPRKEKVFVGAVMEVKAPDADRDGWRGKGAMGTEAKKELVERFGGGDPVFKAVVEGVE EWSFFPVWVLPNGGTYWRGRTVLVGDAAHAGPPGGEGAALAMEDAVVLGRLVGKAEEE GAAVEAVFRRYDELRRERVTENYTRASARWEGAKNRSWLFQKTMELFMWAFLMVWWNK REWGFEYDAANVELGVKTNLCKMKLTIFIGLALAVMAPAVSAIECSGECGPYPSTWQV WKCDSVCSGCVCRCGAGDKYALC VE01_04120 MAHQRAQSVSTTEVPKEPHAVSLKVLRLTRPSLAIQYPLPHPSP SSTLAQTIPRAASLTYPSAPSSPFALSPLLTLPPAFGNAYVGETFSCTLCANNELPSD APRVHSVKIEAEMKTPSLTTSLPVSFTSASTPSSPDSDPLSQSAPPPTDLAPAASLQG IITCHLREEGPHVLAVTVSYSETTSTSGRVRSFRKLYQFVARPALVVRTKIGTVDGGW ALEAQLENVGEEALVLEGVRLDTKSWFRARSLESLWDGEKGEKGKAVVGRGGVQQVCF VVEKVGEPDEIGEGGKVFMGVLNISWRGPMGNMGELSTGWLGLKLKQ VE01_04121 MSIEEEQEGKAGEVQLIRTEGLALVLDTPKGRGVFAGRDIPGGT ILEVCPVLILDPQENEEHVRKTDLYNYTYNWPLPAAEGSKTPRKTQAVVFGIGSLFNH SQRRQNVGWMRDVSKGVVVYRTLQDVRKGDELCISYGDRLTFVDADAKQVDSDDEDDN AALARIDLV VE01_04122 MFVYKRNGNKERVQFDKITARVSRLCYGLDAEHVDAAAITQKVI SGVYQGVTTIELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSSVIHDLY HYVNPKNSKPSPMIAKKTYDIVMEHAEELNSAVLYDRDFNYQYFGFKTLERSYLLRLD GKVAERPQHMIMRVAVGIHGEDIEKTIETYNLMSSKYFTHASPTLFNAGTPQAQLSSC FLVDMKEDSIEGIYDTLKTCAMISKTAGGIGLNIHRIRATGAYIAGTNGNSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYVEPWHADVFEFLDLRKNHGKEEVRARDLFYALWI PDLFMKRVEKNGDWTLMCPNECPGLADVYGDEFEALYEGYEKEGKGRKTIKAQKLWYS ILESQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIVEYSAPDEVAVCNLASLA LPTYVDMANGTYDFQKLHEVTQVVVKNLNKIIDVNYYPVPEARRSNFRHRPVAVGVQG LADAFLALRLPFDSPEAKFLNSQIFETIYHGALTASVELAQIHGTYETYEGSPVSKGE LQYDMWGVTPTDLWDWDSLKAQIKQHGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDMGLWSDNMKNRIIADGGSIQNIPNIPADIKALYK TVWEISQRTIVQMAADRGAFIDQSQSLNIHMKDPTMGKITSMHFAGWKLGLKTGMYYL RTMAASAPIQFTVDQEALLVADTNVARERTSSRKPLAKSYIAPSQASGPRPMYMKQNS TPPTNSLTSNGVPTPSITPPPTETRKPVNPPLKSPGFQADVEEGGSPKALATEPGAMQ DEELKDVSLSKSEEKPDSDERENDIYADAVLACSIENPESCLMCSG VE01_04123 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRYDQKTIEELHKTSQKPVTPEQGEEVRKKIGAYKYLECS AKTNEGVREVFEHATRAALLSKKGGKKSHKCLIL VE01_04124 MQSVIRRTLLAERQAARRLVKRRTKNHHEEMKTRREHERFAQKQ VTGDIKNARSARREDYDLGPLAPRRDVGLKKETYGTIQTNRLNGPKLTMEERLAVNPS GGRFANIVAGDRVVVLEGKDKGRIGKVQSFDREKQQITVEGMNMVDVAIPKWMMSAPE SDQRPVRSVEKPLSIASVKLVVPLPDPETGNVRDVIVRDIVNSKIYFNKSGGANFYRM IAGLNTVIPWPKTAPKEHPDHDVDTMRIDVETKTFLPTLLKPPMPDSVIDELRNKFSI FRTRHEDAYLEAKEAEEAAKEERKASIKLMRTPLNEVNKRERTKRKALGKGELTEEML AGIGAVIARKRGVALEAAGLEAATF VE01_04125 MAFEPTPLRLALAALLLLLTTIFLRILAILPSRRPPAPPRTSPA HILIVLGSGGHTAEMLSMIRHLSPERYIYRTYLVSSGDAFSTLKAIDFERGLTGVETT MVVDGKETGVVRGEGFEILTVPRARRIHQPLWTAPFTSLLCLLSCLRFLSPTHRRPRL PTNSGGHKTYTPTTPDLILTNGPATGVLVLIATFILRFFGVINPQKGARCVYVESWAR VGGLSLSGRIVEGLGLVERFLVQWEKGLGGDGEGEEEDVVEGGEVVGKRKGGREWRGF LVE VE01_04126 MNSIATDFLTNLLASESYRIWGKESGVVTDEHVDIFADDRMSVM GETEQEEERAGSGGEGQGEQGADGVAQGQGGSQEGILEDEGGREASTGRQSESRSPVR EESLENAVQPQSGLESDPIPTGSETELPIRQETETPATGEDASSNPESTIPDGAQSSP APPINPSDPQAESSTQRSSAAPIGEASEQPHINQSDTQAESSAQAESAASIGEASEKP PTALPEDDGMRALRERVLHIQVKDISTDEKARLMHELLTEGYTKSQVGQEIPPSPAAV VSQERPTTPTSISSFNFWPGKDNSHSPEKGDTVTFHLTKDDLQPTYAPLPPPVEGASD GSDDVEQTQVFGCQHYKRNVKLQCFTCNKWYTCRLCHDAAETHTLPRRETKNMLCMIC GNAQRAAEICTHCTGRAANYYCDICHLWEDDPNRSIYHCNDCGICRVGMGLGKDFFHC KTCGLCMNISMEMSHKCIERSSDCDCPICHEYMFTSPQTVVFMKCGHTIHKHCYHAHL ENSYKCPICQQTIVNMETHFRAIDRAIEAQPMPEQFEDTKAMITCNDCRAKSAVPYHW LGLKCAVCDSYNTIQVNIINDEPLAPPAEAAGQGDTAGEGATAEMAIPVPRSRRHSLS AEQTLAPRDYSSGLAPDVPSRIGRSMSPVRGSYFRQGEPARPAADDAEVWDDEDTDFW GRTPADLAVDGEEEEEEEEEEDEDDLDLSLEDDDDDDDDIDTMELFGHR VE01_04127 MPPADSPLVEKRNAAREVVDILDEIATLLNTNLDRHTLSLCISM VENGVNPEALATVIKELRREAEEGKREFDQAQR VE01_04128 MADSHDIKFPSPGNVVLRGGCRCGNITYTSTSLPTSMSHCHCLA CRQASGSPFQTFASFPIDSLTWTTHTSRGLSIGNTSDESGLSFYEANPWARRGFCGNC GSPVRLHSATSPREMCIVAGSIDEGSVKGELVKPTEHIFLEEQAGWFDLPEDGLGRFE RFSEGESDRKIKAWMEEHGRGGGE VE01_04129 MRNLRNIKYETWRSPDEFSVRSITATAWDTSSDSAIVAYGPSEH DTLVELVRVNKKGNKNEHTVITSWDAPCPNPDLACDEILSLYYFGDSQTACLVLAGGD IVVVREEPLPGEDRIEIVGSVDAGITAARWSPDEELLAITTKADTVVFMSRSFEGIMD VTMTAEDQKASNHVNVGWGKKETQFKGRGANALRDPTMPEKVDEGLLSPNDKKDATIS WRGDGAYIAISTVQEGLRRLIRVYSREGVLDSVSEPVDNLEGALSWRPAGNLMAGVQR FSDRIDIVFFERNGLRHGQFSLRLTPEEMGTFGKNISLAWNNDSSVLAVIFEDRVQFW TMGNYHWYLKHEIATKTTFANSLVWHQEKVLRMIVASADGINIIEYIFTVAKSSTMPP HDYGAVAVIDGKNIKLTPLRSANVPPPMAHYELLIKENTIDVAFNAETSRIAVLHQSG IEVYDWVVSGTTSSVPTLSGRFTFEKDSESSYLHASFDEDGNVLVLRRNLEDGTSSIS FHGFDSESGRMIETKPQSHESAISLSSFEQDGKDHAFIQGSSGDVHSTDAANASLNHC KLPAFLPWVEIIQHGEDVIAFGLSPNGHLYANSRCLAKNCTSFLTTPLHLIFTTTTHM LKFVHITDVQDLEVPNDDPEKDERCRALERGSRLVTAMPTSLSLVLQMPRGNLETIWP RAMVLAGIRKLIAEKNYKRAFSHCRTQRVDMNLLYDYQPEQFLANVGLFVDQVKKITY IDLFLSSLREEDVTQTLYKDTRVLKPSANTNGLPVAPPTSTTTSKVNRVCDAMLSILS HRQSTNLKNIITAHLCKSPPALDDGLKVVALLMSTDQSMADKAVEHICFLADVNKLYE HALGLYNLDLALLVAQQSQKDPREYLPFLQKLQEMPVLRRQFSIDDHLSRHAKALTSL RDSSPTDETAEIDAYIVKHALYKSALTLYRYVPARLSEITHLYAVHLEAKSQYHAAAL AYESLRLYAEASHCYHLASPTYWREALFCLSLTSPTPSALSSLAESLADSLAEIKSYF DAATIHATYLGSPTVAAKLFCKGSYFAAAFELATSPIRPCPELLEPNGVIDTGLTDSL GVTTELLAECKGQLNAQVPRIRELRERAIADPLAFYEGEASKGDADIPDDISVAASGV STSASLFTRYTGRQSLGTAATGASRVTSKNRRREERKRARGKKGSVYEEEYLVASVGR LIERAESTREEIQRLVEGLVRRGMREGALAVEALGAEVVQMCKDAVKEVYGQADETKE VEEGEVDENGYRPIGGDAVLAESMEASQRAKVAPVIGEFKKLSLLGV VE01_04130 MDEAYYQHSPHIRRHNRSSTSLNALSLAPLTSRFPIDDADEAQI PQRPQRPRPEHRLSYLETASVPPSPGILTSSRSPSRTRPRRRLSSSGIPKSKSSTQIH KDGTSALYHKSHVHKSGAVTPGPRPTQGNKHRSIASEDFALGFFNRRKPDDDDWLLRT GSLITSASRESKGQAWLVSRASSTSLAGLDNDEDSEDDRVAEYGFVRSRRPSGDADDE LSPVTTRSFVAHSRSASRFASRTQSRVQSRVQSRRGSRSGLVLTPLQAPEMDSYFQLG GIDMAKPDFVDIDEDADAEPAQALYDEMLMRRLAKTGTLGLGTWVERLMGWSLFAVEE DGEETDGEGEGGETETTDTDGEGYGRTRKERERQQWREMLEKEAKEQGVVLPPPPEGS EEGGWGDAAWLLSVATKVLL VE01_04131 MAILEAVPGVGVSIYINGQPVKEYEDDGEEVSGPLAPKTVVKYI EAISDAEFAIHASVLPAFRAHVQTIYDLAFQVNIDGKWAAGRCWREAAEAAHSSWNSQ IDGFYSEDATGRPTVNPFKFADVELVEVADKAKIDQDVKAAAALGQITVEVLRVKIGG EVNCRAILPKQVVSEISEKAVKGRALSHGTAFGVAKTAPGQKTVDCVLIDGKDEPLAR FVFRYRSKEALRQLLIIPRSPSPDLFDALPTAERERLAREAFQQQQDPKPEPGIKPER IIKRERRDSDIVDLTSDAPTAKQRKTTMEAPIDLTDD VE01_04132 MAISESLKGVSVSISINGIESREYKAPEEEQHHYPKQTKLIYIE SISGTNYAILSKVDPQYRLKSALEFQIQVDNTKLSVAPLFVPKKMSNSWMRTTDGMEQ INRHGKESKRLFKFSDIKLVDTSDKNRVRNDANAAKHVGEIRVTVFRKQILEQTSAET GGQRNNTNLEIAEKAVKGQSISHGTEFGEAIRTRSRAIIFETKPARGAREPAAVFIFR YRSREALQAEHIIPRAQSEDILHGYSEEDIRRIARERLSETQDSKVNIKKELNADGGL WAKRSTKEKSYTFDLTGDEVVEVEETKAPKPPAETVDLCGSNEMEPGACGSTDPIQPG GLFFEDEDRGGNGDEYYFGF VE01_04133 MDPSSAPLADYFWIAGIDSVSYHDLPEAPNSGHTTNGLPPVGDN TIAENTIAEGPEEGQDEPILGSAPRGSTARHSRGSLQRLSRLSIDARNSIQSLEGLDT YSGSNRSSLTVRAVPSNGTTQESLKQGLSDFDFDRALVKFANEREVFLDDLSFSAGTV MQSRPPMTARAEKIKHDEDGLGGRKSPFGRVGGSIRRKISFRDLNSSKKQTINRSVSS RTSKRLSNYNSVIPPPEPLNADPDMHPLKRRLEPVLLDRYPPKTATDELQRRGRFPDY VPMFAFPNDINIVSSDERPRSTWHGFAMTGDDNAKIYGITVIVWLPLGTDAAAALERK CEDWRQSHMTNEERELAASLGERLAGERAHLSQLLTQLPAMTSGSSARDDLEERISSV EEKISLMTDMLRPVRHGAASKIEGLTDGDTGLWTPRAYGILGRDAGLTSFWKEWLRAV IVPMTDSGVLRVPPSSPKVGRWQPLERYVVNLCTEALSPITSKTQVEIAVRELRLFAR KEAVNELPESRNADLYALFRALTIPNIVALLEFALSESRIIFLSSHTAMLHLATKALI TLLYPFKWASIFIPVLPARLISALEAPCPYIVGIERRYENVDLPDDDYVLVDLDNDTI ESTTPPVSLPRQQRRKLFSLLQLAAPHHNKFGVPVGPPPYAIETFPYDAFSGEIPSLF TQNSAPSTLSKFVSQSSTTFVEENVKTTSRPLVFNAFLQSKNDHTRVERPSTSKSNTP SSPPQTAISPNSTHFPNMPNSPMIRNESGFTLTSTLKEKRSGHFEPSSRRSSSFGVGD NIPYRKPEPRFTAGHSASLSASAISIDSKSMYGYAPSSYAVSTLAASTVMPSMLMQPV SSSSETVWVEGHCLNWESHDTSSVCSICDEKADSDGTYGCSGCSITAHARCLGQVSLI CPSAFNADKVRAAFVRCFASFLYTYRKHLRPATTKEQKAAKQLYAFDMEGFERSLPGE MSDYVGALRQTQAFNEFIHERETTPASSPSIRLFDEIILAKRNRGFSTSSFFSKAKTS FLEDRSDHLWRSASVPSPSGAFKGEYKSVICRMPARLDPELMREPRVIGGVPRVVVNG GKRMGRKAVPSLLGPRGVN VE01_04134 MDDTERRTAKRSRFDQKEPAPRASRFDRRSRSPPPARERDARRS RSPMRRESGSPAAGEPAKKPALDPAVAAAAVASRIQAQMQAKKGIQHVDVPPIRSTGS PAEVKPPTPSTAMETGTIGTVNGDMYIADGDYIRDIEVNDLRNRYTLTKGSTQKMIKE ETGADITTRGEYYPDKSMATAAKPPLYLHVTSTSKVGLEQAVEKIEELMKQELPNLVD ERRFRRREPDAVERDEFGRRKWPEEKIPIDFEPVPGFNLRAQVVGHGGAYVKHIQQET RCRVQIKGRGSGFMEHATGRESDEDMYLHVAGPDPKEVQHAKELCEDLLANVREQYEE FKSRPQPQRGFGGDRAPSTGYGGQSGYGDRQNNGRHDSYGGGGGGGGGGYGGYNSPAA AAPAAPSAPPGAASPAAGADYAAQYAQYYGGQDPYAAYGGYAAYVAYYQYYAAQQAGA GGAPGAAPGAAPGAAPGASTASYAPPPPPSEAPPPPPGSGPPPPPGPPPGNGYNAVPP PPGL VE01_04135 MAARTSILKLRTPTSSLITAAKRSQSTSSSTSALAYKALHRRIT PLPTQDSPAWSAQAAVSNILYETPAPSKAPPTRHILNCLVQNEPGVLSRVSGILAARG FNIDSLVVCHTEVEDLSRMTIVLSGQDGVVEQARRQLEDLVPVWAVLDYTQAPLVQRE LLLAKINILGPEYFEELLAHHREITAEIDGEIGDHGLEHSAVADFHPSKLVLSQALRL KHEHLKSITYFAHQFGGKVLDISNNNCIVELSAKPTRIDSFMKLVSPFGILESTRTGL MALPRSPLFDAHQDDLKEADEIVDASSLPPG VE01_04136 MPTDDSSRPLLGDSDEVAHRASEERREDDTEYAPLLSHGHGTPR YDGEEDPAPLSPASTSLRSIQSNDSSASATKGKSWATITAITGLSVSVLLIISVLFFA PAAVEEYSKQSMVIDPSGLSIDSFTSTGVRARVQADFQLDAAKCENSVIRTLGRLGTY IAHTVESDETEVKVYLPEYDNLLIGTATVPKVHASIRNGEVTHLDFLTDVKPAETADL QRIVNDWLSGRMTQLTVRGMIDVPLKSGFFRLGNKTISPTIVLEGHNIPNIPSYNITH INIEETNLMQARSRKAIIKRGMRADATLVVDNHYPISLSIPPLQFDILVQNCDVVDPY IMLAEASTDRVDVQPESDIEVHAGGVIRELPSSLTKPCPSTTLSPLDVLVGEYMHGKD ATIYVKGSKNPSPETPTWISDLVSAITVPVPFPGRNFDGLVKNFTFADVHFGLPDPFA DPRSPESNPQISGTIIVMANLPKEVNFAINVTNVRPTADIFYKDKKLGVMDLKEWQKA QSERIDATDDEDALLKIQSKIKDAPINITDQRVFQDVAQKILFGEGVTLEVRALVDVE AETVLGSLVVRELPGEGSVPVKAPGNGGLKGALGGINPQVFDLKILDTGKNSLTLEGK VNFTNPTNYTATIPSLNIHILSNNSVIGLATVSNAEVTLGNNTNLAVRVLWDPATLGD ANSSANARNLISQYISGWNTTITFQTYDGSIPFQPALGESLSKFPIEMQIPRLSSPHN GSGDDDDDEDAPDHLRFIQGATFHIFSSSASFTIFSPLKDTHIFIETINATAFYNHTE PVGTIVYDLPIDVPPGLSETDKLPVDWDPDSIGYDRIREALGGGLKLSAKAEVGVRLG KWKEKLWFEGEGIGAKVRL VE01_04137 MASMTLRPARTALRCLSKPQLRTYSGPASPADPAVSPFAPRHFL SIADLTPAELVTLVRSAKTHKTNIKKGLVPKKVQESLNGKTVAMMFNKRSTRTRVSTE AAVVTMGGHPMFLGKDDIQLGVNESLYDTSVVISSMTSCMVVRAGEHSEVADLAKHSS VPVINALTNDYHPLQTIADFLTIHETFPPTSSVKDSLTNPSLGLEGLKIAWIGDSNNV LFDLAIASVKLGVDISVASPKGFTIPPRMKEIILASAKGVSNPGKLTETSVPEEAIKD ADILVTDTWVSMGQEEEYKKRLEAFAGYQITNDLAKRGGAKEGWKFMHCLPRHPEEVA DEVFYSPRSLVFPEAENRLYAAIAAIEAFVVNKGKIVE VE01_04138 MSQRITSMLSPSSDHPPSFSDAVPSYNDHTLDERFEPSSSHPVH QASSETPKPRPLSSNNPYRQLLEHSPPLEAAPGISLSTPPQPETQASHQTPPASPTHP SERQQSSLPPQQTPAELDALQATAQAAQRAPEFLSLDRDLIFPPPPSQALYSLAFALS PNGRSNTVRRSVPAVTRADGSQRSEVTDKDLYTIRRDSFFNSRFIIEGKRRSTFPGTL NLRYHANIIKGPYWDCTVEKTGELLMRGKGEEWIDGLGRTVGREPEGVCLGRKKRKVM EKEHEQRTQGQNVAWKPPVLELVGEGKNAGGDDSSEDAKARIRDLLVTCWVAKCWDAE RVAAMPEQLAADGSRWKRRSEVPRGTSFFF VE01_04139 MAQVSGSTSSSMTSTSHTMTAEPTNQKLGTPQSSYSAYAKSLLQ ALQPENRYKFIAPEKEICEGALDLAKSTLDGFAAQLYDEQNKRVEEERKDRKRKRGEA ADGGELLKIRKVHTQGFAVEQVWEQARRVIDALRGDVERDLDELAPSEGDESESEDGE EGEGDSELDGMEFGEDGLEIGSEGDSAEGDEDQEEEMDVMEEGVDDDEDEDDMAAMMD GEDDLEDEDDEDAEDDGEEEEFVEDPHGLNDGFFSIDQFNKQTEFLELQDTAADPYTG EASDEEEIDWDADPMTAVAAAGTKSKKSGAADDESEDEDEKEDEDGPTFGNMDLNAPE GESDDEEDEDMEDVIDAPGDNTNDILYKDFFQPPARKVTKAEKQASYLARQEKKAKAL PADDEQDVERAMADVRRDLFDDEEEDRSEDDLSDVDAADPKSRRSAHERRQAKLAEEI RRLEAASVQKREWTLSGEARAADRPQNSLLEQDLDFERTGKPVPVITAEVSESIEELI KRRIIAQEFDEVIRRRPDSDSVPDNARRGAFELEDTKAQQSLAEMYEEEHVKNNNPDT YMSKADDKLKKQHLEIEGLWKDVCGKLDALSSWHYKPKPPAPSLTVVADVATVNMEDA QPTMASGITGADSMLAPQEIYKAGKDKNSVDKGEIVPKSGAPIARQEMTREEKLRRRR REKERIKKRGGEVQNARPEGKKAKAKRETVEELKKAGVKVIGRRGDIKDVEGNKIKAA QITNGAGGFKL VE01_04140 MLSSARPAAALALRGKLACTTSRVATNPNFSGVAKPTSAIVPYR SIAAISSSASKTASALASQNASGFPLGVTGKPKREVLLPSQEPKKGAMQYALTTLDQV ANWARQSSLWPMTFGLACCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTN KMAPALRQVYDQMPEPRWVISMGSCANGGGYYHYSYSVTRGCDRIVPVDIYVPGCPPT SEALMYGVFQLQKKMRHTRITRMWYRK VE01_04141 MSPSHISNDGNDEHRDKRVRRVRKGTRSCWECKRRKIRCIFGDD SEPTCKGCLDRGTSCVSQEFLDDAERSSSNNTGLGHRLGRVEELLEKLITKVSPSIDR STPAEEAADNLEASASCIGIDVIVPTDEGGHTEYGNAPIMSLFDNSVLSRPPVPQHVR QMPTPGSTTSCSSMDTCNKIDTVRRTLYRLLPSQADCDLLTSRANEWWYLNKYLSQLT IQTGDRPYGIPTVGVEEVRAWHPAAIARHLLALCLCVQQSSEGSKLKALEMPTPSAWM EKCLTIITNIVTSDDELVGNVEGLECLILLGGFQINVGNLRRAWLSFRRALNIAQLMG LHRSPAKNKRDSTMPLSPEDIHRSYIYYHLLKGDVYLTLLLGLPPAVAKDPYAGQPEG AYDSLSKEELYIKELSTIALRVIERNSSGESNAYAETQQIDEALETLKQTMLPCWWHV PTTQEYMKKMNSNGVTKEMDRLLGQLWHYQIETLVHLPFMLRSATDRRYDYSKICCLN ACRELIQRWLLLRKCEDQPFICHIVDFQAFMSAIIILLGLMGTPSQGILDPTQRDQDR GMVDDLIKSLENTAFACSFALGKQCADVLKTLISTDLNGLTGNLRLKIPYFGVLTLVS GAQAANVEKQSPITPASLSKESNEPTPRPPAWLGMPGMGENMVPAPVLSFASTQFPGM DETGIDGGLSEWQFRDSDIRVFDSLANTDVEGNWGFGAV VE01_04142 MPPRLPSQPILYFTRCFSTTPQTLAKSTEKRLAFEHSAIPPYPY GASRWYKQSNFGLYGMQKIRFGNMVSERNEIKTRRYWRPNVHQKRLWSVALGKFLRLR VTARVLRTVDKCGGLDEYLLGDKAGRIKELGMGGWKLRWRVMQSESVKERFRAEREAL GLPPKEEVLIGEDGLPVTQEQVAEEIQKYDDALAKDVEIDIGEDEPEVKDVQFMAEEG KPGKVTV VE01_04143 MASAISIEETNRLRISLGLAPLPVPGVGPVFKDATKSSPDDEEV ASTLESRQAESYANYQKLQDAEEARRKRDAKAEAIKKARDAARRFAKLEGKGLGEADE GDQDAKSWLIKQKKRQREIEKARKLEKERIEAEKEAEYTEKDLAGVKVGHELGTFQEG EDHVLTLKDTTIDENEEEGDELENTDLREREKLKDLLELKKKKPVYNPNDIDDSEDRT ILSHYDVEIEGKKSSRFTLDGQGSTKEQLEAIKSGATLGMKPKAISLDFLKDEPSSDY IDAADIKIRKPKKKKAKSTRQRAVDEDDIVPPVDEVLADSNDMEIDSGARIIKKRTYE DVSFVDDDDLQASLAAQRRNALKKRKRVRPEDIAKQLRAEAATPDTTEEEESTGLVID ETSEFVANLQKPEAPEVKRPRVAPKHTDIATTMGAESDEDGDVNMEQQSYANIEDHED LQERLKREEENISSMGMDDEATLDKGLGSTLKLLKDRGILKTSESGDRNAIFREKQLF LAEKQRREAAAELKARQQRERDRATGRLDRMSAREKEDYARQQNTYRDQQESRQLAEH FNKEYRPNVELKYTDEFGRNMNQKEAFKHLSHQFHGKGSGKQKTEKLLKKIEDEKRRE AQSLLDSSQLTGMSGAVGQQLKKQRQAGVRLA VE01_04144 MFGLGPALLVSLLAFQNALALPGTSRAHIEKRDLNSWITTQSPY SLTRLLCNIGADGCAASGAASGAVVASPSKSSPDYWYTWSRDSALVFKYLVDRLGNSY DASLQTKIQNYIISQANLQGVTNPSGSLDTGAGLGEPKFNVDLTAFTGAWGRPQRDGP ALRAEVLIGYGNWLVDNGYSATAVSSIWPVLVNDLAYVVQYWNQGGFDLWEEVNGNSF FTTASQHRALVEGIAFAARIGKTCNNCATVAPQILCYQQYYWTSNGNYIISNINVNNG RTGKDANSILTSIHSFDPAGGCDAATFQPCSDRALANHKAVTDSFRSVYSINSGIAQG AAVSVGRYSEDTYYNGNPWYLATFAAAEQLYDALYQWNAVGAIAITDVSLAFFKDLYS SAATGTYQKGSTQYTAIVAAVKTYADGYMTKAQAYTPSSGALAEQYDRSSGSPLSAAD LTWSYAAFLSASDRRAGIVPASWGSINGNTVPSGTCGNSAYTGSYSKASVSFPANQTP GTAVPTSTATGTATGTTSAPASTSTSCVVPATVAVTFNEVVTTVVGQTVKIVGSISQL GSWNTANAIALSASGYTSSNHLWSIALNLPSGTTFQYKFINVASNGAVTWESDPNRQY TVPATCDTTATVASSWK VE01_04145 MSHHCHDEHDGHGHSHGDDGAHDHSDDITPAIQHSLYQHIDFDA ITTLNEAVAGSGAAVVKKTWAERLEDVPEVGSDADEQLLMHIPFTGQIKLHSILIRTS LSPSAPRTLKLFINRTDIDFDLATQLPPTQTLHLSQTSEIQDIPIKRALFGKVQSLTL FFEDSFGEGDEEVSRVGYVGFRGEWMRLGGAPEGVLYEAAANPRDHKVKGVGALGMGS RLGG VE01_04147 MEDAHATILDLQSQSDKPRQDAPADERLSFFGVYDGHGGAKVAL FAGDNVHQIIAKQEAFKRGDIEQALKDGFLATDRAILNDPQYEDEVSGCTATVAIISG KKIYVGNAGDSRTVLGVKGRAKPLSFDHKPQNEGEKARITAAGGFVDFGRVNGNLALS RALGDFEFKKSHQLAPEQQIVTAYPDVTIHDISEDDEFVVVACDGIWDCQSSQAVVEF VRRGIAAKQDLEKICENMMDNCLASNSETGGVGCDNMTMAVVGILNGQTKEEWYEKIA QRVANGEGPCAPVEYGQDGSLFPELFFTNAWTAEFRGPGVHHNFDDSDSGYDMDMDQR SRNVVAGGKKGRIILLGDGTEILTGPEDAEMIDNEEEEEDLASQAQPVKGEEHDHVPL PTSAAIPDKITRPIVDVKEKIQNVVKGEAKSE VE01_04148 MSSASSLNSAPPAAAAPAGKRKRDAPITLQPSSRDASGEETTAP PLNQHHKKGGPGGLAIETQRKRQRSGLATERSVGSADEEEDEESSSSVGLGGDAMAAP PVGRLTDPVGYKTNAPPEGRAVRVYADGVFDLFHLGHMRQLEQAKKAFPEVYLIVGVT GDKETHKRKGLTVLSGQERAETVRHCKWVDEVIDNCPWIVTPAFLEEHQIDYVAHDDL PYGADEGDDIYKPIKEAGKFLVTQRTEGVSTTGIITKVVRDYEKYIARQFKRGTSRQE LNVSWLKKNELDLKRHVGEIRDSIRNNWTSTGQELGKELQRFWQPSRPASPMRGLSGG AEGGAVGSPRALGHLRERLELPGGWGNGNGGDFATGYSLGLIGGVRSWMTRSRSSNRN SRQGSDDSDADDSDEKSPNDEKSPTRGRTGKADTTASTSRDEETAQA VE01_04149 MSAKTTIKVPHLGGIDVGYRLSNNSVDATKPTVVLINSMCTTSS LYNEQFNSKSLTDAVNLLAIEPLGHGATTSATEHFTYWDTATMALQAMEALGVEKAFA LGTSQGGWMVVRMALLAPEKILGLLPLGTSMDYESASSREKGCWDPKTNLLPFYLKWS VPNPDFVVDAVWCGMVGSLGFSGTVSAETLAFWDETVREVYSGEEGRKRLRMAVICLL ERDGLLLRLRDVKCPVYWLHGPEDPVFSKTIPEEQIKLFTSSPEATLTIVEGAGHYLN ATSPKETEEAILKMVSKYA VE01_04150 MDSPNYPLPSGLHPIPPHLLDLRPDSEVDHDLLHPKPVSDEKNV WFFWHSGYTQMHPYTQRNIRAWHRRFSKQGWTIRVLDRLSPYPLNVANFLDISDPDTF PRAFVDGTIGGDYAPQHTSDLVRWPLLLKYGGVYADVGLMQIGDLDRMWRETVGNPAS PFEVLSYNMGGVEGRGLTNYFLACLPNNPLFERCHKLFQALWAEDGGKTSTDGMHSSP LLKGLPMMGGSFTIEEEDKKIGPEEVSKMLTDYIIQGQAMTMVMGLVDDEDGWNGPKY VAEHVYAIDYMVGSQLINEITGWDGRKAFDLMSLSLPKEGETESAEQKQAREIVEACL GKSFGFKLAHGLILRVFKETLGSLWRKHEGSDDIPGTYAHWFRHGTTYWNQDGLPPRL EFEVIEPFKSGPLLREV VE01_04151 MPSSKGKILVIGGTGAQGVPVVKSFASGSKYAVRVLTRDASSSS ASELAVIPGVEIFEGDSYDEATLRKAFVGIDYAFVNTNGFAIGEKAEIYWGIRMYELA REFGLKHFIYAGLEYASKLGNFDPKYRCGHLDGKAKVTDYLSAQPTTPMAWSVLTSCL YMEGLSEVLAPHPDPNNPGTLIFAAPLGTAKCPLIYLKDYGDYARWMLDTPARSNGLV LHVATEDISWKDLTAAFTEVTGIKSVYKDITLDEYFKLGVFEDPEAKVGHSVTRNDPT LFTIRENYSGFWNTWKDELTKRDYKLLDEILPTRVKSVKEWMKKTEYKGKSAPVLKDY RDGARTRGVRQ VE01_04152 MPPGNTKPSPRIAIIGVCQVGAAAANALILGSVATELVLVDVKV DLRDSQVRDLSDVTYSRNSATSVRAGTHQEAGQCDIVVITAGSKYSLGQPSIDHMYRN IATIRSAIKAMKPFRSDTILLVVANPVDLLTSLAQELSGLPACQVLGSGTFLDSVRLR GLLAAQAGVAANSIDLYVLGVHGDSQVVAWSTATIAGVRVEKYLLPSIFNHADLENDC KYRSQSVIQVKGATPFGTGAVVSSMCSSILLDKRNVRPVSHFQKEFGCCFSLPVVLGR KEIIKTIQMPLNGDENADIAKSAMTLKGMIERIKEDQQA VE01_04153 MKLITILVAVSAIFSSVVLASPVEAKSAAAVGSTLEARKCAYSG CSACHAYCYNDMPCAPGHDCSIQRLGCILLCQSTGCCS VE01_04154 MKFSITAALLLLPATILAVPAAESSTEQCQVIKAISCKVINISA GTTANCRTGPGTDYPVAKKVDAGSKHAFSCYKKGECVNGNCTWDQLHYDGGSCFLSGS LTDSSCSIAALGPC VE01_04155 MFKGGFLADVYSKNPVRSDGMGRVVTRFPPEPNGFLHLGHTKAI MINFGFARFHGGDCYLRFDDTNPTEKEEKYFITIEEMINWLGFKPVKVTHSSDNFDRL YELAEELINKNGAYVCHCTKEEVVAQRGGGKGKARYACPHRGRPNSESLAEFRAMRDG KYAPRKAVLRMKQNLEDNNPQMWDLTAYRVLDEKHKHHRMGNKWRIIPPTISRTAYAI PSRG VE01_04156 MQREFGRLGISGTVLLKRKILALIESNHVHDWDDPRLYTLIALR RRGVPPEAILGFVNELGVTMAETTIDTNRFEQCIRRYLEVTVPRLMLVLDPIPVVIDN IPEDYIEMVELPFSKDPASGVHTVPFTRTVYIERSDFRESASKDFFRLAPGTSVGLLK VPYPITATGFETDPETRLVTLVNARYEKPDEGSAFKKPKRYIHWVAKCPSKNSPVKAT VRVFNSLFKSDDPNTRPEGFLSDVNPHFEEIFPNAMIETGIEEIKRTAPWPRPREGYT EAASGITPPETVRFQGMRIAYFCLDRDSTETNLVLNRIVSLKEDAKKA VE01_04157 MEGEFSFGVRCSLLVDNGPVDSTDYAISFFRWNGAELVRYPLPP YAARKLEERYQFNPANRPQSISYTDEEFEKTFGSEKYNELVKVGKEHHL VE01_04158 MATQGTPPVSGVTGYAAQNEERRALYLSYIEHCNAHNFKAMESF YTSPINVNDEPWAPSKVTAQFKPLIAAFPDWHWEMRNLTIEGNYLALHLRVTGTHQGT FQGIEPTGCRVTASQFTLYHPVDGKFADVWDLVDTDSVIKQIEQGKAVL VE01_04159 MNSIFSGSVASALAATLTAWLVYVITQAVYRIYFSPIAKFPGPK LAAVSFWYEFYYDVVQGGQYTFEIGRMHEKYGPIIRINPYELHVSSPDFYEKLYSGPG KRRHRWDWFSAQFGLPESMFGTNDHDSHRIRRAAVNPFFSKGAVRKLQPIIDERIDAL LNRFQEFQASSQLIILNYAFSAYTNDIAQEYAFARSDRRVDQHDFEPTFHNASIAGSS GGALVKQYPWILPLMQSLPESFMTWLDPDMASYFGLQNMIKKQIKEMKAGITDDKGAD HRTIFYEIINSDLPPEEKSDARLAQDGQTVVIAGTITTAWGLCIAVFYLLSQPGTLKK LKEELQTVLKSPSSPITLAALEQLPFLTGCVQECIRLSYGVCTRLQRIAPDETLSFND GNKDWYIPPGTPVSMTSVLIHHDESVFPNSRKFLPERWIGNPQLSKYLVSFSKGTRQC IGINLAYAELYVALARIFRAYGSEDIRFEDDAGYLELFETTMYDVELTKDVFIPVAPD GSKGVRILVKK VE01_04160 MNRSSSLERARLHSSRDAQSRFLVPTAVSDGPGLLVRLDEMKRE FDRVNKIERELGRINKVERERIDDLESQMRKDRAPGSPKLAPPPGARIMNAYGNPIEA PPVHLEAKTPYHNIYYDRNVRTMSQENPEDPFVPPRRTPLNVNTTQRRYAADIETAEP LAVRERDIPYRFRDNSGKSDGRDLSGPGRDYERYPWWQDQIPNYDPRNGVSLRDQNER GKMTSVSREKVQSQARGSSSDAVNVTWNPSSPRDTTVHLELDVEDDVESRLEEFSRLV RLGHFKEAELHFETHLFDHNDLEPVLLEYSDMLLDRGNYTKDESHKTPLPGGDGPEPA QNYGTESERHALNNGNFGPGRSNIMAMERGGVTPGQLPEPVTRTEISIYLRSAYREFH SKGLFKKGLQTVELDELSTYINTRKTDRQPCSSDLQILQYYLKVLSYLRDKSSFFPPF MYSGIWSERPGLYKSLLSDGRIWDVRDIISASVSALGQKHTWKTFIGADIYSSAFFAD FLRDWNLEEYDESSYLATLDILATLGQSFISQLRPRLNQQAIGNAKQVLELARRFSTC IKDNNPEHVKSRPYLRWILAEAELARHVAGFGNDATSTQKSLRRFPGLTVWLNALPIY IPISAENPGWCASTLQGNSTDLLHTGLKAAQDLGDYRMEASFLRELACRSEDPTELLA KLGNLQKLTQGDMVGYLQTCLTKYLLAHDEAQLQALRDELADFEDQMSSPPNFARILE NPLMEWCKRMIQSALFRFQEQFTVQLEEAQRAARAVAGDLPQDMIVDLSNFSFYNQMA EDVRQPLPQYQGHSKGDSELERRKVLEERQLTQEMMQARIYEQEKSKTEKEAKEKEYR SRKELIKARIAKKENAKKAVEDIIEETEQDSSTTSSTTDDDWDPIYSGDIEPREEQSV AQYREYSRPQVEIYDGQQVEKIDDGPQVEKIDDGPQVETIDDGPQVEKIDDGPQVETI DDGSQVDDTWDPIYSGDKKSEAKQPVTQDEKSDGPQVEIDDGPQVGIANTQTDDKNHA ADDPGDTKNEGSNGDNEAKGPEQDPEMEPPQRRPTFAYVEGTEEDK VE01_04161 MTSQQPGISARKFGRSFECFDSLSSASVGNRELGKVKIDCRFRL SKSKWGVLGNAERSAGILYMDLCFDQPKDCRLSSATVLLTLDHEVNDIDRYRRPDPVS ISRANSLQMTNYFGPRQLSGKQTTVNMKSTYRLTPEVNVMGTGLGGLGKDVETKREVT SRWTFTGQLQPGKTAAYRTLKWELSENELDLQSLHSSTIHTGFTFEHDQKPCYLRVEI TGKLRGVRHRIKENLKFPPKRSKNQGETVVKMDLGPQHKFNTRLDSLADGLAMAMEME NYEKIPVEMPEAIPAFFQEVRNQQSQLAATSNPAPPPSSEEAIIGRIDLTPAQPPMLT APVAEDPLIEELARAHLSFPLPAQIRRRAPKSMSSSSTVTLVGVEERDEEISAALKGA QNGVPEVQKEFNEVGQAIHAVQDLVKTEKEEVDAALETFMRLSQSPALLFLIHVFASF LDLFAKKQASVKKKF VE01_04162 MEERRRMSLGHLKTLLTRQQGSSQSPEPPPCPPKHSLDTLPTEI LIQIFTNLELIDASCLSLSSPRLYIINSSLNPGPIPLTTPCPISTLRHAALGSPAFEH AIIRWRTSILQHKRFPGPPPLCPHSCSQECALHFHIRDWMPAETLFCGSCEKFANWVQ VDGFRCPCKGVRRPGVGGERGRGGGSGGVLGRWRRRGRVGDVEGRRSEEGVGRRSGVG DVQTVQAVQIGVM VE01_04163 MATAEVLTTTHAMHTSAVDPPTLALYPGGAIDATMRAHYDATID LPHAPVHIPSLAAALTPVETPTAAPTTSLTSLPTELHLLIFSSLDPIDSTCLGLTSRH FYTAYRTTHRVRVPLNQRRRGPNTLERAWEVLGPVTACRYCGIYRCELHMHIREFMPG RYEYCAVRGVFGLKAKEGVEDWCFRSNPPKPMMCGRHWLPNIEEPAVEASKL VE01_04164 MTSTGVKKRNSQFFATVLIQDEGEPEQDVADAGRSDAHLPIMSA PQSDDTSPAIMGFLHTGIAPAQIHPHPLADRTRDKEKGRMEGSGYVSLYSNSLPGYVP YRSSPLSTEITQFQPQPQEGRARDKGKGRMDDVPPQSDSLLDAPQAREPTSLGRFLVL PCGEYQTVRYLVHLPWPSPDDPIVQREILHLCRLKKNIVQVEVMLRTAHLSVQDQKPA ILHALGGIDGALNENPYEGTWRKYRHHIKLIDLLSDPGAIGGNLTALAILIPAGFSWI EGHETSLATGDSMQATVAGVMERVPELRQLSLELIPYGVWLMGESEGPPPSLLKGVEV F VE01_04165 MSTAAPVTPVKAEAGKATPPISTTRLKQIATDACTSALEGAEFY DHPKTAQWNNSIINAILKSLISESTTPPATQPAFKFAINSTIIQHLVPTTALGKSSSV KKEDGEEKAGGATAEQAGRRGMHSATGAYWNEATDGMWSFKFEGGRGLDVVISVIWVG L VE01_04166 MSTQPLLQSAPGKRIALPTRIEPKVFFANERTFLSWLNSTIILG ALALGMLNFGDRPAFISAFLFTGISIATMIYALITYHWRARSIRRRGQAGFDDRFGPS VLAVVLVVAVGVNFVLRIGYGGKKDGKN VE01_04167 MHTKITTLLTQLKSFSFSAPAAKPVIVIAWAKAKSAGKLVSVVE VVKRELEGEGGVWFQYNGLGEGFAGVPRDGGGEGEGDGGEAKGGDGDVEMEDEGFEKM KTRIERAIEGTEKVRSVPVMTVYLSRVRVEALREAYGEQTNSKR VE01_04168 MFRFSSWISESRGGDEAVHGHNKLAGMEQPLDKDARDYSVQPVG ATKRKLDDRDAPPLGVSACAAAAKRKKECDNNMGAGLSAPQAGEIEATATVPNRSKPG ALARMREVIDHQLSLEVLMKHDELRRIDQELAKATVALDQLRRCHLIPFPVSLGSPEA MLTVSTGTGPSIPQARRTQPRMAAPFGVTEGPYSRHYASWLIPDPEFDGVQTGWGMLP GAAAGNNTAPGRTTRNSLALETPTTKPRRGAASSKKLQALPSGYPPPKETAGPLVLKR ADGQWVKLVCLVCQRENFGSTQGFINHCRIAHHREFKSHEEAASQSGQPVEVDSVTGS IVGGDSSKPAPPTTNTPTGSSTLVHPLIRSVPYDRQTVNTLLERISEGTRMFYEGKLP GITSIPSVAPAAPSRKRSEPHDGFVASDSAPCLSRLLHRRGFGQNLDQLVEEVKTPTK RRRVVGTDGVSDSASDSDSEFEMDAPEAAVLSHDAPVNGEVAAVSATADAAAPMSTPQ PQRHAPILPPGFARPGSSKGPSTTLRRPGMMPSNLYSTPGSPPTPASQHILRLPLMGP YDTISSSTSSDDIDVEMDAADLSPATASNKAPSLISDDGEYSYVEDGESSGAEESVEG GDEGEGEEEVEIEEVGVVRTGKGGARRKGGEVGFVRAVKGGK VE01_04169 MSDTEPKPADEVMAAGDDETNEEEEISAMKRRVAEMEEEAAKLR EMQATLDQQSSEMHDDKEDIDSRSIFVGNVDYAASPEEIQAHFQSCGSINRVTILLDK FTGQPKGYAYVEFTEPAFVAQALVLNESLFRGRNLKVDPKRTNIPGMTRGRGRGRGGR GGFGRGGFPPRGGYRGGYRGSSRGRGFTPY VE01_04170 MSARTGVDTSLPGQPNIRVTIIAADGLYKREVFRFPDPFAVATL NGEQTNTTSVIKRTLNPYWNETFEMRATEDSILAVQVFDQKKFKKKDQGFLGVINVRI GDVVDFNSGEDEMLTRDLKKSNDNLVVHGKLIINLSTNMSEPVRNAAPAQSTRPTLGS AQSSVASGGFPGARSDTHVAEPQGVMMANGAISTAPTPGRAPVAGSMGASTASQSTVP SSRAPTVLSSFEDAQGRLPAGWERREDNVGRTYYVDHNTRSTSWVRPQASGNAETQRN ERDANTAVERQRHQNRTLPEDRTGASSPGIPQPSPPPSVSSQATSSSLAMLATGATSA GTGELPPLWEQRHTPEGRAYFVDHNTRTTTWVDPRRQQYIRMYGGQNQNNTIQQQPVS QLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYF RSQPALRILSGQCHIKARRSHIFEDSYAEIMRQSATDLKKRLMIKFEGEDGLDYGGVS REFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFH RRFLDAFFIGALYKMMLHKPVSLQDMEGVDADFHRSLVWTLENDIEGVLDQTFSTEDE RFGVTSVEDLKPGGRDIAVTNENKKEYVDLMIKWRIQKRVDEQFQAFVTGFHELIPAD LVNVFDERELELLIGGIAEIDVDDWKKHTDYRGYQESDEVIKFFWQTIKSWDGEQKSR LLQFATGTSRIPVNGFKDLQGSDGPRRFTIEKAGDVGNLPKSHTCFNRLDLPPYKSLD ALQGKLTTAVEETMGFGLE VE01_04171 MSNWKVPEGLIDWQNVSEHDGVLTVEHIKTTEFLTGESFLSNCH IPDINPNTKIVGICGITDWNKENDPKLPGDAAPNQEGWHFTDFYLFHHLLKEVASDQV WLTCVSPESAVEKYGRYVYGDYNPKKIEERRVVLDESKLGELNDVQTVSPEDLLENVL EAISKTCIDAAAEKRPVLILIFSRSSQPAYSIVMGGENAEDPKYLTKESFRQAIGSQA PEAGLCLLATEYRTGAWAINPDMNVAPVASQGKYLESMAWPISGTNNKRPCGPEFSHR IVDMLLRLHLEGYETVENDGDKDPDFEEHEERLSSVIEGILEKEESKDMSLFSGDDEW ETEYSERIGIHISEFHRRWCLLKDATSGYDELLTAVKNEAKVYFNSFPGLDYKIRNRA LHKKLRGITKGTLTPNFIELELLQRQIDYRLKLMKMATKYKDLWHLSTKNCEDVEVEI GAGIGTHRWYQLCKLVESYHLFDHRDYQGEGEGYEKGNWYIASCIHSQGWDDTQAAEK IGILIPFKVTEAPVRNETESESAETIASSWCYDQDLFVLQKEAKQYFDSGLFPHDTAS DLGLNNFLRNVIKGTREHGPEHLRCGVDHRANQIMGTATLYKDFLDIDYPDCHEVEVH RFWCDDYKRYREIKALISTYPLFNVRYHRPFYKGGEYLAQSISTQEWSKSESETIAKL DSLVKYRGPITCPLFAWPGGIDTKYVTSGVPNVKNASRILETVNHFQLSRDERIRVLV WKLGQITDRKIRSLPTNSCRRKRLPGNLAKEGVTAGIGKLSLEGGSSC VE01_04172 MPYRSPPSFIPSCRRLFHHSAVVHATHYETLRIPTTASAKEIKT AFYHLSKLSHPDRNPTDPTASTRFVHISEAYATLRSPEKRQRYDRDIGLHHQHRPSHP VHPTGPAQSHYGPAGGRAPSGLSRRRATFHGAPASFYRSGGWGEHGAKRRAAQESSSG TTGGEARPKTEGGMGWGQSAWVAAEEARHFDRESHLRTFRNHERRMKGRAQAARGGGE GEGGGGEREEEAGGRGMLGDFLIVSGVIFGGIAAPWWVPGLWGRGERRRDGV VE01_04173 MSIPAFSDIAKLSNDLLNKDFYHTSAATLEVKSTTANGVAFKVN GKSTQEKTTTGALEAKYTDKPSGIIPTRSPFKPPLVIYMRPSLTARVFNKQSSHNCLI YFKSGFAQLLIIYRRAGLTVTQTWNTANALESKIELNDNIAKGLKAEVLGNFFPSTQA KGVKLNLHFKQPNFHTRAFFDLLKGPTANLDAVIGHDGFLVGAEAGYDVQKAAVTKYS LGLGYQQPLYTASIIASNNLSVFSASYYHKVNSQVEAGAKAIWDSKSGSNVGLEVASK YRIDPLSFTKAKINDRGIAAVSYNVLLREGVTLGLGASFDTQKLDSSPNKIGASFTFE A VE01_04174 MADKDAGTPRVFLVRHGQTEWTISGQCTGSTDIPLTAVGESQVL SSSKVVVGQGKLIDPSKLTKVFISPRTRAQRTYDLLFDDAAKAALKEKVEITEDIREW EYGAYEGVTPTDIRKLRQEKGLDKERPWNIWHDGCEDGESPTEVATRLDELIAKIKQL QAPCMDGWRPADIVVIAHGHSLRAFAKRWIGFEMGMRLPLMLEPGAVGVLSYEHHRVD EPALLLGVNMGGEE VE01_04175 MQYSFVAAGALLLATVAAQPHGHHARHNRRAPAVVTNVVDVYET VMLTATVWVDEQGNTISAPVTGTDASTVTGSPRTTVKPGEFHEPTQTQPAAVVVPTPS PSSSEAAPVAPPAPETTTIQVASVTPPAPVVPTTPAAPVVNTPAAVNTPVSVAPPVAS SAPATGSSNGECSSGSPCSGDITFYEAGLGACGETTDGSIDKVVALPHEFMGTQSNGN PFCGKTVTINYKGATVQATVVDKCMGCVGRDLDLSNAAFDGLGIAESVGRTQADWYFN VE01_04176 MKHIALFLVAQLASLLSLAAADWTKTKWDAIVVGAGPAVATRLA EAKLNTLLLEGGGPSYGVTGGNLSSRRPSWLNNTNLTRVDVPGLYSSIFGDGGGLICS GTINAYGGCTIGGGSAINAGLYFEPPSSDWDSFFPAGWKAADMGGAINRVKARAPSTD TPSADGKQYLQSGYNIARRWIVDSLGFADVKINENKDNKHDVFGRPVYGYKNGQRAGP VPTYLQDALKLPNFHLQSGTRAVRVQRTGTSATGVWIHLNGIETLIPLSNTGRVIISA GAIATPALLMFSGIGPAASLTRLSAAGKLGNLTHGDWISNAAVGANLFDNPNTFIELS SPSITAFGPSYSNPAPADSALYLAHRSGPYASAGQTSVFFSRAAQPDGRIVGFQGTIG TGGYADYTSATTITLNIYGTSGLASRGSVVLDGKFIPGPDGNVYYSSAPDARAIASFI KRIFAALPADVKTLNLERDASVARIEQYITTPSAYARGQVNHWSGSCKIGKCVDDRGR VVGTGNVWVADASLLEPLSVNPMFGVMVVGEKVAELILKGVGK VE01_04177 MSFGYSVGDFIAGANLSYRLLQALSSSRGPTQEYQEAVMEIGAI HQTFLQVGRMTSNPTLSHATVNAASCIVLSSMSIMDDFLEKTKRYRERLCGRAPGNTL SDSWQKMGWVLFKKEELRALKDALHIKLSSISVLLATAQFHEHIPSAVSQYQVKSLIL PSISSSLLPSDSQHQVKSPSSPWISSSRSSLSSLSSLSSLSSLSSLSSLSSLSSLSSL SSQPPSDSQLEIPQPLPNTLDMDEKDDGIEARFAKLEEFMNQRHTKEPANSPEAVDIE STAVKHSDKESKDSLKDSKAGSEPYKEVGMVSRFEKLLKAQQQKGLQDSDLLIDLEKM MMRIERGTQMPLKFKDAVGRKFTVPFHIACTWVGMEDFIRQAFIHVDVIGPHVQKGHY DLIGPNGEIILPQVWETVVESDMFITMHMWPMPERPKKSRNGDDDGQSSRSASTTLVD PDGPSPSESKKGRHWRMFKRWPNSTSKDK VE01_04178 MSRPVWICLDIWLLLSFFFVHKSSHSQQTFENRLTERWALDFGR GCPLDLVVQTLIINTEAKLARPLSAAELLCRPLPSDQSSVPKLLHQSWKTNELPLKFE KWSTVCREKHRDWEWVLWTNEDNLNLVKKVYIDLDTDCLRPTSAAFKAFDILNAENTT ASVDGEQINQFAIFRRMGTNESFENSIPNA VE01_04179 MIPRVPSPEFSPLSIGEDLTPLPVYKTASTTSIDFDGLLTAPLQ LHEDLKGGCGGQLWPAGMVLSKYMLREHKDDLKDATILELGAGGGLVGLAVALACNIT APIYITDQINMLPLMEQNVKLNNLESRVTPLVLNWGEPLPEMLSSRPATHILAADCVY FEPAFPLLLLTLTQLLTAFDQAVIYFCFKKRRRADAQFMKAARKLFSIEEIEDKDKEV WSREQLFMYTFRSKTPKTNGNKAK VE01_04180 MQLYMHRGHVWRMRAQIACMIMLLEGLAAATPTINLPLNSQFPP VARVSAPFNFTFSKSTFSSDKVLTYALSNAPSWLSLDSATRTLSGTPPDWASGTSPTL DIIATDGSGSISMRSTLIVSTRKAPEITMPIAAQLQSQGANTAQNSIIFNSSSRFSFS FSTGTFTYPVGRSTFTYFETPSAIGMAAVTMDNAPLPPWISFDNSTLTFLGETPDSKA LTQPQEHFGIRLIALDEPGFAGASVPFYITVENHKLEWVVPAFEMKIFVGKPFEFKAL SGSLKLDGKVANTADIISVTTTKVPWLEFDNTNYVLFGTPRERRKSASPLDVTVSAQD RYGGTATAVIRVTLANNIFFDGDIASINATIGQPFSYSVSQVFVDSTAVDIGVSISPQ VSWLSFDSKALAISGDVSKSAEESSINITMAATPKLALSNTTPDLKSFTINVVSQPSS TLSGVHTSATIETEDSTVDSNGLEATTAPTGNASRHGLTRGELAAAIILSIFAVIFMA GILLYCGRRQRNRFKLSDTIVPLSKRAISTPRLQKKFSILGLNGSPGSSHPGLRAKAK NLNKVTFDNPDPFSTKYPSATVRQSASSSKYSDEVSPHLNVGHSGHKDFSRPFQGTGG SANSIDDDPDILIIQNFPRESEGTKSHGITAAPSAVRTKGGTYSFHPYRTTPGASPNL EKTPEATCATKMKKYRAHKRHRTPSDLGPLPNTPSKQYSSTGLQRTGSERSTRTVQPW SKKNRANGHNHSTSVNPVGESTWESTPGSPIKSSAARPRSSLSVVTESTDVLYLDHPS PTTTTNDSLPLTFNSASPFTQPLQTFLDMAYSPPGSNLGNSRSSLSQLPSRRTTGSSP FFSGSHRTSARVQSRGKMLFGTDKEAAAKISRRQAVPEPLALRKLAREENKLQALQDP ELAQLLDGLGGSRIDPAISSYAGSIEMTEDGTKRLISFLASVDKRKSWVSDTDSRKSF SAWDFEQEKEGPSEAPTGMLQRFKSYRSNVSTRSKTTFRGQSIWLGNEDKDARGPTFM EQMASLEYCGVLFGSNPDKGGRWARSQWSESIGGSSKRLSTPLSPKSFELGIWGKRDG NDGVGSVVV VE01_04181 MPGKLPTRRIGRDGPEVPALGLGTMGLSAYYGTIDDDETRFKFL DRAYELGATFWDTADVYGDSEELIGKWFKRTGKRDEIFLVTKFGNRVPKEALASGNGI EAMKARTIDSTPEYCLEACELSLKKLGVDYIDLYYAHRIDGVTPIEKTMEALVKLKEA GKIKHIGLSEPSAATIRRAHAIHPLACVQMEYSPFSMDIESPTTNILRTCRELGISIV AYSPMGRGFFTGAYRSPDDFEISDVRRALPRFAPENFARNLEMVDNLKRVAEREGVTV GQLTLAWLLAQGEDILPIPGTRRIGNLEENLGALEVRLSEETVREVRGIVEGAVVVGE RYPSTGLKSMFIDTPEL VE01_04182 MATMREHSERSAHVAPLHIHRGHYSESSVDMSSNSGTVRHNDNA SEYSGYSCTQITPPATPSAYDDDVTMTEMDYPRPVFHNYLRAVYPFNRAESPLEPAVT LHLDKGDVVLVYSIHTNGWADGTLLLDGERGWVPTNFCENYEPEEMRSLLRALISFSD LMKSGITADDEIFSNQEFIKGIIAGVRLLLERLNCLTRDSPFVLSNDIVRRSRRSLLS DVSSLVKTSKHLQAYREHMFTMTEPENISQGIIDEMLLKAFKIVTRGVRFHDACLADM ESQYTLNRITSLTRELYDPSTPPADATTFQNAMSRASAGSRRESRRVSTGAASREQAA EEQTKRQSFKRSSSVFSPTTRNSRRQSSMQLSRQSLSHRLSTAGQHHDARRQNYVSGL LGSTHETFISCLGSLVGRLHLANGSNDLLISIRQAIAAGKALGTIIELLCARDVRGEA ALQDVRDTMYQCINQLVIAARECVRPSSSDGEDNVILQKDHDSIESAATGCVVAVGEC VDRAKSVIEIVGDFEPELSALGIDVSAFAADVATDNNPRIIEESTLEEPASLPPPPPT GFDFEKTLPPSVADMEKPLPSFVIIDQEKPLPLVPESEAETSDDAQMGLIGTAKTTLA PSPTFLPALRLEGLMGGLQLQTSIPSNDLLSSRSDSVATCTGSPSTYMSSLRGSEASL VSQTSTRATTPELSCYRQSHQSHQVSKSINSISESQATSADEREDDESRVLEKTFAHE LIFNKERQVTGGSLPALVERLTSHDSTPDATFVSTFYLTFRLFATPITFSEALIERFN YVNDSVHIAAPVRLRVYNVFKGWLETHWQHTADEVALPIIRSFAETTLLSVLPQAGRR LSELAEKVAAIEGPITPRLISSIGKTSTSIAQYISPDTPLPAPILSKSQINSLKTWKM GGTSPSILDFSPVEMARQLTIKEMNVFCTIMPEELLASEWMKKSGSNAVNVKAMSTLS TDLSNLVADTVLQSESDAKKRAVIIKHWIKIANECLILNNYDSLMAIICSINSSMITR LKKTWDMISPKRKEMLKVLQDIVEPTKNHAVLRQRLQGHVPPCLPFVGTYLTDLTFVD MGNPATKQLTGTAGEKGMAVINFDKHTRTAKIIGDLQRFQIPYRLAEVPELQEWIQAQ VIRVRASNDSNNVQQYYRKSLLLEPRMTQKTSPVDFQGAFAAHPTPILTKEKFDLFSW AHTRDKLALTPTPTNS VE01_04184 MHIRRISVAVASGLVAAGAWYGYKGSATSESSKGQSQSLTTSAY SGANAAETSTVPSANTRQAVVVTADSIYTGSIEGDEPISKYTDNSGRKVLEMLTAEQA TQKLRTNEESYFVGRGQGVVRYDVVQIPSNDPIEDDHSEKIVEVPHAVATAESGNPSS DWMFWGVYDGHSGWTTSAKLRQTLINYVARELNSTYKAALIDPTLTSPTSEAIEAAIK TGFNRLDHEIVHESVEKVMKANSKLAAAEMLAPALSGACALLSFYDSNSKLLRVACTG DSRAVLGRRGAGGKWVATPLSVDQTGGAPDEEARLRKAHPNEPYVVKNGRILGGLEPS RAFGDAIYKWSRETSERMKRSFFGKTPSHLLKTPPYVTAEPIVTTTKMEPENGDFVVM ATDGLWEMLTNEEVVGLVGQWIEKQSSENKGSSNGWLKLFSSQEKGLPVERSTTSKSN DGQKTPIRQQQWGVEGGENQRFVVEDKNVATHLVRNALGGKNDEMLRALLTLPAPYSR RYRDDLTVEVIFFGNTPKTGNVTTNEDATAPISAKAKL VE01_04185 MSSRQIRKLQQQRELEQAKLREAEEEGSNDEQEDYRPPAKASLF SSFAALQDEDNDDDGDDDEETKIDEKTHTSADEAEPSPAPKKAKKSKKKKKKAKAKAP ETSEAEKPETKDDGDDIDAALRELDISGKKGGEIPQLPKLELDPNYERMCTLLGVNSQ HLKVGNEMRNLFGRTSVENNDEAGGPVPRGGRRRQRAQQQQVDLETALKGHHAPGKGL PDVTLRRNIFIQGKDEWPRATTGGLTMKKVEDIELIDGTNEFRFVHDNAYEAVQNQFR IYVEMGDPQNLIGLLQKNPYHISLLLQVSKIAKDQSDHSLSNDLVERALFSFGRAATS LFATKMSLGKARLDFARPENRELWLAGYHYIKSLVMKGTYRTAFEWAKLLLLLDLEDD PYCFKLVVHHLAIRAHQFEWLVDAVSSPMFGDDRSFTEDFQLPSLALAHMHLKHGAEC REVLGRAIRKFPYLFCLLFKELNLDDAPPSIWGILPRNKGEEFFSSVYIKQTKDLWST PEATSLLVEVAHAVGKSDPKDIIPIPNECMDLDAARFIYLDNTPALMSLVPSNLMHRL PNSDSDPLPPEAEMNIFSHPTQRLAFVTGPSGMGPNGELEGFFDPVAAIRQLIPGWRG PPPATDELMPGVIEAGPIQEIVDQETANGEFAAVEALNREDWAAEGHVRNLGSVRRLY RYLFGERSYATEEERVEGQRRLEEVRAEIGNNPELIERVLREDDDEFPGGYSDYSDEG EEDEFEDAEETHEGTVEDSEERHEGNTQ VE01_04186 MADATPAVAAPVEAQTEGAEHTYKFNVSMSCGGCSGAVNRVLGK LDGVKSYDVSLDTQTATVIASPTLEYDTVLRTIAKTGKKVNSGEADGESRSVEVVA VE01_04187 MSHHSARGPIPIRTANPPSRAPDAALLPLRALISKLTSLTSTSH SLCHTIRSTRRLSPSGTATTTLAALEDSLADALPRLSNLYASLRATHGKPLDLADAPA LAALDAAVNTLNKHVKARLADIADSKAGSKSKSGFREIGATFNAVIDSAEDALTALSA RLSAASSAPAPAPRPKAPSPIQEAPHRPRRDSRTRESEPPRVLERGEIAITYRQFERM DAVMQSCWIEEKAEGGRRFRNMADPSRVVYGVVPEGGFVRAL VE01_04188 MSYGIRANGLAFSSLMLSSLTLLLVFIMPIALQNIGWKMYIING SWDIIILLIVKEKTGSAVMAKDQLDSDNCVTAAG VE01_04189 MRFLTTVDMGPTDDPMKNLLRTTGSLTISRMELLRSFSSARESR ISCHITVRIPLRNGEASCIRVALILQPPRTLRHKRQDKNAAQREYPLDERRRALRLGG RLRTGPEGDARREDCADPVEVVERSHALGAETVMEGLGKVDAAGDSGRSGPEADDNAA DDERGDVLGGCLNYYTDYSDKAALEHGWSSTESVCYYSCNHRSEDAADEDGGCI VE01_04190 MSDAPESIVEYAYDNITEWYLQWVEKQKSPRETYTKKLLDELPP SPSVLDLGCGPGVPVLKMLLDRGAQVVANDISTKQIAMARARFPTATLVAGDMTALTF EPESFHGVVSFYTLFHLPRSKLKAMLTKIHGWMKPGGVLVFNFATIDEEEIHGEFMGY GMFWSSFDVQGNRALLKDVGFDLVQVEVLQAGDGKLEEGNPDFDAEFMWVMARKKTSG VEGSST VE01_04191 MEHSDETGLPSSNPSSNSSQLEDTAEPQQEPPKLAYKTLSYHQM KAAAEREAREYMASWPMNDRAAKNIPLHGSIIGLLRDINDPISFNALVVMRKSIEYRM NQVMGAATMYKDFWGIDVPDCHQVNVDAGGWSAEAFRWACRYALFDEPGSLQGHRYVK GSMYMAYCITEAGWDQAEAEAKLDTLVQYKEAGVFGSGISNIEGTSRIRAMIPKIMST HERPIREELEKIAQYMKLRVQMASSTQSLQEEVPEESSAWPLSGTIQ VE01_04192 MVEPQPEPPGVEHQFGPGENVLSNYQLKLAVKKEAQSRLLKDTS NPDIEDLVEMRESIGYRMNQIMGMATRYKNKWGLDIRDCHLVDENSYMNKGLQNQANM FNLVGKYPLFDEPTYLQGRPYVKGKKYLTRCIFEAGWNPDEAADKLDRLVKYKNEQDL AALSSSVTEVESTNSVMATLRRIFAVSKRPIREELEKISGRTKLRIRLESFSMSPQKR VSEESVEGQ VE01_04193 MACHQISHPPGKTAKHNDPVRAYIRFCASVLVPTHLRLSERRSI AVDLYNAHIVNAKLEKVLGRACCRLPAMKRLYGHRLGVEENRTQLSALAGATTIETIE LRDSKLHIDDLLVILNPLKNCHSYVV VE01_04194 MSASIPRFLLPRGPATWRPRLSSPISRTIRDASTKAPKPKAAKP KAPASKIPVLEKPTRFNPPSHGKTLPNRTVRYPGPALSESEIAAQKTKKYPTMMPPEG TFMHWFLNNRSIHIYITLGVLSSLAGFTFLVSLRRDSKFGDLLPEPKDAIWHPFATVR TVVEVLRLDAEARSAETKDRRARRVEDVAKRGEYRKAHGLETEGFGGWTAKEAPPAAV QEAMEAGEEGLEQPKKRQVKKWLGIW VE01_04195 MPFKDGDSKKGANLFKTRCAQCHTLGDGEANKIGPNLFGLFGRQ TGAVEGYSYTDANKQKAITWNADTLFEYLENPKKYIPGTKMAFGGLKKEKDRNDLITF LREETK VE01_04196 MGSTASKPTAQDEAILNLKIQRDRLHKYQKRITVITAREHAIAA TLLKQGDRPRALLALRRKKYQESLLAKTDAQLEQLEVLTSSVEFALVQKDVVFGLQEG TRVLKEIQREMGGLEQVEKLMGETAEAVAYQEEVSEMLGGKISNQDEDEVEDELEALE AQVTGVMPSVPTTKLPSKERAEAREKQREEQREERQAMLAS VE01_04197 MPIRSGQRPSLTQSLDREIYQVVRKIADEQAEREDGGKRLSTSI VYDSIKNSNSSLKRRPKKLLEDSIDRVLLVIKEEQDDSEASLDGDFDGIADAAPVMKE HNVMNRSITKLWAQPKASLTPNTQSDGALTTGQTTPSTPTQAIDGDATTPGKADRHTN GEPHKKRRKAEREPKKEVDRTPPADISLANLGGVDAVIEELNELVAMPMLYPETYLRT GIQPPRGVLLHGPPGCGKTMIANAFASEIGVSFIPISAPSLVAGMSGESEKKIREIFD EAKKMAPCLVFIDEIDVIMGKRESAQREMEKRIVAQMLTCMDDMALEKTDGKPVIIIA ATNRPDSIDPALRRAGRFNKEINLGVPNEASREKILRALTQKLMLPDEFDFLKLAKMT PGFVGADLNDVVSVAGTEAMKRMMTALKQRVVDTPLTPPTTLSTDVMEIDSVLTTASN SAITTTTTSTDLTAHPTPLSAPLRLLRSLVKHAGETPPDGDFSITYSDFLAAIPKVQP SARREGFATIPDTTWAHIGALHTVREQLTMAIIEPIRRPAAFARVGITAPTGVLLWGP PGCGKTLLAKAVANESKANFISIKGPELLNKYVGESERAVRQVFERARSSVPCILFFD ELDALVPKREDSLSEASSKVVNTLLTELDGLSSRAGIYVVAATNRPDMIDPAMLRPGR LGTSVFIDLPNADERVEILRALYEKALPGAVMEGLEEVARDKRAEGYSGADLGNLHQA AAVAALRRDGGSEEVRIERVDWEVALGSVKPSVKDAGKYRRLKERGM VE01_04198 MPREKARGAALADAAARRPAAVQKHRKGKKGRESARVAKVSSRL KGVPPPPLLPRTDLVAAPVHAKLKYANSYWEFTENKEKKKKLEFAITNDKTPPAGFTF VPVGNPELSRACKEVSREEGAMIFIVSNTKEQNHNLFDHIHRIGFHFRSYIVDQAAST LGGLQAVTGDGKPIVANSGDEIPETQEEINKQADAALRDLFPRIPNTDREMIIQHAFQ KGAIFQGKPVVGLQPELTLSRRVQLAANAHIRHNHTRYDKLLHETDYLNARRVVEPLC LDFIMKWRGDEETGRDQLDELLREVVVIPDSDEESEEEEESASDDDEVQFLREVVHDA PRRQGTNPPRYGNKFHVDDPRRNEGGEGDAYSEIATRTRSKTNNKQARGRKDKQARRG FKRYQAWEDAQKRQQASHAAPARQPSFDDRRNNESRQAHRLSNNASGFYGGDERLPAE EPQRLQRNVVENQPRYRELPVSRPLESVQYQQVPVSRAPEQGHYVQREVMLRSNILDQ YKDPPPRTTYEYVNRPLVTESAEPWRSEAPRSREPRQLAGTTRPFSPHEVLPSIERGD LSSDRSDRRESPIMLSTRQVRNAPPRAHEEQVLYTRGEPEPKRFRPLPVHSNVIYVDE PEVVPKRRRVAADPGIFQPASQGVEYVRLRPVARADDRFFPSSSLAASSQGIEARRYD NAFPQNAVVDSKQPLAPRELRHVRHVPDHNAAFGKLSLDDRAQRGESQAPIRQRVVEQ RPAAYVSDNRPPGAYEQRYAAQEPQYYQRPVAVTREQAEYQSREPVPVYLPARPPQAD RPRSELQARPLPATERPHSALPARLQPVERPYGDFEPQPVEYRRVPSREQQYGEVRTR PQEDLRDPRYVTRQVRPQGGEVRYEVAPGPALNGPKMYSRSTPRPGEVIVLD VE01_04199 MSRIPPTENAYPQAPTEAFAVPFGSAAPPPKRLLKRYRTEIAAS SSSVLSTVLSFPLDSVKTRMQTYRYNSFVDCVHKTYQTERLRGFFRGVTAPVASITFV RTVSMSVYQRSKYTYSGWMKRNFGVDPMSRINTPGNYPSFSTVACVGAAGATAGSFIT LVACPFELTKLSAQVSVLMAGKTVTSSLTDPTGTSNTASSNTIAASYQNKGTWSTAKH IVKTRGLGGLYSGFSLHLLRDTLGTAIYFMTYESVKQVLLTYRGDNTTTSPVAVAGAG GLCGVVSWALIYPIDSAKSIYQRNCLLHQKGDLMTRPKIQFSNKRMYRGIGVSMSRSA IVNAIFFSVFEFLKKHINALEDK VE01_04200 MTSLPDHNVLEEKSHPVPSSDKMALDLGINGSANRDELNHDSTQ PESTLTSKPDSTPEVVASVTTANGDHNANAFALNNAVESSHTAGGAQTEDAPLVAEIV DETSTAPTEVAQPLQSTSDATSASAPSVDITTLSAPDNQIELEATTIIPPVVSATESD LRENPSPVTQEEAAAIQDAKTDRDLRDETETPNEPVPTAENEQPQPSSFNTSVGSIDQ LPSSDPIKPASMSNLDLNTSFPSPTVSTAPSAAEPAREDTEMADAPQAPSKVSREREE DPEDEPSAKRTKLQDGEGAAPTDLPAAQNGVSTQAPAPVIEDDGPLTPYQTKEIGKAL RSAKSTKDGKNFKAAVIDMWPFLKDGYLAKVENPIDLAIIEQKAKAGYSSMAEYINDI ELLYQNCVTFNGAEHEVTRSAINIRDSLMSKLPAKEPPKVEKKKKATPVPSAPRHVQE RRQSRGAHTGGAGSPTTAGGVAQTFALDPSGTPLIRRDSTKGGDGGRPKREIHPPKSK DLVYNARPKKKKFATELKFCEEVLNELKKPKYQGINAPFLIPVDPVALGIPEYFKIVK SPMDLSTITDNLNSGHYTNSKDFEADIRLMFKNCYKFNPPSTAVNVMGQELEAIFNSE WQRKGQYLADHAVSSAATPESSGESGDDESDEEEPEEDHNSGAANIIQARLVEEQKKL IEIMSAKKADQALIRMQQEMVAIVQKQVDEVAKRSAATKKSKSKPAKKAAGGKKDTKA AKSKGYRPKNIGFAEKEQISNGIAQLEGRPMEQAIALLKKDLPDLDLENNPELDIDQF SNSTLSKLHDLIQRYAPHCIPAPEPRAPRAQKPAKPKKNKPMSKHEQEKKIDQLRQLE QQFKRHGSSEEAKVVPSVEQYMSSSGDESPSDSEED VE01_04201 MAPTSTQLLLLSPAELSYLHTSLSLHPPLRPDSRTATQFRPLAA ETDILPSTNGSARICFADGTEAIVGIKAEVERTAQQPGAGEGFVDVDEEDEEGRGGKK GGDNKWVELSIDIPGFRDDDAMPVVLSSILAEALLADGSFTPRLWINRRFHWKLYIDI LLLSPPLSYPLPLLSLTTYLALLSTRLPALKSERDEDPLFNDDWDAAVPLYPRHTSTS RPPVTILVIAVGENVLFDPSKEELAVADAVLAVSVADRSTTSDEGGDVDMQGAGGEGR KRDIRLLSARTIDPPARLTPPGVPNYLNTATGGDAAAKVETGGEEGVWSARRGGTGRE MVKRMVGRVLSSGVVEEVLDGLEGVEVS VE01_04202 MSSPTNSNSSTTTIVPDAVLGPIGPSYYASSKYLTPKFYEDLIC RGWRRSGTLLYKPDLRNACCPHYTLRLDAPAFKATKDQRQAQNRFNHYILGDEYIKET AKLHPKSKAEAARYKQTFDLCERVHESELSYLQEPTKPAHEFAVTLDPDTFTEEKYLL YENYQRIVHKEGPDDISRHGFRNFLCSSKIKRSTTIVDGKEKKLGSYHQCYRLDGRLV AIGVLDLLPNAISAVYFMYHEDLHTWSPGKLSALRETALAIEQGCRWYMMGFYIHGCT KMKYKADYHPQYILDPEKYTWDLLDDDLKLRMDARRYVSLSSEKARGIPAPTKEEAEA AAASRSPPPTNDGDDLNLLSQNMPGALTADELEAFDLGSLLRPYGRGAVIRFSDIDGW EGYPEPGDGRTDGEPVIKQALGDLVAVVGTELAGRLVVDFAQR VE01_04203 MAQSRSMESRVGRNKQRYSDNGERLVAGIVPTNKEKTFILLIQS TRRAEWVLPKGGWETDEECIEAAEREAWEEAGIVCKVDYDLGKITETRTAKQISKNAP KALYQFYEATVTEEKSVWPESHKRSRKWFSYPDALEALKPRPELVEAIKRSTVKR VE01_04204 MFTARASKAPLFRRSRIQFLVLCALGVSTLLFIIAKILGFGGGA PPGTPPVVIITVIDPGSQSKQYIADIKENREQYAKKHGYATFFPTVNDYPLGDSPVSW SKLPAMRHALTNFPYTEYYWFLDQNALIMNPNLKIETHIMNPKRMDALMIKDQSIVPP DSVIKTFKHLKGQQIHFSITQDKDGLAPGSFIVRNGDWSKFLLDTWFDPLYRSYNFQK AERHALEHIVQWHPTILSRLGIVPQHIMNSYSRAPTATTGKTEVYKEGDFVVNFAGCD TTTQPKCEDEAKPFSKKWRQAFSS VE01_04205 MAHAQAHPPPPLEALSLDEQRAGAGGQGGPAPPALGQAMHAQQA PQQLPPQMFTTAAQLLDLTDKKLMVALRDGRKLIGVLRSWDQFANLVLQSTSERLFTH SPPLQFADVPQGTFLVRGENVLLLGEVDLDKDDDVPVGFERGDVEEVQARVKEERNVR ERREKERGKVLRGEGFVGEDGEGTF VE01_04206 MAAGEDLQPSLEVIRDVLAAPTKASQAPNLVPVYAQISSDLITP STAYLKISARSESDYSFLFESAAAELLGRYSFVGAGPKKILKTGEGHGPSVDPLPALE EELAKYTVAHIPGLVLPPLTGGAIGYVGYDCVRYFEPKTARDMEDVLKVPESLFMFFD TIIAFDRFYGVIKVITFLHVPDDLSKLEAEYEKCQKTIAELIDVLQSSETPLPHQAPI VLDQKYTSNVGQAGYESHVTTLKKHILKGDIIQAVPSQRFARPTTLHPFNIYRHLRTV NPSPYLFYISCAEFQIVGASPELLVKSELGRVITHPIAGTVKRGKTVTEDEALANELR ESLKDRAEHVMLVDLARNDINRVCDPLTTRVDRLMAVEKFSHVQHLVSQVSGVLRPDK SRFDAFRSIFPAGTVSGAPKVMAMELIAELEKEKRGVYAGAVGYFGYGTLDAQGNEVE GAMDTCIALRTMLVKDQVAYLQAGGGIVFDSDPYDEWVETMNKLGASMQTISSGEDLY ARSQDKVAEKEALEVEKAKSSGAHIDLAL VE01_04207 MSLHFLGIRDFPILHQQLRSPTTQALHSRDATEDITHDSKDVLI QRLLDLATHLQSQDLRDGDVSLLHRDADSMERTLRQSPVLRQQSSFQSFTSVGSGVSR GGEDERFWQPLSPSMKSPGRMFGVSRAPSRAGPVGGLSATKSALLAEEAEALLVQLTK TVSELKERREESEHIHDLLVVRAEKAAQRVIELEDHVSELDADYESTELELNFLRVQL RALEVQGLDYVQFNDDEELTQSIINWKQQWADVEQRTKARRRKIKSETSKTSTGTGTL TPTPTPMPTIGSQGGLGLSL VE01_04208 MADLNMDDPNNKLTTIPIGSNEVAVPTRINTGEPSSSSSPADPS SPRRDSVVSKPDQEEDEDERLAGITDERERTRRRLNLKLANPLGNFPVEKVGDMGEQY CREFALGEAEDIRAFRLGAMVAKDPNMFREVEGLTEDERKVFSDELEHKWRQPKKLYL VILPCSLCAAVQGMDETVVNGAQIFYKQHFGIGEKDPRSTWLVGLLNSGPYLCCAFIG CWLTIPFNNWFGRRGTIFLTCFFSATACFWQAFANTWWHMFIARFFLGFGIGPKSATV PIYAAETAPPPIRGALIMCWQMFTAFGIFVGYAADLMFYEVKDPSGVSGMNWRLMMAS AMIPAVVVCCFVFMCPESPRWYISQGRHHQAWTAIQQLRFNKVQAARDLFYIQYLVSA ELETINMGNKAKELIGVPRNRRALQASELVMFMQQFCGVNIIAYYSSEIFLEANLSEL SALGASMGFGAINFLFALPAFYTIDTFGRRNLLLTTFPLMALALFFTGFSFLIPETSV ARIACIALGIYLFGMVYSPGEGPVPFTYSAEAYPLYIRSQGMSLATATTWFFNFVLSI TWPSLLEAFGPTGAFCWYAAWNVIGFFGVLMLVPETKGKTLEELDVVFGVKSRLFAKY GLQQFVYFLKGGKLNKRAERPEEPVGEPDYDEKTFQSETERVQDRRV VE01_04209 MEDAVRYQYLPLAKDNNDGSTRLLQLLPGATGEPIKGSIVNVNL CDNPGYEALSYCWGDTANTIRIYIGKEYIDVTRNLHDALVKLRDKEDPRTLWIDAICI NQYNVLERSQQVGIMGQIYKQAKKTLVWLGLETANTARAFELIPYLLAIFEEAFQGKP RPVRLDLRILRHPSILRVYEQVRLFEPFIQLEQRPYFSRIWIIQEIALSSNSVSLFWG QYCLSWEEYFAAAYTFACLEVRKANLHQPLGAFPALVMAVLQLRASKSTSLISLLDQY RRKDSTDPRDKVFALLGIAGSKDILSLSCKVDYNMTVVEVYTNLASSYIQRDDNLDIL SYTQCGPSIPCLPSWVPDWTSREDMPINFRVPNCSGIRFDHHAGSDSGCGTSIYVNGK TLTSQGVLLDQITRTSGLIDKAWGIGCCNAHAWETIAELKERGSYVAGGTAMDAFFMT QLAGCPKLQYDIMKGRFQELWEEAKKHTPKKTIQGSLTVSTAEGSQLQRVNLIPIDDR ETGEIEALLSSSLQYTKRRRFAMTSRGYYGLVPAKATPGDQIAILKGANYPFVLRAQG QSWKLVGECYVHGVMNGEAFDATACEEVLID VE01_04210 MAASAANGVGGNALGLDPKKGVYLAYAEVVEWFGSEHDEAAAGL YDHFNYMGDAAGFQAVYPGYGAANEAKLLSISRKYDPTRTFQTLLPRGFKIGA VE01_04211 MSPLLVIPGSPRFKREWQRPICRYLRSLHQPTWGFTIFRTVYTP QSDAQFPLFLAKVDAYVESSIDYELSPRNFGVPSPEPPFDSGPNEEMKRRYANDVIES PGLDGASIDDVRAAFTKWLKDNGVDLEFHQLYARHRVCIMVDEAVLNSVAAGPEDPNQ SYGLESVWVRVVEYLAPGEQEWQGWLKVGLDALYFLWFEVFAGEEVESMFEVMTAEGE DVFTG VE01_04212 MDPIQRLQESLIDRRTENVRYRQNQLQSLHATLCNSANLICNSI AEDAQVESSNAEAETEYYLSMNAVKHFYEGLGFEKSINDEYLIATGIDNPQRQVGYGL VIIRPTTHTRLYSIVCPLAAALAAGNVVVIELPDTLLKVDAILKEVLPEALDPDTFVI SAKLIEDPSILLSSLLVDQTSATTTTTIANSLSSQNKHRTIAIVDRTADIEAAAKAIV TARFKFQGASPYSPDLVIVNEYVKDEFFATCSKYASQMFVSGVKAKRSRSNAEAETKK FFEDAIAKEQLSTFRFADCILAHVTDSTCLVTEIKIHGCYLPIVTSTSMVDAIIKEMR YIYTTINSNFKALNLLVIRSNLLAAYIFAQPPEAKFLAQHLKAHLTVINQIPVNLLVG PAAPCGIAMEYKYRYSPEMFSIQRPQYIHALPTELSVVDEHLVPGRNPNGTTKVKQLR SLATRKLPGTGQSQGHDIGFFEQGILLGLGVVLSIVVPAAGWGLWAVGKKVRSSM VE01_04213 MMLGEESASADYWKKCGDEALANGIEHVVIMGAHWATIGDEIEI AANPKPEKSPVAYVHHDKYVPYALNPDIPMSHRCLDLLKQSGFKAKLNEKFDWIHDTY LVLIRMFPGGCPPTTIISMNARYDPHFHVKVGSALRPMRKEKVLFVGSGGAVHNLYRN SWFQMLRFRDNFAMPSPPSSLLLDFRQEFEDAITKTSGPELRRAMTMLMKVPKYRDAH ATDDHFMSAMFVAGLCGSVEDRGTVAELGAEDWELQNMCNSQYTLGKWPSTGRVVAAV VE01_04214 MNSTDVTDPDPDPDSNQRGQNQVQPGPFKCNVCQRTYARIDHLA RHFRSRNVTLESLRSRKDLVLTTTTFRYLRETISIDLLKRHAQAHTDNRQHRKTCPSR PGRVSHACKACAAAKLKCKEEKPCQRCEAKGVTCEYNETDATVNGSWQLSSSSHDTIQ QNIGNPSSEDTFATQSANEPRQPQEHDIMDYTSGSNLVLGELASRGGEHVIDPAQSHN RDDMSMSLPMETSLSSNALIDCDESEFADFLREVMMPTTLEPFNGQQPVSQGTDAQSM ALRDVLSFGLESNLELNDQDYTLMDFYQGKAVYDTAYVKEVIDISSLQYEMPTPQSNA PTEKVAERLALGIEAFRKSLWCWTPVRADTGLVEQENFTLHPSEMSSPAAHFAESMHV NTESLNAAGRDKIISMVLSTCLRSSFTKVMSSFPSVELLDNLLHCFLAYHIMQSDTWI HSPTLKIGGQNAELLGSIVSAGAALSTSFTIRKLGFAIHEAVRRSLPVVCEENNSMTR DLGLLQALALNLDVGLWSGNKRKMELAESHALTVITMCRRAGRFLRSNYVAIIPLEGD EGEALENKWRSWAQQESFKRLVFHLFIRDAQTSISLMNPTIISYAELSLPLPESRNLF LARTAAEWKSTYLTQTSSINSNERIPSLQQCLHDISPLNSPSVKAAIDSALSHSIIAY GIWGLILEYRQLNSVAKAYPSIRLSSSFLVLGHRHQELRELLEPFSASLPEPGPGRKN QSSIALQSKLLTELLITNLYVSFDSLQVFAGREGEEEARRVYPSLQQWAASREARTAV FHAGQIVRYAKLLNNRRGLQGGLRDFFAVAVYHSALTFWAFGVLSLRPNAQHDQNESE LPLVGGVNSVDEAGDNSGEELVRLDVEESSFTKSFIALQRGIPCFLVSEADGCTSEEI AMLREPGSVMNAIVKILRGVVGGDEAPPPPLVENLSQLIRELGAAARGVIVK VE01_04215 MNLSTSHLTGPVNGELGKLYTIPAQINGKEVILSPAFDVHSPIN GSLIHRCSSASVADALSAVTAAQAAFPEWRDLPPAAKRDIFLNTAEIFKSRNEELLRY MIDETGAGEFWSDFNITLAADILKDIAGRISSLKGDAPITGNPGTSAIVYKEPYGVIL GIAPWNAPYILGVRSIAYALAAGNTAILKASEFSPRCAWAIADCFRLGGLPAGVLNTI AHFTSSAAAITEALIADSRVQKINFTGSTVVGRIIAETAGRHLKPVLLELGGKAPVIV CEDADLEVAATECAKGAFMHCGQVCMSTEKILVHKDVAAAFEERYREKVVDMFTKQGV LINRAAVDRNMRLVRDAVGKGAEVLTGTVEQDHQLPGTHMSPIVVKGVTEAMELYNTE SFGPTVSIIEVETEEEAVRIANDTPYGLTSAVFTEDLRRGLRLAKCIESGAVHINGMT LHDETMLPHGGVKCSGYGRFGSGGLEEWVRSKTVTFKN VE01_04216 MTHYLKTGSLSTAPSSSSAPTNVPSVVSSVIEDIRKNGDSAVRS YSEKFDRWSPPSFKLSAEDIEDIIRSLPEQVIKDIKEVQHNVRTFAQAQKESLKEFEL EIHPGVFLGQKNVPINSVGCYIPGGRYPLLASAHMTILTAKVAGVPHVMGFTPPINGS IPAATVAAMAMAGADAIYILGGVQAIGGMAIGTDTIPKVDFIAGPGNAFVAEAKRQFF GAVGIDLFAGPTEVLIIADESADAFTVATDLLSQAEHGPDTPAVLITTSESLGKEVIR LIDVILKDMPTRELAGTSWRDFGEVAVVGNLDDAYKLGDTYASEHVQILTREPREALE KMTNYGALFLGEKTCVSYGDKVIGTNHVLPTRGAARYTGGLWVGKYLKTVTYQEVKSA KASGELGQLCGRAARVENFEGHARSGDVRAHRFLNDQFEWIDIANPGTRTASGTKL VE01_04217 MTSITTSPLASTTSPLPPQTLAGKTAIISGSCSGIGAEIARELS SRGCDIVINYPFPALETQALELANSLTSRAISVCADISTLDGPQTLVAATVKEFGKVD ILVNNAAIAVNLPLEEQTIEHWDSLVNLNGRGTFLLTQAALPHLSKTDSRIVNIDSIS SRAAPAMQTIYAGTKGMVDSFTRCWARELPPKYGCTVNSVSPGPTMTEGFAAAGESFM KLMQPTLDLTPVGPRMARPEEIAFAVGFLCEPRASWINGLNLTASGGLFID VE01_04218 MAETNIIRDIKEVHRDEENSLIFEKNVSIPLKKSDLPVRCNVYL PLSTENGNKFPVLVTYGPYGKDIPYATFFKGSFNEVNPEHKSKYSAWETPDPVYWTAR GYIVVRADERGLGQSPGLLDTMSRGTSECFFDVVEWAAEQEWSTGKVGLLGISYYAGS QWRVAARRPKGLAAIIPWEGMSDYYRDRCRHGGILSNKFVDFWWNRQVLVNQYGKPGR SKLTFPPDGPGARGQEDTIEGDLSEDILRKNRMDQTEDNEANRFRDDEYYASKEFNLE DIEVPLLYHNEVEIQKSFFDAFLKGQDTYGWSTPGKVPPVTITIRKGNLGFNDAVAES AYTKRTETAWPLPHTQYTKYFLTSRKELSTTPSKETEEVKLTYDALGSIDDFHGVRFE TRPFEKQTEITGHVTAHLNVSMTPDTSEDAMLEKDIDLFLTLRHIDPSGEEVLYTGTA GDGVPLTKGWLRCSMRKVHEKHPRHRSYLIHREYVSTDVEQVKAREVYKVDVEIWPTN VVVEKGGRIMLEVASGDTQGCGVFQHNSEADRPRWKFMGQNHLHFGRELENYVTLPII PTA VE01_04219 MGPPPPTSTDVPPASTRGLSHPKRFITDHDSDGNAIFSTALPEE IPFQQIPNDAIFSLCYATNESPVLLNDNADVNVYSNYLHNLPGVMIPGGTVLRLVDLP PGATSPMHRTVSLDYGVVLEGEIELILDKGATRLMKRGDMSIQRGTIHAWKNVGAEGK WARMLYILQECKPLEIAGQELGEDYGGLQVPKSRN VE01_04220 MAVVVNGDTGGVNGECSRKGLDIAIVGAGIGGLGAAIGLRRAGH NVSLYEQSQFASEVGAAIHLAPNSNGILRRYGIMAEEFGAVEMSRLAEYNEKGVETRK VDLREQNKIWQHPWMLVHRVKLHDKLKQIATGKDGKGRPAELHLSSKVLAVDTENATI TLEGGDKIQADLILGADGIYSKTRECITGQPNKLFGSGKAAFRFLIPRKLASADPEIK GLLENDNELVIWYGADRRVVVYPCDNNELLNFVCIHPEGESQGGTTEWNKQASIDDLL KVYRDFDPKLLSLFKKADPTSLKVWKLLDMEVMPTWIKGKMAVLGDAAHPFLPHQGQG AGIALEDGATLSVVLPLGTQPEEVPERLKLYKQIRYERANNIQDYSRQAGVDCVDGKP AIDMMKYTAYNFGHDEFDNSTNIFLKWRRAKHPELYYRMPIAFGPAPGPRQDIWGNPR SSLDQTFLTASIKFRTSATFLKNLFPTESFRFKSPGSVAYASFSTTTLGNMTWLGGGG YNHFGLYIHGVQYTKRDGTIIDGTYMPLLFESLADPIISGREDLGMPKVYCAIDIHRR SESYRMQASWQGAKFCDFNLEGLLATDPATEMGTIGGESDYGILTYKYIPAVGKDMRG KSDCEYPIVVPHEEESKVVPSTVKSVAKPAKASVSFDKLDLDALPTLHHIVTVLADIP IYEVVGAKVVEGTGVPDVSTARRIE VE01_04221 MSAPLVVLITGGSAGLGAATARVFAKAGMRVIINYSTNESRANT LIEQLHQISPLSKTKECQNFVSVRADLSKKSDIEHLVTESVSQMGKLDAVFSNGGWTA IQDFNDLDDNVDEEMWDKCWNMNVKSHLWLMRAAKKYLDESEGVFITTASLAGVRPSG SSLV VE01_04222 MATPAMFITWRDSADANVYEKERVDRVFNAIKPNRYPRAIVKVT HPSHVQEAVQLANQLQCRVSVRSGGHSWAAWSVRDDAILIDLEGLNEVELDESKRIVK ASPSTTGIMLNEIIGKRGFMFGGGHCPDVGIGGFLLQGGMGWNCKNWGWACEQIVGLD VVTAAGEILHCNETENAELLWCARGAGPGFPAIVTRFYLNVRPKPAAMYRSTFIYPIS EYKIVMDWVIKASPTSDPGNEIVAVSLIPEGIDEICIMVHIVTFQESESAACEALRPL NDSHPAGAIVEVISQPTSVADQYQDQAKANPSNHRYCTENAYINDDVDVTAVLEKAFT TLPHPKSFALWFSMAPMSRRPLPDMACTMQSDHYFALYTVWENVEDDVRCKGWVNNIM KDVAPMSKGAYLGDSDFQIRTTKFWTDEAAEKLMKLRRKWDPKGTVSGYLDDGDISGT RGLDNQNWSKI VE01_04223 MSGTTEPIRTNDGAKEPLTKIQTLGSVRQRDEHTNEIILIPTPS RDPNDPLNWSQRRKYYMATLICIAMFMCNFLAAGPTVSIIETAMDFFPTAGRAGMPGA IAKTAYFFTTTALLQGTGNILWMPLVNKYGRRPIYLASFSLYFATALWLSFTTSYASF LAARILMGFSAGAAETMAPLSIADGKLITIHNTWRTIYYVATALIGALLLLVFLTFPE TSYNRDPSMVNISEASLAQEKPGCSGEYLESESIPATPKRKSYLQELKIYSGTYTSEK LSHLFIRPLALIILPPVLWGSLVMSVTIGFLVAVTSNVAPAYNTAYGFQPWQTGLCFF SAIVGSLVGIYMGGHLTDKMADWFTKRNGGLREPEMRLPSIVVSLITTPLGLVLFGVG IQYKLHWMCPTVGLGLLNFSIVQATNVSLVYTIDCYRPIAGEVTVTSMALKSCFGFLL SFYTNPWIDKVGYLNAYGTMAGISVAVLLCGIPLYIFGKKIRHASWNWGMVKVVHWND DREVGE VE01_04224 MVDDDISYPQQSSLPSLELCELSRLEAKYIACVHAKNPILDLVT LHDLISQVAEHGLDWSARTCLVSMVCALGAISEEYTHAYTPDRISGSCLPHDEAFKYW DIAMKRLGFVIGQNNIESVQCLCLTGLAFMATDNEYGQEQSLTREQSLYFTCFKSECE LTIELSLPKSILERMDYPYTFPFPPNIDRELVVGEDIHGERRTWYYYLAEIACRHLIN RIIEATQLKILDPSAAGIERMLRDLEMFETQVNDWYLSLPPSIKFPHPFGTIQPLEDE INQLLRARYLGIRELCCRPFVELVINNDLSNMPSELLAKVGGIASQGLQYCMFRLQAV PPQYRHHGLWFQLPNSTTCALILLAADRARHELQPNAIEHIKMPQGWREQILTTHDLL AGYWKSRQGSVWAYFQVFQWALDGCITSL VE01_04225 MGILSKEQNESEIAAGSTLVAALPPNPKPWYRTRHLLRLNVTLL VLLVSAASVGFDGSMMNGLQTLPQWRGYFGHPNGALLGAMNAVYPVGKYVGAIIAAWS TYGTFRLDSSWSWRIPSALQGALPLIQISFFWLVPESPRWLVAKKRIEEARKVLTKHH AGGDVTSLLVEFELKEIEESISFEEVVVSESSYMDLIRTPANRKRTFIAFVVGFGAQW NGGGVISYYLTLVLNTIGITSVASQALINGLLQIFNWFASVLAGALMVDRLGRRTLFL WSVGGMLVSYIIWTALSSYFSRTLDQNSGNAVVAFIFIYYFFYDIAWNPLLQAYTVEI FPYSLRGRGLTVTLGSTYVGLIVGQFINPVAMKAIGWHYYIVFCCLLGILFAIVWFMF PETKGHSLEEIAQIFDGKRPDATDELKYAKSTEARIESI VE01_04226 MENPPFDVEDVIRNASIQEKISLLSGKDFWHTQPLERFNVPSIR TSDGPNGIRGTKFFNSVPSACLPCGTALASTWDSKLLYEAGVLLGKECYAKGVHCWLG PTTNIQRSPLGGRGFESFSEDPYLSGKLAGSYINGAQSNGTIATIKHFVANDQEHERM AVNAIISQRALREIYLLPFQIAIADSSPGAVMTAYNKVNGEHVAESRHFIEDILRGEW GWKGLVMSDWLGTYSTSKALDAGLDLEMPGPTRWRGSIAELAVSSRKVTNSTIDARVR NVLNFVKHASQVKVSPIEGKRDYAEDRAINRNLAANSVIVLKNENSVLPLSKNIHEIA LIGPGIKDVAFCGGGSARLEPYYTVSLYQGISNALEEGVKIQYELGAYAHRYLPTLGP NITAPDGQQGAIMSFYIDPPSVKGREMIDQVHVPDTSFQLMDYRHPRLGILYYASLEG IFTAPSTGTFEFGITLYGSGNLYIDDKLIIENTENQRFGDSFFGKGTAEEKGTVQVEE GKTYNIRLEFGSSPTSKVVRPGMVAFPGGAGSIGAILQMNEEEGIERAAKLAATSKCV VLCAGLSKDWESEGFDRKTMDLPGNVSKLISAVLKANPNTVIITQSGTPINMLPFTGA ATTQVHAWYNGNETGNGISDVLFGNINPSARLPLSFPRKLSDNPAFLNFTSERGRVIY GEDVYVGYRYYEKLERDVLFPFGHGLSYTTFSFSCLRISRNSVRLTVTNTGTRDGAEV VQLYIAPDTQNCSISRPQKELKGFAKVFLKAAESQEVEIALDRFALSFWDEILNAWVC EEGEYGVLLGRSSVDIALRGTLSVAQTTTWSGL VE01_04227 MNISGFSTKWIFSHTYASARITLMLPYAGLLIRSIGEGAIDVDT GNAWETAIDLSTRLPIDNEEDAFLHVYEIVDTTKDADIKWKISVKLGESFAKFYGHKS SPSRRHFTSRGVGGEASHHSFLDFKFERPANSGSTLKITYPECYEDQPEQISWVRQKG DRRDTTKALLGPEDIYLFSGKKLSVDLPQLYGRTEEEEIFTPFHFRTLRFIALDILVA KDCDLVINGIDITTANYSLEVLADFKTPNIDQPTEKLWTTSIRTLENCMHDCYEDCPF YEQLQYAMDTRSSALFTYVLSDDGRLARQAIIQVHNSYNTNTGLTASRAPAHKHQIIP NFSLYWICMVTDYFEYFGDSTFVRQFMPACDGVFESFARRVDSEVQLVGSLDAAVSAS QWDFVDWTPRWRPFGIPPAGERTGYLSFTNMLYAYTLKRAAKLLGGIERPALASEYLS RADSIVEAIQKHCFDGDVFTDGLASMSKPDEDMSQHSQVWAVICGAAVGEQAQNILRT SLFSPNFTATSISMSFYTLRALSLAGGNLYNDSFHAFWNPCRDQLAQGLTTWVEDDVT LRSDCHAWGSAPIYEFLVEVVGIRPKEGEWGVIEFKPRVGLFAELEARVPFRVGQTKG VALVKWKKEAR VE01_04228 MGSFDGSMMNGLQAVESWKLYFGNPKGLTLGLFNTAYPIGGLCA IPFISFVSDGYGRRAGLALGATLCCIGAALQSGAANLPMFVVARGVLGCGTVFLGSSG APLITEIAHSHHRATATALFNTSYALGSIIAAWTTFGTFRIVGSAAWRIPSALQGLPS VIQLLGLWWVPESPRWLISKDRSEEALEFFVKYHAEGDENDLLVQFEYAEIQSALAYE RSIDRGSAIKNYLEFLSGNAFISYYLSPVLTSVGLTTSLEQTLINATQQMLSWVSALY FATLPGKVGRRVLYLSSLSCIFLCLVCITAGSAVFASNPNNKAADSAVVAFLYLFSPA YNLGLNGNNGLYITEILPFSLRMRGRDCYHLFSTCFTLLTTYAFSVGLQNLAWKFYLI WVPWVLVEIFVVWLAFPETKGPSLEEIAIIFDGLQAGGLDTEKLEVEIEQEEVKKTVD VAK VE01_04229 MSAFQWNENLKEKGIDLPATFKCRICSKVKSGTNDHFSKKELKT YTSRIAMGRAVTGFNANLRCRHCSGENVFELLCQYCNITKSKDDFSYNQRTAALSARC KACINWQETNEPGTETLPGPSMALHPDTTLAAAPNNPNPGALSVLVTSTAQAHGGDGS SSVWRTTLANNNTVGVATTNDSAGRTTTRPSVVESGLPSAPDGFMAPTRMTYHGDDIH TESTPSNPYGSRSNSPVSAATDIFAQFDPFAGRGGKAGKVNAGGPAAVSSSAHSDSVA DTPPGDMRTIPAQKTPTKKIPRGNDVPVPSTTLSPLKEDCWMAVPTKNNKKASTPFTG YDNTGTAHLQTAVAPSTASSTWATPAASVTALEQNVASGTYGRPGLTTPQRDSGSPWF KPRAPKADTTDYKFEAYGPVDFAAHAPPTKRPEHNPLDSDDEV VE01_04230 MTLVDVVHRGHSSHLTTNTGQALEDRFEVLKDIGDGSFGSVVLA RVRGAGASVARRGTVIAIKTMKKNFESFAPCLELREVVFLRTLPPHVHLVPALDIFLD PFTKKLHICMEYMEGNLYQLMKARDHKCLDGGSVKSILFQIMQGLEHIHDHQFFHRDI KPENILVSTSGQQESSNSFRRYSALVTPPSTPPTYTVKIADFGLARETHSKLPYTTYV STRWYRAPEVLLRAGEYSAPVDIWAIGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGS PGNWYSKNGTKVGGGEWKEGARLAGKLGFSFPKMAPHAIDTILQAPQWPAPLAHFVTW CLMWDPKARPTSREALAHEYFNDAVDPLRPKSSASRMLGRKQSDLSYRGSRDFSTETL TSSKPSWFRKSLIGRSENSTASSQPTSGKENVAPRPLPVHAQTMNDATAPAKARPQPT KRSTWSNSPSNAAPMPILPSIKPISPLSDAVTAQGTSRTASFAGQRGSAADKASQKIG RQLSVTSNNHYDAEAHRQQAERALNGNSGLISPPSGQKESFFSHLRKRARRFSGRHQT PMSPTADDLEAQVGCGPWQSNRSSMVMDPTQLPTPSYKVDTHEALDKALRAVQDNLES PSQGTAPMPPAHQISPSTILKRHHSLNQQPQTRSVDNLIGAARSGGPVSSRTRRAQAH GGTRQYEVPDEEDELLDEALTSANKAMRRMDRNSLTEYIQKGGNAELERKPLRQSQSK PTVLNPYPTPSPTANGNAALFYADASVRSNQTLKSNAPRDDNDARHKWPTPPYEGNDW SNSTAASIWEAGNRF VE01_04231 MNIDLPLRPANGRGDSNTSNSNSATSSGIGSVGDRGRPFFTSSS PSTTAAAGANIGGGNGTPQYRMPVRTSAQSEAEAIAGPVREVAPMNDRLIVGVDFGTT YSGVATVYTSTPDDVEIIKTWPGGNGITSDKVPTEISYAALPSATAGGEDTIKPRWGF MFKPEEPRLRCMKLFLDRSQKLPFYVSPKETATQLKKHNKTVVDAVSDYLTEIYKHTM DTLARRYGDAFMSTTKVEFVLTCPAVWSDAAKNTTLLAAEKAGMGSKEEIQMISEPEA AAVYALKTIQPNHLSVGDNFVVCDAGGGTVDLIAYKIMSLKPLRVEESAVGTGGLCGS AFLNYRFEEHVRERVGATKFDEMKAKKQKTWQMGLKYFEEFVKRNFNEEEHGEVNIPF PGLPDDEEAGIDCGFLVMTAAQVKDIFEPVVKEVCDLVQGQVSGIRAKGGIVSGIVLV GGFGQSNYLYKRLKANFNTAAPPPYSERPTHAQANDLVNNGSVEVMQPVYAWTAVVRG AVLRGLEGSMVVSRKSRYHYGTSYATVYDEDKHAVGERYWSPLWERWMVSDRMQWHIS KGEDLSPITPISFHYTRNFRPGQSLIVSDDLIACDETAPPGAYSRDLINVCTLTTDLG AVPKSLFTRLTTTRGVEFDNLDFTLEMVVGSAGLGFMLKVDGVRYGSVVAEFH VE01_04232 MAVYSIDRFVQDQDTAHAFGQSRLSPAASRQDKSDATITQPVTT AAHAHARESCKSPPTSPSSVTSSTVLSRSNTGFSTFSKSSAASDATSLSTAPSIDLNH PELTLDQHILNMSIDGSNLPCLFRYIIPDCQHTNFDDSESWSEHVIEHFGRSGPPPHA LCVFCNRSFEDDNAMACWNEYLEHVKEHFESGTNMELRPDFKVLKYLQDKGIISEDDY AIFCREGSERPKVSGLIPLNCEPEEIIAKKRAEEAASNRVIVTDPRRRRDQQRSPRGK KAASTVIHSPTETS VE01_04233 MPAPPYGSESTWSWPEEVVADDLRDQASPESSFTQRDFRHAKQP SETKPAPPPHEGEASSSDPPKKQKYYGSRTCRICLEEVHPSFETGPEGLAGVVAPTPT VKYVSEDPESGRLIRPCKCKGSQSYVHEGCLQGWRHADPSYRRRNYFECPTCKYTYRL ERMRWSKWIGSTMTQIALTLLILWATVFLLGFVAEPIVKLYLDPVATLTTNPWTTFRE PLIEFEEGEEWTWSEHLLKGFASLGLLGLLKSFIMSPFQWLNFRWVVGGGGRARRGGT GRERLEDISVSMILIGVATILYAIWKGVRAWSRNTLEKAGERVVDVHGEDDDDEEPDA GPSHAAASGNDNTDGSTGSATEADLDEKKTIAEAPNAIYYKCRQLSRRRTYLQPVTIT AHNLNIPMFPKSPIATPPRAALQGQGRSRSGSVSNNAATNSRPSSPEPPTSQPPSQPP SQPTSTSPSAAPSRNPSTKSTTIDKDDRIAHLEADAAVMEGTFVQELEHLSAKLGHQA EVAHFWQLKYSSLHAAHGALEARLADCRAEIEERARELDEREDRERDSTTRISSLLIE REALREGFAGARREAQGRESEVEGLRAQVRGLKEFVSTSGRSEGQVTDEVIAEGFSAL GSGLQNWVLRNFRRAKMDVKEVKEGTRERLEELCPLWEGLAGTAKVHLIQSIVSMLLV KRVFQRYFVGLPEEREKELIGFEAWLASTSGSAGDVNQWRSSTLGLINKKGSLDNLGV KTEEVAASVVREAMAILEDITDVERDAGREVTLRALIIEAITLSRMLRVQKASFKPIM TVVEGHQINIFDSETMDDIGGEDEETLEGRDILCMTFPGVLKEGDENGQRMQLRNIIA RSKVLCSPD VE01_04234 MADRYSFSLTTFSPTGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKVSLITPNIGMVYSGMGPDYRVLVDKARKVSHTNYKRIYN EYPPTRILVQDVARVMQEATQSGGVRPYGVSLLVAGWDEGIEPDVEADNVSGGAGTEE PKPSGKTGGILKGGPMLYQVDPSGSYYPWKATAIGKSATSAKTFLEKRYTEGLELEDA VHIALLTLKETIEGEMNGETVEIGIIGPPAHHLMGVEGVEGAQGPRFRKLSPQEIEDY LTNL VE01_04235 MEVWARGNNVWRQLEFSPSSDEAELPKAGDEEGRNEGGEEKEEE EIEPRDLDMYGKVFEAERVEWVRSDGFGCIVKYNTSSYAIAGSPTPFLRLSLEEGDVG QQQNALAERHAQALQRNGMGNGWAVSGTGMVVDITGIRTQLPLSLSLAPNTLTFPSPL SLSKDTTQITAGTTHFAALTASGTLYTWGDPRHPSPLGRHCSSSPDDDGSVPADEPGF VTALEGIPGGGVVKVVAAGYVTAALTGEGGCYVMGGGTGEVECVEFDVVDVAVWDGGV GVLDKEGGWWVRGETVKEEEAGKEEEESEGEEREGGF VE01_04236 MATTTASATDFEDKSEAGSVSFPDLGESIDALTFEQILEMDDDE EEREFSKSIVFGFFEQAEQTFEEMKEALDNSDLAALASLGHFLKGSSATLGLTKVKDS CERIQHFGQKKDESGTVDEPDEALCLRRIRETLKEVKEQYEEVEGVLRRFYA VE01_04237 MSSTTTPPLAIRPATIAASDHELLVDFQESQIPWLSTVGSADQW GTKSVREANPAVTQKARLWIERSEQNAPWGSEWCRASIAESSSGVPVAGLVLDSKAPA YVSSVLPEQDESDPFVYLAYLISNRDAGEERKGAAAALIGFAKEQVKSTGVKRICVDC FRGNDRKLVRYYESQGFKVLEDFTAGEKEWQGCVLEMRL VE01_04238 MGVYDQGCLNIPLPRCIGELVKAPSVPVSHPGDGNVLDLLIEFG RKYNRDISEIRQACDLAEGYSDLVIILQRPAPRHNYSVDFEKFVADCPTLDAVDKLIR YASKGTRSIETVSVFDAYSFKPGLTAAWPSDDDCHLLLEQMLKFKKPRVVICCWNKGA ATCSNEFVGQFVGGGVGNPTIRDEIDIEGCRSVAIRCFHPATAMCYNPYNADYQALLT YHFIAAFSELSYRIHEPWWLEQINTRSMHAIK VE01_04239 MAFSNFKVVPCELSDMTVCADIFDEAFADDPAIIYLYPRSDPKV LKEKSIQNFEKSFTAPGVKYFKVVLEDTGEIVAFSKWVYPHTPDPNAEDPETAIRMQQ HVPGSNEELVVEFFTKFLRGRRKWVVPETHYFMSILAVRPEYQRKGLGSMLLTPVLEQ ADKENAKAFVQGSVQGVGMYLKHGWEEVDEILMDYSPYGGASDVKTALLVREPR VE01_04240 MPPKRPTQQLTHFLALPLHTPTSLPPLHTTLRTLPPTLPTHLRP ALRPPTTLHFTLCVLDLRDPVRLSTALSILRTLDLPLLWAIAQGPPAAGGQLQKDVRV TLKGLKAMRNPESTSVIYAPPADETKALQRFGELVRSHFACLIGPPPTPPSTPPGAAG PARQETVILPPPRPLPGLLLHATVFNTVYIPGRSRRDRQRLEIDARALIEAFGEMVWM ENIRVEELVLCRMGAKEVDGVEKYAAEGMVSMP VE01_04241 MAPAMRQVYDQMPDPKWVISMGSCANGGGYYHYSYSVVRGCDRI VPVDIYVPGCPPTAEALLYGIFKLQKKMRRSKTMRMWYRK VE01_04242 MALEIKMQSRSFAQANGEGNAVLEESWRRTWWLLFIMDGTFAGV MHETSFRLSNIPTDVDLPCEEREYAKGNIPAPKSLLEYETREFSDEEIAFSSFTYLID GARIISSVLPTISQAGEPSDHAATAANAKLVSWVVHLPESKKQIIHESGKMDEVNFQA HMLINLLVLYLHRPRSRLAYSGVEGSSKCTVTPSSEQMTRMRQKAYALHTNKTLSCLE TLLGMMALPTPILKHTPLIICGVAMAIMGQMAACNLVLESNKAAEGRERIRLGIGALK EFTEVWPLGRRTNLEMKAIGRELLNIPNANGVRTPMGTVLGQEDEFRTVTATLT VE01_04243 MSSSQLDLDTDFYFDADLFSYQQDEFYMHLDQLEVAEGAEADPK AGCAEVDPKAGSRVSLACIPCRTRHIRCDARTPSCSKCQSDNRTCSYTKSRRGGQRSK GRRKKTALDPHWPEGLDLHGPLQYQPANIAVPALFPNGTTPETSEASSGLSSFHDRDY STMQFLDSYYT VE01_04244 MPNFDQVAAGPDDLLFSHNGASIKALAEKEADIEVPPGPPAADP EALEMPVRSVQGFTWALVVFAITGSTFLYALDNTIVADIGINVVLTLGEIEKLPWLGT AFTLSSMSTILVWSKVYTLWDAKHLYFISSVVFEIGSALCGAAPTMNAMIVGRAIAGL GASGVYIGSLTLISVNTSEQERPKYMSYTGLSWGAGFVLGPVIGGAFAESGATWRWAF YINLVIFGIFGPIIIFMVPSWDPKKGQQISFTARGAKMDWLGAVLEIGASVSGVMAIS FGGTIYLWGSGETIGLFVTSAVLFVLFALQQTFCILTTEDDRIFPVQFMLNKDMLLLW LITASIAGSVLVPIYYIPIFFQLVHGDGPVDAAVRLLPIVMTYVFMVMLGGVVVSKTG HWWPWFFCGGILATIGEALFYADVNITSSQSKVYGYSSLVGVGAGAVGQLFYSVAQFK VKAHDIPSTIGFICMAQYVGLTVALCVSGSLFLNVAQKSVAALLPPSTPLETVRAIIQ GTDKQSILAQTIEVQQKIMVVVVDAIKNTYIVSLLGAALTVVATLLLKPTRQKFSK VE01_04245 MVFFKVADMEEPKYDLTRPFPDLEVLFKYKFLNTPDKSIMGTKV THPPNASTPPYTHAGAFVAVHVLTGSVLNKMNDNPMIVFTRLQDACRHRINDNACKTE EASLFVTMVMDTGKMEAILEKDGVAGLVVIDEEYRQEVMAQIMKLQAEQQGS VE01_04246 MSDKPTLILVPGAWHSTETWDKVSSLLEAQQYKCVPVALPSTAG NDSATFGEDVDAVRDSIAAETTQGHDVVVVVHSYGGAVGQSAIKGLTRVKQNVPPSSN DQSGYVLGIVMMASGFGQTGVSFIDGLGGKPPPSWRADPSGFAIITVPPRELFYHDLP EAEGDYWVTKLKKQSLKALMEGGEHSYAGWKDVPVWFLACTEDKAFPVQAQMMLVQVA KDSNANITLREVESSHSPMLSRPKETVDFIIEAVASFTE VE01_04247 MSSRYAAAYEHPKGPGDARPTAEQIIQDEGLVGKWADKVILITG CSSGIGVETAKALSKTGAKMYLTARSLEKAKKALGELSESPNVHLLQLDQESLDSVRA CASALLAENDRLHLLIANAGVMMTRKELTKDGFELQFGVNHLSHFLLINLLTPALLKA STPSFESRVIVLSSTGHKFSSVNFKNINFDGEFDAMKAYGQSKTANLWTANEIERRYG SNRLHAFSVHPGAVTTNLGQHMSEEEIKAITQDPKLMASYMNPAQGAATSVWAAVSKD LNGQGGKYLENCQISTPHDPAGGMWAPGYGQHAFDVAGARELWDVSLKYVSLE VE01_04248 MEVNVQRHISASVPAHGSTSQHNPPHIAFRMLDDWTGITDPKRR KIAQNRLNQRKRRRRQRCAVDNAEREDRLATPPISLVGNCINHFACLRDLYRLGIHSE QAKWVACQLEHICYSHYLADSPRTELLLGLTQVNLIRALMFNIDVLGYTSTQMHNDAL SPFCIAGPSRVGDELASLPLSLQPTALQRSTPHHPWLDLFPITQMRDNLIAAESFIDE YGLCADLCSSIEGTAGILVWKDPWDPSGWE VE01_05261 MTSQERHSLTASIAEKTDPSSAARALIAPAEDKFSTGSPESDIE GGLRPVWGSIIDVAADTDHQSQEPLVAVLRAVQQQNFANGASEVTVWGEKVKMWSDLP LFGASVRDAWNRAPGTGSTNDFCASQWRNINGFLARLTSLSSSTPAFDFSMFGLWTLR SAFEANEPSPADVDAGKMWFEYAEDVLTKLSSDEKSFQAKVGAGGGSYADKEWTGFNS QRLEVWQAALR VE01_05262 MFGLKTLLTVALVSAVAALPALEVRAATTCGSTSYTAAQVSAAA AAACNYVKAGTTAGSSTYPHQYNNYEGFNFAVAGPWQEFPILKSGVYTGGSPGADRVV INKSCGLAGAITHTGASGNNFVGCSGTN VE01_05263 MVISRRPPEHSHTQLSSRQLTIDLFRFLHSAMILRPDARAMMLR RIIRAPTYSHPPMLPLSIRAMTPSWRAVSSNSKKPPVAKSCKEIPIIGSDGKPLFESL GITGRLKMVALAIFAVLATIESMFWVSMLWNKYGWREEN VE01_05264 MSTEEPTTAQLQSTVSKPPKVFSCVLCAQRKVKCDRKQPCSNCI KAGISCTPGAPPKPRSRRRRVIETDVAARLQRYEELLRRHGIKLDELDDPVISARPGV ASASVDGANSSHISAVVGKDGLPLYAPNNLWSTLSDEILDASSDDERDLAIRVANQSL DSEPSTPPKIELELFDLDTPLPDLSSLHPEPLQIFRLWQTFLDNVHPLTKLIHAPSIQ QEISRASGSPQTFTKPMHALMFSIYSCSIASMRDEDCMEILGEDRALAHRRFAGIAKK ALTVAGLLTTRDTMVLQAFVLFLLSIRNVYDAQDLWILTGVAVRLTERLGLNHEPLLD SLSVFNAEYGRRIVWQIAILDGHTAGRARIDTSRTLYRGDTKFPLNVNDADLDINMRE RPQEHLGVTEMVFCLIRCEFGSQLHNQAAIEGNNMLRLLGNPSTPVAEKETFISKLES IFEEKYVKHCDPAIPLQLLAMVMVKVMFGRLRLAARHPRRFRDRGATMSQEEKDSLFA ICLMMIEYDNQGHRLPGMHKFMWHVKANFQLDAFVYILNELRHSSVGDRADQAWREIL ECFEHHSDVLTDTKNPLHVAIGNLALKSWGARESMLAHLGQTLPDGEVPRPISVLRSQ RSVGVSPGSYSSVSDQRVAGEPTQNQAFQVANPTPFDSMDSTPIDWEYWNELINASGI MIDETQYQQPMYDARAMNDWK VE01_05265 MCFNWCWPFTEYEVEDDEKGREKCQITHKHTHTRRRYRHRGRYY STACPVCQQCSCRFCQPHQCQPLSTIGPRYIGPVEMTMAIAAPPRVRTSNLKSQAQSE APGFTHLFRLLQGCLASTAQPTLAGAAVSRPRSKGLLVYGLPGLPESHPHHLSIVDLP LEDVDVPSGMASSSWATKEGQSLSTMGQLPLAVIFTRARAAAMAELQQYGSRFGPTQT GGFIQYPGGQRFLCPPQGPMWNGQQWVTNGPTKAEHIAAMKDKADTRPQELVPADPDI SRMYEVQELDGNWTRRSRYTIDSKDIGQVRWYQRPDGTFFAKRLPSG VE01_05266 MLLLGLTGSIATGKSTVSNILRAPPYSIPIIDADVIAREVVEPG TSAYDKIVGYFGATTPDLLLPKTDDGKGRPLNRPALGRRVFGDTDERKKDRAVLNGIV HPAVRMEMYRQLLRCYLRGCWAVVLDVPLLFESGLDTLCGTVMVVAVGDPAIQMRRLR DRDAHLTAEDAENRVLSQGDIREKAKRCEARGDGRGVVVWNDGGREELKKEIERVMST IMKGSPKWWAWLLLLVPPLAGWAGLWTYYRNMKVNKDWRQAELESKAKL VE01_05267 MSRLILPSRAEVIIREDVLENASDPRPNAFFDARTGMLRIYHGP MYGNPGGSLVPLQAQHVPIGTPAPPPSAWANPWAGMIGGMSGRWPFNVNQRGGGGDQG MQNSGNSENNHYSSGNRSNGQRNNSRGKTGPYGETHNNSGSSLETGGSKPMPGSFSND NDQNGNSGWNTADNNANNNANNNSNDDGWGTGNNDADNNSPDNGGQGDTWGTDNNNSS GNDNWGSNQNNNNTSSGDDNWGTSNNNNTSSGDNNWGTNKNNASSGDDNWGSGPDNSN HNSNGSSSNSKWPGYKDRPNQNGTSQRIFPDATGTEFSAGSWGEPAKQESWGDKTAAQ STKNNSNDTSGW VE01_05268 MPTPSQPQTPTTLDSPLREHRQRPVERLTDKLETPSLDDRQYRV IKIASNQLEVLLVHDADTDKASAAMDVNVGNFSDPEDTPGMGHAVEHLLFMGTKKYPV ENAYSQYLSAHSGSSNAYTAATSTNYYFEVAAKAAESAEETDRSPLYGALDRFAQFFI EPLFLPSTLDRELRAVDSENKKNLQSDQWRLHQLDKSLSNPKHPYCHFSTGSLETLKI QPEAKGIDVRQEFINFHDKHYSANRMKLVILGREPLDVLEEWAVDLFAGVKNKDLEQN RWEDEQPLGKDELLTQIFAKPVMDSRLLELTFPYLNEEDLYESQPSRYISHLIGHEGP GSIMSYIKGKGWANGLSAGGYAVCPGSLGLFNCQIRLTEEGLKNYKEIVKVFFQYISL LNESPPQEWIFEEQKGLAEVDFKFKQKSPASKFTSKISAVMQLPLPREWLLSGHSRLR KFDPKAIEAGLAYLRPDNFRMSVVSQTFPGGWDKKEKWYETEYKYEKIPQEFIAEIKT AASSTKASRLSQLHLPHKNQFIPTNLDVEKKEVKEPTRAPTLIRNDDMVRAWFKKDDT FWVPKANLFIQCKNPLPSATAENTIKSRVYAELVRDALEEYAYDAELAGLDYSVSSYS GGLDIQISGYNDKLPVLLEKVLLTMRDLEVKPDRFAIVKERLLRGMRNWDYQQPYNQV GDFTRWLNIEKGFISDQLLTELPRLEAEDIRLYFPQLLRQVHIETLVHGNLYKEDALR LTNMVETTLKARALPQAQWPVFRSLVFPPGANFVYHKTLKDPANVNHCIEYLLYVGDK ADRALRAKCLMLDQITHEPAFDQLRTKEQLGYVVFSGARTTSTTIGYRFIVQSERTPE YLEGRIDSFLANYLTTLKNMSDSEFEGHQRSLITKRMEKLKNLDQESSRLWTHVASDY FDFDLSYEDAAHVKALTKKEMIEFYEHYISPSSPSRAKLAVHLRAQATSKKSSTAGIE NDVKGLKLTSEDKTAQEAPVEGNGTRPWAIDDVRAFKSKLGVTPGPQPVRDLSEFEET DSKL VE01_05269 MAGKPPKAMSSRLLTMKFMQRAAASSPLSSPSTPDQPSAKRQKT RPDDSPLAGFDVNALANQKAIETALASEEAKRQIALDKQASEAGDTRWVLNFERNGSE TGPVRGNLKIQQASFSAIDRDSAATPRVIYQAEETSDNAIFAGRRSFGKFNRKLEKLQ DPEGDDSSDSDSDNDSGEQEDAEGSNSDDDDPTSQLMKTARDEAAKKLKAERKSKRKA EKAELLRLAEKRKKKNVKLNNLTSISGGTSGASKGTCYKCGETGHFLADCPKGKKRGR TDDDGDRPRSSNKSRKSG VE01_05270 MLSTANRRAALLLARRARASAPISSFRALSISSRASSNASVPNV SKTNELPQGKQQEDDVLVHENVENAQKLRTMQEPNRATTWSRSQKTREAAMSGPRFEQ TIVELQPAPAAAIELIHQQPVRWIHERTVECDGGGGPLGHPRVFINLDKPEICTCTYC GLPFANEHHRTYLESLPSTPYPLTPQGNAAEVNLNQRVTDGAFEQR VE01_05271 MASLVYSTVNPVISDGDGTMQHESTSTFVIWIAGACAAWCSFIL LVQLIGFTQLYRRYSTIPTPAASLDLPEDEIPHVTILRPVKGLEPQLYECLAATFKQT YPRDKLTIYLCVASRSDPAYATLQRLIADFPGFDAKVFVEEDDPNLSGDAGQENNLGP NPKIRNMSRGYREAKGDILWVMDCNVWVGKGVTGRMVDKLCGFAPGGRRLTPYKLVHQ LPLVVDSVGASKGEEARGLLTDETNEDGSEILPTRNGGLFSWGGGRLEEIFMAGSHAK FYTAINTVAVAPCIVGKSNMFRRSHLDSLTSAATSPYSPGIDFFSNNICEDHLIGDLL WRNNVPGQANGEKWRNHGLVFGDVAIQPMASTSVADYIARRVRWLRVRKWTVILATLV EPGVESILCSAYAAFAMTTLPPLREALGLPSSWTAFFIVWLMYITVWMGVDRLTYNKL HSVASVELDEDTPSFARPPQGPRRRFGEWLAAWLGREVLALPIWVFAVLGGTTVKWRN KTFWVGMDMKVYKYDGDESNDEAVPGGVTSKARSD VE01_05272 MEDTTQVVTAVNGVQFKPKELINEASSKPDVVVNGDPIKPEMNV NGTSTGSNATVDIASPKNGTGGNGRPRGPTRSQQINKLYSHPTPLRTFPLPTFVPHNP ISLVQILYTWLQQTISPPSSHPQALYHGVFSPELRSIHVTDERSAHALWREGFFGKGS LSRSEPSWLDREKRRVGANASKTSEEVTRQRRAERQQAKWERARIGREAIDQKLREEL ELGILSDAALRDNSNNSKDEPTTTTFLSPVGPEELLRLPNSRYDVRLVNDPTSALSNI TSPAIEQANVAADDNKPQSIKKAFVPPVGPGELVRLPNSQSDVDLCSGPTSIVANRAA TTVAQDKTATPNGHAISPGYQTPPATEVDLLLRPLYKPGSAKTSGETETFTSTNSDVS TEETSNRPKPLNRKKSVRFSPTIEQTTFLPSDPINADFSKLRSPTPPKITEADDTLVE LHNQEHIQLTMEEAFFLSYGLGVLSIQNAQSNLPIPPQDLLSLFRQTSSFPVSATPQN RPDDLFIISYVVYHHFRSLGWCVRGGTKFGVDYLLYNRGPVFSHAEFAILILPTYSHP YWSSDDAIAKDVEKRQSKKWNWLHCVNRVNGQVKKTLVLVYVDVPPPVDEEGMRVDEV LARYTVREVVLKRWLSNRSRD VE01_05273 MVSIPEKHTSIRGEIEGAFGQVSAIVNASLGPVNAAYPHRPSNE PLKIDGSKRADLGKVEPEDIQALLESFHDQARGVQDDSTLLLERLVTGLSRLPEDSKT GKHLTDNFVNTLWDALPHPPLSSLGGQYKYREADGRNNNISHPSLGQAGSHYARSVKP VILQNIQLPDPGDIFDELMDRGDAFEEHPNKISSVLFYMAAIITHDIFCTNSKDNTIS DTSSYLDLSPLYGKNGDEQKLIRTFKDGKLKPDCFSEKRTLGLPPGVGVMLIMFNRFH NKTATMLALINENGRFTKPKDDDASKWAKYDEDLFQTSRLITCGLYVNIIVKDYVRTI LSLNRTSSTWALDPRSVSTKTMFNVPAPEGVGNSVSAEFNLIYRWHSAISERDDKWIQ EEYERIFPGKNHKDMPLHELMGGLGKVVAGLPEDPLERPFGGLTRNKDGTLPEEGLVE ILTSSILDVAGSFGANRVPTIMRSIEILGIMQARQWNLASLNEFRLFFGLKAHETFEE INPDPIVAKKLKNLYDSPDFVELYPGLTAEKAKPPQAPGSGLCVNYTISRAILSDAVS LVRGDRFYTTDFNGRALTNWGFTACNFDTKVDQGCVMYKLILGAFPDAFKGNSIYAHF PFVTPAENLVIQTNLDTAKLYDWNPPKVTPEVVSIDSYDAVVKILNDKTNWKVTWGDS IEFLVSQPNERYGDTYCLAGDDNANEESRKLVMKALYPNDWKKEVKAFYQDITEKLLK KYTYQIGGANCVDITRDVGNLAHAHFSASLFSLPLKTEDNPRGIYTEQELSMILSLLF FAIFYDIDPKKSFPLKTAAKMLAKSLGTLVLLNVESVSRFGKIAEFVEKIRAKSQLED YGLHTIQRLLQSGLSTKEVVWGQLLPTASSMVANQSQLFSQVVDYYLTEGIEHLPKMQ EIAEHETVESEDLLLHYFMEGARLRHTAGLFREAAVDMTVQDHDRTVQIPKNHRILAN IVRASRDPVAFPDPLKVDVTRPLDSYIHYGYGPHECAGVDASKVAMTTMFRAILRLKG LRLDPANREGVRKVPAEHGYTVYLKPDWSDIWPIPTSLKIRWDGPALV VE01_05274 MKFAKELEQDLVPEWRVKYLDYKAGKKRVKAVGRAVARANANAT PRSGKLNPRDLYRSNGSPTLTRKAALPAPQRQLTTHGYNSLPERRGTASRPTSDESDQ LSKPILINKRNGKETESPDSTQLQYGSFVPTPPSDYGPVHLELPDPALSTGIDSSPPE RATDKTSRSHHRGGAADKAPPGPYNAHTVVEKGTAPKRPAIADMFNRGSSMTVTGSTA NISSPGDPKPLLKRIWSRSGARRDEVALDVNMIPLDYVKAKEKEFFDWMDGQLVKVET FYKEKEDESEERLNVLRGQLHEMRNRRIEEISNFRKVKRAGKGEAGKSIDDTSGNPSP SSGSGTPLHNQNILRRPVTKALDGLKFGQRLGVNSKALRDMHLTPNLRPSEGTQVARG TDPSQDYVRRAHQHEVPYRSAKRKLKLALKEYYRGLELLKSYALLNRTAFRKINKKYD KAANAHPPLRYMSDKVNKAWFVQSSVLDSHLHAVEDLYARYFERGNHKVAMGKLRSTN GKLGQHTASAFRSGLLIGTGAVFGIQGVVNAAAILRNHSDPVIHLRTGYLLQIYGGYF LALYLFSFFCLDCSVWAANKINYVFIFEFDPRNNLDWKQLAEFPSFLTLLLGLFIWIN FSGVGSLDMYLYYPVILIFLTLVFIFLPAPILFNQSRRWFAYAHWRLLLAGLYPVEFR DFFLGDMYCSLTYVTANIELFFCLYANHWSDPPQCNSGHSRLLGFFTALPGIWRALQC LRRYYDTRSAFPHLANFGKYSTTILYYITLSLYRIKESNTHLAIFITIAVVNSIYSTL WDLFMDWSLLQPSAKHKFLRPVLGYKSPWYYYSAIVFDVLLRFNWIFYAFFTHNTQHS TIASFFISFSEANRRGVWTLFRVENEHAANVMRFKASRDVPLPYKLHDTDETSASESM VRPIGEDGENAEMAKVHSGSLRPTPSPGQSRFRARTSSTARAEEQGPGTLRRRMTITK IMAEAHTQDFVKKKGKEEVAGTAATGEEDSWERESSDDDDDDASPSEDREEEELTRQE TEQVRQSQRNAGREGLEEIL VE01_05275 MSQAASAATVYLAGDSTMAKNGGGSGTGTDGWGQYLADSLSIPV VNNAIGGRSARSFTREGRFDTIINTVVSGDIVVIEFGHNDGGSLSTDNGRTDCGGTGD ETCQTVYNGVAETVLTFPRYLENAANAIKSKGASVIISSQTPNNPFESGTFVYSGSRF VGMAALAASNAGVDYVDHGQYTANAFEASGATTVNSYFPNDHTHTSNAGALAVADAFV RGVLCGQTALTEFVTNTNVAGSCV VE01_05276 MTADINNPAPKPVVLHIGDPILYNEAIYARFCELYTVIRPSTEE RQRPEFIKALKEKRWGDFNAIFRPYWSTGGEMGKWDAELISCLPQGVRVFASAGAGYD WADVDELANHGIIYCNSAAACTESVADFALFGILSTFRQLTWCTTAASDPTSFTRCHL DATALSRNPRGHVLGVIGLGNIGQAIAAKAFAAFKMRILYYDVKRKSSEVEAEVHAAF VPTLQDLVRQSDCVIVATPASPDGKVLVNAELLAHFKKGARLINVARGNLVDEESLAD AIDSGHIKSAMLDVHTFEPKVNERLKNRREVMLTCHNAGGTVDTHIGFERLSMENIEA VLSRAEMPNSAVNAHLFSLDI VE01_05277 MVRVAVAGGTSSLGRLVVNAIVAIKKHDVFVLSRKDSDIFASEP NVKLFAVDYAVPATITAVLEENRVDTVISCLNLNTREASDAQLNLIEGVGKTSTVKRF APSEFGLDNVEVAKVDFDYPVLEFKIAAIDKLKEFPSLSSIRFITGMFMDFFGPPPNP PQINVISIVIDPEHAKAGIPGDGSATIIITHTTDVARFVAAALSLPDWPEKVIIQGDR LTLDEVVAVAESVKGSKFDVTYSSVEDLKAGKITELPSNIPRYAFVPKPMVDGITQTF TIGMAIGLFDIKGPLLNDLIPEVKPLSLEAFLKKSLQL VE01_05278 MEDDSQTPVVHTPPGPSTIRPKGILKNSYSGSPSSHAPAAVSPP PAAPPVEARIDRRSVDTTHSDKDITLHNTALNAGHRRASSSASRPGGARRRSSLSSNS ASGTPVDDTSMRLKWDEANLYLTEQEKSSTMKIDEPKTPYARRYDPDEDADEMRALDA EDIVVDELDKAQHPTKIHRVAREDEIPGLELGEPEEALPEGERMERSGSTGSASGKAV HVRDPAHVDNVGVSEEEKEKHRRFEAMRKRHYEMREVASHLGHAEGLDPDDEDQEMNG GAQ VE01_05279 MARPSITGDSDLIEDQIQREAETHGNSNPSAEKKKRKRLRQSKS SEDRHHGRSLDNMNSRDFNLGHDDSMSIVAEKEPLTLSPSKKRKKNRHRDQSRLYEVP GVENLPAKRKTSYRIAQDRATDATQNDANDAPAQAGNRNNVSSYPGVSPFMSPPKALV PHASSVNTALKSPSTQKARLDTILKSCSRRSTLSAAVDDMVLDNESDGSPSPPDGPQP AIVKVEHERSTISLRDIVRACDCKDGRFSCPIEGCGKSYTRKDSLAGHMPKSHSDQIL RDNGDKTYSVITRSKLTAAMDRNLESARQEIQKYTNRGGNNNEGMRDQVAKMNKGKET TARKLKKPAPNAATELSAPVKSIFSVNSQVAAHSAEIERDSSASPVVVWAPMNPRSPK PIKPAVGNITTAEVPYGSAMEDWEVSPGTVRTARDNFNSGGHEVAYSAHHIRNNPTVT EFANTSFAIHTIPGGRSFGFPLETFVRVCYVVSGKLQVHVDGADFAIGKGGMWRVTGL GSCIVGNRSYEDAIIHITSVRIERGVVV VE01_05280 MRSTIPFIATLSILLPSVAAIPTNTPIYLADIFHPPTLNLLAFL PGELPCESAQQIKQDTPFSIGGIDGIVLKSYWTTKATLMRDGRVFADCAISPESVVVD ACPVGRRERLTGVVKRTWSCWVVGDGKGGAMGEIPVGEEGGSGTKAGPNRPYWES VE01_05281 MTRKLVYAAAPATAPAPAPMATQAALPTRPRAQGPGSPESYAEP FTSFLTENPTVFHTVDYFTKKLTDAGYSKLSERSSWSSEIRKGGKYFLERNGSSIIAF AIGSDYKSGDGVAIIASHIDALTARLKPVSKKDTKAGYEQLGVAQYAGALNSTWWDRD LGVGGKILVKDPQTGKVTPKLAKLDYPIARIPTLAPHFGSGMTGTNNRETQAVPIIGV NNSDLFGTKEATASGSSNGGNYTFAPTQPPALVSAIAAAASVDPKNIVNWELELFDTQ PAQLGGLNKDFIFGGRIDDKLCSWSAIEGLLAAPEAKSAINLVALFDDEEIGSLLRQG AKGNFLPSTIERVSEVFAPLGNDRDALNRTYANSFMVSADVTHAVNPNFLGDYLDQHA PRLNVGVVVSGDSNGHVTTDAVSTAFLQRVAELSDAKLQVFQIRNDSRSGGTVGPMLS SAMGVRAIDAGIPQLSMHSIRATTGSKDPGLGVQLFHGFFKHFETVNSEFDF VE01_05282 MDQLKVHNSLQPGPPVPFVPIEKGKISWYVCGPTVYDHSHLGHA RNYVATDIIRRILRDYFGFKINFVMNITDVDDKIILRARRSKILEREKAKSLSESEIS KLGQEAFQAYAKGNLPALLSNGQELTPENYAQRRDDVYAKVLAGGTLTGEGKPGDDEA KMKMHLSALTVSAEALQKGEVFGGADEILLPYLDSIYKESIDSKEHSIWTDVTQYWEK DFMGDMEALNVLEPDVITRVTEYVPQIADFVQKVIEKGFAYESEGSVYFDIAAFEKAG NSYARLRPDSKNDQALIADGEGSLSKSLGGKRGAGDFALWKRSKPGEPSWPSPWGEGR PGWHIECSVMASDVLGSQMDMHAGGIDLAFPHHDNELAQSEAYYCEHGQPHTWVNYFL HMGHLSIAGSKMSKSLKNFQTIQDALKTGYTPRAMRILFLMGRWNDGVEISPDMRTQG DGWETSVNNFFTTTKSLIAEASGKEPLENLSLGDSATLDSALEKAQSEMQAALADSFD TPKAMRLIYEVVKEANIYVNTHKASVDVREVEKVARWVTKMVGIFGLDANASPPYDGL GWATSASDKITNPTEAIVPYSSVYNCVVSAIKDLKIESASLDTLLANDTNAEFTSVVS TGANDIQTLSLPYLRAVSRIRDELRRVAPTAAAKKDILKLCDEIRDTDLTNLGVYLDD RPDGQPSLIKFVPKEELLAQREEKAAKEREKAAQKEAAKMLREKAEEEKKKKASVSPY EMFKGDERFSAWDADGLPTKTKEGEDVPKSTGKKLKKDWDRQKKLWEEHGGKK VE01_05283 MPTKLSPFLHPGDATKTDELAIVYLRRDHQVPTILRERDDDDEG YSEGKVINTRFGSFPHSTLTSLPWGSQVRASKVDTGSRGRRPAKRKREDGGDDDEPAR AEPTQAVTAASGFVHLLPPTPENWTSALPHRTQVVYTPDYAYILHRIRARPGSKIIEA GAGSGSFTHASARAVYSGYPSTDAPERNLGRVFSYEFHAQRHEKLVLELADHGLEGVV EIAHRDVCGKGFLVGENADQSPGAEAIFLDLPAPWLALPHLSRREQPLSIPLPAGETP QPFVSPLNPNAPVHICTFSPCIEQVQRTISCMRQLGWVQIQMVEVQQRRVEIRRERVG IVHGQAVHGMQNTAASVDEALARLREIEGRFRSFHGSEPVDKSASSGSSGNENSKGKK VQPNSREAIVASLIERKAFKEGNLIHKTEQEVKTHTSYLVFAVLPVEWSEEDEERAAV LWPARAAEVKGKEEVGKNGKVSNRQLKRDAKAAEKARLASLAAENGEGEGKTNGHAVV EGEAVAGGDSVAE VE01_05284 MFGFGDAHDDYQQAYNTNDNTPNEAKFSHEAIAGAAAFAGFKAF EDKQRKDGKPVSHQFAKELLAGLAGAEVDRLAETKGMDFYDKERAQHQAKEKSHELYD QHYGQHEDYNPQQRDAPQEVQQYGRW VE01_05285 MPALPTPSHFASHPSLASSILITPPPTPTTPPRSVLILLHGLGD TNAPFHNLASQLSLPHTACISLRAPSPIPALMTGTDETGFHWGDDLSLDSRTGALDFD AGFSTAARPLGELLGVLMGECGFVARDVHFLGYGQGGMVALAVVEAWPGVEFGGVIVV GSGIAGSKSAMGGVRGYVSSLVVGEGKARTPVLVCGGSSGTVVTAGVVREVKERFGAV EYVKWERGGDGMPRSRGEMMALMRFWGRRIRGPVPEGMEEVGGVA VE01_05286 MQLPTTIHAPPSLDSFTPLTEHQSTTPSTFFSETPVLHYHGPNA RALIAPEHLSALPIFTSAQNSVETNAQAAANGDKAEENTNGDAATQPAAQKVFPVDIY VSSSNLTLFSAATSTGVEIPYQSITLHAIQRLPSPTPEADGETVQGLYMQLDLAPPND EDEMMDPVELILLPPTQAQPTTTEEPIKTLFAAVSACSNLHPDAADSDEEMGETDRIV FEGSVGYEGISGLPGVVSLPGDEGLPPPFPGSGGWITAENVGDFFTPEGEWIGRDGDK EEGEENADGKRENGRSEEGDDQGAKRARVE VE01_05287 MATPTSDTPNKPQDFEESAGDHPASTEPAQDNATSNSESLPTET ESPEEPTVAPTSRKGKEPEDTSAPPLPDEEPPLPDEEPPAQEEEEDDGWAPVWDATAG SFYFYNRFTQATQWENPRVPDATAAPAVAPGVDAPTPIPEEAPPRPAAGGYDPAIHGD YDETAWYAQPAEAAPAAADASMVDPTAAYAATGAFNRFTGRWQAADLTPENFNDENKS KRQMNAFFDVDAAANSHDGRSLKAERSGKKLSKNEVKQFKQKRKARKEEKRRAWLLD VE01_05288 MAKSKTSQKATPSCCDKPKEASSSPDKADASSPDSANKQESLAF RIPTISPVLSALLHSSCCWLPTLLDLTSIGSASVASIGHLKPIFAAITVWVLMDSFRR EGATNRNVLRALMSGFLLILPMILNLSHPGASAETSGKSCH VE01_05289 MNSISRLAQLFLKRPPSPLHQIPIAGFHVLNKGQLIEEETLPHY SPAQFFPINIGEVLNARYPVIGKLGYGGNSTAWLSRDLKEHKYVTVKVCRLECEQVRR GIDVYKHLDTLATSHVGALLIRDMADSFDISNAHGKYPCIVHKPLSISLAQLRSKCPN RRLPVNVLKPALVHIFLALDFLHSEANMVHTDLQERNIILGIEDDSILEEFARSELRH PSPRKVDGDRIIYKSRDLVITKNPGRPVITDFGEARIGQQTYDDDIQPFQYRAPEVIM DMPWSYKVDIWNVGVMIWDLFEDKNMFNTRGPDGVPDSLYHMAHITALLGPAPLDFLE RSRSERRQEYYDPKGE VE01_05290 MGSCISGIPGRVKAHKQDEKNFLEAYPKYKATSHIDEVRRSEYP ILDLQGHIYLDYTGAGLYSSRQLRHHQNLLGTNIFGNPHSLNPTSSAMTELDEYARAC VLQYFKASPEEYCVIFTANASGALKLVGEAFPFDSRSEYILFMDNHNSVQGIREFARA KGAKTTYVPLTSDLRVSDIALKEALKPKFDGPVGPRLFAYPAQSNFSGVQHPLEWIAT AQAQGCLVCLDAAAYVPTNRLDLSVWHPDFVPISFYKMFGYPTGVGCLIARKDSLLKL KRPAFAGGTVWGSSALGDGHVLLDHHEGFEDGTINFLNLPAVHIGLRQLKDVGRDAVH LRVMCLTDWLLKEMLALCHQSGLPLIRFYGPTDVYMRGGTIAFNYIDANGDVVDERIV EQRGNKINLSLRSGCFCNPGASEAAFNLEKESLLEAFESAWQHEAAHGKRKKWDDFLA DIGISTSGALRISVGLMSNFKDVHRFLEFSRTFLDTVPTGSNLAMRLHC VE01_05291 MAKTIFLMCQFQSHGSGGAVSAIGIRITNMTYGWTTVASAPATG TTVIVGLMKRDRAMIVTYTEILPILYSDNTIYIHSVPFSSHILRILPLQNLAMMTSLE FMWDLDTSPDVIPWVRSEDVDKVKLKRWKVFKDLMSMINKSTFQSLQKLVLVIVDNRD GRRWFPDEIMAASQTKPPQFILLDPPDRIAREFGGQLQKFELTLPYDRAADLESKLVD KMDKTQVIMRHPGLAMIRKFWRSIPKQLPIHEELGFSGELGYWIIHGHCLSPGIWTCS LGTGRITPPNY VE01_05292 MVYCGKPSGACHACRERRTRCDKLPDGCTQCTNAKRMCPGYRAM GDVLFKDVTINVIRKAKAGEVRAKQAALRSSPQSSTPQTPNATADDDSDPSEDGMEVV AQATPLQHFSLVPSVEERATGLFVANYVYALDGYTRGHLDYLTDVFRKDSLDEGLLSS MKAVGLAGFAHTTRSPYLIKNARCQYIKAIQHTNAALRDPSTAKKDATLLTIIILGIF ESVTGGSLRSLRDWVAHVMGACDVVKVRGHKGIESISGRRMLLQVTSNLLISCVQKAD PVPDDIAELIFTAINTVRTKDLVNNIQSNESAFLVLECMIKFAKLRSDVVHCHETDPL VIYVRCIELDTILENLSNNPPEHWRIHTVFTDAAPDFIYNGRYHINTDYIVSQSWNAL RIVRFMLNEIVRDLLTPGATVRDVPTSGATESLPLHTPLTGPEFEAQRLKSIAAMYEA QEGILYSVPQHTDAIPDRGGSGASTNSPVRTVWSDFRDRPGEEKPLAKISGALFLIWP LWFVGINDTASDEIRRFVVRNLRMVGDRMGIKQAYLLADAVESHIELSVSL VE01_05293 MAYLAYKWYKNRKNKLPVESSEPDTSEPSYPTEPSKLYEPTKPT KASKPTKSEKKRDCIHRRGVSQISESAMELTAHPDGAPEVHEKTDLVDNSSPCAECKE AKRAARIYRWRIISGLFVPFLVQSLDVTIIAGALPFIASDFNQLAQLNWIVSAFNLTS ACFIPSWGQLADVFGRYYAMQFSLIFMVIGSVLCAAAPVTSFPMLLVGRALQGIGCAG MLINTKVILADKVTLEENAKNNTIFTIVGGVGYGIGPVVGGYLTQVSWRWCFIINIPL GLIGMVLVHFVLRPELLGPQEIKRVDGVTDVNIEQTFKARLLTIDYGGQILFLFGLGL LVLALTWAGSSYAWNSVQILAPLIIGVALLAAFIVWEYLMLPGHFLSRRNPTRKAMLP FKLLVARNVSILMYISFLTGMAMYAVFYFVDLYFALVHGKDSGESGVNLLYYLPGLAA GSYMAMFACNVWPLQTFATLFLGVVLEPLGLTLIALALDKEGMPFIIGMLVLTGVGTG VRFMPGTLHGIGYYPRQIASIVSLMSLMVSLGGTIATTLMLNIFNNTLSQGGINFSSA GSSSFDAIAGLGPVEQEYIRGKAKRGIVLAFYALSSFGWLGLIFTLALGNVTIGKKGK ADKVVKGSYLGSLFRGEKPSEVE VE01_05294 MPAATPTTVPSQASTTSTSYSSTSTLGSPPTTLSSYNNTMLSYT RAQFQQFRDTASTTAPELGETRDSSSTAGTTSSSGSVPRKRNEGPESPVAAHDFASGR KG VE01_05295 MAPIPSSQTPRLILYHQTHHTPSGDHVPLLPLLKTPLTHLILAA IHLNGHPTNPHLTLNDHAPSDPRNQTLFSELHDLKRGGIRVMGMLGGAAQGSFKVLDG EEGEFERYYKLLFDFIRWGELDGLDLDVEEKMSLAGVIRLIDRLRSDFGGGFIITLAP VATALATRDPRANLSGFDYADLESERGSEIAWYNAQFYCGWGDVRTPTGYLRLVMGEG GGGGWDPRKVVMGVVTNQGNGAGFVEMGVFAETLMGVTEWLGTGWGGVMGWEYFNAVP GGTESPWEWAEMVGGLLGSTREGGVGGVDGSVEAAVGGGNADRAIDGDGEVAEKPAGF EYFTDASDA VE01_05296 MAPRSRFYKTALWRYDSDELARIAIQAAPILIMPAICDTFLPIA PYNVDANTISVEQFVRVEVPDYTDNIDLSFALRTAFLEAYNPLQEQILAITSIESSVL LPQFKGIIRTPDIAEPAFKGIITNLELLAIVRHNHNEYMGFMNRVSRGPAPNQDILRV IFSLADINHFCSRSPFQCEQISGFYASWSARLDLATVYKNYLRLDYPDCKDVSVYFGC PERARELDPQEEEQSKANGFRAVMEIRDRSRLRSHLDLVCKSELFDDHVMGMMSDVRS SMAHHITYLGMAIFQCGLSETEGKEAIEKCVDLRDALRKIVLELPLAGKIVRHPDVIK SLGRCLLDSGLSWVLFLDDTA VE01_05297 MHILSTLLAVAPVLVAAQNCPLQFDGRVPKSAKLGLLDTAKSPF NADYVKGAGLKWSEIAKFPKVSPPSLFDGSTYKAIEVTIDDTSIFAPSADNVQIGFRR AELMPTTNTGSDPSTTGIKTLHFSVRADTSRPLNYTHEYQLVFLESADYSTNQFALKT GSIIDDSDPRGHLKKNWLRLVGNVANDNGGKTLFEVPFGKDWHNFGLRLDFTKNTTQV FYSPSILPLIPVTPPIHNDISGQGQLHFGLLKKPIGKNFTDMPHQGYQEPGIHEGAIF GGIFEEDSTHGC VE01_05298 MDDNTEAVAGALPGANRAQIDNAIRALSEKKPIPEIDFTLHTME DGSQVSTLERVCKDVQAPAMRPPTDEQFFSKEDPAKPDLAFLKQHFYREGRLTEEQAL YIINEGTKVLSQEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPSDTRYLFLGDYV DRGNFSIECVLYLWSLKIWYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSENLYEAC MDSFCALPLAAVMNKQFLCIHGGLSPELHTLDDLKSIDRFREPPTQGLMCDILWADPL EEFGQEKTSEYFIHNHVRGCSYFFSYPAACNFLEKNNLLSIIRAHEAQDAGYRMYRKT RTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTW SLPFVGEKITDMLIAILSTCSEDELKEEASTSPPISSPISEGGVPGTTVAAPALLPTD PESIEYKRRAIKNKILAIGRLSRVFQVLREESERVTELKTASGGRLPAGTLMLGAEGI KNAINSFEDARKVDIQNERLPPSHAEVTRQSIEGRAAAIDRAVAEADNDTALQTLSRR LSTDRKRRSG VE01_05299 MPPRLPRLAPGRLRLARTDNLLPKTSKCLLCQFNAAPSAGGGGR QVPRRREQTGIAAMPRARRGLSTATATAVVEEEDIETKPLTPKQAIAELEKALSDLEK HAVGYVNLPRLRLAQRGVEQQGGQEVIRVAVIALAGQGKSTAKSRELIRLLLADPLKA EEEWERSLMGTGDPVLLRISHRSGGVVEGGFKNRLVKEVQISSAMLNDHYLEILVIDG DVLTESEEGTAGLTENVLVPNIQIPASFGAHYSPITAPVHKALVVGDGILGAASLLRL PTMEGDLIQTAVDLPSSIHDTDATTSQFHSVDVSLGTSALTAFREDVGNALAYEHQWT ASGLPTLRDWVISGSSSSSAAPLKPPVHSLIRSLLSSTEEKIHYREASALSAALSAKV SSATTQSLQRDLDEWAEAAHTELRDQLDLAFSGRRWRALAWWKLFWRVDDVSAITSDI LASRFLTGAEQEVIYIAGKIDAAGVLGEAKTPLPSGKNWAYKDAPLIRDPEEEVPLGV APTTEPRVRDVIPVIETPLDGPIATPAIKAHPWPLHIPLTRSYIATTSIPRLQALAQK LVAQTLGTTVFSSVFAGLIYVSNLSVGIYEAGAVAALGTVWALRRMQAGWEGGRGWWE REVREEGRKAVRGVEGVMGGVLRGGQGRGLGGGEGEGVREAKEAVVKARKALEVVEGG EK VE01_05300 MSPPPHVPDAQDDLARPSSSGSMRRLGSMGRRRTQPPESALRKN TNFAKLARQSTEASLLPKGPKPVRNTCDAYDLPWETLRDYLVGKFPEYMVKITLEKDL YIFNLPELLTTEDHKAIDRLRDNNNNTANKEVVEERLSQSPEPGEP VE01_05301 MVVAPVVIAPALPDSFKLSYEDFESYPHNLIYRTACTAVLKAYE SRLKEASSALFIGEDGEDGAADIPFPFERRNIFNDSKLKDWIGDQSSIDPLVATLTGE LATKSDPKCRFIFIHAANNSRQQLRITRKMMLRILSYHQVMPGYLDFLFPFGLQSVPR DFRFSAFREQTLLNSPSRGPAVPGLGRSGRQIQLSFNLKGVSCTSDAKVSLREKRWSQ RQAAVHHQFDVELGTTLWILTKGNLELKDRIQDVTGKDGRLEDRSFGTVAQCLISSLA VHLLLCQWSCEDWRWYILWLEEVIAYETREVILPRGPGEVRYEYRPEDFQGIQHYEDQ ASQVSMILEANSYVLESLRAYYKGLLDRSDFGVMDLCREEVLSFCSQIDNMIHNAKME IVRVKTLVQITSSNKALVLQHIQSQATEKMEKLTISMHQVADLSRKEAIAMRIVTVVT LIYLPATFVSTLFSTDIVKFQDQGNGGTTDSLGKAYVSFSSLALVRWIQVTLPLTALT LTLGYLAFRMADRKRKRFGLQPEDLMDSMDSKESIV VE01_05302 MDNLPLDHSSAIADFRAARKKYTQKAACGRNYVLVKKLKLWLES NGTDGRSQASHLLDFAYRKRSRHRPVLPISRDVLSDKRNGCLLVFCILLELDCGHLID EFWRQDICDKHLPINLHSLQEKAATMVTSDSNSLANGFNNLQWQFCPTTFELRRGRKL VVDHILPFYRKEKINDKGATAQLWQIEVAEEFVDPNLARAVAKDGNYNDPNDDIGQRY HFAIKTFDEGKRDLFKNEKEAFLALRDHPGMIQYLGDYEHVEIRQSSSPQIITPGGTL ETGTIKANTSNIILEYGDHDLDEYFMEFVPPVFQSEIKSFWECLFDVADAVKHIHHLK VNTEGRTQEFNGWHADIKPDNILIVQGKFKLADPGFATFVKKKNTETEPKKVVAGGTE TYSAPERRYSSSGTREGAVSQTIDIWSLGCVFSIAATWVVLGHEGIRQFTRLRQRSIR NSSEEQAAHRTRPHPDLDHFHNGKEVLPDVLSWHAYLRSVLRKSDTITSSVLDLIDKD MFVGDAGCRIKATELCKKLHDIKSHMQTETRALPKAIMEALLEVDEAPPKESMASTTH ELTMPKESPTIVDKRQAQKSALLGAPLKMTARRSEYLKSELSNSYVNFQTDDEYPEIS ITVPSSHKPVTQKVNEEPRPLNRRNSRIQEENISTRKDAEAPLSPRYMQIGSFATTMQ RPVNRKRRTNPPQDIFQAREEVKSRTKMRLFGRKTTKDELLSRHFSNRDIKFLVDNAE SMSRYWANAKFLLETLILKAIGQDDNGMDLSFTFGNISVQNSNVVSKFTDAMDDQEAR PRDHLHTDMKGSLGDIFYEYFQVAKKRGSWSKNLTLIVLTDGKWDGMEDKNGVDTMII EFGNQVQKIFGNLKHRPVSIEFIQFGHDPNATSRLRRLDDDLVYSGIPDIVDFEHCSG DVNKMLLGSFVEEYDDDEEPATMSIISELDGVPLTETPLQQPTNYFPTSSTAETGLFE LATRQPISSQVRRNTATAEPQREQFTRSSRHSYHPR VE01_05303 MDLEQRPTSEKQLINTTVHNITWRGITVTVTDRKTKQSKTLVDN IEGVVQAGECCAIMGPSGSGKTTLLNVLARRSTKAKSIEGSILVNGRPLTKSEFRQAS CLVNQEEVFIGGLSVYETLSFPSRLARYGSSTERLVQVSALLESFGLTDQANTIIGTS LRKGISDGQKRRVAIARQLVVSPRILFLDEPTSGLDSAASFEVVQYLQALARRNNLII IFSIHQPSTSIFNLFDKLLLISAGKPHYFGAVSDVVAYYSNIGIEIPLHSNVPDFLLE LVNIDFSQDKVYAALRLVELQSAWQASANAKTAHDTILCAENGAECLKIESGNNRPRM GSRTVTLLHRSLVKTYRDPMAYGIRLAFSLSFAILIGTVWLRLDLEQESISFLVSEIF FALCFMSMAAIIYAPAFIEDYLQSAQDFHNGLYGPTEFAVSNFLISIPTNFLLSLVFS ITCYWLSNLVPSATAFFTWVLWVFLTALAAEALVVVVACILPDFIFTIACSSFLNSLL LCGQGFFISYSSLNPFYRFGIHYWDYLAYAFQGLMVSQFRGAKYSCGTHCSCMYASDL ANQCQVAGKAVLERYGYEEKGQFGRNIGITVAIVLGYRVASWILIKLKN VE01_05304 MDHSNDEPIAVVGIGCRYPGGISSVTEFWEALRNGSTMMSDIPP DRFDHHSFHDPNGRSHGRIQNTKGGFIPDIDTFDAEFFGYFPAEASRMDPQQRLALEA SVHALEDSGIPLHQVAGSSTGVFVGTFQNDYAAIQVNGMQRDHFNPHSSLGSAACALS NRVSHRLNLQGPSITVDTACSSSLVALHLACQSIWTGESTAAIAGGVNAILRPEPSIQ LSTSGFLSASGACKSFDKDADGYVRSEGVGMVFLKPLSRAFKDKDRIYSLIRGSLINQ DGYTEGGFAVPSANAQMDLLQSVYQRYGIDPGRVCYVEAHGSGTQIGDPIEAQALGEK IGLARSKEDVPLLIGSVKGNLGHMEGASGITGFIKAALIAFYGEVPPQANFQTPNPAI NFERLRLAISTTAAVLPRGINGELIIAVNSFGAGGTNAHIILQNAPPQPCQRASRSSQ ARNCPQLFTVSARSAAALSDTVKGLDRQLRASHPTIEDVSYTLNMRRSHHSEISIVAA SGIEDLCFQLEQIASGEASKGVLSFRRQSEVRPKVAFVFSGQGGQWAGMGMGLAAQEP IFRQSLADFDAHFITLSGWSIRAEIAASHDDPFSAGRTIVVQPAIAAIQIALAKTLSS YGLRPDAVVGHSVGEVAAAHISGALTLEEAVKVIYWRSLVQSKAQGKGAMLAVGLSSA ELQHHIWRRRLKAQIEIAGMNGPQMTTLSGETEALQQLLEHFEQQGIFTRFIKVDVPY HSRFMDPLRDELVDSLSSISGGEANISLYSTVTASIEPGTHLTAEYWFENIRQPVKYV ETAGKILKDGYGFLIEIGPHPVLVSETRRTADVLKMPANILPSMFRDSDTVPFSHLIG AAHAMGVPVDLESFAGGCGKLIDLPLYPFQRQRYWFEHPDAHRVRRAKDQHPFHKSYT RLSDDGLGVLHLRLSTGVSPFLADHVLDGAAVFPATGQAEAVFLAATKLLLPQSATSI RLQDMRFEHALVMAAADDFPPQVQLEITSAAHDFILSFRAADSSPADAWQVICRGRID TSDSFSRPSLEMLDTVQSRIQTGEEVDIKTFYSTLERAGLRYGDAFRGVQKIWRLGND IFGLVTLPKLLHQEASRFRIHPALLDACLHTIYVEQHYRGNSAEVYLPYGIEHIEIFD ARGATAAAVHVQITCHDEISICGRINVYDAYGEPLAIMTGVRAKRVPGRSVPSVSQYD ISFLPEPDNQIVKMDFENVLVLEPLDQALDCTPVIQGAFPHARVQILQRPSALAPPKM PVNLDFPMDGRSLVLIPTIRNCHSVGSAADLQLSLESTVSTLVDMANRLHSRQGIPWV IVISQGACVTPTDSQCDPFSSALQAAVRVMANELPWVRIRLVDLPLGQVHDYLPLLGD EIVRARLGRDENVVALRSAGRFVKRVVPIETENKQNVMMMPARGGEYFAERDRGGSLD AIHFRQRNPGEAVCLGPDDVSIEVHAAGLNFKDAINSIGMLSERATVGSLSGLRLGLE VSGVVRDIGDNVQKVKVGSPVTARVCDGIAGHVVAHQSRVNPKPTPLDMAEAAAIPGA FVTAHYALVHLARLTRGETVLIHAAAGGVGMAAIQVAHLVGAQVYATAGSPHRQAVVA KLPGVQAVFSSRSASFRNGVKAATHGRGVDVVLNSLSGELLAESVACLAPFGRFVEIG KTDIYRNMRLGLEQFGQNCSFFVVDVDRLTKQKPALNSQIQDEVATLLETKQLKSFPI VKFPVIELKTALTALLRSEIIGKAVVEMPDLTEVPVVPCDRLHLRGDRAYLVTGGTSG LGLHLAAFLVDRGARHLVLVSRSGPKSPDDQATIDGLHRRGVNAFEPKAMGAWHLHEA TKNMPLDFFVLISSIATLVGFPGQLSYTAANQFLNGLAHSRRLSGLPALALNYGAMGN FAGIFKNSTQDADTIIQLNMTRGVFQISLPDVLSTLERAMLEDATERMPADIDWRMFI ASAPHLYLDATFMGLLQDSANTRTPESFGSGTGPRTAPEIADVLHAGLAKILGVEEGR ISRAAGLERYAPDSLTLAQVRGMIQRDIRVSYPIVKLFNNPTIEDIAQELERSLNLDP AEAQSSTVENGHSHTQQDNHSVALTVISPWFIRGCDDAIVKSQPRLLCIHPMAADASF FTPYLVDPPRGLDAIAVQIPGRDNRADEPIPSSVSEIVSGLLAELDSVIGATHIIWGH SFGGIVAFEVIREMRRRGVHPLPQLLLTGTIAPHLMSSWQSAISLQWAFAEDINPDYA LAVSRYTEDPHFFRNILPLFKRDSPWFSNYVFVEEEPLNVPITAFAARQDDMVYPDQV AGWDVQTDKFEFVEVDGDHWFIHKNRQVIRGALERMASSPREESRAVEVVCPSDADKV KGEVAEAANVVEARVVVMGAVEVVVEV VE01_05305 MAGETMVQGQFTHNNTEAPQYTDRVLPLFSLKGKTAIVSGAGAG IGLAVAHALAEAGANVAIWYNSNKKAIQEAEKIEKTFGVKCKAYQVNITTYEAVEAAV NQAVSDFGGRLDVFIANSGIPWTQGAMLDGEISHYNKVVTTDLDGTFYSARAAGKHWR RQKAEGTTTDGKKLENFTYGSFVATASMSGHIVNIPQLQGAYNAAKAGVIHLCKSLAV EWVGFARANSVSPGYMATEISDFVPAETKAIWKNKIPMGREGEAHELKGAYLYLASDA ASYTTGTDIIVDGGYCLP VE01_05306 MAATTSKAGDPCFSTSQPLALWQDGPLHVTAGSESLDTQLIRNL QEKHLLDDSQVAFLSVAVPTVPRLEHVFSQPSQVLVDREPAIDGQSGGREDTRTSDIV DFGSLLETQARRASTAGNEDGQDETSLNRDRPNKEELHRKKGEPVSITTDKDKALNRR DDKHQPRRAESHRSGEKSNDSKQSPRTYTQRSATSTTKHGKSLNRPTKHSMDDWQPSD ANLGEPDGSPTQKNNSLAYGDMHMPSSSPVPGHDDELPLQTNLDPTASARSYDENEAG GVRFSFTTSKVHAPINRESSIGIDEGFTVHEAPMQLEPETPAPPENPFAKSKPPLQGT QLFNNTQSSPVDPHVLESGSSRPSPGMFGFGVQSSRNRVLSSVTDRFQQASYHPPGDL SSPLLHHAKSSATNRSRDNEAAGHGHRLLDFTSPLYHHGSKTPASVSYRGHIPVTHET PVQRTSKSFQHRAISFKGSEPHEYVSREESQQRRLQKQAQAEADSDSEEDSFEREQAI RAKQRKMREDAAKELAQVSVTRAPKEEEEEEEEVEVPATGQKRRSVSQEYVAQCYGAD ARDTQTSTQIRVSIKTDENDQGDTQADTQAEMTIVDSQIPIAAPVDSPSPSDRSSRLE KGPIPSNGGFNLTNQSNTPSTDPKPQENEVLPPLPALGNNSDTESAHRESSMSPKTRV PRTSSGRFGKSGLPQTPGRLSQVVAMPASTVEDMVPETSPAKSDYKLFDMDDSPEPSL VSIGGLGFTQDDPEYDAIASPIRDLAMKRGVKVGLAKAVKSNMAASKNVAISKKSRVV FSGESAMSSSLPLIPSIKPNLEAPSQKVPSSADNLQSADVDAADSSPVRPAARRAARK ARQSSGVMFDTKQVPRESQGSEDDVVMVTAQKLVSPIRQSIETGGNALTDETELLPCP FYSADKQCNMKDHQAYYHDEEIRQMYLRKHRPTAPITSSGIASSTGSKDSVSLPSTQH SGMAGEISSHLPTAANLKSSENISTHATPNGSNLRRSTSSSLSELPSEYDNDEFFTAV METSNTRGREGSSKSTDTSADTSGNGTPARESAESMPPPTVRRKLSFPQGPLTASQTK SRNEVLHSSKAVEAAGKAKLGAKSSRSNSRASRSTISTTDLSEDDSSIVVERTPARPQ RPARSTRRASINYDTESDSSKSSSPDILTKGPPTVPKATHLQKVTNPTLFANMAFAIS YKGDTAQDISDRTAVTRLIKSHGGRLLDGFNDLFTDGPTSLLTTPSTATKQPLPPLKH KQKQIGELALAPGAQDLGFVAFIGDHYTRKSKFVQALALGLPCLSGRWVTTCVARGRI VPFLPFLLAAGESSYLGGAVRSRLLAPYDPSTARFEETFARREKLLGGKRVIFLMGRG KAEERKKAYLFLTRALGAGEVRRVASVKEAREVVEKGGGWDLVYVDDDRKVAGAEAEI LGVVEATAKAKGKAKGKAGKRKRGEEVEDEKAGSEQGGERKKVRVVGDEFVVQSLILG CLMDEE VE01_05307 MKVALSWVLAAAAAVSATTSIEYRTTSNGDPLAKCPGYKASNVK VGKSSITADLTLAGKACNVYGDDLKSLTLEVEYQSDDRIHVKIQDAANSVYQVPESVL PRPKAKAGIKSAKSNIQFKYKSNPFSFSIVRTKTGEVLFDSSAASIVFESQYLRLRTK LPNNPNLYGLGEHSDSFRLNTTNYIRTLWSQDAYGIPAGANLYGNHPVYYEHRTTGSH GVFFLNSNGMDIKINNDKGKNQYLEYNTLGGVLDFYFIAGPTPVAVAQQYAEVVGLPA MMPYWGLGYHNCRYGYQDAFEVAEVIHNYSVAAIPLETMWTDIDYMDRRRVFSLDPER FPLKKMQAINDYLHARDQKQIVMVDPAVAYQDYPPYHSGVADDIFLKRDNGSDWLGVV WPGVSVFPDWFHTGVQDWWNNEFASFFAVDGVNIDGLWIDMNEPSNFPCNFPCDNPFA AAVGFPPEAPAVRLPPRALPGFPCDFQPEGTPCTASKERRAIEAPVVSSSKVERQAAG QQLGLPGRDLLYPKYAIHNVAAYTVEDNAAGGGISNHTVNTDVIHANGLAMYDTHNLY GSMMSVASREAMQFRRPTERPLIITRSTFAGAGTKVGKWLGDNVSSWLGYRITIRGML AFASVYQVPMVGSDVCGFADDTNEKLCARWAMLGAFAPFYRNHNGYIPQIPQEFYRWD SVAEAARKAIDIRYRLLDYIYTALHRQTLDGTPLVSPLFYLYPNDANTFGIETQYFFG PGILVSPVIEEDSTSVSAYLPKDIFYDFYTHAKVQGQGKRVLIENLSTSDIPLHYRGG AIIAQRVESAMTTTALRKNDFELIVAIGANGKAEGELYLDDGISLVQKGTTSLKFVYD GKTLKVKGSYGYASKVQIRQVTFLGLNLGGGGGKGKGCKVNGVASKTAVTATSGAVVV QVGKGLSADFTVEVDQ VE01_05308 MNTAAPFWVSIALLVPRALAAPADSSATAAAPSCTASLITSLCD YPSAGPEFSVAISSRASCWEYCNDHPPCNFAIFSAGNPYTGSGACLLYPGENFDASKG STDCGNPSLSVYDKPVCAGGSATTTAGACAATASPSAVASVCGYPTPPDNCQSSCYAS SGSAHCLSICAKADSCNYAIFKAMSESKSPYSAGNCWIYTEGKFNPGLATACTGSPDQ FVYNNLDNVCPKPSPTSSSLASSATAHSSGTETASATTGSHGSGGSGGTTGDTTGSAD GAATSSTGTETTGNTGSSTNSSGTNSNGTGTKSNGTETAAAPATTTTNSAAAGLSLTN PLAIGAAVLMWMAL VE01_05309 MHPKALLALALSLPLAATALKASFTEYGAGDSMGSPNCATSINA CGEPGGGYTAALSQSQFGAGPGDGAGPACGTCYKLTVTTDLSGQAVTENSVTVRVNNL CPTDGNPICSVPNQYGAEIHFDLCRDSGATANFFTSSQAGIGTAEQVSC VE01_05310 MRLTSFLSCLLVQSLAVNVVSSKQWPLHDDGLNKVVEWDHYSFK INGERLFIWSGEMHYWRAPVPEMWIDILQKVKAAGFNTISFYGNWGYHNAKNGSLDFE NGAHNFERLFEIAKEVGLYVLFRPGPYVNAEATAGGFPGWVTTGAYGELRNNDTRYTD AWTPYMDRMSQIIAKHQVTNGGNVFIYQIENEFGNQWLDRVKKIPDLVAIDYMELMES SARRNGIDVPLIHNNPNMNTKSWSKDYSNEGGNVDIYSADHYPSCWSCDLTECTGTNG NVPDFTTFEYYTSFQDVAPTQPSFLAEFQGGSYLPWGGPEGGCVNNTGPDWVNVFYRH NVAQKITAVNVYMAFGGTTGIPFPGVGTSYDYSAPISETRFVGDKYSETKLFAQFLRV ARDLTKVDRVNNGTTYASNSAIMTTELRNPDTNAAFYVTAHAFSPSTDRSPFKLDVST SAGRLTIPQNGGNIVLNGRESKIIVTDFSVGREKLIYSTAEVLTVSIQDGKPVVFLWL PAGESGEFLLDCIRIPLVLKKDGCSNIKFKQGRKGFVTSYTQSKGSCVLQFETGIRFV LLDRSAAYDTWVPSLSNNPFTYENTTVVVQGPYLVRNVAIEKKTIDISGDWSEKTDLE VFAPKAVNSITFNGKKVQTRKTLYGSLIGTLKASTHSVDSVKSSLPALTSWKVNDGLP ERKAAYDDSKWTDADHTTTQNPTAPATYPVLYSDEYGFHHGNLLWRGRFSGAATGVYL DVIGGAFSGWSAYLNDKYIGSWVGNKDSNGALELSFANATLNTDSENVLFVIQDHMGK DLRGGATIARGIYNATLVGGGKFSSWKLTGTAGGEDNIDPVRGVYAEGGLHAERLGWH LPGFNDAAWKKGTPDTGLSTDGANFYRTVVPLDIPKGIDVSLGLKLSSPTGLHLRAQV YVNVFPGILDYHGDNTIAVSLWAQDAAGASLTVDWTVLGVVESAFDPGFEADYLRPGW TDNRSDYY VE01_05311 MNLKGYAGGDPPYTPSDGSLMWDDAVFPPDSEEICVLRKLRMLT VNAEELNPDEVNSLTPYRAKLDFFLSKANKAISLTLYTNSVFVAAPPWNGTHKIDAQY TGLYNRTIYDVSQLDEVEIKAGEITVINAMGTGSCKSVAFGEWDECRGFGQG VE01_05312 MEVPNAADHAGGVSLLFTTAPEIFSDIQSAAQFSEIDEVQRVKT EVEKVRLIIWGQSVGLDGSPEKRDDALNVALGGEYLRTAVSGLLLNCFIKIFEDSEKL KDRYGLVQRVNQGPGSSHYLLVGFTFWRTYKKYGGHTGRHTHLGLGRGHGTPWINVPL DTDVRPPPSMPIAEKDSPSWKSSASPRKLRHGFQPSLITAQSVHY VE01_05313 MVTTVPNKILMLHGSKDLRLETRSIQSPLPNEVQVAVHSTGICG SDLHYYSDFRNGAFAVLAPLCLGHESAGTVTVIGSSVTSLSVGDRVALEVGMACGTCE LCRGGRYNICEEMLFKSSAKTYPHADGTLAELINHPADLCHRIPENVTFDQAALIEPL SVSIHGVNRSQAPAGGKTLIFGAGAIGLLTAAVLRAHDMSEVVVADIDSARLTIAKDL DLATKTFLIPQAPMKTEIDEVLKDAQDLAAKIAEVAGVRGFDRVYECTGVPSCVQTGI FASKPGGKIVLIGMGRAVQTLPLGAAALREVDIIGVFRYANTYPTAITLISSGKLPRI HKLVTHKRSLDKAEEAFILAKNGKDEEGNVVVKVVIEA VE01_05314 MSYLEGLFGLEGQKALVTGGTRGIGQTMALALARAGADILLVQR DTTNKATHDQIIALGRKCTIYTADLASQESVAGILPKILADDHKITILLNCAGIQRRH PSEKFPSNDWDEVLQVNLTTVFTLCRDVGAHMLSNEPDSANRRGSIINIASLLSFQGG LTVPAYAASKGGITQLTKALSNEWASKGIAVNAIAPGYIATEMNTALIDDENRAASIL ARIPAGRWGKPEDFEGAVLFLASRASMYATFASITAIPTSGARTLFLEDSHL VE01_05315 MDLPEHFLASDAYGMESNAYSEARIEADAEYEDQKPSQKPLPQP KSYLGQTPSTSGYNNPQQQQQRQQQHGINESLHSPSSNMAAAIEDTFASTNLHNTSEA LNFLSQAAENAAAAQMSEDSAAQNHQRVPYQDYTFQSDGGGNIGHELPRTQHTASMNL IPYHLVTMQLLSQDQIIDLVGRFATLYHPYLPITPKRSLNTAFLADTAQHEPHLLTAM ITIAAKDLPGDTKIFEICSKYMNQLVAELSVGKKCDVEAVEALLLLAEWEPQSALSDV KEVGCGEEDLAAWMHVGLALRIGYYLRLDRTSFKNDDEERMVHYNRRRLAWAACYISD RQISVRIGRAFWSRGPGPVSGPGRHPTLQPESATDEDHASIFQAMLELIQLFGNVHDV LYSVH VE01_05316 MATPSALLIGEITHVKNEWESLAPAIDLKEYPEGSREEFLENCK TGRYDSVKAIYRSNVSTSITGPFDEELVAALPPSIKYICHNGAGYDNIDIPACTKKGI SVSSTPQAVDNATADVATWLMIGALRRISIPYFAIQNNQWRGAAGLGYDPQQKVLGIL GMGGIGRALARRARAFDMKIIYHNRKPLSPTLAGDATYVSFDELLSQSDVISLNLSLN ASTRHIISSNEFEKMKDGVVIVNTARGPLIDEAALVAALESGKVFSAGLDVFEEEPKV HAGLLNNDKVVILPHMGTSTFETQANMERLVLTNLKKAINEDKLVTQVSEQVDPKCNI VE01_05317 MSTINTTKGEKHEADAPLQIEDTSLSGTEEEGYGLSGFRGIFAS RYVALCAAFAAIGGMLFGYDQGVVSIILVMPQFLERFPEVSEAAAGAGFYKGLMTGMI ELGALIGALNQGWIADKISRKYSIAVASVIFIIGSVIQTASMNYEMLVVARFIGGVGI GMMSMVVPLYISEISPPEIRGTLLVFEELSIVAGIVISFWITYGTREILSEWSWRLPF LIQIIPSIILGAGIFLLPFSPRWLASKGRDDEALVSLAKLRQLPISDNRVRREWMDII AESRFHKEVMAERHPNLMENTKMNKLKLEIVSWTDCLKPGCWRRTHVGAGLMFFQQFV GINALIYYSPTLFGTMGLDYEMQLIMSGVLNITQLFGVVSSLWTMDRFGRRTLLLYGS MCMFVSHLIIAILVGKFSDDWPSHKPEGWTSVAFLLFYMISFGASWGPVPWAMPAEIF PSSLRAKGVAISTCSNWLNNFIIGLVTPPLVQNTGYGAYVFFAVFCLLSFFWTFFFVP ETSRKTLEQMDEVFHDRVNADDVARKNRVYAEVVDNKCEAET VE01_05318 MAPPRLRVGCAGLGRMGKRHARHFLERTARAELVAVSTPDETEF QWARQHLEPYGVTIYKDYDQMLKHEDLEAVVVASVTTVHAEQAIKAINANKHVLCEKP LSTSVEVSQTVVDAARKRPHLKVMCAFSRRFDDSYRDAFNKMDAGLIGRPSIFRSQTC DMLDPSGFFVAYAEFSGGIFVDCSIHDIDLALWFFGQDSMVKSVVSSGITAVQPALQK HKDCDNAVGIVEFYGGKIAYIYCSRMMAAGQEDSTEIIGTEGKVTVNTQPMSNLVNIY EPGGIRREIPQNYYGRFEQAFVNEANEFTAACLDDLKLPMKLTGAVQAVKIGCALQEA MVTGNKIWFDETGVRIERPQL VE01_05319 MTDRSINASEVLKHNTSESCWVTLYGKVYDVTNFVDEHPGGRQS IMRLAGRDATEDFDLVHPKGTLEQNLPAEAYLGSVFLDTQEATTVAESNSSDSASEMV PLDQLLSIADLEKQASKQLKDKAWSYYYSASDDEISKRLNSESYKAILMRPRVFCDVE TVSTEVKLLNTPFKLPIFIAPAAMGRLAHESGECGISDACGAHGVLQIISNNASYSLE NIVENSAPGQVYGFQLYVQSDSRKSEDMIRRVNKLRHKIKFIVLTVDAPTPGKREQDE RIKNKDYPSGHGAKPTKAPEAPAGGIGKALFAGTSGSLVWGKTLGWLRAHTDLPIVIK GIQTHEDACMAVRYAPQVQGIILSNHGGRACDTAPPALHTLLEIRKYCPEVLEKIEVW IDGGVQRGTDVVKALALGARGVGLGRAPLYGLSVGGAAGVSRMITILREEIETALRLL GVNSIAELSPKHVNTRALEALIYAGGANSDFTSKL VE01_05320 MTDTLFKLNTGASIPALGLGTWQSAPGDVKKSVAHALGVGYKHI DCAYCYGNEDEVGEGLAEAFKSGQVKREDIFVTTKLWCTYHTRVEENLDKSLKSLGLD YVDLYLMHWPCPMNPNGNHEKFPRLADGSRDLDKSWSHVETYKTMEKLLKTGKVKAIG VANYSVKYLEELLPQVSVVPAVNQIENHPSLPQQEIVDFCKEKGIHVTAYSPFGSTGG PMFSAESVLEVAKRRDVSVGSVLLSYHIARGSSVLAKSVTPSRIEENKNVIQLDATDM AILDKYSNELQANGKLKRYVYPDFGVDFGFPDKS VE01_05321 MAAIMRAPDSTSSESWNSKFQALEDTSDNIDAVNAMKAASKFDA EKDKTQFRKFEDACDRVKNFYREQHLKQTVEYNRRAREEMKSKTRAKMTIWEAMETLN TLIDNSDPDTSLSQIEHLMQTAEAMRKDGKPRWMQLTGLVHDLGKLLFFFGAQGQWDV VGDTFPVGCAYSEKIIYPDTFVDNPDYGHEVYSTKYGIYEPRCGLDNVMLSWGHDEYF YLIAKDQSTLPDEALAMIRYHSFYPWHSGGAYMELMNEKDEKMLEAVRAFNPYDLYSK SDDVPSIEELKPYYMELIDEFFPARIINW VE01_05322 MSIQASRSDTTNDDIDALDPYQSQDFTKFQSLQAPTFVYESPRN ETTLLQRTTSLPPISLPSLPPLRPNSTLNIAKSQRLADSAAAAVPSASSGLLKPEIWK KTFFWPNDFDVCDPSRHFALDVPQRARRCPPLLNAIFTASARHLAQAPRFKNQAGVVE YQGIPLYNLTSETAIQYHNAVLAYLIELSKDAEHVRDKDLLAAAVILQYYEEHQTSVT SEESETFLRTFQIFMNGQVDAVSSPSRKTFSQETQTSTPFHIESAINGYTVDVTPLQH ASFRVALRQEITSAFMKQRPIRLHTDAWSWPRSFAEADDTVWANRLIVFCADVLQFCF GGDISSSNGKVQRWNELKDFEDSWESHKPLSFSSIHYKEPNHHNGEIFPQIWYLADTH VSGLLYLDLARILLIAYDPTVPRLGPGVAAALRGISAKIREIVLRICGVALSNNPSES SLLIAQMAIAVCGEHVTDRDQQIGMLDLLHKLEVEYARPTGAIVRDLERAWSCDS VE01_05323 MSIPMQVSIPLVLPLKCQVLYDIVSNTRWNPGEKYTKNRTPTDF NFIDSQSERFGATLQFGRILRLLYEHPDWSQIHAFCSKRYSKREMFSNRSARVLKPSK EIGLPEDFIEQLKQATLKSVGHMMGPDPFNCSIGPAVSVGIGAASYMDLIYGGNRPGT HVARPPGRGIAASKQGGRGRNSEEWKALWVVIADWVYEMEAASILKFAGSNSYKRAPT AAEQREMSPWVKMPRKFLQTDAIRGADAFRDVFDQFIDTPTKFHGIEWDFMELEATKY VNAVILARFRPKPAIARMADDMLRRVSFGSHDTLSYKAVSPTQLRPCPQTCNGIDWER WILSIEGGCIVRVDTIFQALLAVMLLTYLPLHIKILDKGETYPKFPDSDWVYL VE01_05324 MNSIAQLATRSARLNGGQASSIARRAFTNGPATSVSRFQTATRN NGLLMSRAMLRNTASNVTRGQSRGIVTETITIGAAVLSAGKFIGAGAAAAGLIGAGTG IGTVFGALISGVARNPALRGQLFSYAILGFAFAEATGLFALMVSFLILFAM VE01_05325 MHLGSFRRRARLRRTWLRLAILIAVVALYVDYVRLVVVNYPLSR VSPSAASKDGSGSKKERFFIASMHWNNEQIIRSHWSSAVLDLVKHFGAENVYISVAES GSWDNTKGALRDLDAELGKLGVERNIELKDITHKDEIERTPGPDEAGWIQTSRGKKEL RRIPYLAEIRNNVMAKLQDLAEGKDGREPREFDKVIWLNDVVFTTEDVTTLIATRDGD YAAACSMDFSKPPQFYDTFALRDVSGEEAATQTWPFFLARASRNAMITNTPVPVKSCW NGIVIFQAEPFYGNKALSFRGIPDSLALRHLEGSECCLIHADNRLTRLHGVWLNPNVR VSYNPESDKIVRAETGLWPTKKEKFVGIWMNRFARLVGIIPRYIQEYRVGKRMKLWGY ETRDEAHGEIPGAWSHCLINEMQVLVQNGWKHL VE01_05326 MTPKWGYTVMDKDDLVWEKLEDDLEKWELSVNKAVIYRGVAALI LKYRPGEAVELHMPIKGGYNAFYRLEHCQVPRREIRYEVATMKYVAANTTIPVPKIYG WGTAEENPTGLGPFMIIEYIEHSRTLSDALKDPTLGPKEEPVLDPNIDEQKLSFLYGQ MANILLQLSTLTFPQISSLDQDSNGNISVSGHPITMNMNNLIEHTNIPPSILPIHPHN YASTTAWYSALADMHLTQLTFQHNDAIADDDDARDKYIARQLFRRLAHEGRLSPPPPS TDATDPSSEFRLFSEDLRPSNVLVDADDRIVSVIDWEFAYVAPAQYSRDPPWWLLLKM GDYWPGGHEAFVEAYGVRLETFLRVLEVEEGKMREADEAKKREGGETKTDDEDVVNGI ASLSLSTTPLSQQMCQSYTSNTWLTNLATRDSWTFDFLFWRYLDEQFFGDEKENEEQD YHARLDLLTEREREAMEEFVLAKVRDSEERVVVEWGEEEAKARLAEVMV VE01_05327 MSTLTTTTSQTPMLPALPPRSSLRASRLFEFTDLTSLTALSPPP RPAPTTTQLSPASTPELSPSNPYETYLSSASSEEDEDESDGSTSDSDAESEPAFIAEA VARAPIKTAHPEPSSEGPQTHSRHSSQPDVARAIELVAVGPATLILIPQPRPLSAPRR ASTTSALTSRSSFLPPISTTSPPSARRPTPLRLSTAPAPRFPSLFPSVSSPSLPFLET DPFSASSPGMTASPAPLSPALSTTSTSRDGLKQRLGDVRRGLVKGAEAAAAAAAKGRR RPSMGRLGFSGWKADEERERERERGGSVTPVSALQQEEMAASIRGRRGSDTASIRSTR SVRRADTPPQLTPLSQRASTLPQPQAYTRPISQRPPSQLGASHRSSSQLSLRPASQLG LGLQQQAGVRYEDIMRAAKEKARTTAPDYAPPPPPPPQEEEKQVPKLGRRKSGVFGAM GMGIGGRRKSIRL VE01_05328 MPGIFIWVSLWKYGYLIDFQPYSCVLHNIPTVAQVIKWALNTFS FVQWVVSAYVLQRTQYATSDYPTYDCLASQIPTAPGTSTCSASQICSRDLLFHSHSMG LSSDQNKNPEPGIYIIFICLSAVFLLRIMVYLFIWLGLPVCGPGSLKEYRELARKKDV GYQLSICGLSFLGIALMVFTAYFAINAVQRGRETSVAIDWDCKAVHVTLSPWRFYFDV WYQLPVRVVQMWFNV VE01_05329 MGIISVSDGTSDPIVTHLAAEDKVPWYKKPNLRTMYFFLFCCCM GIEITSGFDSQLINTLQISPAFLKYFGDGYTNKDGELAIKPSMLGFISSCYQLGSVFG VPVAPYFNQRFGRRWSIMAGSLIMCAGALLQCFAQHVAMYIVARMILGFGIVLCIIAG SALIGELGHPKERSILTSLFNSSYFIGSIVASAITLRTVDIPNDWSWRVPSILQICPS VIQISLIYFLPESPRWLISKDRDDEAFAILVKYHAEGDADSILARAEMAQIKSTIAIE LDHSKQSWRDMIRTPGMRRRVLIASMMGLFTQMSGNTLLSYYSSLLFGMMGYTSTFAK TRINLANNCWSLLTATITALIITRFKRRYMFMLSAGLMCTVFIAITVCFEKLGEAKAA GVKNGAAGIAALVFYFSYQPCYNIGNNALTYTYLVELFPYAERSRGIGVEQLFGKLGG FFSSNVNPIALDAISWKFLAIYCGWICFELIFVYLFYPETQGRTLEELAFLFEDKALA DQAVEAVEKQIHFGGKEEDDTRRESVAHVEA VE01_05330 MASQTSMSAFEEWFEKAASPGPEREIPSSVVIVVDQDGIIYTKS VGTQSESPTSPLVSRPISSDTVMWVASCTKLLTSISLLQLIECGLLSLDAPVASLLPE LSDPSVFTGFNAASALEFTKPEKEITVRMMMSHQSGVGYDIVHPYITKLRNLKSAAGE VVPDNLSWESQIQPLMAQPGTSWIYGANFELLSRLVERISGAPSLGAYMKEHIFGPLG ITQATFHLASSPDMQDQIMDMSTRDVDGKVVPSALENWWKDRTFDSGGAGLYITPGEY AKVLCAILRNDGALLKPATMELLFEPQLGPEVQQTFESTLYDNGGAPVFSSGLPRGAR TTQALGGTVCREDVYGGVNGGEGKRRNKGSLNWGGLPNMLWMVDREKGVGMVWGTQLM PTGDVRVLDGWRRVEEALYASNLREAREG VE01_05331 MQIKNFLLPVAMLASTIAAEGINCHGSSNCDTAPDNGLSLSTLV DIVNGIDDNRWYKDGEQIACEWADFFSGALCVFWQGSNGNTGRETKSYIHALADHGCK RCGSVPYGFPGSNDIRNGMLTVNWATHDCLGNGGTGACP VE01_05332 MSASPIDQILGAVQRPTIDRPFGVHLWPIFDKVFTAIKGYHPQD FDFQPHVTPMSTMKETAALIFTYYVVIFGGRELMRNRPAFKLNVPFMVHNFYLTAISG ILLALFTEELIPTLYNKGLFFAICDVKGGWTNHLVILYYLNYLTKYLELIDTVFLVLK KKPLTFLHCYHHGATALLCYTQLIGLTSVSWVPITLNLMVHVVMYWYYFQSARGIRIW WKEWITRLQIIQFVIDLVFVYFASYTYFTSTYFKWMPNAGQCAGEEFAAFAGMGIISS YLLLFISFYIATYKKDGKRPTGRKAARSLKDAELPDIAAITQGKIQPAKKANGNAAAA TPGRVTRSRKA VE01_05333 MASRPTVTILSADGTASGATHPLPRVFTSPIRPDIVQTVHTGMA KNKRQPYSVAEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKVWRKWHQKINLGQKRFATASALAASSVPSLLLARGHQISTVPEVPLVINSEVFAN ASISRTAAAVALLSSVGAGADVSKVKASRKLRAGKGKLRGRRHRQRRGPLVVYNPLED GTELSLAFRNIAGVETSSVFALNLLQLAPGGHLGRFVIWTSSAFKALDTVYGSTTAPS ALKKDFLLPSAIVTQADIGRLINSSEIQTVVRAAKGEARTKRTAVQKKNPLRNKQVLL RLNPYAAGYSKDKIGTKALEAGKPVRAAGKPFAQILKED VE01_05334 MSSKPTSDSEAVILQPRRRGRPPRNPSTAPPKSPITSQQNHLPK GKNRPRGRPRNNSVLSPTPDYTPSPQRPRRKVKRKRETPQKKYDENQLFDLRDILDES ETHYLIDWADDKVTGETYDPTWEPKSFVEQDAIVAWEEKKAQVKAGNNPIQQPQSQDA AEVLNLVSREDPGGETPLVPDSTQESEPVREAKRKRTQIIVVNSPASTQESPDSQPIK PAKRSRLTTVAQELHNANNRRQASSDSLPPEGNVVIPIEGSTPTRQVREEGPQTVPES QSQGHIREVGDTTRISQLVWDQSATPNEQVLFAEDIQAIDFAISRSSQTSNNVPYSAE KSSLTTKPSNHPTSQPISDHLPEIDDSFDTIAETPQPHNRSFEVPLAPLEEFNRDKYI GISQISSFTSQAPPTERSLHRSQSAGDKLQTVQEDNGDRVIPDSQEAAGANSADFRRS IRSRTWPGSLERGNSTVHSPPRHDQAKTSPNGNNLIQNDISGIEQGSTIVHGINSEAQ ENTTTYGETISDVNAPTANNIPTEDSWLTTKIVPSQVPNPQSQNSSFHEATPVSNGGF LPDAEITAAEPSPSQNSPPSTPKPNLSRLFLPQVSSSARTSEDRFQTQLPFSTDTSNI DSQDLSFYNRSSGVHRGHSLLPISTDCSDNELDRPVTASPLERDVASSNQSWQAAQIV QDTEILSQTSLESTKVFKSTEVESSHRSRDCPEEISLTPNNLHYRFSPRSRNKEDSNR KRIASSEELPESIIMSVERQDESELVQRPSLPSTSASPAPVSRGGSSVQDRPPAVFNS STNVTEFLQQARRDAIAAVRARTASANLLRSSEPIQANEPSQPPSIVRQELPVRETIS GLDEGSMAAPDLARIESPDEEEVSSPQLPLRPFGSSDHIVLIPMVSTVRDMYSSQVPK YRREIELLRKGEQLDLLATAKIDLMIENLKLLGDHKELFSEEGFSQSGTSKEAISKWA LTCSPKCYFLERLFESVRDSSSHVAVLARPGKMMDILEAILQTHNFNYYRPDKQSRSD MSARGTLTVTLLPTGFRGGQFVVNPADAVIAFDSTFFRGERYSKALRAHTYDPTKQFP LIMLVVEDSAEHFELCLPESLDPMERRGYLVHFICQQRKEVGLNTKIRPEDAAVSVGN YLKASSSNHNGVDGPLEWPLPPNRYISNLDVPDSLLPQQSGSTTQSRDVQTSGPASLQ NMTKRPRDDLLDDDLSKRMRMTPVPLEVALSGQVSHITDSLGFQTQFNASSLPSLTNS AEQAIAATATLDQERSDQEQSDQTTQLLARIADLENQLEAKTATESRIHELEAQLHEN DKDNARLRQSNATLELKLNEHIALVNKFVSQSRDSGNQRRIAEAATTAAESRASTLHT KLEARSTQNIAMLAKIGDLEAELTTARAALASSAVPEQREFEALREKAAEAQAEREKL EKRAVSAAKDFEFTREQYQRASNQAAELASEVAGLQAEKVVLERRASENIVRVREIQA KSEVAQLKDRIDELEAEAELLKADLGRKNEELKARGVRGLRGASVPRSPKVAGMGIAG SRGSSVAPGEGNGFPPRWVGMMG VE01_05335 MSTSSVLRALPRAIGRTAGFRAARPSTVLRVSYAPAFSTARVLK ADNADGHGHEESFEEFTARYEKEFDTVQDVFELQRNLNNAFAYDLVPSPSVLVAALKA ARRVNDYPTAVRVFEGIKAKVENKNQYEQYLEELRPIREELGVELKEDLFPEESK VE01_05336 MDGHADATEQVAIAKLDPTLQNLQSCYFKAVVTLIWPYSSSNKT LSVLLAEPDFRLRQSRGQVKVRFAGSCAKHVFDAGIGSGDELVVSLNGAEWIPESASA AQMAGRGIEWELKFAERLVLQIRKCESGNIALLNLDNPPEEPEPDRVAEIETPLENPS PPGSPIPTARHDQLLAEETGEWSSPAFIKRARLSYGSFIGKDFEAFIEDDGSIPGKGR KRSRFGRKSGDWRYISRSPSPEEEVEVGEPAVQEPVPEKVKPTMTDEGCQTDGLEYSE EMQEFFRQSQAAGREARPPVPTALQDTRMEFAEDQGRPPIPPFDAFPAGQAQPASQSM EPNGVTAQWPESIFRDMGFSNGSVPDMNLRPSPPFEQPRSHSPQAPPTAPMFGNYQED ERNGLFQAPYPPDQPILLHHGLPLETDDLYNEHPNEALEEARDSTASQFAQAAQSFYP ELPDVSMETPAQPAWESLTHVPGENAPSTFEVPNAASREASAPRYSQPSTPKYRLDEL GHVVVTNEGEEDEEESEEESDEGDEELDHRQIGSDEEIERDESGEEIEIRPRQDPEQY YRQQQFPGEQGGVAAEQRYSNEEGESELDEEAHPSEEQEFSDDDEGSEMDSAEEALYA EEGEEFEEGDHFDEDGEGRYEDEEMSEEDLGPVHQQHQQPAAKSEPVFIDLLSSDDEE EQPKPSPKPPLVHKDSSFADVEDNVQDNVMEDEESGEDAEADFEEESEEEEMAIGHPG DEESEESEESEGSEGPELEGEEPSATEVWQPGTPQATEMQNEIEMQDVPAVTLPPNPD NANLSMVEEVGGYEDEDDTMEGGLVEQRFMDGANDVPTESRATKEKTPTSPPAEEIRV EAAEEEVTEDMTAQQQPVEMELDQEAQEPEVANVRASLDEQLQAKQLEDEQLEDENLQ EEQLEEEQLEEGLGQKNASPADVREGASLAEVTYPSLPRDESSAIETQKTLPDEPQQE EDADDDIELINAQLMTPDATQVSSTIPQDASFTTSTEKQPQNDATTDNVVTDEVVQNS VEATEPIKEKLVEVTKRVTRSAKATTETLQPPATPTTDKKMSLRSATSPVSTRTDRSP SLVTGAPSTRKDRDTHPASKALSPSEKSQPSPQTPKHNLRSKPSTSPTGTRITRSQDR AEAPATPKVAAASLPTATKDVIPPSRQLPTTPQKPPTQPLSETALRASLTKALRTNLS DFTPLKVLRHNLGKRLDVLAIATTAPPEAQRAKHGPRHYHLRFNITDPSIAPTGVVEI QVFRPYKEALPDVEVGDGVLLRNFSVKAEKDKGFALRSEDSSSWAVFKRERVTECRGP PVEIGEGEEEYVARLKEWWGSRGEEFLARLGRVGESPSSAKGKSKGRA VE01_05338 MKPTALLLLGLLAAATKTATSLANSTSLASCLSDAIVPFITPSS DDWPLLSTSFNTRLPYTPLTIVIPRSTSHIIGAVRCGVAAGVKVTPKAGGHSYAALGL GGEDGHMVVEMEHMTAVSLDPETGIATVQPGARLGDIAIEIFRKGARAFSHGTCLGVG IAGHALHGGYGMSSREHGLALDWVDGLTVVLANGTAVDCSASEHPDLFFAMLGAGSGF GIASELRFRTFAAPETVTWFSATLPWNRTTAMAGLEALETYTRGVMPKELNMRLTGSA YSMSLEGVYYGDRAGLDDALAPLLEKTGGEVVTAGTTGWIGGLEHYSETGMGLNVLYP YDAHDEFYSKSLELQGLNGTSAQNFVDYGFDVAPTINRMWWFQLDLQGGSSSGIWARN DGVTSYAHRDKLYIIQFYDRVISGKYPTDGFEFLDGWVNATTAPLEWGTWGMYVNYAD ARLERGEAEELYWGVNLPRLQEIKAEVDPGEVFYNPVSIEPAKEQKLGGGCGC VE01_05339 MATLPPRTVEPEKTDPGISDAVNPHPGNIAGTDEKTATDGYSPQ TITTAEQAFLDEQLHFDNVKLSFIGIYSFATWKDLLLVLISAVCAVIAGALIPVTPVV SSRIILAFARVEEQGGDMKSLIDQFTLYYVYIMVTALVTWFVSTAGLNYTGARIAQTI KMRYFAAVLRQNMAVFDDKGTGEMLSQLTDDAVAIQNAISSKLAQTISAIGTLVGTIA VCFALDWLLTFELIWSLILGYAVLYLGGKLTVRYSSRSIEASSSGSAIVDEALTSIKT TTALGMQKHVHSTYMSFSAKAARNGFILGSMNACMLAVCVASGYFNVALVFWQGSRRL TEGRTPFTAVVAIAMVTKAAAFCVLGVGSNMEAFAMAVAGARRLSRTTRRVPPIDSSS EQGHTPEQFDSTIELRNVRHIYPCRPNIIVLDNVSISFPTGKRTAIVGHSGSGKSSIA NMILRFYDPLSGNILLDGQDLSSYQLRWLRQQIAVVKQESFMFNKSVYENIELGFTGP RWTTISPAEKRKAVEEAAQTAQAADFIAKLPQGFDTIVGTRGSRLSGGQLQRIAIARA LVNDPRILILDEATSALDSETEARLLSAMAGKLHQPTTIIIAHRLSTTRDCDNIVVLN AGRVVESGTHDDLMAAQSSYYDLVKAQDTDYDEHAPADEVSDEKREPAAFASLDLEKD QGEQPGQTSVSADIESQSDTMSSSLSSMIKFIFKLNKGEWHWLLIGLICCIIAGGEEP ASAVLFGKAITAIARPVESQADSIRSDAAFYSWMFFTLALVMLISCAAQGIAFAFSSE HLIKRVRSLALQQYLRMDISFFDKKENSAAALSGFLSGSTSDLTGLSGSALGIVLICL STLISGIVVSLALGWKLALVCLSVVPLMIGGGYYGVLLVGEFAEKNEIFANEAAEFAG ETLHGIQTIAALTREQTALSQFHDTLRASEGKAFTANLQASLMYALTQTAYYACMALS FWYGGRLILRGEYTLFQAVAVQSAMLLSAFSAGMVFSWTPNIGKAKHAATSLQRLLAR ISAIDPSSPDGIDGDVMQGRIEFNSVSFSYPSRPNHPALKNLSFTIPAGANVAFVGAT GSGKSTIISLIERFYDPTSGSVRVDSRPIKSYRTSRYRKRIGLVSQEPTLYRGTIKVN LTIGLDEDDIAMPSDESIEEACKEANIYDFISSLPDGFNTEVGSGGNQLSVGQKQRIV LARALLRKPTILLLDEATSALDSQSESLIQQALDKVKKGRTTITIAHRLSTIVKADTI FVIGEGSVVESGTHTQLMAIKGSYHRLYMASKSGQTL VE01_05340 MDNDAETAAVNLRRVLGDEEADRWVSRHWGIVNVWRPVGDVVRQ WPLALVDSRTIQYGRDTVPIYTLNNYKTHFTALRPRPHFGFYYVSNLAPDEALLFVDY DSAPRDSDGVVGIAHGAFEDHNAPAK VE01_05341 MGRGGIIVYIIYASWYTVTADLANLLGKIYHLKTVQIGLCYVPF GIGAALSILGNGYAQDWNCARVARANGFMADKKHGDDLAKFPIERARIDIVWPLIYVG AFATIGFGWAAETKTHLAVLLILTFIMALFLTACYNSMNLLLVDLYPNSPSTASAANN LTRCLMAAGGSAVIEPMIRAMGAGWAYTLVG VE01_05342 MARLSFSSRPSLAIIDDYLNTSAPHFKHIPSEKLQITTFNDTLV PADDAQVARLVERLKPFDLISTVRERTAFPGSLLRQLPNLKLLLATGTQFEMFDLAAA RELGITVVAAPGLGRTDQLISNPPRPNIKKGGAHPTTQHTWALILALARNIAIDDVAI KTGGWQSGLAMGLTGLTLGIVGLGRLGAAVARIGHLAWAMRVVCWSENLTQQKADQMA EAVGLAPNEGIQGDKTFRVVSKEELFSQADVVSIHYVLSSRSQGIVGAKELGLMKRDA LLVNASRGPLIDQVELIDALEHRRIRGAALDVFDIEPLPQGSLWRRDHYWGQDGRACL LTTPHMGYVDEGLMNTWYAETAENVERVLEGKEVLHRLA VE01_05343 MFNRDKDTQDMYRFSRMADSIINYKDSGRYAEGSWGYTVLRTTY SDESNTLWSVGLENLKRWVTQYFVHINRLATNKSDGSVNEELGRRFILEEFDIDSEKL NIPDLNKASQDDIKALTNVFDSWLRSAVGNVEGNEEFNIQHSARFCDFLVIDEVSLRS LTALPKETPPLGLARDILYCYSYVWLVDSQAVKRFQGVEDSDNYDGWMKLCTEDIYDA WFERTNACGKWLYTFERKDIPKLPGKLWYSAQ VE01_05344 MRGLRQIGAATLLILQVARCNSDTSPANVVAQDHDRSIASSADI AARDNALGPGKAVMTESNRFNQSTISAVALGGRALIAEWLNPVALQKRACTGTYKASC GDGYCCPTTDRCCSNNAYCKKSSGDDCCSDGTCPYPYVCGDTCECKTAGGVCCPDGTY CKAGNKCCGDSCTAEANICCSDGTACGPGNICVANQKYGWDDCCTDSACTAYVKSGVT ITRTSTTPTSATAAPRTTDVVYQYYYTTLYFSYYYYFYTYRYDIEVTTLTSTYTTTTT TLSIYAANSRTAASRLSSMSSSVEENDFTTPARATTALNSSPARTTSGASATDFQDDG TSQNDGPVVPGIGVGSPGLGSGDIPGAGTQMGVVPAWALAWALGAGVVAWGMVWL VE01_05345 MDNLWTRRTNSKLSLSTPAAPPATTAGHNDHPTRNYTPGKRFGG DTSSHGKSNPFNAIPLASPAVSSPTAGASSAFGLGSGAFASFGASAKTPKTPGSALDF GNVVSGAPTPSGEKGPAEPGLTRSGQNASSASLSEAKHPQASAHTLKHGWVFWFRPPI QKSNGYLEYEKTLHAMAAFDTVEDFFAIYKHLKRPSTLPLVSDYHIFKNGIRPVWEDE ENKKGGKWIVRLKKGVADRYWEDLLFAIIGDQFGEASEEVCGAVLSVRNGEDILSIWT RNDGGRVLKIRETMKRVLAFPPETKVEWKSHDSSIAQRTAIDDARKDKSNNQHSSDRR SGAKDDERAERKQAS VE01_05346 MASMDSTMSAIEILSSPPDKRHCDVVRLELNSDDATVTEKRVKI GSGPSMKESDGCSEVDLVLSERRVLPPSADGDVGEPSEKINQSGGYTNGNPATFPKTR TIFSLFNAINTPSAAEPDFGVPDEYSVPVTVPRPARDMVIPRGPVRPSSTYRNRDKTV RSGPDRPQQPSSPKAFDITASYAANARQRSVDHVTEQVAKRRKIMDVSGSPDESADDI LCEQTRNITASSRPTKTVGSGIPPLERKHPGGGFVVNEFRGVEDTVGGTRRRRRPDGQ HSSGQSSRETTIIEDDKIEDSDLEISKAPEPYRGTANLARGMTQEERNLKNDVRRRMA ESRSGRSIGTSAKSNISVLKRDAQSILDREKDSRQTRVQNVRVNDGGRRNSTESISDD ELSMGKPGADLKKASTGTVMSQFVRSPTSGSMSRDGDIRSSLPRQNQRKTTGRAGKSS SEERLRFGITYSRTVLDLFTRVDGEEPYYLQYNPRSSQMEFTTDDPNHLAENKHPDFA FNPGSVTRIIYSDENNKMIVWVMESNTKTGTLPKLLLKVDGEALYNFLMFLQSRSDAD VKNQSGDKLNKMFSLFTEKFDECRNRNEADDLNLLKQRRNQRHQASDAGLTGDGKRPG NFDSSEEPPRSKKLHERLQNGYGDNETSHPEGNSIETRRSIERSKELGDETQSRHFGR NGDDNDNRPTTRSTRGQNREIHIRSPSPQRYTNVHRDWQRYWAGGDEPLLFPFEGTKK AQVDKRDIERLDEGEYLNDNLITFYLRYLQEKAEKERPDVFKRVFFMNTFFYPRLIQG KGRKNIDYDAVKRWTSKVNIFDYDYVVVPVNENNHWYVAIICNVPKLLLPPEEKIPKE EEKGHEKEVVDLGDAGNTTSPTQPTSEYKDGSVTPEVARKVSRLSIEDRGSPEPQPNM AKHIDVERINPGTPTHTSTADDDTDRSQKATSSAGKGRKGKRKSIPPVRKYNTEEPRI ITLDSFGMPHSPTCSNLRDFLIAEAKEKLGVEITLAQPSIGMTAKHIPQQTNLCDCGL FLLGYVEGFLDHPDETIHGLMQGRADMASSFGKMNAPDMRNAMRELIFELRREQTKKE HAAKVARIAAKKAGKVKEEQPAGESKSVSSASASPKPPAPPKLEAKAVEELASASVTK KADESAPASKTKEMPKPSEEAVNVIKEEQSTKESPATPDESATFYSLLDDFAGFKGDK AKDEKATKPSPPITAPPIEALPETPRSATRDKTSSPKTISSTQSKFFNVKTKGMQPKF PSQDRNRQRKDASEVSVVAPPSTPPPRQPRQQMRSSPRTQIDIPSESSEDSLRRDMSP SVLGRGRGRKDAPKKKDEIPDSQGDDVERAGRKGVDKGKVVEDLTETPRTRAGDAALK RQKDTAEGQKKKSGAGAVFGSGSPRVKGTKKDSVVNLVDEE VE01_05347 MGFLKSLGVKRKDKSAAAAASAAAANAERYAPSPSNPRYTLSGY AGRQVDWTVKLPVPVLTRIFSFVCPHAQDMSYESCERSAEEDACMLCDLRDLSYCVRV CRRWRKTAVPVLYQSIRIDAVHYCEREEILAERRKRRSFLNRNAEPEDTARARVRLLC RTLRDDTLGLGSLVLLVKTPYMTRETCKGDLARIVAVTPNLRYADFPDGFFTGDATCA TLRQEVEQRCLDLRKMSYSHGAEKALEDVAGGYLWHNLEVLELSGLATDPTTVRFALG ALRKLHTLHVKDMPSFSDEIFEPNHQFPPFPHLSTLHLENTPAVTIRAMQALLADPAT ARVLTNLSLSTTAVHPSDIHLILSGAPSLHHFAITELVSTPFPHTHVPPLQSRSLRTM HYEITAAPGASYTAGTAAYYSYLTTSLLATGLPNLHKLYVRDSAFPESLIEFAPPRPQ FAAESPPNPFLNNNRPLSTASSVYGSPPTAPVGSFNRTLSPMGAGPPGSPPSNPQARP RPQPRSPVGLSRELEVYTKSLDELEWNFARVEPARQPGRRGSMTALRPVSAYGLEAAG GRMSGSWGAGGVRRSVVVGNGFGGFLAVPVDEAGGATRRGSVGGSVTSGGSVSDAGGE WPVMGRGNKRESHYDIWR VE01_05348 MSNNAVIDPQWEESIITEDAPEVENGGLPEMIYILEFEMPSTLE VARREGMIFPDGSPNFVNLAQMSSSLLESSLLEGPDEWRGLSPTAPPISMDGANSVKS MRLGSSASEAVSDESMANKFPDEEHLIGINKRDSRLPPPSELSYSTPSGSSVLMHTDD ERISRPWRKIRDKDLVNQDQDDDEITPAPPLWNEDPNYIPPPPRQARPAQGAFNSDPH ARNTRTIRQRIASARQTLRDVVQEDREWIRGAMVRIGIRSEPDPGENERPPATLPQPA AEEPAPEKLSRGARIKARVTTYLSLRGGSGRPSSGFYLGGQSDDDEINRRTTLVQKTN RKTTLVEENKRKATLVQGNNRKTAPVEEANGMKTIAQGNHLKKATVEEANCKTTLVQV NNRKTTPVQEANPKTILLKEKNATTLGPQRFLARGRYCHRHDSCVLLFTINLQEQKTA IVGPMNQPPRGRPSEYTHPLARVAEFRRWEQNNQLGQPADAAGRGVYTGDPYPRPPSR RRGYRHNDDTRPFDMRHYRGVSSGSLGSNSMYSGRSQSVGYDGNQDERQSELLNASQN VGQAVSRGLNDDQNDDQNDDQNADQIASPNESQNAGQNATPSEGQHEGRNIHRRVPPH LVVDYLNARAAERAAQQPRRRRDRLSTLSKATSKKVKEKMTKFATLGMRPKEPEPEPI GGVLEEHFTPSPQATPSAPPTNPPPPPPNPLPVPVVASAHSGAPVPLITEVPNAASES GITVHEQAYSTNSLTRGFNMWPFNRKKTKVSINF VE01_05349 MPGLGGDGTSISLSSVPENGQTAFVYGTLMVPQILYRVCYGTEN PLPELVSHLRLSTALLRNYSRRKVRNADFPAIIAQKNHTVLGTLITGLSKADVKWLDQ FEGDMYKRVFVKVEALKAEVFDAHGNIKAEEMEKVNASKEGERNMVRAETYVWDLSKD RLECEEWLFADFRREKMKNWVDGPENDGTVDHYYDSGTGKGSEDGDGKEGVTEVEKGL EIEEAHVEDEEQEKRRIASVVAGIAAKEAEDCESDALKSAV VE01_05350 MHFTSSIVATLGMASYASAHIMMTTPKPFGKSTLNNSPLLADGS DFPCKQRGGVYDPEGASNPMALGSTQPLNFVGSAVHGGGSCQISITYDEKPTKDSVWK VIHSIEGGCPARGVDGNLGGGPDTPVPDDYSFTIPEGLPTGNAVLAWTWFNKVGNREM YMNCAPIDITGGNSKSKRDTAAYDALPDMFTANIGNGCTTVDSKDVEFPNAGGSVEKL GSGSLTPPTGSCQAAGGSGGGGGSSEAPKPTQSVVTAPTGIQGGVFVTVAPNASETVA PVATSAPVESVAPVASAAPAVPTSVTSSPSAPAAVVPDAGSSANNGSDTTTGSSETAA GTPCPGQEGSWNCISGTSFQRCASGQWSVVQAVAAGTTCETGISQNMKFVSAAKPRRA IRFSNEHVRRHLAYSS VE01_05351 MSPPNVVDSSQPRYDSNGNKVPIWEAIEVELRKDDKNPLKKQSS WNSWISKTTSWASTTDGATGRKPLWKPATLRFPVLGSIFTVTVLMIIGLEILAYLSVG KNNSNGGGLAFAATVDDISTIATVSYLYLPTVIAVIYSIIWSWIDLDSKRLEPWFQLS KPDGAAAEDSLLLQYPFDFLPFVPIRAARRRHWAVFITGSTMMLVSWAIVPFQSAIFS TGTVTRTREALMAATGSFMPLENQKVALNSQFLNTAYGVSWLDQKVPAYTTKEYALQP FSPTTSDVISSGNDTWSSSTLAYYTNLTCTPAIVKPGRSNGWIFDDGNGCVTYEISPQ GASSAKFAVMYIPYFDDPNNDWALQNPNCTIEHSHNFLAVWGQSQYIENTTFRKFGNI SAQFCRPTYHVQPVTATVNATSRAVMNIDDKSVPNTALPLPEADFNITNFEYIMGTGI SQYQVGRPLGDDLPNILIVQQLPRLLKFNISWPFHNMVGFGLAASSGQVTDLADPSQM HKAFESAHKLLFSMAVAAMITPKEPTTNERIGLITDQPGSIIFVRSFSIVVEVFLAIV GILTCVLWLVYQQRQTNMTQDPASISDIMRLVCNTKEPLRGFNDSGTLTSQLLGERLR GHRYRLNAYNKGGAIEMRLESMQRAAGQDIDKFAEFQSNIDCPEQSQAVRPFELTFTT GAIAGAVIILAIASLSYLYHQITKFNGLARPSSNPLVLSILENLVPTAFATINEPFWI LLNRLLCVLQPFTDLRNGKAKPDSTVDARYTSIPPQLAVWRALRSGHFLLATVCVMAI SMNVLAVALSGIFNESLKDTNLPTNSTTIYNPALNGTPNIKESASSFYIVAYYDHFYA TLANLKGNATLPPWVDDSFFYLPFNLPDSEQPSEEQLSIDGYSALTRGFGVDLTCEQI FQEGDNDTLVFRQRDNGSFTELSVVHKLADGGNVTCSSILETDGEIFTESWTNDTCAF EILSPFELSNTTGSSLPDNGYCSSVIVAGWARIGPANSATRQGISSTNTTNGRSFETA FMRCLPTLRTASFNVTVDSTKRIISSTRVGEFDTDLRPYGKESAIMSLMAQTSTMLLP RTGHPYRWHNDTFAIDWMNSLLKIQLNSSDLINPATPVPDIPATIPHFAGLFQLLAAV MFSFDTTLFNKAPANTTISVQIHTKQTRIFMTPTMFYISITILVLQLVTLAAYYAMRP RRFLPRMPLSIASIIAYVSASQAAQDYGNRSNEKSQETRYGYGRFKGADGRTHVGIEK EPLVVPLKSKNPDVKRRKWRLGRSLPPEEPRVWI VE01_05352 MNEDLENEIEAINSIYGDDTLVAVDQGVYVLNLPKQDTSFRVQF PEDYPDAPLTILGTQKSGENARKGQAAYVLDVFRDAVGRLFQPGEVCLYDVIEDVISC LESANEEELHEAVDDLSLGDTPEPPVDATGDEAPPWTVSDVVVELKSVFVARCAPVRS PDQAKQYLQHLLDSDKKVAKATHNITAHRIKGDNGATYQDCDDDGESAAGGRLLHLMQ LMDLWNVTVVVTRWYGGQKLGPARFGIINTVARDAFVKGGFVHEQEPTSGKKRGKR VE01_05353 MPSSNSPRDDGVPDSANSTENPFVRFRNNVDNHVGSVLQGIIGL PTILSRAPNSDNGRWAGLEGDSQRTQDDSRWQNPQSSAAENTSDGEVEIPVKKFQGRS PGGDDAFGPSHHDEDDYDIFSAFDDYIIERIKRSTRGHPWLELQPGDKFRWRYESSDH GVQEGMQQRLHACLIQARTTRGGVEPSEFPHKSVVPYLLFSSYSPLNMTDMPPLRPND DPWGWDKDQFTYCDAFEDLLRESAGKPMRDISGSPLERMIDSIHKIKPDWPGPRYGLF PGAVTRMSLADIGMMWMGSLVDENILQEDPMPEQVHFNPWFGEIRTMTPSPIAVLLRT FQSHMQQYGLSQSHLEETEQDMYDRFFAAGSEPAGDGERVGGIVHQLLARLESEGQGI RDVFAGTDTTKRSEVSEQPSSSTTHVESSRASGLGSPAGATSTSVPSSERVVSSSTST TQHTDQDGTVRTTIVVEKRFEDGRKSVSETSHIQAPSGEKQVRRTGDVGRVDSEPGKD NGRGEGGKKGGWFWN VE01_05354 MAVTNSSSDSAQMAHAAVSSQNGAAMKATRSSVASHHRRRSLPL NFQANLWSGDSHLISSSSKAEISLVEGAAGVAQGEHTPSLERPFAVLHQLHASTPPRS PSRFPNPANAEFSSHRYTKSASARNSTFSQPVIVRTYSSPSSRPSSRQPSSPRIKKSI YKMTKAELPPVEAFTFKGIMDSIQGSVADDLDRIAEICARSRYSLSNQYEVHMPPHGE GEAFLRPVGDEEVGRTTLAGRARGARKPKSKAFDTLETIYSSSRSSEEEKAKKKSAGA LAEEVRGRQARKLIGESSRGEEATDADADAEQSEVNGSGYGRRKSTQKHKQARSQSTT FASMVMDSAQASRSEAGSHRANPSSLVSEPLLPRTSNTLERKIQGESIPPAPPATIAP PPYVPKSSTTTPHAKFSMPIDQPETRASVLANFSSWLPWNKTSENGDGPVSPQEFMRQ KALSSAEGSLRQLLGPQDNDRSDRKGKGVNREDG VE01_05355 MVTFDNMLLATDELVTRIFSDWDVYSTGLVGGLIIFVTVLTVWG RDPDTHPMLLSRQAQPSPVRNPGESSVYRSHSSPHGMELNTGLNVKDPGQNKWSRGRN GDLRDVWRKAVKGRTDPEGNPTGEKGRIVTIVGTEQTVDHDLDKVSKQINIIGQYIKH HGGSRVAIYLPNSIELLAALFACTFYDLTPILLPYDQPGHVVVDMLRTSEADTLVTAV GNLPFDAVTKDYAGLKNLIWVVDEGSKHLDWDEIPTGSGGAINVSTWQEVVEEQSNVS AELPPLDKTTPKNVLSFWFPEIHEPGELVEYTHGNLVSAISAQISAIPTTQKIGPSDL FFPADSLSSNYTLVLTLAALYYNASLALNSVAGRETDLRNATRAVAPTIIVASAHTLA QVHANTAAKLSNVVYNLIHWFQTRTLTENGVMPVASIFSRFNDGLRPSIGTTPGKLRL VFVSEQAGGNSPPLSALALNDLRIFTGARVVYALTAAKVAGAVTQTSFYDYRVDPKEP GQYSHFGAPVSSVEVLLKDTKHRKVTDEEIQGEVVARGPAVVGGEASLGIVGRINEDN TLAYL VE01_05356 MSAPVMRLSTPSKAPSTPTAQTTPSTPQTGTWQHPRFAEIARRQ NATTFSDRNVRSVVYNVGSLFVCWIGENFIQSKLPSLIDLTSSLQPYPQYIYNLLRLL FLANILIAILPLLRPKDTLADIPLTPAQRSLLGLPASSTPPTPGSTYITPPRYARSPA PRNSGSNTSSPISGSPLSGRNSPINGVEGGRGSPFNPNASPLLHKTFNNQRRDSYGSP SPLGRVGGGEPGTPSPSGAGKASVGLNSKWLYEKGRRTSQGGSGGRLFNKLD VE01_05357 MDDSFVKVGGSPEEVRTYRAHVSSKYLELTRKKLEVTRLPHDLT LDKGREWEMGTPKSIIEPLVDYWLETYDWRGQEQLFNDTLPQFRVSITPPGAENRKPL RIHFIHIKSQSANAIPLLLLPSFPFTNLSFSPLFAALHSPADGGQAYDLVVPSIPGLG FSDPFNTSPNDPLLASTAYIFNRLMSILGYNQYLATGTGSAAASPANIDYHLPRLIAE RHSSNCLGMHIIDPAVLSPSPTAAPLSYLKYSFAKLFHASIFGYTSADWAASTRRPSD QPGISPPSPAELDATTVSEPLDNAPRRPILDRQGTGPSLFKRPVFSTTDEATRLSKSH LSGDLTLTLAYALCDSPTGMLSLALLGLERICPNHTLTKAEITTILQLAWLPGPEAAL RLWSGADSEVRKEAAERTAPSGGGCPIAITAFEGALGDSGSFRSPVWAETRHRVVHIS RCKGLPGLPWENAETIVAGVRELSKAVWKQDGQGAGLEEAVSYMEGREKQGGFFDEGE ELDAADLALRRPQMSENMGSSDTVVPTMWTPEGLSAGGSLDEMNGRLETEEGYYTDQE LAGTWKGDSKGKQSEEPVEPSEKSKGKKKEEKPVRRKKGRRLQRRMGVEGWGYIWEE VE01_05358 MGASDSKLVFKKGIFKLSEERNIAADDPYWTSFWELPESAEDVF NLFSPADVRRTRDDAKENLETLMVVTVTQLSMLRNHPSFPDPEIAPEQDALNCVRVLT RILPYLYESDSLHEWEDRIFWGPRRKLTRKASISPDVLFDDTEASLPPNKALSEDEKY EDAKPLAEELIDTLIDLLFFGGFTIPATGKNKVSYAIWQSGVGCNTPVGTSKEFESNR CEILRLLLTLTSKSMYMSANMLPVVGIKAITYITTCPEKQVVLSVLCSLLNTTLKYNP ASWTVPYNHMVFKDPKQILVTYAIQFLLVALVYPVPEAGPSNLQKNYYRHFLGRLHRP QDFQFMVDGMTRILNQPIVANTSYLPGSHASTKCAPEIIMLFWEITQCNKRFRSFIID TDRSHDFVILLIFYIVQYKLDPAKQGVVKMCAFLLQTLSVEPNFGTSLNKKFLAQDTL PASIRIQSFNGSYSDFLIQSIFSIITTSQGKLSAVYPALLAVIANISGYLVNINAITS SKLQHLFASMSAPSFLLANESNHELLQSLLESMNAIIEHQYHKNANFIYTVIRNRKRF QALRSFTLESGQAEIERQNQRRKEANESGDTTNDSELPRFSMDSIQSPTTAQHRRPSQ QNIPEEENTFAIGDDDDDDHETDDGHAMTPSYSTPTDQPSRASSITSDFEDAVPTQVR GMSEKARGKRPAGTPTFSRQNSTTSLGGPTQNTNGQFEPSAQWIESWLPILPLHTILN IIDQVTPLLPRTGSGTEGSTSILRIIQDSQPHGIDQTDIRVQSFEWSPLSLGWYESLL WSFVYVSEMQVSKGTVGVWNGTSIRLFRVQETAAAGPSLASPRGAVDAVGSNIVSRIG SMNLGRVGQLAVGGSTGNAQQAGNNRGTLQGGDGNGARAASPRSAVV VE01_05359 MSLSKKSAFSCDQCRKRKVRCGGEQPFCKRCVAREETCEYKLPP TLSYTQKLESRVEELERLVSQLQIPPAKESSGSPQPGSPKPRSGLPPVSFEGLKFDNQ GSITYHGATSFFHLPNAASDKPSTGIVSDDPSASLDGERIRQKLVSNAWHQRALETFA ETPEPFQFLLKTHWCWIQPLFNFVYRPAFTRDMEVSGPYYSHALLNTMLSHSIRWCKK SQISHLLVPYEDGEVFSRQARTLLFDELRTGQSRIPTVQTLLLLSAQECSYGNRTQAW LYSGMAFRLIEDLGICIDGQKYAGSVRLSDEDIEIRRRVFWSCYFWDKMISLYLGRSP TLQHSNVSPPQIMLDDSAETELWSPHGIVYPEGMEYAPTQAHSISCFVRMCQLSEIFN QILIHIYDPLQENSEDEIQNCIMVEGEALKSWWQDLPNFLRIDPREMPLQCPPSHIVT LNCLYHTFTILLYRPMLFQRSEVTDQKPDPNHLVECISSATSIIAIFDLFCKTFGDNY CILSLSYSVYTAASIFLLQVQAGMSRDEQTLARLKFSIYSLERVKSTNPVITSALDLI TKALSKLDIDLSGLAIHSLTPTDYTPPVQGEFLPLLPDPVPIVPQVGADGNLQDAYIY NFNPDNFEVTKEMLEAFSSLEPVDATVSRLW VE01_05360 MRRFMRPIFFLARASSWRQFWTGSRPTQKASIRELLLQKIPHKS WDSHMHVVDPTQYPLAEGAQYTPEPHLLSDALTFESTLGIQKIVLVQPSIYGNDNSCM LDALRRIGPQNGRAVVTFDPKSINSLTLDVWHRIGVRGVRINLQSVGQQMDPVELTAT LQRYADIIRSYGWVLQLYVPLNTATILETVVPKLGVKVCLDHFGCPTLSGTLGYPVAG DPYSLPGFTSLVNLLSQGSTYLKMSAPYRLSPDAGHLDLEPMAKELLRVAPNQVVFAS DWPHTRFEGLDIKPFVESVVEWCGEDNTLIERIFKYNAEDLWSVSNEK VE01_05361 MFDINPYYVFTILVIASGSIPKGYDEGGFSASVGLNSFKNDYGL AASLWKNDPSGLADRKANISSFGVLGAAFGSLIALALTDRLGRLRCWQLFVVLWASGI FMQVFSSGIVGFMLFARIWGGLGSGGLTVVAPLYLSEIAPAKTRGMIVSMYMVLLLTF LSIGFFINYAVNATMPVGAAQYRLVQAIPLIPVGIAFICSFFLSDTPRWLASKDRGQE ALAVLERLRHSDKSDPALSLEYEEIQQQIQLREQNLDGVPIWTILKEIATISTYRKRF LLGAAMQTVAQWSGGNGITYYIPEIFTYAGVVGTNTSLITSGAYGIVKLVFTLVFTWG LVDVFGRRRCMLTGLGLQCITHIYMSIYMSIFADSGNKSASDAAIASVFIYAVGWSIG LCTVQYLYGTEIYPTRIRSVCYATNMALHWFFQFAVVRVTPNMFGSLDVWGAYIFWAI VCAVGFVLLGIWAPETKGIPMERMEELFDGPWWMGWRAKVDLSEVGVENHARNEKEKL ALKEMEKL VE01_05362 MTEPATNIPRGSWVLVTGANGFVASHVAQQFLHRGYKVRGTIRD LEKSSWLIQDVFKSYADTGDFELVVVPDLAVAGAFDAVIKGVSIIVHAASIVNFSPDP NEVIPQTVSGATSILDAALKEPSVKAFVYTGSIAAAVLYDPEKSVHVERDTWNEAAVQ FAWAPPPYDSSRSMHVYQASKTEAEKAVLKFLAEKNPNFSINSVDPSTIMGEALNKKH LETPYSYLKVLYDGNVGFLADIPSIIHIDVKDVALLHVAAALDPEVNGARLQAWGDNC NWNDVLAIVRRLYPQRKFMDDLPVHPPLAVTTDFSQPLALLKKWGHQDGWKSLEETVA DNMKNILEWYP VE01_05363 MASPTPELHNNGRNNNPRINLESSPGSLRANNNACLDSRNSPTP LVNNETNSHPTPAPSIFKRAWSKLGIDRTVAALVFKGALAPLIATAIYQSKSVAEIYV NFGYLIIVVSILAVPILPRGKFMMNMSISVLLTCLAMAMVLLGQYAGIKARQNTTPAG ALPDIALGYNSSAAAVNAIFLMFNLFGINTLRAARPAFSIPAVGYNIFVLLGFTYGPQ MATITSSLRFSKELFYSFLTGQAIGSGVSLLIFPISSRKVFFGEAAGFLQSCRGLLKS QVAFVEALEYSQMECAPHPNTRLASGGVSETDHADDNQTEESENTRLYNQRASSLKAS SAALLALAGKLRDDVVFAKREIAFGHFQSNHIHEMHRLFMDILIPIVGFSTITDISER LNYRFCTDTEWTEELDSAAPTQTQGSWRRGESESVEWRELIRPLHASLKPFVQVLDDS ILHILILLKFVPNPNKTKSKPKKPTDGAGREGASFNQDIEKGLNPGDIGFGDYLDKTI DSYRAERTENLKTWAADRGLSCIFRDSQERAHLPPNPDQGMDGRHGGSRDSRFSERIH LIFYMEYLMYSISKRILAVVRCAESRATDGTLERRQFIFPSLKTVTKLVKGLIDGEDS NPGIYKTGGVGADVQTVSLGHSLQTPKDPEHLPPKGSWQAFGDRLRVIPKFFGSDPSK FGARVTIASMSIAIMAYLHQTHMFFIEQRVVWGIVIICLGMNPTTGSAVFALITNLGT TVLATVAAFINWYIVGQKTAGIIVFLFLFLMVYFYFLVKYPRFLVAIASGAITHVLIV GYELQVRVEGIKAATSTGQAFYEIYLLAPYRLLAVGGGVIVAYIFTIFPVPITEASVL RRDLGTSLFLLANYVNSTTSTVDNRLQDKEGDMSLPSSPGRRLEKSRQDLLQKQFALQ NSMRRNLSFMDWGPNFGGEFPKEMYTLIIDEVQNIINYLAVISFASETFIAARRESPS PVWLSEFAKSRPETSAEAHKTTSLLILLSASIKNGRPLPPYLDVPSDSHLSQQIHGDK ADIMTFNNLNEPGFRALAAIKLAQSCMADSLSRIVDPVRELVGEVNFSYQIVDSNE VE01_05364 MKASFVRSFMVAVAAAATLDLTVTTSGGRITGHKAPGAEGVHEF LGVPFAKPPVGELRFAPPAKLGPVASSDVFVADKFTGGKAVDYPEHTPQFQKIINAFA NTLGNPMGEDCLHLNVWSKSTAKKNKPVLVFFHGGRWAFGGTDTPFFTGKYLADAEDV VVVTVNFRLNIFGYPGAPGESQNLGMQDQRLAVEWIHSNIGAFNGDCSKITIFGQSSG GLAVDFWAYAYKSDPIVAGVIALSGNAYSFPLNTLELAAQNWYNVSDQLGCGAQGNTM ACMRQANWDDIRAAAAKVPAPPGTSQARAQSPFQATIDEKLVFSDYYERAQAGNLAKI PYMVGNNHNEAGYYKVPAYGQGKILNQSVWDDFNLESFTCATALEAAQRVASGVPTWR YRHHGDWDNTRLYPTSGAYHGVDLNMIFGASADVSGLPEVAAQTTAKGHIQKAYAAFA ADPVHGLTKQVGWPAYNPNKNTLIELSLNNNPQPVYSKASTYDAECAQFLDNYKAT VE01_05365 MGERIADNQGFDFIVVGGGTAGNAVAGRLAENPKVQVLVIEAGV SNPEEIDDITTPAKAFDLRGSKYDWAYKTTMIKRDDYERVEKPNTRGKVLGGSSCANY FTWIPGSKATFDDWEEYGGADWTWDNCVAYLRKCATYHDDEKLYPSDLSKVGTGGPLQ ISHAHLLPEMQPFRDALTKGWTSKGHALTEDIYSGTMAGLTHCMDTIYNGTRQGSFLF LKGKPNVTVLASVQSKKLIIDPTTKVCTGVVVINPETGDEITLNAKYEVIVSQGVFES PKLLMLSGIGPAAELKKHNIHVMVDSPHVGQNLLDHPIVPFVLRLKDGYGLDDHLLRA GQARDGSVEAYRRNKTGPLSSALLEMVGFPRIDERLNKYPAYRDAKKANGGLDPFGPG GQPHFELDFVPMFSSAFQWHYPTPPSGSSLTVIVDLLRPLSTGEVKLNSADALEQPFI NLNFFGNDLDILAMREGVRWTYDVLTKGDGIKDIVVGDYPWEMPIQSDEAMNRVVLER SQTGFHPCGTTRLSKNIQQGVVDPQLRVHGIRNLRVVDASVIPIIPDCRPQNSVYMIA EKAADMIKAQYTNLY VE01_05366 MAHSQNPPVFTLAEGQPIADPSNSTVLPARFGGGSLALLQDTLL IETLAHFNRERIPERVVHARAAAAWGEFEVTHDISHLTTAKFLNGIGKKTKVLQRIST VGGATGSAETVRDVRGFSVKFFTEEGNHDIVGNDIPVFFVRDPVKFPSLNRSHKKNPR SNTADETMFWDFHVNNQEGVHALMHLFGSRGIPASLRNINGFGVHTYKLVAVDGSFKY CKFHFKPIGGVQNMSPEDAAKNAGENADYHTADLFNAIENGYYPVWTLYVQVMEPKVA ETYPVNIFDITKTWPHKDFPLLPVGNMTLNKNPHNYFEDVEQAAFSPSNMVPGIAVTP DPMLQARMFAYPDAQRYRLGVNYQQLASNRALSRVYTPYERDGAASFNGNYGGEPNYV RSDFTVANPGQKSIEHDEWAGGKVGIHEIPVSDADFAQATELWNIFGTQPGEQEGFVK NVAGAIQDIPKKLQEGTIAMFSKVHPDIGRKLQAELNSAGKISASAQSQESARSYQVK YV VE01_05367 MSHRSENGIHSDIFFDVQPRWSKITTSSGASLPAPTVFTGRPLR GTLVISHDKRQEEVFDVVQLTLQGEVQSIIRLSSGSYCKIKKPLILMSSVKVANEFEQ IDAEDPHTVTYQTEFFFDIPDFTTLPSSHGNNTPKRLPPSMRVVKSNFISAATAEIHN SGSIAGTCDVTYKIAACVFAGGRLKSDASREIILMPIEDKPPPLEPEDFGKEYRLVGA TSLRPSWGLRKSLMVVVSSMEPRPLTFSNREEGCESTEVLLHLKTEGLSDGSSERAFV ESHLTDCEVQINLEAVTYFSAEEQKAVMSMAEALRSPSVVLKKTKYATNRKKLRLERW MKGREIAPGIFEWETTVSVTTILPTSFSRLPSFFTSLVARRYAFDVQITFGHWSGINV FHKPIKLRIPLQIVHFTAGKFLGHSLEDDDLDAPVYVS VE01_05368 MVTTQLLLISLLSLVVQAQETVLGAYIVHRHGDRTPKEFPPAGL TDLGYAQIYGAGAFYRARYLEDSSRNKIYGISQNVVKLSQLTVQAPVDTVLQNSAQGW LQGLYPPVGTSVATQMLANGTSVSAPMNGYQLIPVNTVSSARSSSANPEDTTWLQGSS GCNNAITSSNSYFISDDYTSTLASTKDFYASLLPVVKTTFSSSDVTYKNAYAIYDYIH VSEIHNSTIPSGDLLTDNVLHQLQTRANQHEFNLAYNSSEPVRAIAGSTLAAEIVQQL NATITSKSASSLAVQFGAYNSFLSFFGLAQLPSVSDDFTGIVDYASAMVFELVTSATV TESSYPSTDQISVRFLFTNGSAAYNPLTEYPLFGQSATSLPWNTFVSSMNKFAISDKA SWCSACGDTTGACAATSTSTDSSNSSAARSNSGGISKATAGVIGAMVTLAVLLGLATL VLLFGGLRVVSKKRLTGAHTDREAVSPVSKG VE01_05369 MPEEGVPLSPQPHLLTAPEISYISSVFVSQGVTKIRLTGGEPTV HPQILPMLHEIGRLRSCGLKELCLTTNGLTLSRKLDSMVDAGVTGINLSLDTLDPVQF EQMTRRKGFSAVMKSIDHILALKRSGGANNLKLKINVVIMRGMNDLQLLPFVEMTREK DLEVRFIEYMPFSGNKWSEQKMLGYQEMLATIRERYPQVERVQDHPNDTSKTFKIPGF EGRIGFITSMTDHFCGTCNRLRITSDGNLKVCLFGNAEVSLRDILRNDLNNGEPIDEE AWEGIKQLEMDGREGRLTAGARPGGRQAYLRENKQRLLDVIGMAVHRKKARHAGIGQL EHMDNRPMILIGG VE01_05370 METVNRPDEWKIEQGLAGAKLPFLDQTGNEIIAIPPHQWEGATK DEAAIKAVGDPNKLFRRELEGWKGYVEWEKYPEKKAKAHKILKSQTFPPNAEFQMGPI PNTNPVLPGTHWKMWHHAIGGELTDVPEDSWKTVLREKHPEMLHLLQFPYNGEPPKRL VTSKAITPNPLHFVRNHGGIPLIEKDKWSLTLDGLVANPKSYTLDDLMDESKFPRMEK LVTIQCSGTRRIEQISLYPGQGDEVPQAPWAEGAIGTAKYVGISLKKVIKDCGGLIKG GKHLELYGAETYFKSDEVMNYLVSVPWSKVKANEVILAWEMNGEPLPKIHGYPLRVVV LGYIGARSVKWLYRIKAIKNPTIAPVQSKEYLYFNQQVGKHNQRPTDGIQIQEMPVSS AIMSPWTKQVVIHNGKIRCKGWAYSGGGRWPERVELSADGGFSWYAVPNENLSAKHKW TWRTWEFDLPCDVEGWVEIVCRCWDNSLNTQPLNIRAAWNWGLHVTSSAHRISVYSVN ESRALTKQRLAKFEETGSPLAPLTWPEEFPTQSLEDYKKYWRENEPRDVDD VE01_05371 MDILSELPPQDTCDQMYKNFVETVHPLIPLLHLPTFDKLYRRFW DWHKTWAISDFPQGVLAENPSFLPMLLAVLFTGSLSHTGSPNIYMPLDGQKKLFATIP VALAMVGFPHRPSLYSLMAFVLLNSMLIREEESLSSCSFVAVAFRVCQAMGIHKDGTD FGLDEIQTEERRRVWCHLMHLDVMTSILSGLPLIASSEMFSDTRMIGELRDEYIGKVQ LTEDMDGSPIIDPNYIVTAGRYDSTSCMRNILLWQFSHKPVTLDSVKNMEESIEWLQI RTKTRVKRLRLHPLEELGSPSSHSFQSTPRGDNTEELSPQALWGKDLLCLMVEKAYCL LYHPIMQHSTLWIELRTRAIPRFQSFLSIFIKMCTTDSYLPFQWLYPGAYQPLQCVAV LLIDLLKAPVSEEAAKSRSLLENIFSLLGPEGRISSGTLNVSSWPNQRYASAGAKQAW MRLEKLRSKVWQKLGLDHSVLWARTIGKTSQQSYSTDTAQAGSSMGQTTAQQPLPPNQ NDFFYSNFLFSSEQQKNSIPSTEFGAANFDGLDQQNLLQDQTAIDSLPEQDLESSWQT STFQVIAFSKDTNKTQVIPSRDICKLGLNLNSKHLLRLGYGR VE01_05372 MGAPLVTVLVIGGTGAQGQPVVKELSADGRYKITVLTRSAQSEP AQELASLPNVTILEGETFDEQTLMKAFKGIDATFVNTNGFAIGEKAEVYWGIRIYEIA YGAGVKHFIWASLAYSSKLAHFNPDFHCGHLDGKGKVTDYLTSQPTDHMAWSVLLSAP YVDTLSQLLRPKPDPNDPSLMVFSAPLGNTAMPLIELGDLGKYAKWMFDHVKRSNGMT LKISTEHIRWADLAKDYTAVTGRKSIYRDVTLDEYFASGAFPDPELKVGHSVTHDDPT LQTYRENFSAFWRLWKSGLDTRDYKIMDEILPGRIRSVKEWMVKTGYTGEPGRVLKDY IDRERKKTGWPLLG VE01_05373 MDGLQAGKAARWADDKAAGPEDGVAMPWPSRENDHAWKDPQHAR QDLQHTWVKHAWKDLQHAWKDLQHAWIDLQIAIRTITIDDLQMVCVAPGWKIARGTAS RAKKMEQGEVPWITESAGALEIEKHGERG VE01_05374 MQFWQSLPIATLFAVAAAANTVNMVSQDSTNRQVIFTPQEGSPE IPSMDLPGGASKEATFPDGWVGNWYTVSEGKENKPGMLGEVRWDGFSGSNYFDVSAIV NPDDHDGVKMLYPKNSKTPMSGCQTFPCSNAYNLPDDIQTLSTPETELECLIGTKAGA KRRHARHMLYV VE01_05375 MKIIQAILSAFWLLTLVHGVFGVGVGGFLNLGGAGGGGGSDKAA VGHEVLPWFTITPPSPPTITPFPPDFGGTNNIYKTTPDVPSTPTETEASATPVDTAVS TEVTANTAAITGPSEYPTAAITEVVNTPIASEVVNTPVASETVDIWVITTVSAYVTIC PSPTTFTQGVQTYTITEATTLTISNCPCTISYPAPPTVATNPPSVESVPVQPIGTAPV QPVGTGVTPGGNPIINTNNTVTPVVNPPAVSSNSTRTTFIAVTAPPPHATQPLITLGG STPVIPTPVAETPVPVPNTPVVPVLPTPTPATGGAGRVFIGLGAAFLSGIVAVFL VE01_05376 MPTKGSFSVMVRSPKTEWVPLDIYLAKLSLINTTSGSGQIQESS MAYFDFSGTVDVEVTYNPSAVHSADIRPNSYGIIPKVRGNTLKFSLVQPRNLVIQVND EIFDCLQLFAGSIETNVPAANDTDVIYFGPGMHSVSGGVLQVPSGKTVYIAGGGVLTS GVNFTNVHDATLRGRGILYNTPGGAITVAYSERILISGVTVLNPNGYAVTAGQANQLT IEDFHAFSSKGNGDGIDLFSCSDTLVDNIFMRNSDDCVAIYNHRWDYYGNSSNITLQN SSLWADVAHPINIGTHGNTDSPETMDGIVIKNIDILDHREPQMLYQGCIAINPGDSNL VQNVLIEDVRVEDFREGQLINIRVMFNSKYNTSPGRGIRNVTIRNLTYEGTNANPSIF EGYSEEKSIDGVTFENLVVNGKVIADAMQKPTWYLASDFVPMYANDHTKNLFFNRTL VE01_05377 MACGLETWNPDPSILRVRDEYFIATSSFEYFPGVPIYKSKDLAN WELYSHALTTPDDVQLYGVPTGAGVWAPDLSYINGRFYLTSMTRWTYDPTARVWPRVY FISSKDLINWSKPTWCEPWGIDPSLFHDPNSGKTYLNLMAPNNNIDRLWGIYQCEVSL TTGNCVGEYISLWNGTLPHNAAARDEGPKMFFKDGYYYLLIAEGGTDDLHRSTIARSN SPKGPWTPAPNNPILFNGAYGFDNLTVQSTGHATFVETPRGDWYATFIARRKINGTSP LGRETFMTTIEWNDGWPILNKNKPILLSESFGTTPDQKYPPAAFRDTFLSNVIDSSWY QLRTPYTKNYRTGTHGNGGIIFTPNVFSLSERDTPTAILRKQKSLNMTFSASLLPTIK PLGYLQSIGISVYLSEFQHQDIG VE01_05378 MLPQTERIIGYKVFTTMAADMKARTMLPTSLQLHRELGNTFSLV LLSQPAIVTIEPENLKAVLATQFHDFGIGKRHRGMGALLGHGIFTSDGAHWERSRALV RPSFARVHVADLETFESHIQHLIAKIPRDGSTIDLQPLFYQLTLDSATEFLLGESVDV LRSPTGSEQQLFGEAFDFAQTELNLRLRLGPFVWFYRNRKFDTACIRVHNFIDKFVAK ALEFRRESQASGKTNNEDEKQKGKYIFMNELALATDDPIQIRSEILNILLAGRDTTAG LLSNTFHVLARRPDVWAKLKHEVDQLEGKRPDYETLRSMRYLKHVLNESLRLYPSVPQ NIRFANKDTTLPVGGGPDGTAPIFVAKGQIISYNIYSMHRRKDIYGEDADEYKPERWE NLRVGWGYLPFNGGPRICVGQQFALTEAGYTLVRLVQEFERVENRDPEPWLENLHLTL GSGNGVHTSLFPRS VE01_05379 MQFTSILFLAIQATGVLSASYAGNTGVAPDLSNSSMPPPGTAWA RSSDKFNPLTARGCVSTAPFGCDLGKGRCWKVCGDGGQWCWTAGGDGSGDWNTCSDWG QCNQQQSCGKNCHNAAECGCSC VE01_05380 MVSYSVPNEIIAQICSYLCSHCQNPGVFPNSNTTEARTEKVTLA RMCRSSKTLCAIAQPILFHYYACGNLARSVDTEFSDHYDSWNRESWDLEDDKLAVFIR SLITRPDLAACVESLQLKSSGVQDVCTPELMKILGDAGSALGFELPTGWSWDGWTGKY LEVWTDEAQPGRFNENRLEFHKWLMGVAIALTPRTETLMYMCEYLDELDWSWYADNKM ALPALKTLALRGAQRSDYYLFQIHPFLTAAPNLQTLYALDCRGNAIASTLLLSFDYND GDPWSEDLAVSKLRKLVLDELPSEGFEKLIERCVELEDLVYYIHFWYDCPDIVRTFSQ TDKRLKKLCFGFLPNPVPTGYESVLDDEDYETIPLVTSLRELTQLEELVIDQALLYRK SDTSTGTERLETLLPPSIQMVHFTYVYKSMYEDLMHLASVAPGSFPKLRSVTIGLVSP IPPGRVEEIEHMKTVEPAFADVGVHVSWEENLMGPFLYTAIPGGTPGLDVTCVPSAPL PFP VE01_05381 MSASNPRKSPGSSTSPPSHGQSQSEYAFPRYDPPSRNSSTTRYN SRRLSTASSVTSIGGALDSSSGPWSETVREAGQNAISTLLQPPIVRTGLIAHTSAPAS SAHKPPTARDIPPVTLTNIPHIDPAVFKPYLAQISALSNSLQRAKDSEDEEGLRIIRR GSNKSDEFADLLESNDNGRPDISRKSSLASLSSLAPNDSQSPRRRSSAGPGKRAAQGP APLATIPTVYFDDDFRLENPRIFDVVSERSEVVRPVPGAPEERKQSNGNVAGPRKALA TNAILQEKLSWYMDTIEVHLISAISTASYSFFAALGSLRELHSEAADSVARIKQLRDE LDELDKEMALGGMEIVKQRRRRENLKQLADAVQQLKSVVAGLGLCESYVESEEVERAL DAIDALERLIHGEQLNGDAKVLDVPEQDQLRDLSGATALQGVDNDLTVLRFRIGKTFE SRFIKSMLGDIRQHIESTPVNDTLQRWSNASQRTRGGHNREKSAFPSYLTTNNEFRAD LLSNINGLYRANHTSSATTAYRDAVLHEIKSIIKRPLPSSNDDDTDSVMSMSTVGGNK PRSQQDRSAILARNLRNLDPESAEETLVKIYIGVGETLRRVGTQVKVLLDVTSTLDGS SPGSPPPGSPSMGGPRSPPRTPNLGSIEDRVSGGKATEERRHIQEELHQALDMSNLLG QAVDIAQNQIVKVLRVRTEQSVRQQPTRFLRYFTLNLLFANECEAVSGRSGTVLKNVV NNQIKDFIQLLSNSQQQALAQGMDADLWAAKDFTESDGVYLERILEGSDRDPAAWSIG SKVWLPYDESNDSTLPNGAPTPADPSQTASAREKIRPATIEAETFLLPSSAIICLRGL SPYLELITGIPSLTSDVSPLLLAYIQLFNSRCTQLILGAGATKIVGLKNITTKHLALA SRALGFVATLIPHLREFVRRHLGAGASAAGLMGDFDKVRRALQEHQASISEKLVEIMA GRATLHLRAMRAIVWDDDSAGDKAVSAYMDTLTKETGTLHRVLSKHMPEETVLGIMEP VFGSYMEQLGKAFREAPVTTERGKERMLRDAQHFAAKISKLDGAGDLGDSILTTATNR TLPVAEVPVVATTHATTEVAATTSPEPPLPPTPVVEISGSPPNPPLPISPDEVVSEVV NGDIAVANGVVESETLIIESPGVDSEEAAEVEVEAEEGTKDEKEVEEKAAEKK VE01_05382 MDRQSVYTTSVYQPNYGEEDGEVRAQILLKLQSFILEFQLGTAF IYRDQLRANVMKKQYFCDVDIGHLISFNEELAHKLVTEPGEIIPLFEAALKNCTHRSI YAAQKEIDLPEHQLLIHSNAAELSIRDLGAVKISQLVRVPGIVISASVLSSKSTVVYV QCKNCSHTQTISVGGGFSGVTLPRNCGRSRDGVSDNCPMDPYFIVHEKCQFVDQQILK LQEAPDQVPVGELPRHVLITADRYLTNRVVPGSRCTITGISSTFQSKASKSATTTSAV AIRTPYLRAVGVDSDVDQTAKGNSTLTEEEEAELLEMSRMPDLYNVLADCIAPSIYGN RDIKKAIACLLFGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFVEKVAPVAIYT SGKGSSAAGLTASVQRDHSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAM EQQTISIAKAGITTILNARTSVLAAANPIFGRYDDLKSPGENIDFQTTILSRFDMIFI VRDEHERGRDERIAKHVMGIHMGGRGAEDQVESVIPVDKMKRYINYCKTRCAPRLSPE AAEKLSSHFVQLRKQVHASEMESNQRSSIPITVRQLEAIIRISEGLAKMTLSPVATTE HVSEAIRLFLASTMDAVNQGKSQGSKELNEEVLKLDVELKRRLPLGWSTSLASLKREF VERKGYTEGGLNRALLVLQRRDKIQFRNQGSQVFRTAV VE01_05383 MTDKVEFSPSMPITPVFDVQARIKELQGFLDPSNPNYQPERQHK NIRAVIKLYEEGKIDGLKRTTIIDGKIVPYKAAFESKSGSWTEVRR VE01_05384 MSSQTALVTGASGLLGRAVTTAFNRKSWSVTGTGLTRANPPAIL AVDLSDAAAVAKSLDDVKPSVVVHCAANRFPDSCDRDPAAARAINVAATRSLAEETAK RGVVLIYISTDYVFSGAPGEAPYETSATPAPTNLYGITKLEGEKAVEEAYAAAGGKGK GVVLRVPVLYGKADKHADSAVNCLVDAVWKAQKEKVVMDGWAKRFPTNTEDVGRVIAD IAALYTSKIEAGEAEGLPSVLQFSAEDLYTKYEMCEMFAHLMGLGLEKMEKDVKGNEG NTGVQRPFDCHLSTRALKELGIEVHTQDFKGWWRWELGAVRR VE01_05385 MSSYDNTSGYVGGGYDNPDQLNAQGWGQNYGQYGGTQSYTDNVD NTGMGPAASPHRGSHTFGSQDNPEMGTTGGQDRSSSYYDDESYNAGGARGPRSASAME DPYNPGGRAGDPNHGPRRSSMGSVSSTRSYDDSQHLGEGASGRGSRGYQTGMGRNISG EKPTSYSTSSTTGTSSKPGTGGWKGAAKRLASRLSVGKGSGD VE01_05386 MAKSAALEKFESIFPTLVEDVLAHSKSYGLPQQALDWFKNNLNA NAIGGKCNRGMSVPDSVSLMTGKELNEEEYFKAATLGWLTELLQAYFLVSDDIMDSSI TRRGVPCWYRQPEVGMIAINDAFMLESAIYILLKKYFRSHKSYVDLMELFHEVTFQTE LGQTCDLLTAPETKVDLDNFSMEKYTFIVIYKTAYYSFYLPVALALHQLGIATEKNLK QAEAILIPLGTYFQIQDDYLDNFGDPEHIGKIGTDILDNKCSWLVNKALLIATPEQRK VLDENYGRKNKDNEAVVKKLYNELGLEKLYQEYEESEVGKIRKLIDETDESDGLKKSV FESFLGKIYKRTK VE01_05387 MALSERETGQLRAALQEAVVKCSERCLYQSSKWAAELLTSLPEE DAPEPEDSQMTEILASGMPPIVVTGNLDPEESALEAKEINKYLLAKSFFDCREFDRCA AVFLPETMLAGVLSTEPLGASQSPEASKGKGKARQSIPAARPPLGAKLPRLSQKSLFL ALYAKFMSGEKTKDEDSEMVMGPHDSGKTINKNLVTISRYLEAWFQERTTETGEVVGS QGWLEYLYGIVLAKEKNEEEAMRLLIRSVHLYPMNWGCWLEMTSLISRVEDLNRISPH LPQNILSFVFHLHTSLELYQSTPSLHTQLHQLLSIFPTSPFLLTCLALLAYHTKDFIT AESHFSRLLALHPHRLDSLDHYSNILYVMALRPKLSFLAHLCSATDKFRPETCVVIGN YYSLLSQHEKAVNYFRRALTLDRACLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRR DYRAWYGLGQTYEVLEMHAYALWYYKRAAGLRPWDGKMWMAVGSCLQKMGRDAEGIKA LKRALFADGYYDAGAGAGFGAGRGARTKAMDPELLLQIAGMYERMGEGAEAREYMELC VAQEEGEGEEEEWGVGVTVATSKARMWLARWAMGEGEWEVALRYATELCQDGVEVEEA KALVREIRARGEAGEEGGMSSP VE01_05388 MATITDSAPAPAVQAPAKYSRYRSVRKANTAAMATPAPPPATQP EGGIAQRSMSRYRRRAATQDAHATPLPTRNVPAGAIPQDHLGRRDDKTTHVPSPRSPS PPPTPLSPQEEEARLRRAHERTQAQRLERQKADEEEAHRLLAEQKRKDLERLEITLAA AVAAPRPLSPTPVSASSAGKFKIFGRKKSSAKVTATPPGSGGKAETKVETRSTSDEMS GRRVEAAMVGMDAPVSAVNAGERRVLIRFKQASINLPVTPTTTAQELIYSATNILSGS VTPDSAILRESYASAGVERRIRRYERVRDIMNSWDRDTQNALVLSGSDSPRHDTDLWP HSAPRRRPDQFSAQLHHSHKPGRWIKSYITLLPSGQLYAHRKSGGKLSDKDALALCHI SDFDVYVPVPSQLRKLAPPKKHCCAIKSQQKATMFLSAENFVHFFCTDDAFTADAFQS AVQRWRSWYLVSTMARAATSKTSPTSTPYTLGSFEPLAVSIPSTTSPDPTSAPPDRQI PFHLRNSLLPPLATRDRDSPPAPDETFSPTSLLGPTYSQRARQAAARDLAGGGSANPD FGAGPFIAGPSLLNTAISPIDNSAAGSAGAGASSGLKRGLSTRSTRSRAGTMVGSAGD APPVPGIPAPLLDFKTGFVEAPQWRGEGKGRGVEAPRGVPLVEVAGGGAGGVGEGWRG RGRCLDGRKGGG VE01_05389 MRATTYTLLALPLLVSSTLLTPPLAHRYIPQQILVNFSPLTHPC TAYASTDTACGLLCLPEGYTCCPDKLGGCKPGESCVKGDNGEYGCCGGGGGKCTGDGG IVDTGGSKETTTGETGSSKTTVTRSESTTTVKDTETSTGETSTTTGESSTSTDAETTT TSAETTATTDAQPTPTGETTAAGPTVTGGSAVYGAGSLGAVGAVVVGLFVL VE01_05390 MGAASAILVVLITLLFPPAGVFCVAGCGADVLINICLTLLGYIP GHIHAFYLEYVYYERRERALEGQYAAHRAPGVYSERVQTGGGQQTYGTIAPPQVRH VE01_05391 MSIALPTPQQGNLDRYVVVHVATTCDEHGVYVTKDSAEVIELGW ILLDAKNCEELYRESVLVKPINTPITPLCTSLTTLTWEHVRNAGTFRDAITRFDAFAS EHLTSKNLDFSFVTLDSWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHH PESLPFGPSMLSNICAALEVEPVAASAPIKHNLPFHLQALAPASPRRAMEEAVTLARV LRGLINKSQPAHEHPDVLTRPMDARADVRAFLSERSKVLHMAGLPHDTTQSELESWFT QFGGRPIAFWTLRTPDQHKPTGSGFAVFSSHEEVSTPPLSNLYLYCKLCETYQIVVCA GPSAYVLLLYDQLIKLPIPRLVKFFHIRGHNLMDFQAAESLCMNGRALNEKAIEVSPS SSRVLDRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAMGAGPSGD AMGGYGGGGYGYGPAAMMPPQQHMGHHGGMGGGGGHGGGRMGGGGGGGVVPFRAGDWK CGSEGCGYHNFAKNVSCLRCGASRAGAVVVADSGYPSPMEAPSGYNMGPQSMSGTPGP GPFASSAGGFPSSAGYGQHFPGPQSTYALPSGLGAATGPYQGLNSHYGGGAGGGAGGG AGGAHSAGPFDSRAAEAAFQSATNGPAGGAGNFYQQQQQQQGESDPFAFLSSGISGLA MSGDARQNGAAAAPNKSPA VE01_05392 MATTNRDVRNHFLFEIATEVANRVGGIYSVLKSKAPVTTAEYGD RYTLIGPLNRQSAAVEVEALTPTNPQLAATIAAMEERGISIVYGRWLIEGAPRVLLID TKTAYKFLDEWKADLWNTASIPSPPGDDETNEAVVFGYLVAWFLGEFVAHEKEKAVIA HFHEWLSGVALPLCKKRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDAEAGK RGIYHRYCIERAATHSCDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVTKFSAMHEF QNLHQQSKEKIHDFVRGHFYGHNDFDPDNTLYFFTAGRYEYRNKGCDMFIESLARLNH RLKASGSKMTVVAFIIMPAQTQSLTVEALKGQAVIKSLRDTVDVIERGVGKRIFERAL KWHDGDPMPDSKDLITAQDRILLRRRLFAMKRHGLPPIVTHNMANDAEDPILNQIRRV QLFNHPSDRVKIVFHPEFLNSANPVLPLDYDEFVRGTHLGVFPSYYEPWGYTPAECTV MGVPSITTNLSGFGCYMEELIENSTDYGIYIVDRRTKGVDDSVNQLTHFMAEFAAKSR RQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPASFEGEEGGEDVFAGSDVK ISRPFSVPGSPRDRSGVMTPGDFGSLQEGREGLSTEDYIAWKLPEEEDPDEYPFPLTL RTRRPSGSALDPMSGGPTSPAELGKATNAGANGEGKAKAEATTAAKA VE01_05393 MHTSITRAQNAFGFFTTVAFVVALFVAGSDYLVARTPGAEVKVG SGGVTVTKGRPHYYSTKKEEHASIRFDLNADLSSLFTWNTKQVFVYVTAAWNETAGSA EAAPNEAVIWDTIITSPSADHLANLPKVAKRKLVESGRGKSVDSGRGIIKLRNQKPKY QITAPTGKVAQARGVTLRVRYNVQPWVGALAWDTEGEVGLWKPMKGGESKRFDLPAVR EKGGKKV VE01_05394 MSSNENNNTDFFGFTDPIEDTDSPSSTNQGFTNTNSNTNLNHNT APGPVFFGPSPPPSHVFHNNHAATAPSIFGQYSQDFQGGNIPAPPSYLETPSIPASAT FASTPGPSQTPFGQAGPTLESENISISPSYEYEESPEFESPPTFPAPVFFGPPPPPPI PVVNALPANNLAQNPQPPSVGEFAHVNHWALPHLVIGTPNPPPQGPQNPPNPVEGFGG LNIQGVSAQPDDESEDEDMDMDMDPSAEGLDFGNSDEDYSEDSDFEYDYVDENDNPVP PPKLPKNAAIKALMNSNRARDAAMPDTDDDDDFPEMEEIPNANGDDDEDSDWEDDPTG YQDPADPRAAAFAGPSTSTAFPAPATVPSFTSITTNPLTPLGILPTTSQLTQSLKRAR SPSPTPSFEQTATATATPTSPLLTKKPRLTPSTHSPFEVLASHPELYFELCAHLPPSS LLTLYSTSRLFHTTLNTHLTHALKTCARAFAPLASRICRHGMYSTLCIPDPLGRREPT NWALVRQVPSFRWLAMVVHRERCVRDILACLAREGHRLPKSTRETLLKTWVVMDVATT AGRICLVHNETWWTDVDCWNAQAWVLKLGMRLNEPMTGVGDDGLVRVMLGQRGLSPLW RLLMRKGFTNAVEVLRAAVRYCYEVKPENSGMSIFGVSPAEIGKLHLEGWGEGKIHLF RIDELVMRESVRRRLRLDDHLLYMMLWGHVDPVTGRDIKPSDEEMYMSDSEDEERAIG ARLRAERKKESAERKAERARLRKERAEHGFGGGDTEMGEEGDEDDKENQRPRLDKGKG KGRELPEVESDTTDYSTDLEDAVDEWRGIEIDDETAWETEDEDMAEASLFNDLRDAGA VGPSGWRRKRGGESESESEGEEGDDEEDGEDDDSDWD VE01_05395 MAPAAAADVKDEMDIAERDVQDADAEAKIINEEYKTWKKNSPFL YDMILSTALEWPTLTTQWFPDVKSLPDKNYTTHRLLIGTHTSNDATNYLQIANVELPK NITPNERDYDDEKGEIGGYGNSSSGESPTIKMTIEQKIDHPGEVNKARYQPQNPNIIA TMCIDGKVLVFDRTKHSSLPTGTVTPQAELRGHTKEGFGLCWNPHEKGQLATGSEDKT VRLWDLKSVTATSNIVKPSRTYTHHAAIVNDVQYHPIHKAIIGTVSDDLTLQILDTRE SDTTRSSLQGTGHTDAINAIAFAPGSDHIVATGSSDKTIGIWDLRNLNSMIHSLEGHN DQVTSLAWHPFEEAILGSGSYDRRVIFWDLSRVGEEQLPDDIEDGVPELLFMHGGHTN HLADFSWNQNEPWVVCSAAEDNLIQIWKVSEAIVGKDLEDVPVDEVER VE01_05396 MGVPKFFRWMSERYPAISQLIAENRIPEFDNLYLDMNGIIHNCT HKDSDDATFRMTEEQMFIAIFNYIEHLFGKIKPHKLFFMAIDGVAPRAKMNQQRARRF RTALDVENAREKAIREGKEMPKEEAFDSNCITPGTAFMAKLSEQLKYFINKKVSEDTD WQGVEIVLSGHEVPGEGEHKIMEYIRLSKAQPDYDHNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQSKAKSKELEHQNFYLMHLCIVREYLEIEFQELKEPGIMGFEFD LERVIDDFILMAFFVGNDFLPNLPNLHINEGALALMFKIYKSVLPKAGGYLNEGGVIN MPRLAILLEELSHVEYRFFESENSDAKWFKGKQMAKVDVMEKGSKRKGKVVMTSAQKD VWKLVKIFVNNRSPEPLDLPSSLPAKDRKFVEDLADSLHLDWKTVEDENGDRHLQISF PAKLEQDDDEEEEQDEESQLAILRVVKQYDNANIVDVSAEKAQAEMDKKYEEKFQEWK DKYYDGKFEWKRDDETELTKLAENYVQGLQWVLYYYYQGVVSWPWYYAYHYSPMISDV VKGLKADINFKLGQPFHPYEQLMGVLPDRSKKIVPTVYHELMTDPKSPIIDFYPRDFE LDMNGKKMEWEAVVKIPFIDEKRLLSAMAPKNELLSDDEKARNEFGVSLKFTYAPDLD FTYPSSLVGIFPDIPHCHCVENIFDLPTIEGLEYFVGLMGDVKLGEDALAGFPSLATL PYNAALGFHGVNVFQQDSRNESSIVTLTDTEARTKVENAKARLGQKVHVGYPFLQEAK VIRVSDELFNYTLPTDGSAHVVATPHGPREIEDFRRKAERIENVYSKRLGIIIGPVES LTHVDMLKGMKKTDAGATVKEYAYIAGVETDYASQVVVDEVASEDQRFIEKAALPIEE EFPIGSRAFFLGEFNYGRPLEIIKHNENKAEIWLSTVQGREPEVGREVVARSERTTPY MPSYAVAKRLQLHPLVLSKLTSSFTVNVNGLRVNLGLNLKFEGKKLKVLGYSRRGETG WEFSDKAVDLISQYMIRFPEFIAGIQRKPQGNEYDAADFYTADSAKAKFAEITAWLKT IESKNFDKVPIDAQQLDSDTVKAIEASVDAMIQSNPGPVGKKLKGVPRNALMRPADAE HRLGNQKFGLGDRIVYVQDSGRVPIATRGTVVGISRTARTTLVDIVFDHTFISGTTLD GRCDPFRGSTVPITSVLNLSHKQVIAGSKAAVEKRPAPISQPLTANGGYGAPTGPGGR GQYREAGAPPPLRGSYRGAAAGQGNNFGRGRGRGGLGFDNAPATHSGMAIRGPNGAGV QTNGQNFAPRGRGGLDGARGGRGGAPQSYNSMPPPASLDSAARGRGRGGRGRGGNRGR GGPAPTPTQ VE01_05397 MAAGAQRSIHIRKATPEDVATVSKLGAHIFTVTFGHSVPAKELQ SFLEDSYSISATEKDLKDPMKDMVVASNQDGAILGFALLTRGSTEPCLSHLESTVELQ RIYVDPNHHGKGVGRLLANKLEEIARGEGFKHIWLGVWEENNNAQGMYEKLGYRRVGH HDFTIGEIVQTDDIMTKEL VE01_05398 MPSPHFTTRDVAMKNSWSHGDDRRDRVDRGVTRPRRSPSPTGRR RDIERERGRDREKAKEASSRSERRARSRDQSRDRDRRRPTSRAKQEDIRERNKGRELL DSRGAGKSRREGQQGSSVGKRGSSRSPSRERGHRKRRRESRSRERRHSTSKSVSRIES SRHIESARHETSPSRVNWPRDRRSSIQTPENDKGKSAAPDREEPSRSPRRETVPSGRP LSPPRESADSRNRSVSDRGSTHRERRHRHRSPHPKSSDQNPRRHRSGSRDNRSPRPDR HKSSGSTSPRPSRGRWESGTTSSSLSRRGRPSRDEYRPDQQTASGPNSIDVEDTKMNG RGGYAYGPGYHHPNQMQAAFPLKPQYNQGPTNQYSQSPQHISPNSSYHGSPQQSPYPP AGRGGGWGPPQGHQYSPQQQYPPNYNQGYPQPNGPQGPPQGKYYPPQNHSPQYPGPGM QHQPPPQQNYRGGPRGGFRGGFRGRGGGHFQNLHWNASQQGAGRGQNNSSGDVTPQHA SPTGQPSQDSIPQTGLEETKQVEDVEEEDLFRPSKDLQVEDTSKKTDVEDEMPPPDRP TPTSAQSNKFSFAFKASQKAAPATPKPEISQKLDKVPVRGPTKIDPAHNASRHIPTAP ASSRRHDYRDSRGPREREAREREAREPRDHREPRDRDPRDFREQPSRGFREPPPSREY REPPATREYREPLPPQKPPAPRMVKKIMKRLKTKPILPDEFKTSDSVYFRKPGNESVV GSGTYGKVFKAIHVYTKKLVALKKIRMEGERDGFPVTAVREIKLLQSLKHVNVVMLQE VMVEKNDCFMVFEYLSHDLTGLLNHPTFKLNPAQKKDLAKQMFEGLDYLHRRGVLHRD IKAANILVSNEGQLKLADFGLARFYAKRRQLDYTNRVITIWYRSPELLLGETQYGPAV DIWSAACVLVEIFTRHAIFPGDGGEISQLDKIYAILGTPNSRDWPGLKDMQWFELLRP TARRPNVFADKYKERVTPAAYELLEAMFQYDPAKRPTAGDVLEHPYFAVEEPAPQQAI ELQTLEGDWHEFESKALRKENEKRDKEARRAVQKEAAREKERKRPPSDSEAMERDAKR VQTMPPPPLPEKEAL VE01_05399 MAHFYDAVLQRPDRFNFAVDVIDYWAARPDDLEAMYWVSKGESQ KRSLTFKYFSRQSHRVSVLLQRLGIKEGETMVMIVPRVPAWWEIAVGAIRSGVIISPA TTLLTEKDIQYRCVKSKASVFVGDGASVAKFLAVRDACPSVRTVLQVGDVPHPGTDSF YASLELVEADASVEDVRRDWNSPALLYFTSGTSGPPKMVRHNQVSYPLALTTTAKSWY QLAPGKVLWNTAEQGWGKASWSFFSAWNCGATLFVYDDREAFSPRRLLRILHRYPITT LCAAPLAYRQLVLQEAKSYYKEHPPMALSHCTAAGEALNDEVIRQWNAISGMEIRDGY GQTESVLLCGNFSGFPIRPGSMGKPAPTVPLSIINIDGSETAVGEEGEMAVLIDDISS KNEFFGIFDGYLNDDNTVSRREKIFTKNGERKVWYLTGDKARRDADGYLWFVGRSDDV INSSGYRIGPFEVESTLKLHPSVVESAVISSPDPIRGEVVKAFVVLIDQWKDVNQDSL RKELQDFCKKNAAPYKYPRKIQFVPLEFLPRTL VE01_05400 MEVPSYDNVKVEILSHGIVALVTYNRPQSGNSLHPKMLAELVAA LRWVHSNPTIRIMIQSGTGEFFNTGMELVDGDGMSFARGSDFHELNRILILSDKILIA AVNGPAAGYGVSSLALYDLVYSVPDAYFFTPFVKWGMAAEAASSFSFPRLMGHQKAAS LFLAGDRITAQEASLNGLISKILPREQFLAQVVQIAARIAQSAPASLRATKEQMRQLV RQELLDANDRECDLIRHERYGYEEYQNAVKQFRVERLEKQDLKRKITKGRI VE01_05401 MSTKDRLEKDFSNSRDAGHTHAEIQDDTLRRIQTAGSFVITPEI FEKMYLTPPNKVKGDLRLKFANPTPLGLTGFVIALTPLCCALMGWRGAGGGGAAEIGP YYWFGGALQLIAGVLEFFLGNTFPFVVLTSFGGFFLSFATTLTPSFNASAAYGTNVEQ FHATFGFFPLFMGLLCVFYFICSLRTNIVFATIFFFLVASLGLLTAGHWQIAQGNVDL AANINIAAGAIAFVSALGGWYLLLSQLLEALDFPIQLPVGDLSGFIQSRSQRVAKKDP SQLV VE01_05402 MASLFTPTMRPLSCLKTLLRQTRRQKMIARSLTTYRKPDLNRGL PLAAAVDAGMPHVNRKLKAYPPPPSPAANFKNPIGDLHAEQISVLDPTGARTQLFSKT SPEAAKVGDILLVRQKNGDPFAGVCINIRRRGVDTGILLRNELTRVGVEMWYKIYSPN VEGIEVVQRREKRARRARLTYMRKPKHDMGSVQNIVLAYQRSRLGARSGDNKGGKKKG KGRK VE01_05403 MSGNTIRRALPRLERTSQYAVGPASGQRRTFTSSLPHQKHGFPT FQPTSSPELDNIISKFRNTIFLPAHLSKQHRDLVYAFKHKKSLETIPVTVEIGGEEIR LNHINRTKDVPNQRKALREALGLMKEKKDWDSFPLLLEGLHSAGRVTDYVVLELFARM ACVAGRQDVVLESARRVSKTGFRINGREIAKIVVWWIQYSALANGFSQADTKKALSMA EQIAILMEDKAHAGGRVADSDDPRTSPEVIGLLLELSALNAKHQGGKDENGSVEKYAK GLLASLRDKELEGMLRTGNIDPARIQEVESNSMLQTVAPILLGVRTAISVLGESSDTT RQLRDLETKLSAQVAQDVETLKSTPGSGERLGLWVYEKTAAAE VE01_05404 MYRLGVRRFTTSVRHAAQTAAQMEAKNQYGIQVSKAQGVVKGLV GAIGNTPLIRLNKLSEQTGCEILGKAEFMNPGGSVKDRAALFVVQDAEERGLLAPGGT VVEGTAGNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNP ENYNHQAKRHADRLENAVWTNQFDNVANRRAHVETTGPEIWAQTGGKIDAFTCSTGTG GTLAGTTAYLKAVSNGKVKSFLADPPGSVLHSYIESGGQLKERSGSSITEGIGQGRVT DNLSPDIESLDGSLNISDEKSIEMVYRCLDEEGLYLGASSSLNVVAAKEVAEKLGKGH TVVTILADGAYRYADRLFSKKWLEEKKLLGAIPKHLEKYIVLP VE01_05405 MPARVAPTRSARTSTAVSHKSSAQTLNSTRASTASRVSAPYVEI PEDAPETALRTQICAIFRDAQKSTTGHRKLVINLRKIQEACCYEPSSKQKQTGAEDFD EDEFNYEVTRCVVRVMPVKKSEAAGERVIRFIGLFLRHANDKDNELVPMEDDDMGALV ETPSTRLTAQVMKTVLSLLTAKEKFVRFRATQLISHLVNSLDSMDDDLFQLLRHGLLK RIRDKEAMVRVQAVLALGRLAGNEAEGEINSDDSDEESRVGLLEKLLGVLQNDPSADV RRSLLVNLPILPATLPYLLERARDQDPATRRALYSRLLPALGDFRHLSLAMREKLLRW GLRDRDENVRKAAGKLFRDRWIEDCAGIPEEAAADAEAPPPNFEALQELLERIDVVNS GVENGVALEAMKGFWEGRVDYREAVVFDNLFWDTLSAESVFMARSFNDYCRNEGSNKL EALVEEKMPEVTKLAYYLQRYINVLVEALKRVAQQSPEEDEEEEDTVEQEFIVEQLLH IAHTLDYSDEVGRRKMFALLRQSLSVPDLPEEATKLIVETLRGICAGDVAGEREFVSI VLEAVADIHDTIMDEPAEEDVDESFHSARSEVSDTEINSKKSKPEMSEEELQDKSIKE IMVNMKCLHIVQCMLQNVEGNLQQNVDLVAMLNNLVVPAVRSHEAPVRERGLLCLGLC SLLDKSLAEENLNLFMHFFSKGHAALQITALQILTDILTQHGSHLLESNPPLLKVYLK ALKNGSKGPEVQAATTVAVCKLLLGRVIQDSDAANDLLKTLIVAYFDPSTQSNQGVRQ TLSYFLPVYSYSRKENQDRIRSVAVDALHVLYNIHESLDDEDEDIEMVSLSVIGAHLV DWTDPRKCYVPGNSMSLADEGAKKAVNGDVHLDLASDILERMNNATKEEKKILAPLLG KVHVSAASSEDKIRALYDEVCIAVEDKLVPDATGRNALFKIHVSLGKIVNNLAQKEGP KSSPLGDRGSATPETEVGEANEDEDADERTVTTVQQDDADERTVMTVEQDDVDNDEQD EDTIGALVQEQLNEGREESELSMLGETSVLATRPKRNQRPRDSLVEELLSDEDDM VE01_05406 MAQEAAFTSSAMATALENSSVSYEELADIEKEFDDAETEIIRQQ VALTAPLYVKRAELLSKIENFWPLVLEQAPPDIDQFIQPSDSALLLSSLTSLSVDNFE ITSADKNGDPRSVAIKFEFAENEYFENKVLEKKFWYRTAADGWSGLVSEPVKINWKKD KDLTGGLLDLVIKAWEVEQSGKGASSKKGEPNDAQKALMKKIEGTGMGGLSFFSWFGF IGRRVTEEVSKEAIKREAARRKGEKVAEDEPMTEEQEDQEDLEMSLEIFPDGDDLAIA IAEDLWPGAIKYFTQAQEQDALSDLDFESGDEDDEAGSFQGLSDDDEAPPLKKMRS VE01_05407 MASFGSSPVYTVPRRKVVAVEHPMAINDVDSTMKTFGRGAPLAQ ILDAEDYDGCLPLFLRPEDPSCPPNLSQNAPTNNVLLKVTIPKRTGRKRKRGSQDPFE YHLDMQEPEPEPIAHDDQPPGLLSHSRLDDPSYLQKRLRDCRGYYHIQPVGSIEQTHR YRGLSDFHYSTTHGNYMTKFRNTIMTGDLDKIKQYKLDPSRGPKQMTELIPPPTMTDH PLPFNWGYHQNPTIRVTTDHQTGKKTLINHSTPARLATLYVAHDIPVTPSGPTNPPPD DPLLISVIEELQAALAERPIWTRRAIMNRIGASPGVYLLKPAMQYVGYQFRGGPWRDA IIRYGVDPRTDPKYRFYQTLFFKIYDEVEKVPGQPWTDIRSEYTRRAQVTDISLDSHI FDGTKLSLDGKIWQVCDITDPMIQRLASTSTLRSTCDLEVNGWYCNGTWAKIKAVMRT KISAIRAGKHVPDEAFETVLKVPDFVEEKAKAGKVSIPMPDLKKVGIKVGESVNGARK KRIRMAARRWKAGGAKIPGLSAVPEDIAVTGDGSTAAEESVETMTEDQNASVYGTEQD YDEDEMIEEEYDDEGGHDEDEDEVDYDEAESESEGAGDSGGDEEDDINSPK VE01_05408 MKGFRQRVHDQLSRSKDPNKSSKKNKDPKDGTSSPSASGSSREA GQSPSVTPSASTTSLNDPRNKPLPAGDSGTPVSGHTASPSLAPANTLNGGQHGVLPAA DRFNTLGSNSPSGAAPGTPNRHPILPPSVIISPSAPHVPPPGSAETMPHDLAPPKAGS KNLVFDRLQATPKDVPEGIRTPKRQHSSRFDISPLRELEKLPGFHEVPPNRRQELFMQ KIDQCNVIFDFNDATGDIKSKEIKRVALHELLDYVANNRQVITDAMYPRVVDMFNKNL FRPLPPPMNPQGEAFDPEEDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKVYIDH SFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSYIRRSINNVFFQFVYETERFN GIAELLEILGSIINGFALPLKEEHKLFLTRVLLPMHKVKSLSMYHPQLAYCIVQFLEK DAALTEEVVLGLLRYWPKVNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSV ASPHFQVAERALYFWNNEYFCNLVSDNVEVILPIMFAPLYENSKGHWNRTIHGMVYNA MKLFMEINPQLFDDCSHEYTEHQNTADARMQAREDKWKALAEQAKHGKANGVATLGAV PSHEMDPMTEDNQKRLDSLQLQDGDRRERRPSLHDRQNSVGSSRSQR VE01_05409 MSSINEEDPFLQVQADVLSQLNTTRPLFASYLRIRSLSPNPSSP EVTSARAELESSLSTLAEDLADLVSSVKAVETDPHRYGLQIEEVVRRKHLVDEVGNEV EDMREELLKHLSQSKSRNKLLPPGVDEEQAVGDDMYEEFEQQEQQRIMNDQDEQLDGV FRTVGNLRQQADDMGRELEEQAEILEQVDSAADRVGGKLQTGIQKVGWVMRNNEETMS SCCIGVLIVVLIILLILLLVL VE01_05410 MAPDKPLPFSSEFNTAEEYVDSLLDFATTSTLFQTLCGGVHILD FFTREPGLYESVIPEEWHSWLSNCSSMELLDVLIRDDLDNVSGPSPSLIKYIKDIRKH SLQRQYTPRKLKQSKLPRNVAVGMVPKKIHEVTNFADYVDRLAEDVAIQTNKNITHYV DFGSGQNYLGRALANPPYNKHIIAVEGREININGAKNMDIFTSLAEREVVMRNKKIYR QQMDDDISQDTQKEKEERIAAKSQTIPTENGADFRPYRDLATIYTTGEGQGSIQYVEQ RLNDGDLSEVIPQIDHVEVESNLAASVAAIGLEDGGNHQKQCCHGGDIAKTSLMTISI HSCGNLSHHGIRSLLLNPSVNAVAIVGCCYNLLTERLGPPTFKNPILRPNLQPVNARK DRESAAFDPHGYPMSERVATHNGDGIRLNITARMMAVQAPQNWTEKESDAFFTRHYYR ALLQKVFLDTGVVSAAPPPHDGGDAGSESPKNDRTDPVIIGSLRKGCYVSFVDYVKGA VEKLTRDPARGKEIAEKMGTLTDEDIEVYAKKYGPRKKELSIMWSLMAFSAGVVESLI VTDRWLYLKEHPRLVKDCWVEAVFDYKQSPRNLVVVGIKR VE01_05411 MLAQRATQQALRRFAQRSVISQAAAKNLAAPLSLTSQIQTRPVA TQKHLTPADSYKILVEQRRLRPISPHLSIYRPQITWYASMFNRITGVVLSGTFYLFGI GYLAAPVLGLNLGSASLAAGFAAWPIVAQVATKLFFSVPFTFHAFNGVRHLVWDTGRQ FTNKAVIKTGWTVVGLTAASSLYLSLFV VE01_05412 MADQKLVWNADNVRDVAESVGISQLGEEAVRALSQEVEYRVGQV IVEATRFMQQGKRTVLGTQDISQALKVLDVEPLYGYESTRPLRFGEASLGPGQPLFYI EDEEVDFEKLINAPLPKVPRDISFTAHWLAVEGVQPSIPQNPTTAEARTQELVPKGAN ANPTLTALTGNDNVGFKPQVKHILSKELMLYFEKIRTAILDTDPDDDVVRLRVAALAS VENDESLQQLVPYFVQFIAEKVTHNTKNIFVLQTMMELASALTRNERLFIDPYTTTLC SSVLTCLVGRGLTNATPVEVKDHYKLREFSASLLGHIAKKYAKSSQQLKPRLARTVLK YFLNPKKPLDQHYGAISGLVAVGGPESVRMLIIPNLKAYNSVLAKGQTESPLDAEMVV AALMKAIRTLEEDAAVLANGNGNGIEELSAQVEELVGPIIGGQISKLGDHKLEKAILE CRS VE01_05413 METIDAKGRGAFIVVEGLDRSGKTTQTKKIEEALVGGGYKVKLR RFPDRTTVIGEMINNYLQSKSDLEDHVIHLLFSANRWELAKQIEKDIADGYTVICDRY YYSGVVYSAAKSNPELSLPWAREPEVGLPRPDLVIFLDLEPEVAEERGGYGDEKYEKR EMQEKVRKLFHALNDSEEEEAEDMVIYDAGKSVEEVTKDVLQSVSSVSLAVKQRLHPE LRKVGKWKREH VE01_05414 MPSQASMVKQTANSERRFVPNSAPVTPAEISPVPTPNGVPEGKL KNDESSKRRRRRKARKRRNSIGKAPRDPRDAVSPPEELESETRSPSPGIDFVGLSKPS IGTVARLEESPEKALERQAKIAGAVRTILECIGEDPNREGLLGTPDRYAKAMLFFTKG YQENIKTIVNDAVFNEGHNEFVIVKDIEVFSLCEHHLVPFTGKMHIGYIPDRDVIGIS KLPRIADMFSRRLQVQERLTKDVAHAIMDILKPQGVAVVMESSHLCMVMRGVEKTSAT TITSCVLGCVEKREKTRNEFFSLLGLNRR VE01_05415 MATSPPYAPQYGGTSVSPPYPSHTPLPSKRRTTDPHSSAKRRKP STTSTHSAHPLRQTSFPPDGLNPDGRAYSADARSPSLDATSMVSGSVVSKPPKKRGRK GKGAGGDEGSLVGGGSTAMSMVSGGGRQREGTRGVSPEEDDEEVATLDVALVARTNEE KEKEKYYRALLVGALDPDQYARYERWRSSKLADAVVRRLVNQTLSQSVPSNVVMAVKS VTKVFAGELIERARKIQTQWLFASSESQIDDPDARGAAPVDTSKDVQLWEANKAEERR GPLTPEHLREALRRYKAERAGGLVGLMGLDRGQHSTGADRFGLKVRGRRLLG VE01_05416 MPKAPDPLVDPAAYLRSIGSVRARCSVLLEKALDNDLNHFDVDM SKFDDSVAFVVSIIKRDFAPDYSTIPPHGRWQHFNVGGKDRVTHLLQSWPSSVDTSER TRRLLDLFLVSVLLDAGAGTAWSYKSKENGKVYRRSEGLAVASLEMFKTGMFSSDPDQ PFRVDSDGLRRLTVETMGKGLQVTAQNPITGLDGRANLLIRLSKALENRQYFGDDLRP GNIIDYLISHPTTQISSVPIVPLPTLWHVLMDGLGPIWPASRTVVDGVSLGDAWPLSS MPRDSNSAEWETIVPFHKLTQWLCYSLMQPMSVLMHIHFAGAELMTGLPEYRNGGLFV DTGVLTLKPEQMKRGLERFSAEADRNGQKCMEVVPMFEASDDVVVEWRAVTVGFLDLL LAKVNTELGLSGEEALSLPQMLEAGSWKGGREIAEVTRPNTKEPPIMIISDGTVF VE01_05417 MAMADRLSDEEAAHPASGAGIRTSTESLERPRPHTDTTASSSDL SAPRFIQEDGTYRKYPWIPTRVQRYSKAVARWAKGPQPPRRQHIKPLFPKIQEAPIRF LDTYVPKKKARLTLLLFFYLCWGLTFGLMLRYSTLAADIGSYGQPVSIDCGATFWSSG NSCGLQGSNCRPFEGTSLAFRCPASCAGYKVLNPRAVGTQEINYASLVIGGPPEDTSK DPRATYRGDSFLCQAAIHAGVITDSEGGCGVVSKNGAASSFTSSQSNGIKSIGFDSHF PLSFVFQPDVTCSAKDMRWPILAVSVIFTSILSLFVTSPSVFFFTAFTTIFIHVGLVS DPPTHRGMLDLLQILVSRFLPAMFVAFVFYTFAICRTLRGLTAQVEKTILWLGGCWVG SLTNYTFDFIPIQRLTPHDLEQQPGAKAALAFIAIILIAIVVQQIWYFRLEGRLLRYL SFYAVILVGIIVCICIPGLNLRIHHYILAILLIPGTSMQTRPSLLYQGILLGLFINGI ARWGFDSLLQTDAALLDDAQHNSKLPNLLPPAIQLSTAATTSNSNITFTWPDLETSFD GVSILVNDVERFRGFVDDEPRNGRGFMWERQPGLDVNEYFRFAFMQGTATLDYTKAGT WTKDGKWVEMAPGPSRRVKREEKGGGLLGEPW VE01_05418 MAVGALDQPFFTLHDETSIDAAPSDPRRARLGRISVKGRRTLDT PNFLAITSRGVVPHISPDVVGEQTEFAGVYTAIEDYVEKATRGGVPPIMKMPARNSTP LHSFTALPPSLITVMSPRRSPAVSSPQGNPDSGLSIFTSTGFQSLSTQRYAEYANYIK PDITIALGDLPYGPMPGKKRASKMSERTTMWVDKFLSELSKSPEAPGAPATAVFAPIL TRDYQSQFEYIEHISEDLAPSLSGLAFYSSSLLPDIPQTPSLNRLVRLSLDEPSSPHE ILRQVSLGMDVFTIPYLTFATDSGLALTYEFPRPAVPDSSNPSVEGTSLLPLAIDLFS PTYSTAVTPLIPTCTCYACTSHHRAYIQHLLCAKEMLAWTLLALHNHHSTSTFFAAVR KSIAAGTFEADKEVFERTYETELPEATGHKPRARGYHFKSGAAEPKRNKPAWGALSEG VGATTQTPPVMPDEDARTLDEKGFAEDLADRPE VE01_05419 MEASAQPVADAGAAPEIRKQKKKKVLLMGKSGSGKSSMRSIIFS NYVARDTRRLGATIDVDLSHVKFLGNLTLNLWDCGGQDAFMENYLSQQRSHVFSNVGV LIYVFDIESRDFDRDLLTYRSIISALSQFSPTSSVYILIHKMDLVAPAQREASYNDRI AAIRTKSDVFDPVPFATSIWDQSLYKAWAEIIHDLVPNLSSIERHLATLGTLIEAEEV LLFERSSFLVVSHWCSSIGSSNPTTDRFERLSNIIKNFKQSTSRYTGTPKSAEQFVLL ELKLSRFCMFVVKFSTNTYLAVVLPPGEERFNAALENAMQARKEFESLDSPARRGPDR GVSGPSE VE01_05420 MIPSRGIQWSSRTIGIGRRRLEEISIRSFSSSTTRNARLSAAPF GTLSSKTAAARPRFVQSSSILTQLPSRRFNSSKAAATTAATTPGAPTTEAVAGTTSAY TSTSLDDAIDIITSAKDAPEHIGYLKSLGLDYGYGPTTCVEWLLEHTHVYCGTPWWAS VALTAVFIRIAFLKLYIDAADNGARMARTAPQTKPLHEKMLNHQRNNDQAAMMAVRQE ISVIHKRAGVKMWKSMLPMVQMFTGYGTFVLLRAMAKLPVPGMETGGILWFQNLAIPD PLFILPLAAAGVLHVVLRQGGEAGTSTMSANTMKLLAYGFPVLSFAFTFWLPAAVQLS FFVTGLWSAAQVTLFRRPAVRSFLGMQQMPPPIKEVDLKNASPYRADIITAQLMKQRQ EAPTTRGIFAALNDTVEGAKKSAREGVKTAREMAGQQEAPGKRTKAQLAKAKEYEKKR RAEEAAKERQYREWRKAQKEAERGE VE01_05421 MALCALCQKLSPWTIPHIKEPFSRDELKEFVTHHLTFTALRQSS LTCPLCSLIHTTIVDRGKYRINPGTLQEDLPIQLGSYRHILISPGGPSQLYSIAVRCG ECYGSLVALAKDGSEAAVSREVAGRFPLNPDSDEGFAMVSTWFKECIEKHPHTCRLPG NLTGTEVAEFPTRVLDVGTTGDLQIRLIDSRGMRGQYAALSHRWSTNPIEHFKTTKPL LDQRKAGININAMPLNFQDAVKVTRKLGIQYLWIDSLCIIQDDTGDWECESAVMGQVY NNSSVTIRAAPERSRSPSKQYSGGFLSRPASTTDSPTVEIPYRKRNGELAGSWFMQPD KYFHIGNWELHTRGWVLQEEQLARRSISYYGDKVSWMCKLSTSMTSEPQRGEVYSPEY VKNAESMENEDFNGYWLDIAFMYSMRRLTFESDRFPALSGLATFFSKRQGKQYYAGIF SGGIAHGLLWCAVTPGILSRRKITGYVGPSWSWMSVNGRITFKGRGGDDESVSALGDV TFKLTPLGMDPNGTLKDGQMQLTGNIKSVTMRRITAKGDMTMRLEADSKVVANFQLDF ADQPPLENEIQEVECLLVMNGSDCPYNVLVLRRVKQTLHFERIGIASVDPAWFVAGNS RHEYITVV VE01_05422 MKISSIIPLFAALAAVKAQIAPGPYTIRSLASDERGYWDVQPAT EGRYRLTIRNNPIGGFDDRLFAFPTNDPRINLEWFITPVVGYGANNYIVETAHKARGW VAPDALNPDRQLALKPLIAGPSIPPFYPPFEVFTFTPLFS VE01_05423 MKFTPAIAAMVLAGSADAFWRMECRGRSGLARLDPLVNPGVASA HAHTIFGSSGFTATSGSDDLLAGDCTSCAVTEDKSAYWTPPMYFKDAATGQYKLVTQV GGMLSYYFLNYAPGETKITAFPHGMEMIAGDSNQRNFTAGETAPTTQKGLAQKALGFN CLNYNLAAEGSLYRHFMPDKAYLDANCKDGIRAELMFPSCWNGKDVTSANKMDHVAYP SSVMDGTCPEGFPIRLPGLFYETIWNTAEFDGVDGEFVFSNGDPTGYGYHGDFIMGWE EDFLQSAVDTCTNLSGRIEDCPLFTIQTDAVAQTCLIKEPTGILASVLAAENLVGPIP SLPGGVKLTPGPDYVVKGAAPVADASTVVVPTLSHSAGSTVAPSATAAPGGIFKENNA AIVKVADVEPPATTEKPTLVLAANQKISSTSYITQGGSVVEVIVIEELTTVMDDVQTM TVTAASRKRAHRHGRRHN VE01_05582 MAAGKTSPSPFGDGNAIASETSSIAPHSRHGSEGTGTTPRHRDS GEKEKEKHQFRSYRLTGEYEQPWIKDKRMKKTRYNNWIVNNSLGYTIPPVRSARLNTK GKKTIRYGKIEITAKMPQGDWLWPALWLVPQDDVYGGWPRSGEIDIAEVRGNSPDYPL GGRDTYTSTIHWGDFHTFGFEWSGDYMYTYLDSRLQQVLYVGIAGKGTPKDFWDRGEF GDVFVNNTLLPNPWAGSKNRGAPFDQEFYLVMNVAVGSRNGWFLDGVGGKPWVDASTY LAPGAFYQRVDDWLPTWGEGNARGMTVKAVKMWQEGKCA VE01_05583 MGAPHVSVATISTCSTLVDYVQFKKWELTDCFSDVEQFQVSPSY AMGIIFNRPKGGYKNSRGITKKLSDIAPSVFLTCDKVRLECFNFGHGIVYEGCWVTYD DKIMCGSSMQKLPTGQSFSVLCEDRIFRKWFTKVLNGAYKEYDFDTLPPEFLKSLTSP KLPGSLASEMPAGSSPSAGLSIPNNQVVVPAQQGKRRLVLNFGQSRDWKTAVPGQQRA IPFTSLASTSSPAALVMAKVKAAHKAKADALLSNSSNVTDEVVAPTKPGSSLNHSPNQ SAARDKVDKTTPVQDGSHAAGQATVKPSTTPQLSEKAATGMKRQNASPLTGSLDKRTK TGSAYQRQEVINIDSDDEDNAKPNLENSQLLSDTAQNQELMKEIESLKQSESRAVERA NEALNRIEKLMQENTNLKLSEMRAVNRANEALGKLRAANRANEELGGNDGLVGQIEEL MRENASLKMSALRLVDGTSKTMDKDGESTGGLKNEGGTQQIKKEDRAGQQKE VE01_05584 MSNNQEYDGEATEQATYESDNDDNNYQPTPAQPQRSLQRQQQQQ QVPNGAPANNQLTRDQIRENMGYRAPVIRESRIKDRPPKKDEKDSAVKIKIELDLEVE VDLYARVKGDVTIGLM VE01_05585 MHFQTATIAAALATLAAAQTLNIPTRSGDITSLPQPSTISGVND YANKEFDRGQPCDSDEDTGSENAVFILKDGATLSNVIIGGDSLEGVHCEGACTLKNVW FRDVCEDAITLKGDGDVLIEGGGAQEAVDKVVQSNGRGTCTIKDYTVVNVGKLFRSCG NCSNNGGPRNVIVQNIKANGVKADLVGINSNYGDVATISGSCGTGVKSVCQEYKGIEK NGGEDPVKVDTTANCKGAQGLLDSLPSC VE01_05586 MSAPVGKVLTPIEGRVVSVPSDEAIDEKIRLDSDATEILKDEDL VIVTETKSGSNDDSDSGTDDAIIVTGADAAKHLLPLRDDLEPALTFRSLFLATILSAF QAVMYQIYYFKPTAITIQGTFIVIIAYFLGKAWAAFLPRGDLFEARWRERGGQGKVPR WISVVSFFNSGPWNLKEHAICTITATSASNGAAAIIVFAAQDLFYNLPLKASTVVLCT LSIGLFGYGISGIMRPIAVWHVDAVYWSTLPTVKTLQGMHWQEVKDSKPIRFFWYAFA GMFAYEWFPAYIFPFLNSVSIPCLAAMHATGSKAAVLTNLFGGSINNEGLGLFTLSFD WQYITSFQTSLPLTLQANAAAGFFVCFLAMIGIYYTNAWGSKSLPFMSSRLLSDDGSA YPIKKVFAGGVLNTDALAIYGIPNITGTFAYAMFMANAAIGALIAHCILFWGGDIKRA YKSAKKGNYSDRHHAYMTKHYKEAPWWWYIILLVISFVLGLAIVIKEKNILPVWAYIV SLILGIVISPFSIILYSRYGNGIATNNLSKMLAGLMLPGRPVSNMYFNAWSHTVISNS LNLCNDLKMGEYLKIPPRVMFLTQVYGTVLGAFVNYAVMISIVGGNRDHLVNSNGDAS WSGATIQGYNTNATSWAMAKYLYKSGARYSIVPIGLAIGAGAVVVHRFVVHFVPRIRG FSLSDFNFPQFIQYAGYIPYNSSQTCVIFSQILAGFFVQFYLRNYRPRIFKDYSYLIT GAFDGASLTVLFILSFAVFGAGGPSKPFPSWWGNNINGNYDFCPVAE VE01_05587 MLPRSLLLLCSIASAVATGACTDGIYNPPVGTSFVTVNTKVGMP YNITTPDGIVAMVPIATGNISGQFNGHLIANLSAETERLLNSKTGTYSTVETRWVFEN DKGDRILADMKGLTTYSAAALHGFGTAYLSTEVEEFYWVNWELFLIEWQGSFNTGDAK FEFFQITTGGRKDGQPIPN VE01_05588 MLFNSFALLGLLGAIARAETEGVSDVNSFVLSNNGGCKCGPSDR CWPAPLIWNVFNLTLGGKLIADTPPAISCYDGPQKDLAKCASLQADLKNSTYIGNSPV APGFPVNDQCPPVNFAAGEVAGTCTMGGLPRYTVDATNPLQVSAAVVFAHLSNIRLVI RNTGHDILGRSIGDGSLAVWIHNLRQGITFQKTYTASDKCSKSGWKGSAIKIGGGYVW GEVYAIAEANNVIVVGGGDPSVGCIGGYAQGGGHSPANHNYGLAADQILEAQVVLASG LIVTANACQNTDLFTAIRGGGGGTYGIVVSTIVKAHPTTRVSAQVFSMAPLTDANIPD FMDALAIMYSAYPDLADAGLNGYGSWAANSYAPVIPNLPYTTGYSHALAIMGKSITDA KNAFASTAAKLSVYNGTSLFLYSDYYTFPTYAQYYRTLSGGLGPVGSEAALGSRLLDR KALTNTTGLKNMLNTVAGNPGQFLQNNFCLVSGGQVFKDRAEPFSGVNPGWRTSYVHN IVAGGWFPGADAATKAAVHKDITEVKVGSMRAIAPDTGCYMNEADRLDPDYLVNFYGG ALPKLQAAKRKYDPTGLFYCPTCVGSDAWKEDSQGRICKAN VE01_05589 MSAPAPPGMGLAGKMPEHGLVIIVWVATGLAGCFVVARTVIRIK KIEKLHVDDYLIYAAFLVLVANAVLQTLQTPHCYNLARLVNGLSTLTQEETMASGNTY MRYEFTIIGLFWTVLWLVKASFLAFFYKLFDGLQGYRRLWWAVVVFAFLSYVGCWIVS INVCHPAKNYFIFGSCNKDIDMKTSIIAVLYSTVVDVLTDIMIMAMPLRLLWKVRISG SEKMGLAAVFSIGVLIIVFAIARAVQIAFTTTSDSVLLALWGIIESTVSVIVGCLPPF KSLFSRRQNTSYNTSYEDRYNKSALTNELRNGSIPLQSRTTQTTRIHRGHVTTESTED IVGSSGEDVRWKSGRGGISVRQEVHQTST VE01_05590 MSAAETLRAREVYRYYQPRNIPESSVESEYSADNGPLSPSHAPP KDTNFDTQKSFSDTALTAFAQLIALRLDSQRAIVSLIDHENEYFLAESTSNLSLVGDD GHAQNASLSISGARVPRAASLCEQTLCLVPNQDTAAEMTPVFLVPDLLLDENTSQLDC VKGAPYLRFYCGVALTNKRGVNIGCVYVVDDRPRTDFSLEQAQFLTTMAATVMDHLEN IRAKEDIVRVTMMSQALHAFVEGEGTMDGDWQRVKKYNLPAGAGVGFLWESNTNDVDA SVSTESANSATETLMSQTQSPLQHSSNNPDARFNFNNSPWSSSSSQVPTLKSVFEGAD DVARKITSGKDAAFSNDGFSNQLRTTFSRASNLIREGMEVDGAVFFDAPFRFYQGRST LETDPRRLEGKDSESSSDDEVRPGPRPRVQRLKRDANHSNEGATKLKSDVLGYSTRET SSWADQTTGSDASFTAIDQSLLTSLVRHYPLGELFVFDENGPVMRPADIPSPDGSQVT IKNASFDAKLARHNARKRSEILRLLKAFPGARQIFFVPLYDSTSGCFVGSFAWSTSAT RIFSIENHLSYLIAFGHSVMSEVTRLNTMSADLAKDDFISNVSHELRSPLHGILASVE FLADTVLDGFQRNLVDTVDICGRTLLDTIEHVLDFSKIKKFGQVSTQPMGSVANLDVS AIIEEVLDGVFAGFEFNGLSSQGLADTTKSRMRESLNSRDTDTKAMDELQFDFSNDAL TVIIDIDFRDDWHFPTVPGTWRRLTMNLFGNSLKYTSDGYIKVKLEARSIPSVNPSVN SDSFDRTMITMTVSDSGQGMSSEFMKTKLFMPFTQENVTAPGTGLGMSIVKQIVDLSG GVIEVHSDLGKGTEMKLSLPLDDRLRRASESPELMIPTPEDSISAVRRRARGRTVTIR GFDNTAGKSDLQIEAITSLKASIVKYAEEWFNLKVNSDNSVADIVISDESEFLKSTKL IEGSSQLLLILCSNGARRGIYTSQFEVGQLVEFISKPCGPHRLAKALLNILDAEDALK KSKADHAIQAEPQVGLVLPVVSPQNTRARETAEPRPAFIHRMSSNVAVRLNSSDVPSE NALSELLKGTLISESAGVTESPPVPLEPPKMLLVEDNPVNMMLLATYMKRNGWDYEEA TNGLIALQAFQNRPQGFDVIFMGKLCHLLVITNFSHRNLITFRIDVSMPIMTGYESTR KIRAVEAERRAAYGVQLQSLSSPPTPTSPPAFPFPTPGRVTDQLLPVETPLLQPIIRP ALVIALTGFSSQNDQEMAFDSGMDIFMTKPVRFRDVGSILEGWMKSREERSS VE01_05591 METIKNAANYVSETVQGKGAEASKEANKSVAKDSDASLSSRATA AKDAIGDKFNETSHNTQADVHKEAAKH VE01_05592 MAEVKQAETGTTPVAKSDDEVSIMERGVPPKRSIWKAWMYMFDW YPSHYSVEERKLLRKLDYTLMPFCCLMFFIKWLDQSNLNNAYVSGMKEELKLNGNQYS LFGTFYNVGYLLFEIPSMMLLSRPHLARWYVPSMEVAWSALTFIQCRLRNEYDIYGLR FLLGVLETPASTGSLYILSSWYRADELFKRAGVWYVSSNAGAMFGGYLQAAAYNNLNG VMGRSGWRWLFIIDGCISLPIALAGYMIFPGLPSSPRIWYMNEAEHELARKRMIDDGV KPSKKIGKAMLKRVFTRWHLYVAVLCYVCFQCSSYPTGQMGLWLKDEAKKHGTYTVAQ INTIPTAVQGLAIISAILATSLCMIYPLWIISSVVCAILLFCNLVLLAWDVPVGLHYT ANLLLGLTSAVTPILFPWVNIIMKDDAEARAFTTGAMMTVGWAFFSFYPITVFPVVEA PKWRKGYTVNTVLLCLFWAVFMLGMFLHRRETKKAERDAESEIPMETEKRSGEFDHVD VVAGKSSEEDKI VE01_05593 MTELQIHRNYGPLAAGNKLSQFHEVPSTHSTASTVSISQVPKAE DVASILLNTSQPKDGELQQLFAENIAAKLWRVAQRDLKDNDPPTAYPEIVPQEGSQQG RYELREASFWTCGFFPGSLYTLLERETRYPGSQGYPLPRKTLLALCRAWAEPLHAMAT RTDTHDIGFIVEPALRLDWELTGNRRSLQSIVTAAYSLATRYVAPSGAIRSWDLIRKK DVSIISMEENNIVIIDSLCNLDLLFYASAYTKDTTLATIARTHAHTMLTSHLRLESGI AASITGYSGPIYSTCHVANIDPKTGAIKQRLTAQGYATDSTWARGQAWAILGYAQTYM WTKERIFLDAACGLTEYFLRRLGPGYNVPPWDFDAPVENPEKPVFDSSASSIAANGMM ILSEALVADGQLAIAENFRIAAIDVVKGLLRGALAEEKARFVVDGSQKGSENDTLEIE DVLAGSTFDGILKNGTANNNVGANRRYANHGLVYGDYYLLQFGNRLLRMGLI VE01_05594 MPFYRVEQLLQQLQQQTESAQSSGYAPSPAWRNGDDHRPREASE LLQLFEREMREFKIMNNRRTTASSQGPTQPEGQLVEGLKRSAEFSPRHQAKRRRLISE GNFNWGELVLCSDSLDKQSSAYLLDGVLDNYFSQVHPWLPMLHENSFRQQLAEQVYDE NIEAILHAMVVAAVRLVIRPDTPASIRRTLKLTKRSRDWVLLHAFGSLSVENLQALLI IAFDYIGRGEANSAWSIVGSLTRTVEYLQLSVEVDDTDEQPLLKPCPIVPSCKDWTET ETRRRVFWNIFNMDRLCSVMTGWNTSLTSDDVHRRLPVDGVLWRKREAVTAPYFGIWD KSAGRIGNSIAYLTTQYPTLAQSPEEEHQSPGSMSNFGQNNNTEAMSSVGAFAYCIEA TESMSRVTTYFLQQKVNLSDQKQIGSWLMRFKELDLRLVHWKMFLPGKWKDTNISRRP TEIIMDPNLTLAHITHNASMILLHQLIAYPPENWDWATRLPSRCSADTCQTAALETSS ITEKYLWSPTTSKIVNSQFTFCVFLAARVLLVHWRYYEDKHPLPEFFKLIESLDALSN RWEGSPRLNTTGHANLAAKYAGLLRRMHEKCVNDVGFRIGVLAYANELGVDSINSPQV VNIDQEDSSDEEDIIERTEENSQRRSMNEPNHVQNPEVQDVAKSEDQAASGYQANGSS RTAGREGTFPPTGELRTPISIDPTPVQLPVSQQQYPLMQRPNVSVMQGNDIVPDEFTY ISQMFLNQQFLDRDRVISYDEGMFAANMDGWE VE01_05595 MPPLLGFSDNPFQSRDDVLQAATSLLKPLTPYKSPCGARVKLST ATGTGFDEISAQLEGFARPLWVVADLLAAQPTTSATASGDLDLYSWIRGLDAGVDPRS DTFWGHTGEHDQRMVEMEPIAYALLTAPTAFLSALPNTPGSDSQVDQQKRDRIVAWLR LINEKTVPPSNWRWFRILVNLALVKSCGVPYDEVKPFIDVDFKMLDSFYIGDGWSSDG LWSADKRQADYYSGSFAIQYSQLAYVRFARDLDPERVERYRSEAGEFAATFWRYFDVN GAAIPFGRSLTYRFAFAAFWSAAVVAEIPLPAPLNDMGVVKGLLLRHLRWWAKQSDIF NTDGTLNIGYTYPNMFMSEDYNSPQSVYWCLKTFSVLRLSEDHPFWQCEELPHPLSTN TLSHELGNQNDTSLSPFGVVNPAAQITCSLPEHHFLLSAGQSTQKPHRAREAKYSKLA YSSAFAFSVPVGPLLSQMAPDSTLSVSNDGGDTWKARWEPESARIKSVQLTLEGQKDS GPKTTTVPVLISAWRPWKSTDFKIETILIPPLERWPGWHVRVHVLTWKAQYSDSLFQL VDAGFAISSEGVTGVVLPTLTPELRDLLSSEDEKATDAAQEGIWEGDYNCLVLSDAGA SGIADLSAGAQKRPPIDPVFGGRECRSDLLRPDSNTNLVAQRSRIPSLHHRFQVQGNS SEAWLITGIFAVSSSSGLDNASIRELWRDKPRLDVEEYTNIL VE01_05596 MRTPVYIALCGFFGLGTASPTTNSGSSSAATVHWLGDKPAHNLG TTFGVPWAQGQHDPKKTKFTVSSGSNQVDLQSWVTGYWSDGSVKWTGHAIAASENILD EYTVTASKSAASVESASTNSKNKLKVTDTAKKITVDTGKLKVSFPKSGSNIIDTIQTA GGKIIGQDGRLVLQSQSGVDSNSDSRGKQPIKNLNFASKIEGVTVGKQNSVRALVTVR GKHQVTSGGPHDDWLPFVLRFYLYSNSDAIRLVHSLVFDAPAEKNFITGLGIRFGVPL KGEELYNRHVRIAGVDGGLLSEAVKGITGLRRDPGAAVRTAQYDGVVVPDISTWDTRV STRMKWIPAWGDYSLSQLSSDGFTLKKRTKAGQSWINISGGTRAGGLAYLGGATQGGL AVGLRDFWKRYPSGLDISNAASDLGEITVWLYDPAAEPLDLRPYHDGLNQTTYADQLD ALEITYEDYEPGFNTPYGIARTSEVFIFGFEKTPSRDTLSDLTEHMNNPPVLTAEPAY IQKTKALGSYWGLPDTTSARSAEIEGHLDFLFKQYQKEVEQRRWYGFLNYGDFMHSYD TDRHTWRYDVGGYGWDNSELSPDLFFWQYFLRTGREDVYRFAEALTRHTGEVDVYHIG DWKGLGTRHGVQHWADSAKQARISQPQYRKYFYYLSGGDERIGELFDELLDTETTYGI LDPQRKVRKDGWVPTPNSTVAFSLGTDWSALASGWLIEWERRGLRWEEAKTKLTSTIT SIANLKYGFVTGSGLYSLQNSTLGPPPLDPDNKGLVDVSHLSAVFGLPEVVSEAIEYY GDDLPAGFKDAWYDYCYYYAATGDEQVARYGVKFKDISLLQAHSRLTAYAAHQTSNTT LAKRAWRDLLNSDGLGPNTPWNTTHMSGSSVLVALDEAPWLGSNDVAQYGLAVIQNLA LARDALDTWNS VE01_05597 MKCFTTLLSYASLLALASHVSAVPTPEISQAIEARQALEARQAL EARQTTGVNSSNNKNTINPATAIYPKKAAGDAPYSVDENTLRSAIYIPSTFQYGKTGK QPVLLVPGTSLPGGVSYSNAFGKLLAASTYADPVWLNIPGYSLGDAQVNGEYVAYAMN YLSGVSQQKNISVISWSQGGINTQWGLKYWPSTRSVVSDFVALAPDFRGTIEADLVCS GLTIALCTPSIKQQRDKSNFIATFRSNGGDSAYVPTTTFYSATDEIVQPESGAGASSI MLDARNVGVSNNQVQDMCPGTIQIVLHEGVLYNAVAWALIEDALTHDGPGNRSRLNTA TLCWALAAPGLNGITGESILLTAVPNIVAYKTHVFTEPAIAAYAK VE01_05598 MEPPPKPQSALFQVYLRLRPPPAPNSLYPTLTTSERFVTVEESN EGAPTHITLNPPNDNRRRAVEKFGFTQVFEEEASQLDIFHGTGVIPLVEGVLAANGGD GRDGLLATLGVTGSGKSHTILGSRSQRGLTQLALDLLFRSISNNILDPSTTYSLHASV AASDPSEAQVLSSQGFLDIIYGDQSCASRATSRAATPMRGESIPPTPRRPMPRSAPTP ASPSIEDITLPSDPATDYAVVISMYEVYNDRIYDLLTPSPRTPSVKEPRRRPLLFKPT EASPERKLVAGLRKVICASAREALMVLEAGLHERRVAGTGSNSVSSRSHGFFCVEVKK RSRNARGETGQWRGSALTIVDLAGSERARDARTQGATLAEAGKINESLMYLGQCLQMQ SELGNSTKPNLVPYRQCKLTELLFSNSFPSTSSGSSHAPRPQRATMIVAADPVGDFNA TSQILRYSALAREITVPRIPSITASVFGGPPPRSTSGNSGSYQGQQGHDERATMERAA MEIARLSEEMDMLRAEVVAEREGREEAEARLVSAEERAMEIEAVVREEMWGEMEERFE TELKRWKARWEEEKERGGEHLDRKVEVLVRGLGMEGEGKENHEGMEELEEENRALRAM VIRLQREVGGGSPTKRTGEPRDVLGHLGTQTSPVKGRVRKLGGRKWDFAAGEEGDEI VE01_05599 MWHPELVDNPADDVTALFALGVYNKWHETELERWLSDHDIPHPT PADRADLEKLVQDNWHSKIVSPYSDWDTTQLKAYLNERSQEAANAAGANKESLVDSVK NYWYETEEKAEDAFSSVKDWIFDSWTDSQLKAFADKHGIPVPQPRKRDNLLQKIRYDY ETVAKKAGDSVSYPGNWLYETWSESDLKEWLDTHGIPAPQPATRDKLIASVRRNSRLA TLKAQSSMTKVQREAQKAADSISDSIIANWDDSKIKEWADKNGVKVPQGSKHAELLAI LRKHRARLLDDTLSATAASGYGAATSKAGNQWAKATEDAQLKAQEAFDAAIAGWSETR LKAYLDSRGVPAPQNGKKDELVAAVRKHSHKAASGYNAWTYDTWTFDNLKKWLTSTGD KQAKKAASKAGATRDDLLAAAQAYYSSASAASGASFATVTSYLAAATDAAKSNTFDTW SDSELKAYLDTYGVPVPQGSNTNELRAWARNQANWFRHGTTTPQGTLLAKAKEYFDWA YNQIVVGVQNGIQSAQYEGTKGKNRAQEAGTYAKDRAYEEKEKAKHRVQEEL VE01_05600 MSLPETLWFIARNFPIYPTLGILTYVLFNGIYKSIKGAYSGPLS AFPGPDFAAMTGWYKTFIELYQKRSWTMHLRELHKVYGPVLHFDRPEAYYDIYNSANH WDKEPEQYHSFGLDRSCFASLKYAQAQERRDVLLPMFSRQAVTKLQSLVQNNIDRLCE ALEANDAAGKSSDLFYGLRCFSLDTILQYCFNLSIDALGAPGFKAPAVEAMEASLPTT IFFRHVPVLRQFVQSLPIWISIQLSPATTGLVRLRALLGRQVNQVIDNPKSLDDSPHE TVYHRLLDKKANNGKVYDPETFYKEAQALIFAAGHPTANALTVGIFHVLSNNGIKERL VGELQEGWPDLNKTPTFEDLEQLPYLTAVITESLRMSPGIVSPLLRITPFGGATIGGI KVPSRVIVGMSGTFVHESEVLFHDAKNFNPDRWLVDDSAVLERWLAPFSKGPRMCIGQ NLAYCEMFLGFAALFRRFDLRLDGNTTRDLRYRECYVPQFLGKHLTCFCRPVEK VE01_05601 MSSKSPKSNTTVIDSEENLLSLLDSICRLAVEPPSLYINLEGIP VGRGGSISFLTLHIVPTKKTYLIDIHSLNEAAFSTTTASGTSLKSILESPTIPKVVFD IRNDSDALFSLFQVSVDGIIDLQLMRSAARGRPAVGLVECIEKDSPFSVRERSEWRHA KETGYRFFGPEKGGRFEVLNERPIKPEMIHYCQLDIVLFPGLYGIYSAPLKRRYEASW QDHILEETKRRVTLSQSPDYDMHSRSKVFGWDDGYICKQIDSWASGIIMEAVTLGYFL GENSQRVYCYEA VE01_05602 MSTRKRKAEDEELVALPSDESEEEEEYEDSEDEAPGGNSEESEE DEDASGSEVEEEVEEVAPPVKKRKVVGTAALTEENGDEEEEEEEEEEAEGDDEAAKGE EEEEEAGDEEPAVSAKKTNGAAAPKKAEVETVDLDDEDE VE01_05603 MAPSGGIWAPIALNVLKNAIRASQLIKRRLAEAAQRTATASSEP VPILVRNTPRHPISKAAWLRQSKGRWYTTHSTINATVRRFMSTGSANGVKFDRSSFPK SAIGTTILRSTTRAPFCSTLRPNLTGGALPRTAGGYSLGGGRTGGARYFSHTPAAPAQ VVNNVSAAVRAFWLSGHKAQFDGLTERGDKRYRTISALQDETSRKMSSVTKASPGAFV DFRFNPTVTALSPLAAALPTAHFAEAPTLNTDGFLDVLSVDFARALKDFTAIMNDLKR LSALGDLSVTLEPGSILRVRFPGCDADTVERLCDEIGVRRGIIQQDPDFDASYGGQVA LLFPFASTTGKALSSPGGSLRSQTGHELEYDQLSEYKEFDEFGDNPWLSSPDGYETME ELSETESMYFGQGGSPGLSRHDQDAGYVEGIYRFIETCDGARRN VE01_05604 MSRNVRQRPNTSHTGQKTPKRSRNNLTSDEDDDYSGVDLISDTE EDEPDVEVAEEQAIIESEEEDDIVNPQPADDEDEFWGPYDSLKGGPDLFPEEVTDQAS PADILSGAAEWMGSGDESEPEVTRRVRFDLSDSETVESDIDDNIFPDIFLDQGSLDPG FRRTIENDKNKDNDDSGSDDGSYWDFRGEDDEMGEEEVDDDDNKSESSCGSSGYETDE GETTEEDLPPQAQFLPARTVLRGLSSDASDSDDSDDDIQVIQRKQYRPQRTGPKLGSW ITDANKPFAVLDSRGKRLMMFRARINRRHSTDSVTGPGRMMTIDEDDRDASGMEQMSP MISNSANLMMSALYTPMDNLGGQALGPPEAFYPFVSISANGNMTQDCPSSSFDEDDVD DEDIWNIGDLIDFGDSSSDDDANEHDDEDDSCDSNTVGMPSSTPVRPSTANSEDQAHP LITHLTGRVGAFRSNQNRHSLLSRNSASRESLAFSGRYGQGAIRGIKGGRLAAANTPI TPLRKSKISKAELIVSPSPAEGADKKRKFSGESHGHKRVRSLF VE01_05605 MKIQQLLVAAATLASPILAKLATFSPTTGITYSVGIPQSTVASS TQHGDIFISVSFPAKYQYVGFGIGTHMAGATIFAVYTDGSGNVTVSPRDGTGHFEPQH STSKTVTLLAGSKADATTVVANFKYRADEVLLQVQSASSPFIGSWKEGPAFNTADLAQ TLDQHDDHSIYTLDLASANVGVSQNPFLGGATDAVQPVTQPSSQGGGFDIALGKRLLK AHGTLMGVAWLIVYPVGAILMRLRWGGVWAHVFIQVVGTSMVIAAFAIGYTFSGTYGI RFNNTHTLFGAAIFGLILVQPFLGIAHHLLYRREGKGTLFGLLHCWYGRAIIILAVVN GGLGLQMANNSRGGEIAWGVIAGVMLLAYLGVSAYSLKGNKTQKKVKDKDDESTGEEL RAV VE01_05606 MRSTETKAMTATKTVRGFHTPAASGDEDSDYNSGFNTPRPHTLT PKPAPTESGLKDMVRNNSCAMVRQESNQSTASSASPTKMSGIGHLLRQELQNLNLDGA KSRSQSFVNGVKDRFKKGSPGSSTGYTTPDKSDSDSGERTYEVTIGATGGYDYIANGR FPTWDERDNYGADFGVPLEPGEYDPELLNTNRKMSAADFEPLRCLGKGTFGTVHLVRQ ISTGRLFAQKQFKKATLIVHTSQVEQTKTERTILENINRHPFIVKLYYAFQDQAKLYL ILEYAQGGELFTHMQLECFFVQDRAAFYMAELVLALEHLHKNLGVVYRDLKPENCLLD SEGHLLLTDFGLSKVALDGESCATVAGTVEYMAPEVVQGKKYGFAVDWWSLGALGFDL MTGNPPFRGNNKNIIMQNIVHGKLKLPYHLSSETKDLLTRLLRKEPKKRLGYGPRDIQ TIKSHRFFKNIDWAALGRREVSPPIVPFINDPELAENFSSEFTTLPLSPVVERGNWGS REDLNPSNVFNGFSYVASQSLLDGFSGHCRGGLKLAADDDEDDEDADEESLVEKDDDD DGWGDVTGRGQRADSVASMISL VE01_05607 MSSAQSRVGQVASHLKPTGRAALLSKNADDIVITAALRTPFTKG GKGGFKDTPAADLLVGALRAVVDRSGIDPKLVQDIAVGSVLPPGGGATEFRAAALAAG FPDSTAVRSLNRQCSSGLQACVDIANAISAGMIDIGVGAGVESMSLQYGPGAVTEFSE LLDSHPEAKNCKVGMGNLSEAMAKDRGVSRAKQDAFAAASYAKAAHAQDNGWFDAEIV PLKVKFEDVKTDEWKEITVSKDDGIRRGVTAESLSKIKPAFAKDGSIHGGNASQISDG AAAVLLMKRSTAEKLGQTILGKYVTASVVGVAPLLMGVGPWASIPLALEKAGISKEEV DVYEINEAFASQCVWCIEALGLDEKKINPGGGAIAFGHPLGCTGARQVGTLLGGLKRG GGKIGVTSMCVGTGMGMAAVWVSEQ VE01_05608 MAVSTPVAVPAPRQRAPGEKQMPLREETLRKNTEPTFTADREER TSFASVKEDRSAVAQSFLDSRISSYAVFPDDAIDESDSDRATSPISPTDVSLSSSPTT TDDDNEGASAAAAPVIISPPASAAPNVPTDSQVPSQLMASALGAPLTNPASNLHSFVC PCDGFRGWKEIPVRGKMASRSFSDLRQFSNKGFTWEPTPFLAPVQPPKPVKPSTFPAG EAPLERLPTELLGAIIDQMVADIPPNGLQPRNIDLMALLLTSRALHSATLATLYKQVT IPHSTVFRKLLHHIKEYPALGTIVRRLDFSHFNPTGSGVTARQRAETQNLTQQTMLEC LELLPNLREFLAQEHIDEELSVDVLRKLFNNPQLRALDFCAASSAIFRTSMMTFVRSP DLPELMPITRLSFHECTILPSVVLETLLPRLPNLTHLDVARTRMSDKALAAIPETARL THLNLSRCQSLSGDAVVEFLTTHASVKELLYLNLYMDAKSAELLAETHVTSLLSILPS TLKSLNLKGSAMNATHLSLLEPLTKHVEELGLGRNLNYTSLVPLLKPPPATKPDELAR WVPHALRYIDISDFGLESIDFSALFGKATTALDELSMPLEVIEVCQRVYDRFLDRERA FKSAGWVVKEAGRRGWLVRDLSKTDGGDDGARSWKMGATYWGMRKVPVARAEVGGMYG LYMFKR VE01_05609 MSSPPTPSPSIVPPYNRTTLTRLLTRHYTTLSQMGYIPPTSILH PPASGWSDSRLNTSALRLIGRNETVIELLKHIPYLDKEIQVWPETEHLSYLDRKWESP TTINERMGEEGGLVNIYPFSPHKSPLPGMVTLTHGWAGVWWVIDTDTGLVWPSESHWK VHAKPDEPEWMWYVPVGIEEFFDLAWKKLVGLEVVPMHGFRRDRKGGGWDSVEDQVCF AGEQEGVAVKKVFLECGWPDLENFQSEECVKLAGEARLRVVEGWKVRWLEGDIKEAEE RGDVEDRERLEERLRVVRREYAFEWEGGEGEEG VE01_05610 MASAKAVEGDDGKLPLKGVRVLDMTRVLAGPYCTQILGDLGAEV IKVEHPVRGDDTRAWGPPYAPYSKESGLTGPGESAYYLSVNRNKKSLALSFQHPSGVA ALHKLLPTIDILVENYLPNTLAKYNLDYATLSALNPKLIYASITGYGQTGPYAPRAGY DVMVEAEMGLMHITGSRDGPPVKVGVAVTDLTTGLYTSNAIMAALLARARTGRGQHID AALSDCQVATLSNLASSSLVSGERDSGRWGTAHPSIVPYRAFPTKDGEFLVGGGNDRL FGILCEGLGKGEWAGDERFRTNAERVANRVVLEGMIEEITRGRTTQEWLEAFEGRGMP YAAVNDVRDTLDHEHVRARGMVVKVGHGACGEVEMVNTPVKYSEAQPGIRTAPPLLGE HTEEVLGEMLGMSAEEIEAMRKEGAVR VE01_05611 MPDDKLLFPFLVIEFKSQAKGSTQYIASNQAANAGAIALYGYLE LMQRSSHIQKLDMSNPQFFSASIDHEQVRINVHWLSGGVDGDLTYSFHVEVVAKYLLD SKEGVRAAMEAIKNILDYSLDTRLRGISEALDEYREIFVAARDAEVFE VE01_05612 MKCSPFLLKGHVYHNIERQVASSLRATSEPFLRPATRSIGTQRW KPLGCASRGFASDGKPSKEQSREDKYKAQAKELNRKGMEAQEEGRSPNNDVEGEEKEG KSSSTGYLDDEIGTAKEKQARTPWHRAGPEDPPVRKLRSAGAMTKGKLLTTPSRLKLI LPLTTLDKNSDRKDIEPLALLVHPQQPLSYLGRLIQSELPMIKNKEGQDRIPEVWFRA EDSAQEEESEDTKHDTHKVDDVQAGVEEGTDEHMVDGKLVKTGKINKDDLEDSLQGEN IAKSLRGGPGEGGIETYSGLGHDQPSAAEKKRKFVRWSTSTEIGDFIRDAARGKEFAV EIEGSREGEIRVGVPSFADRTHYLRVRLRKLARRLDGMAKIKKECDDLAHRSAQRLAM GGFGILVAWWASIYHFTFQTDYGWDTMEPITYLAGLSTIMIGYLYFLWHNREVSYRSA LHATVSHQQNRLYTARGFDIGTWESLVEEANSLRKEVKKVAEEYDVEWNEMQDEASEI VHEALRKERKKKERARDDDEDEEDDDSKGKGSKKD VE01_05613 MAEESLLDSDAPAYIRSPLIPHTNNKPVAIITARSAKKVSRKSS FARRLDSSESIPSSHGSIFAAEYVSPHASEDGGFKIEKWQAIGSSVRPSTSEEQPLPL LGVDENSDVGLPVGNTPILYGHGTALTTIIEQKSSMATIRTISTPSPVRTLTRPRSMS DLSSSSASASILKQPPKTSPIRCEFSISGMIHRLASFSDEDVGTIKLSWPEGYDPLAN KPKRATKSSVDGGTSEIQETTFREIYAQPLSPIQPPIERPATPPGMPSWTAPQQQRRP RAVSSTPARTSSFQRATARVQRFFGYEPLSRAGNRASGSAHGHGLCGPWDMVPSRRRC VSVPNTVVSGAPRFRPPRSGHGVTSLELHPFAQADARPTTTRTPEATTTGRRISSAPV TAGGSRSSRRSSEPVPARPVKPKGKRKPGQRVRFTSSTAAVAAVSQTQSGGPNTQRQR ANFSKPCRHRRVKTPDLPPQEITPSTAAGEISMPQTLPQALPAGSLENHLDHLPSMPL VPATSGHEPDGSTEPSSEPSIKSKRGECWKCRVKTVIAQVNDVLESCTMLCCWCCCGI DVMGLADDAAADQPLSNGVAH VE01_05614 MASPRGSAAYKKKDGTVTLSPDQTSMVWTPTAAGLAGITINIAD ITNLQQTPETAEKVMLKIFVKPPDAPEPVTHLFHFNAPSEPRSEANAIKDVLTSLIAS LKAGEPSIVKANGATGTPGAMAIASAVASKPSGTPAWYDDNQLKNDFELQQALMRKDP LLLQTYEEARRTKPDTTSNTQFNTQFWSTRINLLRAYAVENNQQRGAYNVLSVVKPRQ EDGELKLRISKEQVQLIFSQHPLVKRVYDENVPKLNESEFWSRFFLSRLFKKLKGERI VEADSVDSTFDRYLDAPNSDILGQRLAAAHIPHVIDIEGNEENQGGSKGGNRQDFTMR PSSSAKAPIIRTLNSLSEKIMENVAQSDVDPSAPIGLDEKTFNELALRDLQGGAEENR IMLNIKEQSQFFSNDKSQISVEAAVYAKQDPNELLMELNTDLDPELMDTDAAGGLDLR TAIGVNEESDSDDDEDRPPHVGSRSSFIDAQKQIFEGITQQRTRMDGSDPQANLSGLS QALFDRLTLTHATTTEFLHHFWSVFLCGDPDRAGELAKLVETLERALDRINAVAADAE KERNDLIKSQKQQIRELWEKTGKKMQYKPELVGGGEKVVREMLEPTIFALDKASKEYR KALAAEGVDTS VE01_05615 MLRTSLRKSSSQLLRAGCQARSLSATSSSRATLFSSPSPLKSSI ASKRRPLAVAAQKRYAAAVANAPEPNDSFLSGNTANYIDEMYMSWKEDPSSVHVSWQV YFKNMESGDMPMSQAFTPPPTLVPTPAGGVPSFMPGSATGPGNDVTNHLKVQLLVRAY QARGHHKARIDPLGIRGEAKEFGYSNPKELHLDHYNFTEKDLDSEYTLGPGILPRFKK DGREKMTLREIIAACENIYCGSYGVEYIHIPDREQCDWLRERIEIPQPYKYSVDEKRR ILDRLIWSSSFEAFSATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPH RGRLNVLSNVVRKPNESIFSEFGGTAAGEDEGSGDVKYHLGMNFERPTPSGKRVQLSL VANPSHLEAEDPVVLGKTRAIQHYNNDEKNHTTAMGVLLHGDAAFAAQGVVYECLGFH SLPAYSTGGTIHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNY VCQLAADWRAEFRSDVVIDLVCYRKHGHNETDQPSFTQPLMYKRIQDHEPQIDIYVNQ LLKDGTFTKDDIDEHKKWVWGMLEESFAKSKDYQPTSKEWTTSAWNGFKSPKELATEV LPHPPTGVKKETLEHIGTTIGTAPDEFNVHRNLKRILANRVKTVNEGQNIDWSTAEAL AFGSLVTEGHHVRVSGQDVERGTFSQRHAVFHDQDNEATFTPLQHVSKDQGKFVISNS SLSEFGCLGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKWMQRSGLV MSLPHGYDGQGPEHSSGRMERYLQLSNEEPRVYPSPDKLERLHQDCNMQIAYMTSPSN LFHILRRQMNRQFRKPLIIFFSKSLLRHPLARSSIDEFVGDTQFRPIIPEQEHGGAAV APEDIKRVVLCTGQVYAALHKHRLDKGITDTAITRIEQLNPFPWQQVKENLDSYPNAE TIVWCQEEPLNAGAWTFTQPRIETILNVTEHHNRKHVMYAGRNPSASVATGLKASHIK EENELLEMAFAVRQEKLKGE VE01_05616 MKALILVGGFGTRLRPLTLTLPKPLVEFGNKRMILHQIEALAAA GVTDIVLAVNYRPEVMEKYLAEYEEQYNVKITFSIETEPLGTAGPLKLAEKTLGKNNE PFFVLNSDVICEYPFAELAAFHKAHGDEGTIIVTKVEEPSKYGVVVHKPNHSSRIDRF VEKPVEFVGNRINAGLYILNPSVLERIELRPTSIEQETFPSMCADGQLHSFDLEGFWM DVGQPKDFLTGTCLYLASLSKKNSKLLTPTSTPYVHGGNVLIDPSAKIGRNCRIGPNV TIGPDVVIGDGVRLQRCVILQGSKVKDHAWIKSTIVGWNSSVGKWARLENVSVLGDDV TIADEIYVNGGSVLPHKTIKANVDTPSIIM VE01_05617 MGGFYIQYLDGLCQRRGWRDPMYEVYRSSSGFTCLVLVNGREYR TDLAYESDQLAQENAAMRAFMVCRNFSVNGGMLARNGVVQGLPATEGASRHKRRGGGR LPSRHSPSPSNSDSTSSYE VE01_05618 MASPSSSQHTSPRPRSPTETAESSSDNIGNISQIQPGISPNELP SDTESAEEEIWQDEPASPVTMTTTYQIPTLFTHEPPIHDLLATETSEKQDEVVETCAP FLSAQSDEFSYYNEHGVPHLLREKHINFCHKQLNPLPAPFTRADAARPWFFYWTLTAL SALGEDVGQYRERLISTVAPLQNSTGGFGGGHGQMSHLATTYATVLSLTMVGGEDAIN AIDRKAMWQWLSRLKQSDGGFQMSIGGEVDVRGAYCAAMLVKLLRLPLHLADGSPAKA ENFDLFTGLGEYVSRCQTYEGGIASRPDTEAHGAYAFCALACLCILGDPRETLPKYLD VPTLISWLSSRQYAPEGGFAGRTNKLVDGCYSHWIGGCWPLLDACLAGSAENHGAPHN DSLYSREALTRYILCCGQDTTKRGGLRDKPGMFSDGYHTCYLLVGLSSAQHKWSLQAP TDDIMTSLGWTSETYPRKQDDGYAQIFDEEDRVKSIHPVYVIPEGIPERVMQYCDSQE AFS VE01_05619 MASSLDFSSDGLLGLDFDARDLRYAYSNTNMTRNSWPLALDLST GADEKHGSPPAHNENQQQQQQHQYQTVQHNSPTLLSDWPVHQQPQQLQQQYLQGNSMM GAPQYAPFDGSFQQNLQHAPIDYHIPTTQAALEASLQMDSPFNSKAGLQLDAPFNNMD QAQDAQALQWDMVGLANWQDFQNAMFTPNHGLPMPVGRQSLGSNSPTGTYLEVHSLPS SSSDHGWTAVDSYQNFDTFQQAQNAAIFNPGQTLHLRSHSDSSQSDATAPFPDTGFGS FEEVTFPPYSPYSPESDTYLDLNTHRNCFHGESHHHSHDIHEIISPAAAVAPVAIKVP STRQVASGSSSPPPRRNSGASGKKSPTTKATKPVIRRTSNGKKDTEKRVGRRKGPLLP EQRKQAGEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKDIAYFM KDWKADYERHVGLGVSVYNIKGFSESEELMWITHGYGFAIPIMAREVYVTDDNCFMID WTESIHEEPLEFDIRTERMSAGSEGVSKEALSEYLDQHLEGPFEDFIDNHFEGTPFIT EILKTAHRYYRKEKLPVIRKALKLVLAYNLTLHVTMVQHQGEEREMEGKIDDEESKFF GKTFAPVMINFQIKVALADMWRELQKDVLEELSSLYSSVYSGDRLKNWPTIFMIASIL LAVWEEMQFDCHYRVPDPASVDKFCTDMETTPVGVIVGLFHAISQKLPAFTEWETRRH GQLLNNNVAVCDAMTEMRSHVSKHEAYLKSRADCRFNRDDFDCLSNKFLSKLVIRAN VE01_05620 MTNRAYFYHLRFELFPEPPTTFVDKTAPDVDDEIPAIPVSFSDS LPNHFKLPVVGDKDYRATTTTTKATNETTQHPTTDVTDARNTPTQGRRGSVIDCGPRR ADIHRDYSPHEFLPAPLGRRSAEEAARDWRFGCVRASSIQMGEMEGGGSGAKSQGMRA RVEEVRLRGREEELGWGIVHLYREGEETVGLGEGEEGGKGLEGVVEGNEGLDTTMLCI PAVPSYMTASDFLGWVGEKTRELVSHFRMVMTGRMNRYMMLMKFRDGQEARKWRREWD GKVFNGMEPENCHVMFIKSIHYDTLPSSKQTDTTASFPDMSLDPFTPTHSTFSPSPPT SPLALKPLPPPTASLVELPTCPVCLERMDETTGLLTILCQHVFHCACLQKWRGSGCPV CRHVQPANSLSTPFGFTAATHDLNLCQVCDCPEDLWICLICGNVGCGRYKGGHAKEHW KETAHNYSLETTTQHVWDYAEDVWVHRLLQTKGDGKIVELPGSSRAVLGGGNNNRDAS GGEQQQDLEMVPREKMENIGIEYGHMLATQLDSQRMYFEEVVAKAVDKAAGSAREAER AARAVEEVRGQLEVMAREHREMKEEVIPGLQRDRDRMAAKAEKAGELARSMTKAFQEE KQVGRGLMDRVGHLNEALERVRREVKEVRDENVDLKEQNRDLGFFISSQEKVRGLEGE LGEEVREGTVSLPPPPPEVKQEKGRKGKGKKKA VE01_05621 MASVRESQNAIDTHADGRVGAQPSVRVGKAAHKESNPMTNWVYD VFLWTFSILVDLFFREVHPRGSWKVPRRGPVIFVAAPHANQFVDPLVLMRTLRIEAHR RVAFLIAEKSIRRKFIGWFSRHVGAVPVGRALDMVKPATGSIYMPDINDRLLIRGNGT KFDGPEIQIGGLLVLPSVNGTAASTEIAEVLGPEELRLKKPFKGGIALSQLTGREDVT EDGAFSNQEQKGPAAEFTGTRYKTAPKVDQSKVYDAVFDRLSAGGAVGIFPEGGSHDR TELLPLKAGVAIMALGALAAAPDSGLKIIPCGMNYFHAHKFRSRAVIEFGNPVEVPKK LVEMYKQGERREAVSQLLETVYQSLVAVTVTSPDYDTLMLIQAARRLYNPTGKKLPLP MVVELNRRLVKGYTHYKDDPRTVALKKSVLEYNKQLKYLNLRDHQVEYAKLSLIKVIF LLIGRIIKLATLSIGVLPGLILFGPVFIASKLISIKKSREALAASTVKIQARDVVATW KLLVSMAFAPLLYTFYTVILVIWTYKTRVNGLVPESLPLWWIPPIGYTVFPMITFAAL RFGEVGMDIVKSLRPLFLCLNPTSSNPLYKLQATREQLSHEVTDLINTFGPEMFPDFD AARIVADPFHDGALTPPTPPNDFSASRRDSNASGTTDGAPRSPPSAAGERPLSAGSAG LRAEAISRNDSFHSIGNYGFFATRPSSRSRSRSSSVGGAFGSAGFPIKAFSTLDGKEN FEEVTMKIRGAMKERGERRRRGMNSPAVESDDEEEEEGSSDEGKKGV VE01_05622 MASPSPNLLPPPPSPSFPPFEDIVHSESALLKHVSRNYAHTSFE TFTAEGYVDFAYTVENMRWAAFAQGDIEPLPRREMDRLWINIVMEFWVKMAWCWEGDV EGVVIALLDREDGKGVEEMWEDRKMDYPELNTRLCYPMIGHKGIFEDYLDLGIRAVIN PEIRCYLEKMEDGTNYDSESELGEAVYSLDLDGFDPEDDAEGDTEVEEATGLEDDAGI DDAKIDDTEIDDGEINHAEINHADGGAEAEEATEIKENAQIDDAEIDDGTAFLEALDA AIEKATAEMEAEGMVFEESDEDENEIKIKEEDEGAETSIYGIPEAVSNLHLTESGNKD DVDKVVDDIKQEDIKQEKDVKQEKNIKQEKDIKKEEDGTGTITYPIRSAISNLRLTES VQNILANTQATIPAPEIGFTPPNPPSRLGNATLAPDAAIPTSHYAAGPPMDGPSSPGP SASRAANNLREAVGRLSAEEAGMIIVKAVMMQHPIKKELVDMLPFLANIPSSTVFRGE NGFQKLLPNVAPEAMTSPRVQTTGLSGSYKIFHGVSHLIPRNEDPAPAPKDIICDTFD MAYEDACKTPGFWEHPMWNGVEVYKMSPLVLAQHYAAHKEAAAFHAKPFVFNPTRVFQ APIAANVPTPVFQAPTAATIPAPVFQAQTRCYNPANPFHARRAVHYPGLLFQAQTGTH YPAPSFHGANYPTPNTAPLSQADFYAANYPTPYGPLGFQVPPPVSRFATNPAPGFQAP PPVSRFASNPAPPFQAPPPVSRFSDPNHIHLNYLATPPAPPIFINLTAADFKDLYSPP PNLTAAYFEKMDKYGMHDYLPMPRKRQDPKMRQDPKIKIEEDEEEEDFKMANERCYSD SVLARKRARDVEEGDEEVGVERPRKFSG VE01_05623 MPSWEQNSDIAYFLQETGCQAKPPKEFLGVRDPSKWAGRQPTDS LEHDAQAADRPGLLAARPNGKERTEAG VE01_05624 MSQLPLSQQFAPAGASHGRVISNADHELIVRQKPLNAKVFIGKE KDRKPIDPPPIVQLKISEAVDPSQNFLQSPYYFISTSLVDDDENKSPEDREEVKNALA GTLVSSLHRLKDSDNIDRGFFVFGDLSVKIEGTFKLQFTLYEVRDKEVEYITSTLSEP FKVHPAKNWPGMAESTFLTRSFSDQGVRLRLRKEPRFRLSTRGPGSDNYEPRHYNTSR RRQSKQMEAANAQEGAQLPITPITPQSQVAIGASRTAKIEPPDTTGLGSLSSQGGMYP MDNRKRGFSQTSQASLYGGPADESAAKRARQEMDEAAQLPFTGQPQFAGGQGMGYGGQ QFSDPFQVQQPLMYQQNPQQPMQTFDQFSPTYMAPSPQQRINRGDQQQQGYFSPRRGN VEPFQAPSPYQSPTSRLPQTTQFQRSPAQNAFQYNQPMQPPPMQAPYGMQPPPQQQQY APQPDLLMQPMPPNRSPGGLGMYQQPGPELDPLLGAPPKGGDILAGQGGMQPPGMFYG GRQQGPGMQQVRTSQQMGPSGQQMGTGGQQMGPGGQQGIPGQHQMGSDGQNMGTGLDL GER VE01_05625 MADEECANPLLLGWVKEWLDTARERNSKGFTVYRKAYDSLKSCP LPFQHPAEAQQLHGFGPKLCERLTDKLKVHCQTNGLPMPDLPRKVSKGKTTADDGTAP AKPARKKKAYVPTLRSGPYALILALSTIPESAPSGITKQQTIELAQPYCDSSFTASSD PTKFYTAWNSMKTLLEKDLVAEKGRPLRKYCLTEEGYEVASRIKASQPTADPKQSTLD APAAPPKSRQPAPSGPVLGAADDDGFMAINSSPPPPSRSEPQYDNGMEGVQDDIVPFG DALADETALPTFSPITIPSSAFTLELVLDVREVRAKEDRDYMRVELTKKGVSPIMRSL DLGDALWVAKCKDPLYLQRHGLEGSEIMLDWIVERKRLDDLVGSIKDGRFHEQKFRLR KSGVKNVIYIIEEISMNAEHFQKYEEAVESAIASSQVVNGYFIKKTQKMDDTIRYLTR MTMMLKGLYESKPLKVIPTRVLTTQNYLPLLTQLSEKHPGVTHNITYQAFASLASKSE TLTLRDVFLKMLMCTRGVTGDKALEIQRRWKTPQKFVEAFEACGGGEEGKKRKQEMVS GQMNGLVGRKKVARVLSARIADVWADA VE01_05626 MSSTDNILAASKKHPKPADRVFQYGTAGFRMNASLLDSVVFRAG LTAALRSRKLGGQTIGVMITASHNPPEDNGIKLVDPMGEMLENSWEAHSTELANAQSD EELVQIYKKLEASLKISPETTARVIFARDTRPSGPKLVAALVDALEATGAEYTDYKTL TTPQLHYLTRCINTEGTPQSYGEISEKGYYEKLSSAFKRAMKGKTTSGHITVDCANGV GGPKLTELIKYLDKASEGGIDIKIVNDDVLKAESLNHECGADYVKTKQRAPPASKAGP NERCCSLDGDADRIIYYFSDPENGFRLLDGDKIATLAASFIGDLTREAGLAEELKIGV VQTAYANGASTNYVTKSLKLPTVCTPTGVKWLHHAATKFDVGVYFEANGHGTVVFSQQ AVKAFHTKEPQSPAQKNALDTLCALYDLINQTVGDALSDMLLVEVILAHKSWTPKEWD LTYVDLPNRLVRVEVGNRNLFKTTDAERKLVEPQGVQAQIDGLVAKFKDGRSFARASG TEDAVRVYAEAATRSEADDLAAKVAAVVKQNGSI VE01_05627 MLSSKSVANVANLIQSPYREYVEEICWADRELQYHLHDDLEAFQ DTFKERFAGLSRDDVAQWHEKYRTMYRDQESVYSPLYDGSAQLHLESFINLKRVSVDN GCELRTEQYPVALDAPEILNNPDQWSTMKRPTFMRLMPMLYPQLRHLEFHGFAILSRA LLECLGMVRKSLRSLVFSNCVFKPTLLVVFTNIREKKLVRLVTIFKHSKDFFYRSIPE KPSTLTDKAITPYLVQSRMNGTLCLHDWDKQVKEYADENSRDARDVEGAEDA VE01_05628 MAITVVKNALGGVAWYLLAVVFAFALAAYLAQSYKQYSKLRAFR GPLTSGWSNFWLVRAVMRQNTHIDFANVCEKYGPVARIAPNKLVTSSPELLFRMSAAR SPYTRSDWYAGLRLPPGQDNIFSTMDEKKHTRRRAQMADGYTGKTNTFLESTIDTHIL NLVRLVRTKYVSSSAEFIPMDIARKAAYFTMDVITDIAFRQSFGNLVDDCDTYRYIQS TEEMLPIMITLTSIPALSAFFQFPWVAKVFSPNDKDTTGVGKLIGIARKLVHERFTKK SSVDNDMMASFIAHGLSETDLVAESLLQILAGADTSATAIRATILHLITHPLAYRTLQ AEIDKHLQEGLISSPVVKETEASKMKYLQAVIKEGLRIWPPVTGLLSKQSPLDGDEFE LDGQKIFIPGDTSVGYCAWGIHRNKEIFGPDADMFRPERWLTEDASKLTVMNRTAELI WGYGKYQCLGRPVALMELNKVFVELLLNFDFELVDSTSPWKSANVGLWIQSELWVRVT ERGGRQNRK VE01_05629 MIPTTPPVEAPAAPSATIAAAPLTLGSAQLVPEQGSPTLEQPAD SSPLPTQSDPNVEDVVEAEEVVEGGYYETDNDSGYSFEDTGSSTTSLADSVKQYRREN GRTYHSFREGVYALPNDEFEQERLADEHPEAQVIGIDLSPIQPGFVPPNLKFIVDDAE DEWVISEKFDLIHVRMMVGSFLNWPQFFTRAYNQLHPGAYIELQDVTGLACDDTTFTY DPPSCRFAEWWKLVTEAFEKSGRDMDAAVQHKERMEAAGFVNVTVIDFKWPINTWPRN KKLKTIGMWSKENTLDALEALAMAPLTRVLKWTPEEVQMLLQGARQDIENEGIHAYWN IRAVYGQRPE VE01_05630 MFTGIVEILGVVSSLNPSDASGGTTLVISNAAPVLIDCHDGDSI SVNGTCLTVTAFTDSTFTVGVAPETLRRTNLGSLAQGDSVNLERAVSSHTRMGGHFVQ GHVDTVATIDAKTPDGEAVTFRLRPRDKEVMKYIVEKGFVALDGASLTITKVDDVEGW FEVMLIAYTQTRVVTAAKPVGGDVNVEVDMMGKYAAKSVEGYFEGGGVPEALQKLIEK IVEDKLAALQAK VE01_05631 MSISKLSLLALVSAAAALPGGNSWTSSLSSWGTGTFAPFKPIIS SLFPQLGAPLTPEILATFAQPTLANIARNSYVTRKGSKLLLNGERYVGGGANVYWLGL DENVIPPAGEPFYEPFKASYPSLGRITEVMNTLVTMGAKTIRSQTLGVSTGNPLSIMP TLGVVNEEAFATIDWAVFQARQHGLRIIIPLTDAFDYYHGGRFNFLRWRGINITQNDA SPLVQEFFTNRQIINDFKNYIRIHMTHKNPYTGLTYAQDPTILAYETGNELYGNVWGD MNVPRAWVQEIAKYIKTLGPHKLVLDGTYGINTTHLDIPEVDIYSDHFYPLDTKKLSA GIEKVRGAGKVYWSGEYDWTGLNGKETPQGDSLESWFAVIEADQKRADSVVAGDAFWS LFMHNVPDCQSFVNHTDGFTLQYNNPLNSAYIDGQISLVRQHFFRMQGIAVDGYLPAV ACPQNFVPGYDAQYTNY VE01_05632 MNCPSRTDDTDGREGWNQSPNLLAGTTRADFNGRTNATARRSLD PDVPGEDVPIAQSLVDTPPPEKGGLGKKGPVVGEKGDLTHHVTTGGGDGGGGGDGEGG IHRRKRFYEKAGRMFMKYASFIGPGFMISVAYIDPGNYSTAVAAGASYEFRLLFIVLL ANIIAIFLQSLCIKLGTVSGMDLAQMCRAHLPWWLNIFLYVLAEGAIIATDIAEVIGT AIAINLLIPQIPLVAGCAISIVDVMLILIFYRPSGSLKGLRAFEFFVMALVLAVVICF CIQLSLIKDTSVGSVFRGYLPSKELVESQAIYQACGILGATVMPHSLYLGSGIVQPRL YAYDVKHKHYTPTPPTSATSSSSALPSKNPYHPSLPAIRSSLKYSITELTISLLTFAL FVNSALLILAGSSLPASTTDASLFAIHALLTSTIAPAAGTIFALALLLSGISAGIVCT IAGQMVSEGALRWTAAPWLRRLITRSISITPSIIIAAAVGKDGLTAALNGSQVALSVA LPFVSAPLIYFTCRSRYMTVRPPRPVEPHADDDSDDEAEVVEGTTTAGEGTMGGGASV KTEGATTAVPAVTTTLPPAGGSEAKTNSAPRDREPRSDVADVVEGARVVASLDEDANA GVVNFKNGWVTAVFAVLVWLFITVMNVANLVLLGISKGE VE01_05633 MSALPTPPITPFEATFLDVVNDIAEAHHPSPAIPAIPAIPAIPH NFSLTPLQLLPKRLNYRRHQARQNPQAQVVVVTEAEITIAGPQMQNAMWGIDRVSESE RAEAAAILEATVDRWVVSQRNGGMLPRWLCDDEKKSGRVYDRLWKVETARLIPWRYAP ADYTGPTLLYDVLIDRVRRIQIQDQARLRDVEDNLGVWGREMEVTIKRRENEEDTEDE ADEDVEMREESTEDETEDGDSEEEETSGEETSDEETFYNEEDYMFDEAEEDNWRLRCE VE01_05634 MRTDFLAALLATAATYTSASAIPQHQSHNTCLNIPTFQATDYNW GCSPGGCGSNFKLAAATGYREGAPGFNVQCSPIFIQQLWVPCRNADGSELPATSRVEA IWTQGPDYERQFLGVAHIYLREDGKTVNATGRTDILPVRGAPMQFLFPVTIIS VE01_05635 MKSFSFVRDVVVACGVLQSVVHGATVALQVDLPISASTPDVESD ISAVYYSSHPLLFGNDGSAATGGYHAWSLDSNAAILPEVSAKTGGRSKLVVPVYGVGK KDLIITIAMPDSVLRVFDAGNKGAQLAEKKNIGDWSALCPWKSAESGNQYFYMFGKKQ AIQYLVRQREKKFEVVEIQTFDLPVEASACAVSSKSGLVYFSGDDSKDVYAFKTAEST AAATISVIGEASDDVTGLAIYVGKSSDYLFVAQTDVVGVYSTKFKAIGSMKITGVEDA EIQGLSVYQGKTKKYPAGVLAFAIEHEEGKGFAIGSLQSAFKSLKLDVNTNYNPSAKT DDKETICDDCNDNGFCVKSPRRSSSKTCSCFAGFTGKSCKSVTCTDNCSGHGKCVGAN ECRCDAGWGGLHCSFLLVAPKIETEANGGDGDDPAIWISPISPDQSRIVTTTKSELGA GLAVFNLEGKILQTLPAGEPNNIDMIYGFELGNNRTVDLAYAACRKENTLCLFEMSAN GTLSNISGGEQPVVDDYDVYGSCSYRSPKTGVQYLFVNNKKAVYLQYALTSSSNGTLS TTLVRQFIAGSGGQVEGCVTDEENGFLFVGEEPEGLWRYDAEPDVAAPKGVKVASVAT YPNHKSGDLYSDVEGVTLVPGKTATEGFLMVSCQGVSAYNVYERAPPHAFVQTFTITD STDGSVDHVTNTDGIAVVGNRLNAAFPAGLVVVHDDANELKGGGTSEEASFKLVSLAD VLKGGLLDKVDKEWNPRA VE01_05636 MAYPDSEGLQVAVERDGLLVNTDSQGLEHYIKPVESTKPPVPYP KEYTVGEERSAVRDGRICGLAKRTFWILVLVAIVIVAAAVGGGVGGSIAAKNANKSKD TQSPSSTSPATPTSNSTPTSSSTPTSSSTPTSSITPAEPFPTTGLLPLDCPAINSTKY STVPSGSSSNYTYLINCDVNYTGNDLVKTRTRTIDQCMDACAKQSDANPLNPCGVIVW IGNLTLAIGNTDGNCFLRKDVPAPNAAQPSDRFAGAVWVKG VE01_05637 MSRWQDSVTLEVQGPHKEDDDGHKEALLSQLQNARKDIQSLKID DDTPSDTEWRLISDHFSDVQNLEMEADFNEELNDGPIPTNWPLERLLVSSSCGERFRS PVILEGRVKHLILLLTSGLRFEGPTSNELSSANKEAIERGEAEANYITVREGTPEEHK VEIVSLPSLAFDWLKDKYTNPGRSSDPETPVPELVYMEILEILENDALDTFTRMTLAL PYIVGNLKTLNLRSSNGHDFQLTPKEMFHEILPQLTELKTFVFTVGDIFDEADFLPLF YKQFPPTFPRFASAAFANPEYLPNLTRLSFVLDLAYDDKEEGGGEGGRKRRPTEEELK ESKKACRELFERMESREIAIEAFHDEWAEESVSFDKVDERWEEIE VE01_05638 MGTLGSAHSSAEFGLVKGVTPKGVHTVISTASSKPAEEIMQTLV DQQKGRSVAAPAQLYFLLYISTDRNRAKALIQKVKRAGYKGLWITVDAPVLDLKWIRE EWAGPIVLKGIQSAADAKLAAAYGCQGVLLSNHRGRQSHGSPSSLLTLLEIRTYYREV LSSIEVFVDGGLRDGADVLKAL VE01_05639 MLTGAEVAVHSSKESCWIVIGLQVYDITAFLSQHPGGANILLRN AGTDATAAHLGPLDPNTAKDMALAKSTSTQSVPTGEDNTPPHLSLCVRVSDFEAPAKA ILSNKSWVYASATANSGQSMRRNLDDWSLISFRPRVL VE01_05640 MGGFRAAEDRPTPKEVYNWRLYTEAIIIATGSLLFGYDSAFIGT TISRTSFKNDFGITAATANSISSNITSAFQAGAFFGALFCFFITERLGRKWALQINVV VFIIGSILMTAATNQLSFIYVGRVLTGLGCGAITATVPSYIAELSIPSIRGMLTGLFE VAYQIGSVIGFWINYGITQNMSSSSPNSWRIPMAFQLVPSVVLLIGGFFLHESPLWLM RKDKLEQAHKSLVDLRKLPLEDPYIQEELSAIRSRLLEEAAVSGKYGTGAWAFFRGAM DEFSQKGMRNRLFLVFCAFSLANLSGASAINYYSPTLFGSLGITDVALYTGIYGLVKA IASIIFYVGLIDKLGRRRPVIISCFVCSLCLWIVGSYVKIGHPASIIKEGGVLSASTA AGGKAATGMIMIYAFFWSFGLNGIPWIVSAEIFPGALRNLSGTYTALVQWATQFAITK ALPYIFSSFGFGTWYFFAAWMLIGTAWAFFLLPETKGLTIDQMDLIFGYHSSERVGIP HTSISRRYTNMNQDKAGVEETENVDEKV VE01_05641 MAVSKLNGTNGTDAQAVGKAFPPGVHVPSLTWFADNKTQEIDWE VQTRHIRFLIESGLHGIVIAGTNGEAVTLSSSEKAQLIKTTRDQAIELGRPELPITVG CGGGSTRQVIEDTQLAKDSGADFALVLVPSYFHFAMNEDAIVGFFEELADASPIPVLI YNFPGVAAGLDVNSEMLSKLGEHPNIVGVKLTCGGIAKVSRIRNQFSPEQFCALAGQS DWLLPAVSVGGIGTITGLANIYPRTCIELYNLSIEGTTQKATKAQNELAAVEWGFAKG GINGTKWVVAQYLGYPESSCHTRRPYPRFSDAKKQAWITEIMKPLEEREKTLANC VE01_05642 MASEAASVAGSGAGSGPSRRLPRSKVGCLTCRRRRVRCNEQKPR CSHCERLNLECLWRPIAPLSANQRQHTPQTATDQRTLPYSDVGQSTETPNAFVRNNNN FATVNSDFNDTFSYANFMWDHELQRSMVQDSWNDWGISSASGVTEGIMAEASRPMEQS STFSNRPNFNHERIIPDIGPARAETNLVMAWNDSGGDEDTSEEDILKDYFAQTVVPPI IAQVETQSKWSSTRQILITMSTNSSMVHNAILAFSDLLMKRTQTAHNLSRHRYYKRAK AELLRARKEQLLGKDAASPASFDEMLAVLFFLSYIDLLESRVANAHDNLKEAYSIFQQ IGNVQSRTVTRRLISWIRLLDARAATTGGEGLFLAEDNDVLTQPSPSFTDGLEAGSNS SNHEALGETDIEDVLFESLYQPGFVFFQKIQSFMGRISNIDPWHRSRGTVADETEVMR VADKISHDLGTLWEARPPLMDYTLTGQLAAPHVSASLAYTLTRTFRTFFANYHASHIH LHRVAYKHLPLSPQTLKSIANIRNTAHDMVQLQPVAQDPSRDMLPVNMLWPLLMWGCE EDDPEERLWITTQIKTMETVATNANITAQVLNEVQARQDAQRQRIDVRTVMHEIFDSC FAIV VE01_05643 MASHESLLPLRLITIIGSLNADITTYTSRIPSGGETLHARSVKT GAGGKGGNQAAACAKLSRTRNDVNNGSADIRMIGAVGNDQHGQLLLNNLRASGVDISG ITIQTEIETGVAIILVEESTGENRILLNGGANYSLSPSLFVTIPNPKPSLLILQLEIP LQTTLQILKAAREAGIEILLNPAPAIELPAEAYANLRHLILNETEASILSGYSVEQIK NDSLLPIAARVFHSRGVHNVVITLGSQGVFYSQDAGLSGFVKAKKVEVVDTTAAGDTF VGAYALEAVKVDFDIDVAVRKANAAAAKTVGKRGAQVAIPWADEVGPI VE01_05644 MDQSARLSINALDAAQRSHLERPFKCLECHYAFRRVEHLTRHAR SHKTERYLQCSYCRKGFYRLDALKRHEKVHSEPKRSALGRGARACLSCAASRTKCSGQ TPCSTCERRSLECQYPAAGKSRPENLTSTPEEASNGSSAEHMVASPHGSIMSWSNASQ SPVASKGLDIGYRPVVGDQAGVGEHTLNPKSGAEIRYNGHSAQNGHLFDNPISPLRAQ LHVAESQSPMNISSLISENQTIFSSNNPPLPSSPSFQNTAVSQPPNTQNDYHGPTQAT EPWYQNGFSSINWLSDAWTPDFPMEDRDAGIEPFDQRPSHLLGNAPGIGAIEADDGAR PDGLVLIQAKLLNIIGISYGGDTRLKRFVSAYQSDFNYFCCSEWASSTSPTGSDLSGN LATASNGWKIWYKEESRRRTGYCVWMLDCMSSFHFRARSVLTLEDARVPLPCQEVLWE AESALDWQQLYGASTPNPSLHAAIQLAYVEKHLQSSMGEFSRILCIHALFRRTHEVAA FFQQPLTLWSPKAEKQSISIIEKSMPVWLPGISTYAKWRNSACDVLDILHWHANSVIG VASGMEHPTVLHLHLARVVLLTPLSHIVGLASFRAGEAIPASDAELASMQDYVRRWAQ EDQYKARLAMIHAGVLFWHVRRYSADAFYEPAGVFLATLAMWAYGTFATYTPALKDGE SPEDEDDVDALFPTLMRLDRPADDELVQLFVKKGGGMRAVVTGVGNLCGARGPMRVLI EGQWCQQGASS VE01_05645 MAHAHPQTAFSNTTFPKPSFISRRREIVYQSTLLYQLDVLKSTG RYDAFKLQWDPSYNDKPNVWPVPNHLFWDSDVAKWIEGACYFLLWKHNETIDGAVKEL VDMIRAAQQPDGYLNIHFTVVAPEKRFVCLRDFHELYNAGHLIEAALAHNKHYGTDDL MEPILKYVDLLHKTFGPGPEHRHGYPGHPEIELALLRLFEHTRDSRHLDLARYFIEER GNPTGQGGRHYFDVEAEERGESPHDMMVYWPAERPYQYYQAHEPIIDQPTIEGHSVRA MYLLTAVADLVRLDGSKDNKPKRDALERLWSNMVDKKMYLTGGVGAHKQWEGFGIDYF LPSGTDEGGCYAETCAGIGVMMLAERLLQLDLDGKVADIMELCFYNAVMTGMSADGKR FTYVNQLASTDTDLSQRAEWFTCACCPPNVTRLFGYVGGYLWTSKVDDRSLSVNVHMY SSATLSVDVGGATVEIEQVSNWPWEGKMEFAVRNASDVPTTINLRIPGWATEWTISPD LPSPQVVKGYLMLPADWLRDNPNFTLNIPLKSRFVSPHPYTNQDIIALARGPLVYCLE DVDNPWVNDHFKSLVLDPAAAISETEASIPGTGEPYVALTGQNCASFLSVDASLAPQL PRGSTTQESDVKVDKLNFE VE01_05646 MATEKVVPTEHELQGLDLSNPEVIELIHHAQESDAADRQLTVRQ ALKKYKKAVFWAMFLSTSLIMEGYDLVIITSFYAQSQFQNRFGSFDETSGTNLITPAW QSGLSNSAVVGQLAGLLVNAYCQDRFGCRVTMMIFMGWMTVTIFIPFFAPSLSVLAFG EAMCGISWGVFQTLSTAYASEVVPTVLRPYVTAYVCMCWGAGILLSSGVVRAVAGVEG DLAWRLPFALQWVWPIPLMIGAYLAPESPWNSVRRDKVDEARESLMRLREDSPDRERE VNATLAYIRHTTALEKAETGDASFWECFHGTNLRRTEINCVVWAAQILCGNAILGYSV LFLRAAGFSEIQAFNINISLSACYIVGGVICWFLFPHLGRATIYMSGLTFMFVCLITI GGLGFASGKNIEMAIGILLVISTLCNMITIGPACYPIVAETPSGRLRYKTIAIGRFVY NLTGIFSNSVTPRMLSPIGWNWGAKSALFYAGTNLLCNIWCWFRLPETKDRSFGEIDL LFDNKVPARKFKYTKVDQFARQNDYETKVAAVEHLENQP VE01_05647 MVLGVAIAQRPSNSSICDYYTEVKYGSASEANQLKMMQGIVSLA FGGPAAASIESGSVPDGLTGILNPGVHDGVPVNLLPFFNGSIASTNLNNQAVGINWLD DGGILPLVNFMSGKTETVELRNTTNEYRLFGHFYTAFAYIFGCSNPPASPTTGRPPNP AYVHKFMSLNFTEIGHFINQLTLSSEYYGFSTTDAQSLALLMNSRYNIRCAPAVSLNP KQAPQLFSLCQDPTCPLAVPNSDCEAYANLSSEGPSSSSPSPSESSSSTSGPTTITNS ESSSSPTESPSAAATSSGSKGLSTGGIAGVAIGGAALLAFIIAAIFYLRRKRRPKTPP PQPEQPETRWTGNTESFDGSQAGGKGYLSPQSENFTAYSPNSRDSYISHAHTSYIPNP VELATPPLPQGPPAELSGEVAVSTDEYRRSRGMI VE01_05648 MLLQSLLAIAALGGVSLASDKKGSKTVYKHVVAISIDGLHSSDV PKYVALRPKSTIAELLETGYEFTDAYTSAPSDSFPGAMNLFSGASPRTHGVWYDDTYD RKFWLPFSTTGTNCQGPAGAEVAFDESKDYDSTLLYSGGINPLNLPQTLVNGVCTNVY PHQRSRVNTVFEVVHEAGHQTAYTDKHPAYDLVRGPSGKGLSVGYFPEIAAVPVTTDA TIAYDQLHVNAFIEWIMNGTTPEHSEVQEKLTGVPTLFGGNFQAVSVAQKTSGYVAKT LDFTPQLLKALDFVDASLGQIVAALKAKGVYDETLIVVASKHGQAPIDPTKYAKINGD LVSAATGVDVLHATTDDIALIFLKDQTKTETAVAGLNKQRKALQIEDIIYGDRLVDLG YGNPLTDSAVPDIIVRPTEGIIYTTSTAKIAEHGGINADDRKVACFVSAPGLKKTKVN HEASTKQIAPTILKALGLDPKALKGAVAEDTKVLAGF VE01_05649 MFSSLGAAAFVATFAASALASKPLATQPCSDALVPTQIRLAYAG DKGMAVSWNTNEQLTNPTVYYNKHEKNVLKSSAKSKISTTYPTSSTYNNHVVISDLKP DTTYYYRPQCATQTYTFTTARSAGDNDEFSFAMIGDMGTFGPDGLSTTVGKGASNPLK PGEHTTIESLTTFKNSYDFIWHVGDIAYADAWLKEEKAGYVTPLNKTDGGAEYDKILN QFYNQTEGLSSAKPYMVGPGNHEANCDNGSDLTICLPGQLNFTGYQAHWNMPSAESGG VGNFWYSFDHGSVHFIQFNTETDFLNAPDEPGGEGAENAGPFAVSGAQIAWLKKDLAS VNRKKTPWVVAAGHRPWYVSTTECIECQLAFEPLLLEYGVDLVLHGHKHFYERHAAVN NGTATEIENNPKAPWYLVNGAAGHYDGLDVPDTPYVSTSRKVISAYGWNLFTVHNCTH LSTQFIASGNNTVLDSATLIKKRSCK VE01_05650 MASFVTNHKAPYDALSPSLPGRSLAGKSALITGASRGVGEHIAR GLAEAGVSPIGLLGKDKARIESTREKFGREFPQTTFVAFAADITDAEAIAAVFFNFGA PDILVNNAGVFPDEGPFVEQDLKEWFSGFETNVLGTAIVTQRFLKIGDRPKDAIVINV VSMAAHTRFPVPGWSGYSGSKMAQARIFENIRFEHPDVRFINAHPGLLDTDGFERCGA PMPPGGWADGKLTGGFFAWLATEEAAFLSGRFVWADWDIEELKARKDEILEKDLLLTT VDGFNKGF VE01_05651 MNKLAAFSDLPLEIIHLIADQVLDPHDLLSLLRVLPHLASSLSP AQIRASGENKETILHLAAERGDSALLTTLLANPSTDPNPLATIPFFTFSNSPDGRTLP GRRYPGLNRTPLSLAAHAGHLAAVQSLLAHPSTIADIIPEAAFMRTLSSLALAASAGH LAITALLLTRPDVRAESRGAALGWAAMHGHTPVVALFLARDDVNPNFQEHRTLRTPLA LAAMGGHVDVVAMLLARDDVAADMLCRERATPLCLAARMAHRDVVDMLLERGDVDPNG VSETAATPLICAMTRGHAEVVETLLKREDVRVDQRDGKGKTALLWAVQLGRVEAVRVL LQRKDVDVNARGSDGTPVLGLAARHGWAAMIPLLLKREDLDMNIREEGSGATALAIAA FKGEVEVVRLLLRGGLDANARDYDGVTPLMLAAKWGRMEVVKMLMECEGVDFNAHEVK GKSVLAAAAESGQVEVVRLLLEGGSVDVNAPDMSGRTALALAMDDKGRSEVYPLGGKS DYDAVVKLLLEHGGVSQMI VE01_05652 MLSCLGCRSSSSTSRKGKETSDGIKVRVMPSSTPVPPPASSASG KKTSDGIIVRVMPSTAQAQISPSAAAVDQLVSRQYRGNGTGTTANPTPPRLAHTILAI AQTIGSTNTQQVGSDASTPFKRLVERSISAWSSLRFCQENKYYNIHLHATALRGMKSL DEVLNSRTPISQMWFFQLKSSFMQQSRFTQWDESRLDDYVLIPVAAGFVNKTDCVFVS HFWRTTQHPDPEGLDLNLLRQDLADSRCSYVWVDWTCLPQGKLSDLQKYYFQVSLRKL PLLVRDCGYSWRFPDFQPRLWILFEVACWLFNHESCVEYDDMAGYISDCREMVQVGVK AVVDKRKYKCTNGGDTRIVTSWLELLVLLYKHVPSISTRRDLLDTVEGFHATTMAEVK FYSDDDISVDVVGGKLVVGNKTYSFTPIPPPSVTRPNVTRTTRETSTHDVTPSEEKAL IETATRDLESDNPARLTETNKLIAELLKKNQNLAAAETLARAMKSHTDKTYGPNHVTA ITSSHRLALACQVVGKQSESSALLAQTLSLKGALIDDSIQRGGFASASSICREIVDFD EQFLTKAEPEAIRDMGVLGTCLYHQGKHGEADAHLGLAADLAELMLGRGAEYTRLVML DFASNCAMLGRFEEADEALKRVGSVAENHRHARLIRQAKMILEQQRRKQNAQYLVF VE01_05653 MSTTVTRSNSSTMTVQLPTASQSLLQPLMHSLKLSDPPKITAEH VENIEDSSNVAGSNDPPKDTETDEEWFVGSIDQGTTSSRFLIFNSHGEPVASHQIEFE NKYPRSGWHEHDPLELVSSVHDCIEEATEDFIDLGYKANQIRAIGITNQRETTVCWDK ETGLPLYNAIVWPDTRTKSIVRELKGRKGADKLRDLCGMPLSTYPSSVKLMWMYRNIP EIRKAYDESRLAFGTVDTWLIYRLNGATQKNIHVTDSSNASRTMFMNIHTLQYDDELL DFFQIDQKNVSLPKIVPSSDPEAFGALVGGVLKGTRITGCVGDQSAALVGQCAFNEGE AKNTYGTGCFLLYNVGSKPVISQHGLVATVGYHIGEHATYALEGSVAVGGSGVKFLEN NLGFISKSSEVEKLALSVPDNGGVVFVTAFSGLFAPYWIDDAKGTLFGITAHTQRGHI ARATLEATCYQTAAILAAMHADSGKELKNLAVDGGMSESDLCMQTQADVSGIPVERPA MRETTALGAAIAAGLAVGAWNSLEELRGWRGRGKRTFTPKIEAAQRKKGVKRWEKAVQ MSKGWVEEEEAEAEGSDVEEEEADKAEEVQGKKEQEAAAREAVKEAASEEDADAERKT AERADEGGGEKTTA VE01_05654 MDPLSITASIIAILDLTTKAIQYLGDVKDAPKARASLAIEASNL YSLLMNLRYRLEEGRSNEAWYTAVRSLGVQGGPLDQYKDMLERIQHKLGGGGSWIKEV GQSLVWKFSKEEVRGLFVSMEGLKGLIAVALEMDHFKLSQAIKSAVDCQGRELSLQID GLAQDFRDEKFMREQESIDGLHHELCGWLSPCNPEMLHLKACGHHHSGTSGWFLEGSL KWLVQNKSDSSAILLLKGTSGTGKSTLMSQAIKQAKSDVGQDPVLYFYCSFDDQATQD PVNILASFIVQLSHQVPELLDDFMPEYLKAKQHSTPAQLSIEQLEKIFMAHTRSLPHV FLFLDAVNECQDASAISDLLVRLALRCSNLRMLITSTRELNNPEPSGTLQTLVVQMDS SSVTKDISIFVDDMLALDQGLRNVTMELKADIRSTVISNSDGMFRYARWLMNHLAEQR TGRAIRKALTEMPTSLNEMYAMLLNRIPKSSPDRELLRRCLVWLSFSTRPLRLAELAE AVILEDTSENVDSDDRLHSPEILLDISQGLFEVDGNSGRVALAHSSVKTFLLSKWIQN TSVADFSMDDAKGHGEIIRLCLTYLTLSGFISGWGETMEVTETRFKEYPLLEYAVENW LGHSKIANYEFQMWSKVCGPSNGGQAITGGVQIFREPESILEIWTSDAEIRSRMDPA VE01_05655 MSSKNIALVTGANKGIGYETVKALLASDKPYHVFMGSRSLERGQ EAAATLRKECADSSNTVEVIEVDISSDSSIAKAFETVKASVGRIDTLINNAGITKDLD HIRGKVSLRESLTGSYDVNVAGTHVMTFTFMPLLLLSTDPRLIFITGLGTFDQCAQGN FPLPPLERGWPKKMDFETVGYRCTKTALNMLMLDYHYKLQKDGVKVWCVGPGFLATDL GDAREMVAVQGAGHPSIGGRMVRSVVEGERDADTGKYVVKDRIQAF VE01_05656 MDISHLPKFLELNHQLQEEAHVSASVNIVESASCWLFEHPEYQA WSTGKNTAFLLAGSPGTGKTVLCSTVIDALRDEHRSSESATAVLYYYFKMNDLRRATD ESLFSSMLFQLCHQLGVVPTELRVLGDVANGEQPQFELLFRAFAVAVRKFSKVFIVID AVDECSDIRRLIATLESMLDWKLDGLHLFLSAQPLPSIYNLKRKHHLHCVITADGNHQ DILSLITARVSEQLDWPDTTKEHVIRMVGDRAHGSFVWATLVFAELKRFHKLQQVESA LYTLPQGLADLYKTYVDGSLQRSADTEKVLVWVGYTRRPLRIDEVSEVVAINVAVDPI VSAKKQLFQGEDVLNICPELLHTTTIQTADESYQGVSLAHFTIRTYMDVELSHWNPHF EIAHACLRYLCLLDSADALSSSDYRLRFPLADYAARFWYYHVEQASISHENLDRLLPV VTEFFHGPGGLYIQNWVRLFDPDRPWISKINVSNRPPSVSTPLYYISCLGLTSLARKL LEIGKSDIDDTGGTHGTALQAAAYHGHLPIVELLLEYRADPLIRCGLHGTALQAAKFV RHTKIADLLRARMQELNTDEVGLDGDILDLPRHIVLNGSESDPYEFRRELGSGNMGYV DMVESLASGSICARKTMRIPAARRQQFADVVLIMEQLKHAHIVEILGSYSRSPNSFIL MKPVAEWDLKKYMNNEGGAVADPASLVQWLGCLAHGLAYIHGNQVKHKDIKPSNLLVH GNNILYTDFDLAHVFQSPGDVTRGPTGHTAPYSAPEVADGGDRTLTTDVFSLGCVYVE MLTVIAGKKVWDIFQKSPEEPGYSYRGLNEVKAVEWLQQLSFGDKETECGEVVKLTKR MISQVRPDAVSLL VE01_05657 MDPVSLAGLGLGAASITFQIFEGIKMGYGIFEDAADMPEHCESL RLRLRLECTRLLDWGDLSGLSEPENHSKFDRKLKANRATIIALLSEMKMIIDKIQKIS LRSDCILLPGIDIAAAQGTSMAKELHLANGKEDLKGVDIEQFCSILRPMEDQLNNKKR TLLTRSLRRVVDIGQGIADVAKQPGRIRWALSDKEAFQTHVRRLKELTDYLHETLGDH QMSILIEQTKETCMAMLQMTSSISEMKELIEAVEIATSHASIESETRAWLPLGDFVDR QASVSESVSTISILERRETLFTQLTEFRYHVASLQIGVPKNREVTGLKLQGRELDNLK FDHTRATGNGRTPATYLGAAAWVEWKAYRITRHKDEHGKFYYGPTPLATANLERLAAI LHIDKRPTQFRVPLCAGYFDDEINERFGLIYQVPYATPQLAETISLSQLLLQQNSLPP LQSRIRLAKELVTSLYFLHAVNWLHKGLRDESILVLTQNGRPDYSQPFISGFEYSRPD ETDLTTTAAPDTWAVYAHPDYQGFDRKTYRKTFDIYSLGIILLEIALWKPAEEILGFG QPSVSTGEKNKSPGTMDESQEKKVYSKDSLQDLKNIRSRLLIDEPSLLEQVRATMGSR YHDAVKSCIGGLEYFNLPTEADETSPVIATLLQQAYLRLVVDILRSIRV VE01_05658 MIVVVSASYPEFTPGKGLPSLEELGLTSEQLFTTPPPALATRVD DKYTQHTRCRSSSEGSEYAANVDDVIACYNYLVALGDYTCRERELCRIGSAKISGLNK NGHRANLGWDVPYVFSTAKCWDAALGLQWIFTNCNEGGKVMGYKEVGGGEYLIAATRS NIVFAAVVDPRPVDLWKIS VE01_05659 MQLLDLPAELLLAIGGELHSQNELAAFLRVNQYLYNLLTPSLYR RDAVDQKSPFWAAWTGQLKTLQNAQSVGIISDIDMGKLLHLAAGNNQVYILEYLLHVE HNFALKWVSCPQIQGDCSTTPLAAASQSGHYDAVKVLLSHGADYTITGYKGRTPLHLA AMFGYPIVVQLLLDHGADVSAIEERQWTALHYACSNGELDVAKLLIDRGADVSAIEER QSMPLHFACSNGNIDVAKLLIDRGADVSTFDGRQWTPLDFACSNGNLDIVMLLVNRGA EVCTGNRENRSPIYMAVKSKNLELVSFLLSMGCDPMSREKDGSTLLHRAARYNQHEMC ELLISHGSQVSARTNLGAAVLDWAAKGADARMITILLGAGAEVTSTNVKGLSALHRAA AYAPLDALKLLIDAGSDVNLRCFEGGGYTPLFEALRKCRLDAMRILLDEGADVNAKLD KGNTLLHAAAGARGIPIDIVRLLVERGANTAARDGRDRTPLDVALAVGNYGVGEFLSG NEPVGLHLDSDEEMY VE01_05660 MASSFRSERLMYCGLEDTPRDKAFIHSILSDRTSSENATNYLVK PASSADVDSFMRGFREAFLGVLVCIPNPSAPQTPSIIGYVNLQHTTHPHHRSCTMSIR IVPAAQGRGYGSEAIKWVLEWGFLVAGLHRVSIGCFSFNEGARRLYERLGFVVEGRTR EVAWKNGGWHDCIEMGMLEGEWREKYFKVEG VE01_05661 MSFLPRLSRTPLALRTLTRPTLPRAPARLTRLSSTTSTPPPPSR IVTALKRLVTTTFITTALLITYLSTTDTRFTALHRHLIVPSLRYLVPDPEQAHSLTLT ALSTLYTLGLHPRERTPSAGPDLSTTIFGHVLTSPLGTSAGIDKNASVPDALLALGAA YTEVGGIVPKPQAGNPQPRLFRIPSQNALINRFGLNSLGADAVAARLRERVRRYAISA GFGGGDDGERRVLDGEAGVPPGSLVEGKLMGVQIGKNASTPDDIDSVVADYVYCVQRL ARYADVLVVNVSSPNTAGLRDLQAQAPLTAILKAVVKAAGETDRKVAPRVMVKVSPDE NEAKQVEGICNAVWDSGVDGVIVGNTTKRRPAPVPAGFVLPAEEERILREEAGGYSGP QLFEGTVDLVRKYRKELDKGRSGERKTVFGSGGVTNGKEALKVLEAGADMVQIYTALV YGGAGTLTKIKHEMRREIDRNTPRSD VE01_05662 MATDLKTLAPSEAHYFNSYNHHGIHEEMLKDEVRTRSYMNAIVQ NKHLFKDKVVLDVGCGTGILSMFAAKAGAKHVIGVDMSTIIGKAKEIVEVNGLSDKIT LLQGKMEDVVLPFDKVDIIISEWMGYFLLYESMLDTVLWARDKYLVPNGLIFPDKATI FMAGIEDGEYKDEKIGFWDNVYGFDYSPLKKTALTEPLVDTVEIKAVVTDPTAVLTLD LYTCTIADLAFTSPFVLEARRDDFVHALIAWFDIDFTACHKAIRFSTGPHTKYTHWKQ TVFYLREVLTVQQGEKIEGVLTNKPNEQNPRDLDVKISYKLETEDVTRTAEGACEYKM C VE01_05663 MILRYLRNIGGLPFLLLALCLLIGTTNASVGDRLPEFRKCLEVC KTENCKNGDSSVALFHRLLLWTCPAECDYTCQHIITDMRVTSEPPLPIVQFHGKWPFH RILGMQEPLSVIFSLLNYAAHYQGLHKIRKFIPESYPLRKYYVNLAYVGMASWIASSI FHTRDFQLTEELDYFGAGANVLYGLYYTPIRVFRLDKGGARAKSVVRAWTTLCIILFL AHVTYLKYYSWDYTYNMAANIAAGILQNAMWTWFSITRYRESKRMWAVWPGLVVAWVM IAMSLELLDFPPIGGHLDAHALWHLGTVFPTVLFYNFLLKDSQNDIAGARLKA VE01_05664 MSSVIKKRKITKDVASGDVPKTTKTVAPAAPARSSSSPTPEAAE KAGDVEVDAEDVAPKTFKDLGVIDSLCEACESLGYKSPTPIQQESIPPALAGRDLIGL AETGSGKTAAFALPILQALLDKPQPLFGLVLAPTRELAYQISKSFEGLGALISVRCAV IVGGMDMVTQSIALGKKPHIIVATPGRLLDHLENTKGFSLRALKYLVMDEADRLLDLD FGPILDKILKVLPRERRTYLFSATMSSKVESLQRASLRDPLRVQISTNKYQTVSTLLQ SYLFIPHPHKDTYLIYLLNDFAGQSAIVFTRTVNETQRLAILLRTLGFGAIPLHGQLS QSARLGALNKFRAGSREILVATDVAARGLDIPSVDVVLNYDLPPDSKTYIHRVGRTAR AGKSGHAISIVTQYDVEIWLRIEAALGMKQNEYKYVKDEVMVFKARVEEAQRHARNEM KNLHGDRGNKGAVLKGRRPAKPGKRGRDEMDKEEG VE01_05665 MAHKRTKKRTHVGAKGGENKAVTANQVNRTPKSMVIRIGAGEVG PSVSQLVKDVRLMMEPGTAARLKERRSNRLRDYLTMAGPLGVSHLMLFSRSESGNTNM RLAVTPRGPTLHFRVEKYSLCKDVKKALKHPKGGGKEYLSPPLLVMNNFTAPAADESK PESKNKVPRHLESLTTTMFQSLFPPISPQATPLSSIRRVLLLNREIDPNDDSSYVINL RHYAITTKKTGLSRPLRRLNAAEKLLHQGNNKKSKASLPNLGKLEDIADFMIGGENGE GYMTDATSGSEVETDAEVEMLESTTRKVLNSKARQRARAAEAKEGDAPGVEKRAVKLV ELGPRMRLRMTKVEEGVCNGKVMWHEYINKTAAELKEMDKVWEKRRQEKEARKKIQKE NVERKKREKKASGAGAKKDGEEEDDDDEYDEMDVDEWDSEGLEGDAEMEVNEDAEEEG NWEEQEKEIAAG VE01_05667 MGRTPNPPKVKRPNPLSTIRPIRAPTPVARPPPPVGPPKARRLC PNKTCPAPKIEDGICKTCGTIVEESTIVSEIQFGESASGAAVVQGSFVGAGSGAAKSM GPAFQRAGGGTEDREKTLREGKRIMQGFAGEHKIPESVLNSGVQIFKLAAMNNFIQGR RMNTVAAVCLYTAARKERPCRVMLIDFADSCGVNVFKLGHTFKALHQKISISADGIMP VLPEDLIYRFATKLEFGQDTTKVAESAVRLVQRMSLDWMVMGRRPSGICGACLILAAR MHNFRRTVKEVVYIVKVTTATIQKRLEEFKVTASSDLTVEEFLSNQFLESAHDPPAFY QQSESYIATKKKRKRKRHPLEGPEGDDEESEIQRILDSASRQSSVASSIDPGLEINPS GAVRRDADGFAVPPLPAAKAIPIDPALLENTDNDDSLNRLVAEYGEDLPPGDGPLKTS ASPGSPGKVNGKGATPPPSASAKSVVDQAWEEDEEEIEDEISEMIADPHTIEHAKAFA TAEQRARVHAMLAYAQAPQVSIPMTASVDESEFADDPEVANCLLSEAEAAIKEHIWVN ANKDWLRDQAVKLYNQKIADKAPKARRNRVKRPRIGEGQTRAASSPAEAAVEVLKERT WSKRINYDAIRGIFEGPGSKATSAAPSVVAEEEEEGDVESTAEDYRVDGEGGVGNELL EELEEEEDVEEDVDEGWEEGMEDEDF VE01_05668 MAFQASALPSVAAATTELWPSMVNVMGAPLRSAGSRTQSRPSQR SEVQPPAATVIQPSKPVLPECLQTARGLTSSLSEYRNATLTPFTARDTLSPMRRGTLA AANPLARRLPASFSTSRSITSITAFRLSQPRTPFTRILKPQQRTVFGANTSRNLLAHM EEVANKNPNSATAQNAFYQALLRANMGAIVVERYQNGHFASNNACEAAYQKALSGLGG GGGGAGFGNEMAGGFGGMANNGGGGLNNSQLQAIGQAVAASSRGGNVAVSRGRDGAGN GAKESPLYVVVEETIGSVIFKWVKLALYFALFTYVCFALLTVLIESVGIFKKVGNAKA DNEAKAEHQKVRFSDVHGCDEAKEELQELVDFLKNPGKFSTLGGKLPKGVLLVGPPGT GKTLLARAVAGEAGVPFFFMSGSEFDEIYVGVGAKRVRELFAGAKAKSPAIIFIDELD AIGGKRSARDASYAKQTLNQLLTELDGFEQNSGVIILAATNFPETLDKALTRPGRFDR NVVVGLPDVRGRMAILKHHMTNVISGTDVNLEQLAAGTPGFSGAELENVINQAAVHAS KAKALAVSMKDFEWAKDKVMMGAEKRSMVITDKEKEMTAYHEAGHALVGMFTKGANPL HKVTIMPRGQSLGMTMHLPEIDKYSKTMSEYRAHIDVCLGGKMAEELIYGADQVTSGV SGDLESATQIAYAMVTQFGMSDLAGNVDLNTNYNHLSSETKQLIESEVRRTIEEARQR AHALLVDKRKELDLLARALVNYETLDKEEAFKVIRGEKLEGKLLMPSGSIKRPETGAP EKPLAPIPGSKAADAPTEPEPPKGGVMA VE01_05669 MELMELVENEPTVRPFQCEWPSCQKNFNRKSDLQRHFRIHTNER PYSCLTPGCNKSFIQRSALTVHIRTHTGEKPHQCQQLGCGKRFSDSSSLARHRRIHTG KRPYKCAHEGCLKSFCRKTTMVKHQRRSHQRGGGINSSELEDGDTSDSDMGESPSTPQ LVTQAHWPQDLNTVITHNVVQNHHQAIHRSQSFADFGHHHNGNSQPYVTNAEIYPPRH SLSESPQHYQHRSLSLQDQRHPQELIQQQRQQQQQQPTIHQQHPYFISEQNNPGVATM NTNPNIHIQTYIARQIPDRQIPYTTQSLPPSVDAGSDTYSALSARTPPPPELYYAHQQ QPLQKFEYPAQQVHQPSPIDQQQQQQQQVPVVQYQQHQQQQQQQVVQVQQVVPTPQQQ YQTPQEQWYAQAPFQEPVEVISAINSYTTQGLYDPWQVKMEAFEDPSMQLPSARCENL VE01_05670 MFSYLKPHRRNPSSNPVSPISDRPHSFERTSQLTPEQHSHPYTS RKPEDNNTPDRPRTPSDNPPLLPPIARVSSPEKEELEPIRLNGAGKPTEPKSFEHMPI RQNGGAKPTEPKSFEQVPISRLSNAGLYSSERPQYPPQNSYGQSGTGMQQPSGQGQGG GRLPFVTSADLQSTHAHVARRPMGARMPSPPPTLPANPISTFDASQKSSSKTRLNLLN PMSLLARRRTAQPVPQLLAVPTGNFPTQLSPSDYDPRIRGTKVHDFSAPRPRPTVSHG KPAEAKSEQPQVDAKKNKTPEDGERSPHTPAFKEDFEEEQYPAAGPHVRKANDFSDLA IPPRPAYAREAQSSKASTPDASTAKPATPETEIAQNKPEETLQTVGASGSSDTGLLED EDTQTVRQASISSRRKHSKYSSSSLSSQRQLRNPSLISNRDSSSTMKHMKSNSSRFSF DMIGAASQEKLLEDRHREKALRKQSETPEPDIGVFDEEEDYDYENMMDDDGLEERIPG VNADMDDDDDDDDDMFGQRWGIGGFTLQTGPMVSLDPINDYTPRDLDGNPISRGMSRE PETEAQGTPQEANGIFYEDAGISPNTAQSRELSGLGLEGLELDEDPVPVTEPLVPYRQ SQIIAPRKVLDEDDMYFDDGMIDHNDYDPEGPEFDESIFDNDDTDQYGRPLAPLSSLP TLYSPPLIHTTTDSLNLSKRDSADSQKQAAQHEQLTADSLTVPPSDAQPQPDSPKLLQ PAASLTQDTLSAYQSALAAAAYAAAASGRFRRDSTPGGNIADEYEGDPNEVNLYSPSI EDDFDYDDAFEDDPIIAEANAEALAFDDDGFYSQEFGFYSAPAGTEALYANGGFFGPR GAEGIIRSQSGRVREPNLTPITERSEYSNRNSIMSLPQLASAMSHGSANVTSPGLAQL AGLLSDQEDENMTLSALLKLRRGQWGGSQSSLPASGGGSPSVASPGDDHSGGSRTGHL GQWQQNQMGSSPSGQLAPWQQGQAGSSPSGQLTPWQQGQAGSSPSGQMPPWQQQSSLS PVDTRDQFQQGNALLKHSRKGSTFSLTSNYSRDSEASSPNASPETQFNPNPVLKAQLQ TQQGPVQTVNRSSTTSSRSNIQSTSRIASPTSTHFDHDSISFPQSSNNNKPKEKGAEL KKHRHTGSSDSISYMKEEDPVTGERWVLERRRTAESGVEVLEREVISNGRI VE01_05671 MAETSSSMPPLRIGTRKSNLAVVQAEGIRDRLQKLAPGRTFEIT ALRTIGDKDQNTALYNFGAKSLWTSELEELLVSGKLDIVVHYMPTELPSSCDIAAIPL RDDPRDALIVKAGLPYTTLATLPSGAIVGTSSVRRSAQLRRLHPHLRFANLRGNVETR LAKVDDPKGEYDCMIMSACGLERVGLAHRITQYLGSKDGGIFYAVGQGALGLEIRKGD EETREFLGRLGDEKSGLACRAERALMRKLEGGCSVPIGVETEWLGGAEFLLRMRAIVV SIDSSQSVQDTIDARVQTDDEATALGQELAARLVKAGAGAILKDINTNRPAKD VE01_05672 MYGNLVTEWLENPLINKNDAEGASYQAVGRAEMHEQRSTWESYV FKPLKTDTVAINSYLNQLFTSSKYMEERLEVLRKTTQHFEETLLSESQFDEDSLKWII AGILGSDGITDAKRTALTDFASNKTVLKELADVLNMRMRNLDTWSWGVEGTPVEQRRQ LNGRYRFYHDEDLLQTIFLRYIGCEWSAQFKASLKKFAESPKTWIHPKPAMTKDEVAQ WSGCDHALRTAGTDQCVQHKHARIWMNDVFLEQLQTSADETPRAYDDDGCDDDSDDGS DVKTKSPQRIIQKVLRLLETDIIMKTRLSEDVTVVRSDFKWFGPSLPHSTMFTVLKYY GVSDRWIGFFKKALEAPMQFVNDGPDAPVQVRKRGTPISGPLSDMLAESVLFCLDFAF NQRTNGAFLWRLHDDIWFWGDKSTCETGWNVMTEFAELMGLDFAEDKTGSVQIAADGS QSTSTSSVLPAGDVVWGFLKLDAKSGRFVINQNQVDKHIKELRLQLSSCKCVFDWIQA WNIYATRFFTTNFGRPANCFGRAHVDEILETFEKIQKQLFTNEGDSVTSVIKKMLSDR FGIQDIPEGYIYFPSAIGGLEVQNPFIGPNLVRESLPASPQVYMDRFFRNEAEAYQKA KETFESQALKSGHKVKDPVDFLTFEEYTRYREFKSAELGEAFDLLMGEPVPEYLNLPV FKARRLRHDLSGPYYRWILQLYATEMVRRFGGLDIVQPGLLPMGMVTMFKKSRFQWRD VE01_05673 MSFDEVLEKAAQDGTIPGAVVLATNTSGDFNFQKVIGKRSLQAG SDDPLRLDSVFTIASMTKLLTSIALLQLHERGTVGLDQDVSELVPILANQSILTGFTK DGTPILVKREKAITLRLLLTHSSGAGYSFMDPKLQQYAKYTGKTFSESSTIDDIFDWP LLYQPGEGWAYGAGVTWAGKVLEKLTGKSLEDYMQENMFQPLGISRITFFPRANPALE GQMCDMSVRDDATGTLSAAPPGLPFFGDLKDCLGGEGAYADLSDYAKVLRSILLDDGT LLRSETAALIFQPQLPTDAARAGLRKAMEDPSWAVGDFSGPNEYDWGFGGILIAGDNH PVRSRGCLIWSGAANLFWFIDRTARLCGLWGTQVMPPADQKVEPLISLFERHMYALGG K VE01_05674 MIRTTTTTAARPFSRGLANVISARAGYGPAIRKVSVISQFHSLA AKRPQVAVPQFRPVLIPQLRYATKTGVPKHDKIDAAAERKLGAKKLESYPEAVTTDSS VRQVFESSEAPIEEEDHEVLRGVKQDLNTIKDSFALREVPRESYYLGAAGTLPYMATS LATLYLSYGINHVPTGSSLFFPLETAQYYLSVLEPIQIGYGAVILSFLGAIHWGLEYA QYGGHQPGYRRFAIGCAMPAIAWPTMLMPLEPALITQFIAFTGLYFVDSQQGVRGFAP SWYPTYRFVLTFIVGISIVASLIGRGQVQFVGSGKPTRAEKLRELSEMQPEARRQEEE VKSQRKAAEAAEAAEEEKKNENKTEDKGDQGEK VE01_05675 MLEGLVAGLLNRFLGMYIRNFDPGQLKVGIWSGDVKLQNLELRR EALDQLKLPINVVEGHLGALTLTIPWSNLRGQPVKVFIEDVYLLAAPKEDAEYDEEEE ERRRQAVKIEKLDSADILKERNDEGLSQEEQKKSQSFTESLVSAIVNNLQITVKNIHV RYEDSISAPGHPFALGVTLEGFSAVSTDGEWNPTYIQNSVGVAHKLATLEALAVYFNT DTKLMGTGREAQVPQDAEVLSHEEMMEQFSKLIVKGEQSDDTEHQFILKPVSGRAKIE MDTSGEITRPKIKAGLLFDEIGLVLDDDQYRDALMMVDLFHYFLRHQEYKKLQPKGVT PKEDPRAWLRFAADAVLSKIHERNRRWSWDYFRERRDDRIRYIELFKKKRGDQAMTPD ETEDLNNLEWKLSYEDLRFWRSLARNQLKKENIGVKKAAPPKPQGWVAWAWGSKPEET EEDETTTMTEEQREELYKAIDWDEKNAIAASVDLPRETVKLQIEADLKLGSFTLKRDS HNTAVEVLSLFFDSFSAKILQRPDSFLADVSLGGLRLNDGTTANSFYPQIIRVKDSPS TGDDTSLTKFEPESSVEPFFQFQFEQHPLDESADLAVTAKLKSMEIIYNPNFIVEIVK FFKPPERHMDSIYALMDTAGATVESIRQQTRAGLEFALEEHKTINAKLDLQAPLIIIP ENIEAERTTCLIVDAGHISVNSDLVDKETMRDIQSKHNQTYTEDDLKRLEGLMYDKFQ LKLEATQVLIGPSVEETKARLRDTGDKAFHVVDRINVEFTLQLSIVPKTPNIPKIRIS GHLPVLHASASDAKWKSFMRLVNVAIPKFEDEQEVLDKAIEPARSSAVTKDVKRPRAQ SSLSQRRKSHRQSTSFPFAAQQAVVIEESDLDEDEDAVFQDASIGQTDEDLKLAQRTF ELSFSIGRLQGSLYRSDPNGKKPDQLLVELVAEHFSVDVTVRPFDITAEVALQSLSLD DHVEKDPLPEFKRLVSSGDGAEGGDKEKALVQVKYARIRRESPEFMTKYSGIETNLDI AISTINLIVTRRTLLTLLDFILITFTNPDGNNPNQKTIESEDESEEDEVVATPQNPAE PEKIRIQISLKKVSLVLNNDGIRLATLSLMAGDLGLFLMGQTMRIGGKLGNLSLLDDI DQGAPLEMRELVSIQGQDLADFSYETFDPNNKETYPGYDSSFGLQAGSVKVNFISEPF RKILNFLVKFGKMQAIFNAARQAAANQASQIQQNANKIHFNITVKTPIIVFPRVMESG VSHRDVVTAYLGEIYAQNKFVPLDDSAYSPIGMKISAGIRNIRLTSDFHYGEDRSEEL ELIDQVDLGFAITYAEHLQGVKRPDLEIDGHMTELNLRITQVQLRFLLELARTIPAAF AADEDAIEQETIDELPNRLTQHADADHGLASNDNDNRKSVDLGPELNIDSESWVKLDF VFKVPSVGLEMIRAEDNEPIEDLEEASLSKFSLDDTTVKLSMVTDGSLESELTIQSFT IQDSRSSETNRYKKVMTSLNKDVQQFMASVTISGGKERNVIAMAAIDSPRVILALDHL FAIQSWLTHGFAVDEPAVSDVVQDIMEESNENSEAETEVSSRHVSQRPRSESRASSGK KTPQVQDNNEMTVSFRVNIVDAQIILIANPISTSSEAIVLGTKQILMSKQHALTLQVS EMGMFLCRMDRFEDTRLRILDDFNLSMSMDTSQPQVSSIHIDIQPLILRLSLRDILLA VQIFGKASELSGGEAKPQESPSAKKANQLKGRPNSSLKQRTASGRATSTLAKRPKTIG SASQGQLDGDASSAVTKAGPPKREELTATLEGLRVVLLGDVHELPILDLSVNNFTASA NDWSSDLSAGTSIDMFVNVYNFSKSSWEPLIEPWQLGFRMSRKQNPPSMAFELSSKKM MELTITSATIALASHSVTFLRSDDDVLSKPRGVDTPYRIRNYTGFEMNVWAESDSIDE DAMSAKLQDGEEAPWLFENWEKMRENLSTDIKPAIVGVVLEGSSFQSIDKIPVNREGE FLYNLKPRVDNILHRLLVEVKLGEDNVKYITFRSPLLVENNTQIPVELGVFDAQEGHL LKIEKILPGESKPAPVGAAYLKQLLVRPDQGFGYSWSTESLWWRDLLRRPTRTMTCKG DSDSKTPPFYFQMNAVYDKSNPLCNIYPYMRIRLSAPIELENLLPYDFKYRIYDKNTK KDWTNFLRKGGLSPVHVVELSHLLLLSIDMQDTVFKASEFAIINSNDSDDFRKETTLV CKDNEGLPLNLQLHYYRIPDGGGAFRLTIYSPYVILNKTGLDINIKAKSLLQQARTAA GQKVVRDLLGDDEQKALPLMFAFSGDDQRNRVILKVGESNWSKPQSFDAIGSTIDVVL PSATQNTEIHVGISIENGDGKYKMTKVVTLAPRFVLKNRMSEEISAREPGSSELMTLR PGELKALHFLQKSAVKQLCLCFPGLNNQWSSPFTISDLGTTHVKLAKVGQRQKLVRLE VLMEDATIFLHLSIETKNWPYSMRNESDMEFMFWQANPNIDEEDTEDRSGWRPIRYRL PPRSIMPYAWDYPAAKLKELIISANGKDRHIKLAEIGNLIPMKVPAAKHSREQKIIDL NVAADGPTQTLILSNYKPSKSMYKQRSPTESASSVTEGFEVKDQDTDVTFRAQLKLAG FGISLVNSQLSELAYITFRDLTLRYSESPLYQTVSASIKWIQIDNQLYGGIFPMIIYP SVVPKQHAETEAHPSLHAQITRVKDDSYGVLYFKYATLLLQQMTVEIDEDFVYALLDF SKVPGASWSETHEGTLCDDSLDIPEPKQLQQGQDIYFELLNIQPMQVDLSFVRTERVN VEDKTSSRNPLMFFLNVLTMAIGNINDAPVRFNALMLENARVSVAVLTQNISAHYSQE ALYQVHKILGSADFLGNPVGLFNNLSSGVADIFYEPYQGFIMSDKPEQLGIGIAKGAT SFVKKSVFGVSDSFSKVTGSISKGLVAATMDKQFQDRRRMTRSRNRPKHALYGVTAGA NSFVSSLASGVGGLARKPLEGAEQEGFAGFFKGVGKGVLGLATKPAIGVFDLASNVSE GIRNTTTVFDGDGLERVRLTRFIPADGIVRPYNQREALGQFWLKQLDSGKYFNEQYIA HLELPREDVVVMLTYSRIMLVRAKKLTSEWDVPLRDVQTISKERTGLSLTLRGGTNGP FLPVAEESSRNFLYQKIGVAVGEFNKKYKATE VE01_05676 MPHATSDECPSSSTSSSSSSTPSRTPSPPPSPLHLIAPQTPDFP TRLALHLTTPSTPRLFSAVMAEERMRCVIDEFDLVMGDQGSSNGYGGTGRGAL VE01_05678 MFNSLLLTTATLLLLTTPIHAVCGIHTFGHCEDMITHWYDPLTG EICDPVDCGGGRAPPKTNVPGCGTIPLGPASYLSCWSSGFTGAPGPATVAEQKTTEEA APVKTTAPVEVQATTVVSQAVETPATKESTAVAAPSSVEGGQEGSSAVESTKAASSSP ETTLPAVLPSGGQTTGGQTGAQTGAANGTVTSSSTSAPKTTDGTGAGAVVRGSVMAVV GAVVGVIVLV VE01_05679 MSGKDSQKAGGVEPAGSNPVDDLVRWAKSHGAYLNDDVEVYHSP EFGISLRVKPLPQQNPPPPAEGSGKEETATPQSTTSNPPSTSLPPNSRIVSCPFPISL SYLNALSAFPALPSHSTPFPASFLTTQQPKVIGCFFLIQQYLLGSSSDWAPYIRSLPQ PNEPLKLATPLYYPEEARRWLGGTNLPAAIAQREGMWRGEFEGGKKILDGSEGAGEGT GRWTWELYKWASTIFSSRSFVSKLIPDEVYGDVLDQPVEGYPSWREKIAEEGPYPVLF PLLDIANHDAKARVEWFVNAQGPVKDFSIITDKAIERGEQVFNNYAPKGNTELLMGYG FCIPGNDDVAIELKCPSEEKRRIWGAQRHGYKASGGGSEKWIFHVRSRPYPAREGDNR VEEFRVFEEGLIDTLAVLVANEREEEFLEENPEYSVESNLETSLYNFMGRNALCALSI LLAQLRSAKEKICYGDSDLGEPATQFEAVAQQYRSGQLATLDGAIEVLHEYLETLKIK HDTEDYTIAEWPQSPIIDVRSAFFYLEANHPTVWNAVARAMLSEDAVKDYLCISHDAD MSEHMSAKDLSAMNYSFGNLRGNGWGVAMLCVWTWCTLMVHHSENNDLSDGLDVWLED VLQFHSTTPSFDLDPKTTAAMSPITTRTLTTWPTEYPIQSAARRRVNRMHPALIPGDD KDDDFARKMVSLAVRIVRGGVFERAIPMKGTGPLLTQEVVFVPRMKDEEVA VE01_05680 MEESAAEILVLWILTGLAVAMMLLRLGLKQYRSQRSSLGDYITI AAIVSILLRGAVINVALLWGTNNISKAVRKTMAFTPEVIYRREIGSRLTIVNRVFYTV YSWLQKGVVMCILQRLLKGVGPKWITKFYWITLAVTFVAALIVTFTECHPFKRYWQVV PDPGTCSKGIIQLEVFSALSMVTDAMLIALPLPHLIKIQRPFMERLRLLALFLVGLTI LGVTMARLLMNVVLFHRSGQSHNVANIEIFFAAFVANAPTIYGLLNVEGRRGAAGAQY LPDSYSGSGSKSIGGIRSNVSRKDRGLDSGNYQSGHNLQNHVWAGKSRYAPDSDEEMM IVSPSPVMPFSDVL VE01_05681 MQIQASLVRASLLAILGFTTTSNAGLALTIPTNTNETINSQVRL AYAGPNGMVVSWNTFDKVARPTVKYGTSPQRLIYFAESTVSVTYDTSLTYNNHVPLKG LKPDTLYYYLPEPLLKDDSTTAPYSFRTSRLPGDTTPYSVAVTIDMGTMGPDGLSTTA GQGVDPNNILGPNDNNTIQSLTAVVDSYDFLWQPGDMAYADYWLKEEIGGFLPNTTIA DGYKVYEKILNEFYDEMTPITTKKPYMVGPGNHEANCDAGGTTDKSKNITYDGSICMP GQSNFTGFINHFRMPSAQSGGTGNFWYSFDHGMAHYIQLDTETDLGHGFIGPDEPGGL AGEDSGPFNSIMNAQTTWLQKDLAAVDRIKTPWVIVAGHRPWYLSYANVSKTICWTCK DVFEPLLLQYGVDLVISGHAHFYQRSAPIANGVIDPNELNNPSAPWYITNGAAGHYDG LDSLATTPQPYQRFGLDVRDGIYGWSRLTFHNSTHLTHDFVASKNGTVLDTATLYKNR VCSVPKS VE01_05682 MSQSFDWNYPGLNWSHFHSLANLLSLRNGGQAEPSSLSDRVLEE DWDPDDDGDGNTPSMDTRLANQISDSEHERLKRRFLDCLAEFAANKKGGTAVACSAMK EAEDNVAIWIARNEGFSDADKPTFDKLGKVLADQSEIVLWEEMVSYHQNRIEHSYIPK LRASFKAHDAAPRRNGTNTLENSGVSDAALSVLRTLLFNGNINGASTLEKHMSLVIAA YNLRRTRNIEEVLYSSSSATSRSKSLWSNICLLARLRVSFQNFKDISLTLPSFEQVTI ILVSRPFTPVNPSQRPLNLNETFGILQLKLDPTTTKAVLGENWTVPKIKGEFAKQQKQ KPNIHAEVQMLMSLNTNGSSTSGLFPYFGCSKLSCFMCNHFIQSYGRFTTRGCHGRLF KPWTVPSVDRLLPGQADRIAKALILVQKEVKKKLTASVEGHIRHERTSVIGGSSVLSG RQEERSQRQLQIDRLRMKAERNRVTEMFRR VE01_05683 MPEDEDVLEDFGFNNVLFGGDQTYLLGLYQGLYLSGKFSAEDIH EWRVGDILVDKIKEFYYSIPQNSRGQYFPWFLKNSYALERHMTKEEAQQNLIATFYDK ARPYLDIEDRNKTARELQPEAKGSSYNLLAGVLFRASPNPIDRNWYSFGFVTCRGQGE ESVLVDLYQLLLTESDGSFFYEFHNSRRGVIKPATFTQFWKAYETGTLIQLMDSKGLK ELRSRLPFLEGFLSVGPADPLPSVWNLKQCVEINDLMDHPPIPSVNVDYGFINCHNFE ETCILMEIYGKVLKTANPLELHQACVAGDLFQYASDYVWMEERWRSLMRNIYPLKEVV ESELGPELRSDVGSEANEDPAGLPSLLSRLWEFIR VE01_05684 MGSDAAATAVAGLSKNDAVVTKDDNSNDAAERIETSTTRDDSAT LETATSGAATKAEGEQQRGAKKGWRRKGWFGKMNASAEGEALENMGSRDGLLEGGEGE VVWKVYKRRWFGLLQLVLLNVVVSWDWLSFAPVSTTASEYFDISMTAVNWLSTGFLFA FCVATPFTIYVLHKGGPKPAIITASVLLLVGNWIRYGGTRVQNYGVVMFGQILTGFAQ PFVLSSPTHYSDLWFTNNGRVAATAVMTLANPLGGALGQLIDPFFAPNKGDIPNMVLY ISIIATVASIPSFFIPAAPPTPSSPSSTEHKLDIIPSIKTLFKSPEFIMMLIPYTVYV GLFNSISSLLNQMLSPYGFTEEEAGIAGAILIVVGLVAAAISSPILDRSKKFLLAIKI QVPLIALAYLAFIWAPPTRGVAAPYTILAILGAASFTLLPVALEYVTELTHPVSPEVT STILWSGGQLLGGLFIVISDALTDGENGGPGSDVPRNMQRALWFQAVIAMVVMVPPLC LGLFGRREQVKMRRVEADKVYREGQRTNGGVVS VE01_05685 MKTCVTSLTALALASQVFGAPQGFISLASERNTDTATPARAANA LEWIGPIGPAGEEVSNEMVQVARDAQVHHVGGLVRRKKVTASKAPQDKIVCDREDMTV AQYGETWAAYKLAAVVGALAATNDSAVVVIGGGHGHCVDLTACDEAERARIQLCNDNP QANHVKVQTIAEYAYRIIYECARQDGSGLIWGQEFDDNQFNVIISGCPVYYPPKKEDP KTPLAPPKVKGPKVKGPKGQEPK VE01_05686 MHLIPREIDKLVISQLGLLAQRRLARGVRLNHSEACALIANNLQ ELIRDGNHNVADLMSIGTTMLGRRHVLPAVVSTLKQIQVEGTFPQGTYLVTVQTPISS DDGDLNKALYGSFLPIPDADLFKVPEDEECTAEKMPGAVIAVKGKIAINKGRKRIQLK VTNHGDRPVQVGSHYHFIETNPHLEFDRIRSYGYRLDIAAGTSIRFEAGDEKTVTLVE IAGRKIVRGGNNIASGVFDLSRTDEILKNIEAGNFRNILEPAGDAAHIKPFELDRSVY ASMFGPTVGDKIRLGDTDLWIKVEKDLTSYGDECKFGGGKTLRDGMGQATGESDEDSL DLVIINALIVDWSGIYKADIGIKNGFISGIGKAGSPDVMNGVTEGMIVGSCTDVMAGE GMIVTAGGIDTHIHYICPQQASECMATGVTTLLGGGTGPTAATTATTCTPGKNNMRDM LQALDAMPLNYGITGKGNDSDPKALREQVEAGACGLKLHEDWGCTPAAIDSCLTVCDE YDVQCLIHTDTLNESGFVESTIAAFKDRAIHTYHTEGAGGGHAPDIISVVEYDNVLPS STNPTRPFTRNTLDEHLDMVMVCHHLSKNIPEDIAFAESRIRAETIAAEDVLHDMGAI SMMSSDSQAMGRCGEVVLRTWNTAHKNKVQRGFLKEDEGTDADNFRVKRYVSKYTINP ALAQGMSHLLGSVEVGKLADLVIWDPAWFGTKPTMVVKSGLISYSMMGDPNASISTVQ PVIGRPMFAPHVPSTSVLFVSQASIDCGNIESYGLKKRVEAVKGCRTVRKKDMKHNYE MPKIHVDPENYRVEADGVHCTAEPSTELPLTQSVFVF VE01_05687 MTEVADANGFAAAQSMKISVISFPEPATLNPGVNLDDVLVNIPD NLNSVSNLTLGQNIQTLSTNGNDGTGRAQGLLYVPDLAATDPCFNLSKQHIPALAARR VDFPGENLKFIALAPWINSTCSLSFLDMASLVSLQAFIFYTTDNADTMPPPISSPVWN MHDGGQWKAAHRFPVYAVPGSYGNNLMDQLSRYSGNSSSVPFGKQVLDLEGVETNSFV RVYTQIATDSSSGSLPGLWVFLLIAIASLGAALMLTSFFMHFIQRRRRQNLRRRIAAG EVNLEVLGIKRLTVPVETIQKMPVYIYMCEDTPAPPASGAKGATSEEGVFNIPVINDP VTGLRIQPQMYQPQSQPTCPICLDDYESLKAVVRELPCGHIFHPECIDHFLSKNSSLC PMCKTSALPIGFCPPVITNSMVRRERIGRLMDENAETPDSGDWRSRARHWVNRRRIGG PHHVSSIELSTPRRDSTTPATVSAPSPAYIPEGTSRQAIVELRSQELMSNTAVVNEEA VEERPRPKCMY VE01_05688 MPPHPPAQKGTGKRSGGHRGESRRSRSRNTTPSSAGAPGILAGT ESESRYLELTMIPFPQASYDDIVDPQIGSSIPDSKAIDTIIDSINRLLDVAEARGKVC DRGMRLLSAQRKDQVETERREQERVDREAADEAAERGRQASKMRKKKDSSKAKEDRPL THGAHVLAPQDGSKIEGAPSVSPGRASHKPGRGRSRGVSREASASSSLSPVEQTTPPP TGAAAKKKASVPTIQVDEDSSSEDEHQPPPAPAVPHIMTFGDDPWSYPDPTEYEIRKV TPDMPEEEIKKIYSVAEYPHDDLHDLIPGTPPDKDFSNAKPVNQVQATTFATHMEGYL RPFTEEDLAFLRDRGDRVNDFIIPPRGKKHYTEIWAEEDGLADPRQNRDKLPANEPRG SIEDLDEATAETDEISVGPVLGRLLSLLRPENRAPPSEQSAAANGNVDSNADLDSSLG LDPMPLDPPAAPLPPATYMTESTTEGWKKANHPKLDHVQVDERVKQELRYIGLMPESA DPQYDNGEDDEVSARLRLLTAMLKEKVIENNARKAILMEKVKERMAHQEYSTILEDLD SQVQASFSKRTRTMGKKTKAKRPGGAGGGSHVASQGMARPGIGDATKTLMERRKKWIE NIGPVFEGDTGRVPRAADEGSSIFTDEAMVEYMKRERENWDEEVDDE VE01_05689 MADSKIPLEPPPNYEDAAAQHVTPAAPMAKPLPRGPFPLDIPVL TQLRGKRVVLASASPRRKQLLGQACIGLTNIEITPSTKPENLDKVALGAFDYVLRTAQ KKCLDVYQTLLANSMKSIPDPTMVIAADTVVVTASGRILEKPRSEAEHLAMLRMLRDQ VTHKVYTAVCVLVPRDDARAPGYNMESSVEETKVVFDETTSDEFISAYVKTREAVGMA GGYGIQGMGGLLVDRIEGAYDNVVGLPLRATLALMEKTLFMQGSDDEDEDEEE VE01_05690 MSSPANTSQAGREASANGSATSNVDDKPRLSEHEKKANHIASEQ KRRQAIREGFDRLTELVPGLEGQGRSESIVLNKTVSYMRQQLREREQLVERIEQLGGS VNDGFKK VE01_05691 MVQNKGLIYKEAPEGVPVPGKHFKVEARDFDLEKAPEGAITFKH IYASFDPYQRGRMRKPEVKSYSPPFTLGQPMQGGGIATVLASGLPEYKKGDLVIGIMP IEEYSTLSEAALKAYGIRKLENPLGLDLKEFTGPLGMPGLTAYSSFYDIGKPKKGETI FISAASGAVGQIVGQLAKREGLTVIGSVGSDEKLKFIKDDLKFDEGFNYKNEKPGEAL KRLAPNGIDIYYENVGGEHLEAAIDALNDKGRIIGCGMVAEYNLPDEKKYHVRNLMQI VAKRLTIRGFIVGDPDMGPVYAKERDENVSKWIKEGSIVTKFGIVDGIDNAAEGWLGM LQGKYLGKVVLKIAEE VE01_05692 MRSSRAIQLLRNGSRQPCANARRVQQPNIYKAYCSSCDAKPAKN SKSKVKAVADPPIPPADHRQLAIDQELFTTSIYSPGSPLFLPNGTRIFNRLTSFLKAQ YAQFGFQEVISPTIYKKSLWEKSGHWENYAEDMFSVTGRGASGEAEGKQVGQDEEFGL KPMNCPGHCILFASKQRSFRDLPIRFSDFSPLHRNEISGALSGLTRVRRFHQDDGHIF CRPSQVAEEISKTLDFVQMAYETFKLGPYRLALSTRPKDHYIGTAEEWDGAESALREA LDASGQVWSVNEGDGAFYGPKIDIILKDSDGKEHQTATIQLDFQLPKRFELQYTAPAP ELEQKGLTTTDPELLAVSGPVRPVMIHRAVLGSVERFMALLIEHYNGRWPFWLNTKQV MVITVNDDPSVVAFASDAINEIQGMPKSGTKAQARGMHSPVFGVDLDTTPRSVKKKIS EAMRKHYGIIAVVGKSNVEDGRHITVDFRGVSGSQKVIKQELLEAIKGENSADLLSSS EADADPLEFTEGDLKQVNVTPAQLRSILIKLEQAYQ VE01_05693 MPLEDSWLVEGDDDVRKEGSERSVKEEEYVIPRHDTKQDTKATR RPKRTTRSPDPEFIMPSLDYRAIDGSWEGLSSGASRSEGNPQRRKSSTPEKQPDARRR SSRQAAQNGSPQKRSRPPNVQPPQRPAEGAGSEFLDVLAGHATAMVSFVLDVLGKSLR ILKTPISYALAVWLLLGLSIMMRNFLTNSIYSSLSPLCRIPGTSLLNLPFCPSGGYDS KSGPSPAAEFDQLISVQGKFEEVLDESAAGVSLPMDMKRGEASIRDLRQLVRYSQLHS KNELVLEFDGFIETARIASYDLQKFNSHIGRAVDNVLATTRWTTRVLEGIQIRDASQG AINNFANSFANKLLAPFQPVKFTESVLLDQYIKHTQIVEEEIMKLIDEAQALLMILNS LEDRLEVIHGIVTRDGHHAIAQREELLSELWTMVGGNRGKLSKTNRQLNLLRQVGVYR KIAYGHVSATILKLQQIGSGLEDLRERVGSPELLRDRIDIPLSVHIENIQRGVERLEE GRQSARKSENEHIAQTLERSRIEGTLIGID VE01_05694 MAPLPTAEPVEPVERLPMPSRNPLPLSAGQETQVRDLYFARVRA LCAAEIKEFAECAVGRTFTAPFACRAQRLGMNSCMIAHATQAEQDNAREEWFGLRLQR QKDREAKDRRRKEQEKFHREWWGLPEADREGEKGKAVLRNAERVGGFPKSDEGQLSKD RHR VE01_05695 MSSMRNAVQRRPHRERGQPEERAKWGLLEKHKDYSARARDFNAK KTKLKALRQKVLDKNPDEFYFGMVSQKGPTTSGKNSTGTLNGDKGNKVLDQDAVRLFK TQDLGYVRTMRNKTAKEVESLRRRVVGIEGEGRRVVFVEGEGERGERMGGDEEREDRE EEKGEEEGEKRLRRVREKEAGKLESMLEIAEKRLEALTEAEEALDLQRKKMGKTMSVG GVTKGGVKFKVRERKK VE01_05696 MTANAGLQLFPPPPTKKSQGKYSTRRGAIQPGQAEEASSSRPKT PDAPIDGRQSAMSNKHSVFDSPQSAMGGRNSPNPFGSEIPRSQTAFSEAPTLVRSHSN SSRSSIAKPPLQNNAAGSSQQPMIRSIFPRYNPDVPLEQQDYYPTQTSPTHIPQSAIS RSPYSAGSGSGRTPLGMPTGPRRTVTEIPTPPTTCSTEELKQLWKVTNGWRVSASEGR SFCLKMTSAVEEPVHTLSSATHPFYTLRLDPTSTSALIAMTRHDPNKALAKSSSPLIG GSKNKDKDPAGVEVLTTALEDPQRRLPPSDGLVALLYPRAAAAMALDMVAKNNNPSED TARAAAEFECGRLVWDNDTEKYYLVHPSMSTPFCVTIASSPAWSRVEYTLEHPELPHN MVKLTRDGSGGGYLEVDTGVAARIDSFFLVDVAICAVMIVALAEEKTHNIERFEAPPT TAPPAAKTKKPKIEEMEMDLESQQSLSDKEKANLPKPTKGVLKVLFWALKFVVWILTF TVKTLASVIISISGCLTKKGLN VE01_05697 MSGITLHLRSECKALEHRSALTPTTTKALLDAGYTVRIERSPER IFDDAEFEAVGATLVPENTWREAPADHIILGLKELPEEDFPLKHVHIQFAHCYKNQGG WESVLSRFPRGNGTLLDLEFLVDERGRRVAAYGFHAGFAGAALALENWAWQLTHSEPF PGVTAYPNETALIADVRKAIAEGAAKTGSQPRILVIGALGRCGSGAVELCRRAGIPED NIVKWDMAETAKGGPFKEIIESDIFVNCIYLNSKIPSFVDYESLDTADRKLSVICDVS ADTTNPNNPVPVYTVATTFDKPTVPVEVKQEPKLSVISIDHLPSLLPREASEAFGNDL LPSLLELKDWKNVPVWQRAEKLFQDKVATLPKEYLQ VE01_05698 MANSKYEYVKSFEQPDLLLPNTWIVIRIDGRGFHKFSDKYAFKK PNDRRALDLMNAAAERVLIELPDVVVAYGISDEYSFVLHRSCTLFERRSSKLVTTIVS MFSAYYVHLWPSFFPYSPLTFPLPGFDGRAVQYPTVQNLRDYMSWRQVDCHINNLYNT TFWTLIQQGGMDAKAAEAELAGTLAADKNEILFSRFGMNYNNEPDIYKKGSIVFRDYE LVEATTSSPALNEEALTAPEIALSKTQAEKERKARQKAKVVVQHVDFIKDDFWEQRPW ILSNRPGKIKREP VE01_05699 MNAHALLTSQGWRGSGHSLHAHSDSIGLARPLLVSQKQNTLGVG KKQHRTSDMWWMNAFDKSLQGLDTSKEGQVVQTVTGGGLDMVKRGGGKYVGTGGLYAC FVRGETLVGSVKEVVEVEAMGEETVDKKAEKKRRKEGETKEERRERKLEKRAKRAAKS SAKSSANESSNTPSDESDSVETKAQRKERRRLKKASKAEAAASQDSSDTPSSKDDKKK KKRKD VE01_05700 MALIYRNNSLNRRTKGKKAKTPPKKPKFPKGAIRNKDGTLKTVS GPYNATLVTAHANNRFNETFGVDPETIGRTEILPNLNQFVAGALAAIAYNSTKAHDVT KSMILPQKSGWKRAKQHVGFREKYVLMHALRNVGDMLVQLRKDYMVSTAALAVECLEE DDVLWQNILEPLTGVVQTISDVMFRGHTDLLIHEVDGLKLARRIRIMGEELNVTAIML DSMLGLSATTWTQRTALMAKETAKKWRSATITRCGLEDIYDPDASDQETDTVDVNRAK LWIEKKLKTPRFRGQSRSSQKNSTPTGKVGKGGPEAQAKDAAVASSSTTKPILKKAPK EPRTRGPSVFLPQKNVINEMERRQLERDKEYQELEEREYSERCAERTALENLEDDEDI VPTGSNRAYKAHMAARYSRQLHRGLQMNDQDMEMDPLRMAQIRGVSNTGSYLSYPAFP SAHLTDNRPKTEIAPVRSHRRPKDDKGKGPERHQKDVKGKGVERRSVLKKSTSGIQEK RSEKDELTLQQIGSSLEGIKNPEALVRLLEANDHMVTQAKGRFVAVESRVEMQVPPGR SEIDRISTTDGAKINGGSTKSKSTVQLEDGSIRVRTDENIRPRVRFEEASLPAGDGRD SRAYREAHANRVRSRRIGDETATSGRISREASSSGSSSRITGESRTSRSEKRSSTIRS AKRTSRNAGEAPTNPNGRFIRVGNDVIPAPLKIRQTRSQSPLLGKSSPGLPGESSLMP APLKLGPRLRQAQLEKQRALEASEDLSERLIPLKLGRSGSKSPYENSGAGFPEGLSAM PAPLKLGQKKSQSPIESRGAEFPEGLSAMPAPLKLTKTKSKSPLGDYVAQFPQGSSTM LAPPKLGHKRAKSPLENRGVGSQGGSSFSAAELAKYRSPQPGPPPDRPLPPLPTDLE VE01_05701 MTRVSFRYILVGVPLLCVYLTACYLNIHNRGLGARIRKVVEDHD IVEPLELPRHPLFKPNLTEIDPPVVDNFPLAQAAASARELPPIPSWNSPPRPHVPEKT PLFIGFTRNWRILQQAVVSYITAGWPPEDIYVIENTGTMDANEHGKLSLQNPFFLNHT RLHMLGVNILTAPTLLTFSQLQNFFLYVSLKEHWPQYFWSHMDVGVASFEDEEPFESL YTKAVYALRNTTVPDYGRWAQVLFSYDRLALVNTAAYTEVGAWDTQIPYYLTDCDMHE RLSMAGFRVDEKKIGQINDVGTSISDLLSLYRKQVGPKASFVDPNPPEAYEIDKKGPK TKRGKSTDSLWPEYTRGGESYKAIVRTFEGMDHSKYRSPKGRNIWQHRQTGGQGEPYY RDPAGFETALWMTIDHGRLVYAEKWGHRDCNLREVGLRPEHAWMVEKDWEYREKHPEY VDPKKL VE01_05702 MARTSVWSAAGFLLLLSPLGVHAHTFGHGQIPLYDSAIDVSGSK FRGLSTYANLPYVHCLSAGKDNEVEQYDIAILGAPFDTSTTGRPGARFGPAGIRRGSQ RIQNGYSVYTGENTLDSWAKIVDCGDVPMIFLDNTVALKQLGEGHRIVSSRTPANPSK GHVPRIVALGGDHTTTLSALRSTHEHWGAVSVIHFDSHIDTWDPEVLGGGFSHYAGVN HGTFLHIAHEEGLILNSSVHAGIRAPVMNKKKDMRNDRRCGFAVITARDIDKIGAQGI IDSIKNRVGENRVYISVDIDVLDPAFAPATGTSEPGGWSTRELLTILDGLTGLKVIGA DVVEVAPAYDNTGETTVLAAAEVAFSLLTLMVQTPVTD VE01_05703 MHLSNTISLAFSLLLAESALAEYHPCVLIGPDVPIPKAVSSTSA FKDGIVSMKKAISDAISSGVTSYGDMDASSTSFSLEIYSLHEEEPLFTYHYDAPGLAD STDGVKKIDSDSIYRLGSISKLLTVYTFLATVGDVSFNERVTKYIPELAEYVAQHRGD DEIDFIYWDSITIGSLTSQLSGMPAQLAGSPGTDEALKAGLPPGVPLPVYDPVPENIT DTNCPNPYGVPCDRAGILGSINFQHPALAPSWGPSYSNTAYSLLAFALETMTNGSFPD LLTSEVIDPLGLKSTYYSNAPLDQGVVPHNAAVSQYTVDILSGGPAGGFYSSTNDMRK IGQAILNSTLLPPAQTRRWLKPLTFTANDGALVGAPWEIYKAPLAERSVWMYTKGGDV GAYSINIILLPDFGIGVTYLSAGDDTLAVKDVINDIVVAIGVPAFEKAAKEEATNVYA GTYKRAGSNDTLVISVDANPGLLVTQFIINGTDAAKGFLAVGDQIRLTPSGLVSKGGA RVGFRSVLTRKPIPEGAFVRNCVDWFSVGGTPIGGVSMDEFVAKVNGDGTRALEIEAR GWRVSYSRV VE01_05704 MAKNSSFTNPILPGWHSDPSCIYVAEEHNAFFCATSSFLTFPGM PIYASKDLVNWRLASHVFSKPSQVPQIGDTVSQNGGLWAVTLRYHKGKFYAIVSYTPL SPWAVTGFLFTTTNPYDSHAWSSPLVFPLLDIDPSIFWDDDGTTYLHFSGIHQQTIDL STGALGPASIIWKGFTEFIPEGPHVYKKDGYYYLMIGEGGTELGHHEVIARSRNISGP YTSAPSNPILSNQNTTEYFQTVGHADLFQDANKNWWGAALATRSGPEWTSYPMGRETV LFHVTWEAGEWPVLAPVRGRMSGWPLPKRTRDIRGSGPFVGDPDVIDFHPGSKIPAHF VHWRFPPKDAFAVSERGHPNTLRIRPSVANLTSSAPASKVEELSLVMRVQTDTRFVFS VDVVDFSPKLEDEETGVTAFLTQTQHLDLGIVMLATGKRDAKGKEELGLHLRFRVTNV PSSASNFDGSIPTVVKPLPRGWEGAPIRLIVEAVNETHYEFSAASVGKPKAVEMMGVA PATILSGGDGPFTGTLVGAYATSNGGAGKTEAYISRWRYQGKGQDIGNGETVPYTPFV VG VE01_05705 MFYPSPPKVLGAVVLAQTFFLSTAASAQDVGNGAGNTAAIDLTT LSPAAAAVDNAQLFPSERLQLTDAVLANLTSLGVDTSLFAFGTPSDAIALDKRTGGKC KVFPGDKAWPSKPVWKLLDLLSGGQLIATIPSASSCYKGWGDEDEAECEYVTDNWTNS FFHMEDPTSVMWPLWQGRTCLPSATPTEPCTLGGYPSYALNITTISQIQLAVNFARLT NIRLVIKNTGHDFNGRSAGAGALAVWTHHLKDIKLLESYKTPSYKGRAVKIGAGVQAF ELYEAGKKLGFTAVGGEGKTVGIAGGYVAGGGHSPLSSIYGLAADHVLAFEIVLADGR FVTASETSNTELFWALRGGGGATYGVVTSVVFKVYPVMKATAVQFSFASNSTAPGGVS VDAFWAGARAYFDYFIPHTEAGAYSYFSIVGTAPGEYLFNMLPFFAPNMTQAETAGLL APWLDDLKGFGIEVDPVYFPADNFHDAWDAGFPLEAVGGSAAKTGGRLFPRKNWEDEA TLNATFDAIKYPVEEGGMFLGFNIAAPGLGRRGSALPDNAVNPAWRETVLHAIAVIFL LDPSPEAIAVQSERLTMDWLGRWRDVSPGAGAYASEADVTEPDVQQSFYGDSKYPRLY ALKKKMDPYGLFYAPTAVGSEDWYVTDQIEWLPTQNGRLCRV VE01_05706 MPSFNFPNLAIAVALLVLFARPAHAFGAGNIAATSAIEGTNWRH GDIEDTLLLLAMSRAAGGKKFDKMSVSRVYFGNWLRDYSQAIDVGTVKYVSAEAIRIL LWVLGFMTFGYGTGEFEVTAERLGCYRPEDHIDNPKDYADNLDATQYDRRLRGPVDER VELAIDQRRGMKNYIASEEIGITTSAGHVRKLFSKCIQLGRSYGRSKNKDELYEALRL LGTGLHCLEDFSAHSNYIELALIEMGETDVFPLVGRNTQIRLQGARSSVYPLVTGTFG GVDFLHSVMGEFDDKATQSEIQQLEGTMQNGKNADTSFLRDILNKIPSGIFGDDDEAG KAEELRTNATTAQMNQIRVSPREPEAFTRQMQECVKQIYPIIEWHDNLMKKISSAIEK IPILPELIEQLENQVNIFVFSLLAPFVLPLINQMKNELNEGSSEIISSSKAQQHNVFQ DDQSSDPTHSMLSKDHFSSILNEPAGKISSGVLKWVVPQLIACWDDERQDIDRTCTRI IEGVLHHPALRHEGQDGASDGRQQMFSVVEQWWTSKGSAEQRELRGKLSRSGIQNGEN HKEGVVDTGHGCCKPIGMAKSSASQGAGGGGGGGMISDLVGALSGGQEAASAGSGYGR PTKSSNSEIEKFASEAAGGGALGGIVGALAGGIGGGLLSDVFGDKETKKTTQRYESGD GSVTQSYTEYGSNRNETAQAQYSETKYPSGAQTSEYGRYEQSQSGQAYEGHVQRQQQR GNEVRTEEWRQGRTAGGDEYQTEVKTKEYHSGGRGGKKDSDDDDSGDDSDYKRKKREK KERKKREEREREQQQQSSGYGGGGGGGYGQESRHEERSGGYGEQRQEQRQEYGGGGGG GYGQQRQEYGGGGGGYEEPRREQRQEQQYGGGGGYGQESRREEYGGGGGGGYGQESRR EEYGGGGGGGYGQESRREEYGGGGGGGGYGQESRREHHGGGRRDDDDERRGGGYGGGE ERREHGRGDEYGRGERRY VE01_05708 MARQKKADAEKAREGPATLTIDVDSFVRTRDSVVTGLATLQDAI QTLSSAYIKHTNAYLGDNSGVGLEVESALSRLGDNPLLAGLTGFRAPTPAIQTPAADA KKEKKKRVHDPNAPKRPLTPYFLYMQTARPIIAGDLGPEVAKGAVSNEGVRRWRDMED YDKSLWTGVYTENLRLYNARTHAYKSGILEAKDMTDDQARAYADTHNISTAPAEISAN DQLNAESLLDLPAADAASLPSSPEAAAAVKATPKGKARGKKVGGKVITPAPEPAAIVP SSAAKAGSPDKKRKRVSKRGEEIAAAAVGEEDKGKGRKKKARGE VE01_05709 MSSPDDPIGLPTPTSNEISRKHNRPDDDEHPQKVQRRRIACQRC RGRKVKCDNVRPSCGSCVESGRQCVYIDGGREKSPEASIPAQLMSRLDQILAGVQGIT ASLRPQDSIHEHRVQDPVSGSPRHSDISGMTPSFQHPATIDDAQKDYLRIPSTNTTAD TILTWPIYAGEYPPGYLIEAILGKGEDDKSDAFVVRHGEFESLSDERIPSLIDRFLRN VHTKNPILDVDALIQYGQTAAANGPGWDAQSCLVLLACALGSISYSFETSIANQTEMG LAGPPPTSASTYKKELRQGESCYALACRRLGLLKHTILGAQCYFYSAVYLMYTFAPLQ AWNHFCQASTFYQLSFRKISKVSENPRLDGLSLTHRRLEQSLYWSCFKSECEIRVELP LPQSGIASIEYPHLFPSPPSHSRNSSGRTLHGSEMLSDPGLMQDSDKDLNEERSWYYY LTEVALRRISNRILSTFYRQDHTDWKNILPLIPIAKEFESQILVWSANLPPAMQYDVN LTEEGPSRELSWATGNRLLEMRSWLYQPFLYHAIHADTQTDHVRENPVLQSLVEAAMD CHLETVQKRCFRHRHHGIWFDIRAVVTAAFSVIAAAKSGKVTVREEWAEHIPTVIGTL AFWEDESPDLVRTRQVLERLFMEVGYFNTP VE01_05710 MGHQIEKWNIAHSFEKGKLLIAINCLAALSIFFFGYDQGMMGGV NNSKDYIDTMGFGYTEVINGQNTPVVTNGLLQGGIVAVYYLGTLVGALLGGWTGDKVG RIKTIAFGATWAIFGAALQCSAQNHTWMIFARLINGVGTGILNAIVPVWATETAEHTS RGQFIAIEFTLNIFGVVVAYWLEFGLSFIDNGNAAIIWRFPIAFQILPLLILLGACWF FPESPRWLVKMGREDEARWLLGRLRGNSEEDTIRAEAEFQDIRNSMAIELNNKKTTSD SYFNIVTGRGFGKLHVGRRVQLVIWLQIMQEWVGIAGVTVYAPTIFRMAGFDARKSQW ISGLNNIFYMFATLVCVFTLDRIGRRKTLYWGSIVQGIAMLLAGGFSAIGLEAATAGN TGRASAFGAAGASMVFIFTSTFGATWLTVPWLYPAEIFPLAIRAKGNALGVVGWSIGN GWLTLLCPVMFEAIGEKTLYIFGIANAITLPMVWALYPESNQRTLEQMDLLFSADSPW NWDAEKNFKKLMDEGGLSTAAHVPKSDSAVLDGGEKGVGSDSA VE01_05711 MASNGTIEGSVERHGGLEKLGANNPSFVLQKIQHVVFENRPVPT ISPESSDVIIQIKQTGICGSDVHYYNHGSIGKFIVKAPMVLGHESSGVVVAAGSGCQT LSVGDAVALEPGVPCRKCPPCKEGLYNVCSEMAFAATPPFDGTLCKYYTLPEDYCYKL PKHVSFEEGALVEPLGVAIHISRQAKIIPGNSVVVFGAGPIGLLCCAVARTFGATTIV AVDILQSRLDLAKKTFGATHTVVAGAAPSKENAARIIKEANLGTGADVAIDASGAEPS IQTGIHVLRSGGTYVQGGNGKYDINFPIGAITEKELTVKGSFRYGSGDYKLAISMLEN RQVDLKSLITARYKFEDAEQAFKDVDSRKPGLIKVLIGGVQDE VE01_05712 MPTIEAEHYIGVDIGTGSARVCIVDRTGDIKAVASKDIRTWQPQ NTFYEQSTSDIWSSISTCVKQALKESNVDPTTVRGIGFCATCSLAVFSEDTSKPVSVS GPDFSKADQNVILWLDHRAVEEAKVINATHDNVLKYVGGQMSVEMEIPKILWLKNHMP ADVFAGCKFYDLTDALTHMATGKDTRSYCSTVCKQGYLPSGADTGETGWRDDFFGAIG LGCLKDKKYAPLGGIEGQNGRYLSAGELVGSLSESAAKDMGLPAGIAVGSGVIDAYAG WIGTVGAKHEPAQATKGDISDAFGRLALVGGTSTCHLVMSKDPIFVNGVWGPYLDVLV PGMWMAEGGQSATGELLRFIIENHPAYKEAISLAKQDGKDKSIYEFLNGHLEEMTRKA GAPSVSYVGRHFFFYGDFFGNRSPLADVTMAGSIIGLTTDQSVDNLALHYYGAMEFIA LQTRHIVDAMNTSGHSIGSLYMSGSQCKNSILMLLIATICGLPVVIPEYAGAAVVHGA AMLGAKAASANASGNTEDLWSIMERMSKPGKLIQPGTDAVEKSLFEIKYEVFLDQAKM QQLYRRKVDEAIGSWK VE01_05713 MSNSTDSTRHHTRTKSALRSFMHKRSASKGAPLSTSPTDIFVAT PGYDQPNSATVSPPDYFHSRALGEINQNQQKPVEQPPSPRKSKDAERSKDKEGFRYLH KKTLSTISLKSLSSKDNENKNKEEKERKPKKSKSSTNLAALLTRPRSSKSRQKLAADA GFMSIKDKENQPPNNSRPPESPTRPPIYTQFSSQHFATQQFGGRFLEDDTNVPTGNER YSDDHDAFFAEEGLPPTLGQRDGSRPNSMSIPASYIVQDISRPASKAGPASFEGTRAA ESPTEQFYQKQPVAPTPVLKSEQKLPSKQDLKAKPKEDNSGAEASLDMRDVDAEFEAM LDRRNIPENQRYKMRSLANTMKIDFIRQDWAETAASQKEPPTTAGSMESTTSGSHTVE SSPQKSKRPRSRTFTGFTLSKSGKKGANVAETKPETSNRHTRTKSSDSTADKGKSLSA TVSAASNLIAKAKGHLATDFVAYLRKVQKPESVEVGKLHKLRLLLRNETVAWTDEFIT LGGMTEIIALLHRIMAVEWREEHEDALLHESLLCLKALCTTALALSHLALIQASLFPA LLGMLFDEEKKGPSEFLTRTIISSLLFTYLQSAPLPERQARAETLLKHLRDPMPSEEE RPVPFVLEMHQERPYRVWCKEVVNVTKEVFWIFLHTLNVVLAPDDSAQPLTAGDDELA YMRTHFPTPLPPLPTAPYVGGVEWEATNYITSHLFLVNGIISCLPPSRRTELRAQLRI SGWERCMGGSLRTCKEKFYGGVHVALREWVGAALADGWEVSEVRFGKRSEPRSGSPRK VKKGEEAPRLGGLDLGESGGGKWEQEEVGGWL VE01_05714 MPDFRYLIDNAKRSILGLKEPNTVTESKTPAVDNLFTKVDPSVD GDDCNHDCASCDIKYPRKFSIDESDEIYGNIKAWSTHVLVATGKTDWVRDVGDEKGSI MEAFDKTSVKPSNGKLKLSASNIPTPTHHNDYAEPTTVLILPAFTIVENVTPSSVPDL ITSFINHSPTTTSSLLPNSVPISLPDPLPTASELVARPCPHKALILLCSQRTRDARCG QSAPLLRKELERHLRPLGLFRDLDDERPGGVGIYFISHVGGHKYSANMMVYRKENPWG LDDVERATANGDFHVPATPADAEIVEDSAAQCIWLARVFPNDCENIVKYTILQGKVVK PERQLRGGFDRTRGVFSW VE01_05715 MAPNILVFAGAPMPSSLDWEFDALIENFLPPISQFAGLSYDAHP SPLPTASHEHVRWRSLRAASEPLVYSQLQAGASPDEDKGNAAAPAAADSALSSFGTDQ SLSSARQVDTQPLTTEFTDDITSQFYEDSLAVYENLPSSAIPAPGPEDDILRLDAEAG TTASNATSFSTSLGFSFNSHSTAPSNLPVPDGGRINELGYIPNSKYLHSIQPQTMTVN IIVGIISISPPRSIQTRGGSTVEIVELLVGDETRSGFGINCWLPLNVVSRPTGGHPDL RSSLSGLRPQDIILVRNVALTSFRGKVYGQSLRREVTKVYLLFRNRIDREDEGGCYSV RDLNTTIGSDTHPQVAKTIRVREWVLRFVGHGAGAMRRTDEGKVEVVDEKLPPDTQ VE01_05716 MSNLPVAPPANYADVPYTQIRVSHVPASSPTPTPVILITLYRPA KYNAYTEVMTREMSNAFALLSADDRVKSIVVTGHGKMFCAGADLDIGFEFGEDTDRTH RDGGGITSLSIFRCTKPVIAAINGSAVGVGITMTLPMSIRIVSNKAKIGFVFARRGLV MEAASAFFLPRLLGYSKATHVVTTGDVYPSTSPLLDGLFSEIVDPEKVLPRALELADD IARNTSTVSTQMNKDLIWRGPDSPEGAQLITSRVILDLFKSKDNAEGIKSFLEKRQAQ FKGTVPKDAPSVWPWWNQIDADPPKNDWRTKSKI VE01_05717 MRTPPRTLPGRRGTSPPGPVETPPPGGEGANTGPVTPPNNSPPL PPPGGGGTSSGQITPPDNSPPVPPPGGGGTGSGPITPPIHSPPPQANAQEKGVRIGNV PFTMLDRKFGRKDQDLLQQLINDLRHRGQRPEPAKKGPFSHLPPSQIRHVKGRLGNVV MAPDEDFVVRLLEPSRHTDGPQSDEFFDNLFTEANKIVTSFVVNNFGGFDIKPDELEG KRPWNEMNASPLFISLAELVQDVDPDDSKSWDSLLYDERKRCMMIMGMIARIFVDKVF SPLLFGCTPNQDTMLNALETDMAEKNSDDGFVRTKTRSRAIREVLDGEVLPVNFYDEV ELLSLNIFGLFNPVSAYLEKYRMKHNLEVSIPTREEQFAALFDMVSGTAWLSICRRLH PDPIILRMSELGDEFKEDLHVVANYDEYCANKGRVLKLQYDRLGQEIENGLLKQKGVS VEELPPTEILKDRTWLVRTVVWPSVNIYSPVYGDGAGGQSGVSDYSIQKCEVAAQWVV PRNNRASVSPSLREWAKLT VE01_05718 MSPNNKSSMGMVRSFDNIRSETIQFHTPLTLIVGYNGSGKTTII ECLKYATTGQLPPNSKGGAFIHDPKLCGEKEVLAQVKLSFKGTQGARLVVTRSLQLTV KKTTRSQKTLEGQLLMIKDGERTTISSRVAELDQIMPQYLGVSEAILDSVIFCHQDES LWPMSEPSVLKKKFDEIFEALKYTKAIENIKVVRKNQGIALAKHKMFEDQYKTEKDRG ERAEKKSMQLQDEIEKLRSESEQLTKDMQFALDSARENTKQAQEFQGIVNELKNKRYQ AERRREDVDDLKDNLTELQESDQKLEDMLARSEDTMERNRAEEQSHQESYARLRKELE LCRQKQARKLAEQGQYNAEKENYDRQITDREKLVKEAARKHQVRGYEGDIDDGLINSF IDRMGKLAKDKSRELERIQQTLEDDLHEAQSVLSSLQSRRSAGMQDKVNARQLVTDND KRIVSMQDNIRNIQIDEGQKAKLESTLSELREKLNKSTNDAEQAGWDKLLQSENSKLR DLEDEKSTLNDQLVQSSRMAEDRAQLDYYRKELKDRQRSLDTMKATYGDKLSSIVGDD WKPTSLAADFQDALDQKSSATQEAQIQRDVTVQEVQQVEFKLLTARDSRKRKLEEMKS CEKAVLNSILSPEGQALPTIEDYLPELSKLESDRDLIKSDIDNFAHLNKFWSGCIETA ERKNQCHLCDRKFQPEEKSATISKLRSKLAKDARDTLAVELKEMEEMCGDAQAVRSQY ETHVRLSTVELPALEKDIKKLDDQRSTLIKRLEGQDNAVREEESAKLDIESLAKSVST IVRYVTDISGFEEQIASIASQQKLSGSHLSVSDIQSQQEICNRKSDELKAQIAKLVKE KDESRSRINLLELDLRDAGSKMVEANHQMQRKEELLQRIQEMKEVNAGQQEAINRADS SLKSLEPEIKNAEAKLTNIRNEGKTKEKAVSDESFKISETVNKLRLSDKAIRDYIDDD GPGKLRACEQSIIDLEREMKRIDADISDITDKANAIKEQIDNSERIKRNISDNIKYRK FKRALDVLNAEIVELELRNANEDLLRLSREAEKQNMRHQKLLADRGPILGAMRAKDDE LRGILHDWETEYKDAAKNYRESHIKVETTKAAIEDLARYGTALDQAIMKYHSLKMEEI NRIAGELWQSTYQGTDVDTILIRSDAENAAGRSNYNYRVCMVKQDAEMDMRGRCSAGQ RVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSLAESLHGIIRTRQAQSNFQ LIVITHDEEFLRYMKCADFCDHYYRISRDDKQKSIIERQSIAEVI VE01_05719 MPPEPAPAIAILANDPSTMYYGGQDDGDLCMINEPPMTDTLEEN HVHSLGDLPIFESGDLRLVDLGVQASQDDETSAPQTYNENAINVTGAGATSTYFATQS SQVGNIAGNEFTKNRSWMQEIVEELKDLIHVLAPDGRVLYISDSVKQLTGYTPEDIIG KSIVDFIHPDDSAMFVREFNNSVTSGDALRVFYRFRKQTGGDYAVLECHGQPHLTSGT TSSSQNNSSTSCNGFFMVSRPYPSKNAALLDSFLEHKIENERLMKKIEDLRREDAEEQ DDYQPQYKKEYEGPWEQYSARESNAALPVGMGHSSNSELLAMPPPANTTSWQGHEDAS SSSKPDSAEEKSVVYKGATNVETIEMLTGLRYQDGERSKGISTGDASPTLTRGDSGAT MQVDKDGRSVDKKKKLKTADKYICTDCGTLDSPEWRKGPEGPKTLCNACGLRWAKQEK KKSGGFTFNNGGGDMHL VE01_05720 MYIPNTTWTWAFMVVTIVQAAVVLGLESYIFAKFQNALAPDLPS IAKVKVIPTYLTLFIFAFIYQLVLVYDSLRLKNTIQVIGLCLYNLGILIYSSVQVSQI KDAVWDLYLSSPSYLPEGQLLWPVIHPYLVAVPVIIGLGTAVMSAIAYKLYGEFAWTI YKHISADLRMKRRFLTFQIYIALLKFDFFFFLGFTVQFLVIVSSSQNVEFYLTIAAIP ITILILAMAAIWTRREIKIGMIINILLYFAALAYFLFKLVRIYQPSRQAQYRPARTSL TIFAVLTIILILLTITNACVCMHNFDKGLKPFVTRRKIIGGDEKTDNFTELPDMKHGG PVAPQPSRMTID VE01_05721 MAPGHVLNEKVPKGSAIKNSAIQDPEGYWPMLESPMCNGALALG PRIFMSILAITRQELPGAS VE01_05722 MDAMDLTDDDVAFLKICSSGAQIDYAVWPALVSRLIYRLEKVIP SQFPVPSAPRSPSSVTASPNTLQAQKTPPSPSADNSSQSVADLAQSADKENITPTPAQ NTSTFDPNSAIATSSLHPQLDSLLSSIVSTLNTHFTSYPPHTIQRLSELILQPKLHYR SLPAYLHAFDRVVHVTSGAHLYPLPAPVPDANGAKILTNGISSGPDPESVSWGNSTQV QPNLGSDESLGGALLTPISWIKSISAANGEAAASDMEGEVKTERTETIDGPNGAGSIE TVSVSVNGVSSTTLAAVGDSNNNSESGLRAEGGVTQGELLRQEQRAGVVPATQLAHMQ PHPNGDGEEDETPHVRGPEEIGMEDMGPQGSGNNLRHGIQGIDVEAAVGRKVEALEGE ETEEKPSTPKREADEEIQASSKRVKDENDGDEDMTGATDAGNDEK VE01_05723 MTARPLLKFLYPRTHRDLSFATKDAYILFYSTGRNLTGLSRSPS RASGWSTCTYSTKAGAPTEESKGTSIGKRSDDFQQRLKELQNANALKYPRIQPDRNAI SCAEFKKRYAVLNPEESRESDNVTLRGRLYSSRIAGTKLAFLDVVQDGNRVQGLVNLR RLQTEDDVQAEQFKEFYHLARRGDIVEITGVPTRTKRGELSINATKLPVILTPALASL PNTLDDPETRIRNRHADILVNQKVADTLKLRSHIVQYLRNFLIADDFLEVQTPIIAED AGGAIARPFNTVATEFSTKTLSLRIAPEIWLKRLILGGMDRVFEIGPAFRNEGLDATH NPEFTTCEFYKSFADLEELIKMTEDLFSGLASHVNELISSKLTSLDPLDTNLFTAPFQ RIEFIPDLEQRIGRKLPDLEAENAAEAIASLFSELSQPLPSSPTLPRMLDKLASIYLE PSCDAPTFIIHHPACMAPLAKSFRDPATNQLVSARVELFVQHKELANMYEEENSPFEQ RRKFVQQVQWKDEENEAVIDESYLEALEWGLPPTGGWGCGIDRLCMLMSGATRISDVL AFGSLKNVVGLGKDSYKS VE01_05724 MSMFGIGRPQPSSAEKIAAAEQEMDLVTDMFSKLSRACMKKCIP NDYREGELNKGEGVCIDRCAAKFFDVQMKVSEILQAEAQAKGAGGGQGPGGMGFGGM VE01_05725 MAPSSFLQSDARLHREEDWTLSRTSSVQASSSKSPRPASLDGNA TRGTPETNIQQSGSTGSHRRFVFTDPIAFRYLEDDSSTIVLERRGQLKGYQLYLVEQW ACSRVHPTFVITTFTGDPTHTVLVGVLGVPANEDAWSPRLRVYFKAISQFHARPKETP LGMLMVTNLSGFPSALTVIPVPDGDIKSHREDFIVNENLKRLGCSGRSGLSLAQPVAA TQAKFQQLYKTSDRIDLYSAVIELVKLCQVALMMFGKLEQEYADGLLCDVTERAINDW WTEFGSEYYNIEPADGILGPTTVAALIGMLMGARNRLNYCGAPVAKDAFDITSLKRGI AYFQKSQKLERTRRLDRQTLACLRRCTAKAAAGEGWAVPKAVKSTVVELSGKGGEMMM GMVGARDKAGIGDIETLDIDRLVSLVNGDRSKWLWYGKQKRTGTGDNFGKSLPENGNM VFSRDTSGGYVWSNRKNEPTPVEDDDELRREKTANSIYPVRSPGSAVSLSEPSNERDP QLRKTVFKSVTGKMNDARSGFGRIKDAVGLRGHASRHSKDEGIEGEGGYYLTPGTANF DPNTGTSATPGNMGKAFSWKTKPEEYQGGYRKDQYPLLTTTPSAIARESARVRDSESP SSDNKVNIQQGSDTSQADKLPSKLEKKVTPPAKPAIVNGEVATAEPSINGSASGNADL DEPPQVTQRRPNNMFIYRRRSVANVESVYEQTQNEARWPRHLSFSDVSEAVLKWQDIS APAGRTGYTFGPRYVAEDENRLHDRVLELNINVSEWVKSKVASVEALEAQAMEDQEEF QRLYYELSENYHNIQESSQDLLAEERARLVEGTNKIEVLEAKLEYEINALVSKVADVE DGVAQFETQVDNLESHATELEQLLQTEGWLHWAVRTFTGIGIGPHTVDA VE01_05726 MEALQLELARLQKDASLSQSIEDVDKVLEQLCNAREAIAADSNT ASITLAKLQNPFKQGLDRVTDDLKKIYSAHNKYGKALDKNLSQKSLPSETLSTDYDAL ASHPTLINRAIAMHLLREGQFGVASTFIEEAHASPPEAKPTPGTPNPYPGDEEEFTSL KSQELQAKFANMYAILGHLRERNLLPAIEWAREHSSELEKRGSNLEFELTKLQYVWLF QGPQVNGLPNDSRNGLLGAITYARENFGRFQARFLRDIQQLSAAMAFESNLQDSPYRL TFETDSAWSEVAQSFTREFCSLLGLSADSPLYISVTAGAIALPTLLKLANIMKEKRTE WTTQHELPVEIPLPRSMTYHAIFVCPVSKEQSTEQNPPMMMPCGHVVAKESLQRLSKG QRFKCPYCPSESFPRDAKEIYL VE01_05727 MSEIQKPVEEVVAVVEAAPAEVVAAPVEEVAPVEVAPVEVAAAT TEEATAEAAVETPKKEFEGEGVIGYKAPGGFIKKLAGFQKRFFWFGTDAVEVKSLSNY LRGEKPEAGNHNAAWASQTGKGLLYFSKQAGEKSAPVGLINLADITDIKEDGTVEFSF VSHSQKHVFQAANLVDRDSWVAALKEKSVEAKESTEAITGSEGYKEQLASLSKPVVVA AKVEDKKEEKAVAAEETKPEEVKEVAKEETKEEKKARTKSRSASRKRNSIFGGFNLGS KKEEVVVADKAVTEEEPVAEAAPVEAAVVEEAAAPVEAAATEAAVVEEAVVPETRPAA SKRHSSLFDFKSRFGSKKATSEVTPAVPAKDDEVVTSTEAPVIPAVEQSEPLATSIAS PATVPAEEVVAGEPVAEVAPEATKAEKRKSALPFGLGQKKEKVEKALEAEVEKAEKSL SPFAKLRQTVKGKASPKAAEKAAETEAETPAATEAAAETTVEAAAEEPVVAAPIQTTT PVVSATA VE01_05728 MPSLLAMTAGAILAVTTAVNGATLPMEIVANARNATDLPSKLQV NISSVFIVLGNTSNGFQARDTFCKISYEGGHSYGGGGPGQAVINIYGFGINTYDSSGN FVYASPKLKGYGSTTVSKSDWKGNYDFTVSTSYDFQGDTFTSCKYQYGASLYDGTISG HTDHNYVSVTHSYCEIYLSC VE01_05729 MPAISPVLALLALFSLAKSQHPNNGYLHVMCYPTSLVGAAPLDF SSLSQSPFPCEQHMYLLQVCSANGTTQIDFLAEQECLCGGNALEAWYGCELCYAAHGW VGFEPAALKEHYTSLSNAECKPTPVTQPYADLFPPYNATSNYWTGAKTAIAGKITGIA TARLTSFTNTDGVRYTPTGSISPLSTANPTNPSSPSSTGGATE VE01_05730 MMLLSPAVILAVAAVVTATTPGPTQCDPKASAIPACATGCIISA GEQYGCSKEDYWCQCEPDTYANINGAAINCVLGACGLSGALPVLDAANAVCSCASEYP SPTSTAAPTSTDPPVVTTDPPVITTDTPTPTTGSPAGTQYGCTKEDYWCQCEPDTYAN INGAALYCVLDACGLDAALPVLDAANAVCACASANPSPPCTGGPEPTTGPPTDTTTGP ATTEATPTSTAEPTTDEPTTEQTPTTTGGTPCTAAPGPTQCDASASAIPPCATDCIIS AGAQYGCTKEDYWCQCEPDTYANINGAALNCVLGACGLDGALPVLDAANAVCACASAN PSPPCTGGPEPTTGPPTDTTTGPATTEATPTSTAEPTTTDEPTTEQTPTTTGGTPCTV APGPTQCDASASAIPPCATDCIISAGAQYGCTKEDYWCQCEPDTYAKINGAALNCVLG ACGLDGALPVLDAANAVCACASEYPAPSCTGGPTGTATGTGGPDTTTTGGPDTTTTGT GGPETTPPPTGTETGTPCSATPGPTQCNASASAIPPCATDCIKSAGAQFGCSELDFWC QCEPDTYSKINGAALNCVLSSCGAAGAIPVLDAANAVCACASANPSPSCTGVPTSTGT GPGGPDTTTTSCPDTTTTGTGGPETTPPPTGTETGTPCSATPGPTQCDASASAIPPCA TDCIKSAGAQFGCSELDFWCQCEPDTYSKINGAALNCVLSSCGAAGAIPVLDAANAVC ACASANPSPSCTGVPTGTGTGPGGPDTTTTGTGGPVTTPPPTGTETGTPCSVTPGPTQ CDASASAIPPCATDCIVSAGAQFGCSKLDFWCQCEPETYAKINGAALNCVLESCGAAG AIPVLDAANAVCACASSIPAPTCTGSGPGGGGGNGSGSGTDVPTTLTTSPTPTGSGTP CSVAPGPTQCDATASAIPPCATDCIISAGAQFGCSKLDFWCQCEPDTYAKINGAALDC VLSSCGAAGAIPVLDAANAVCSCATSYPQPTCTGGGGSGNGNGSGSGSGSGSGSGSGS GSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGSGDGG VITPVPSSASSLQSLMVTMTAIFVTAGIFAIAW VE01_05731 MARLSALSVLVGALSLPLALVNAAANQLEKVTVNFGSNPTNVGF YIYVPTNLTSNPPVLVNPHACHGQASDAFTGSQYATLADEYGFIVIYPDSPNEADKCW DVSSSQTLSHNGGGDSLGIVSMVRYVLNKYNGDANRVFATGVSSGAMMTNVLLGSYPD VFAAGSAFAGVAFGCFAGDGYGVWSDDCATGKIIKTGKEWAAIVKAAYPGYKGYRPKM QVFHGTADTVLYPQNLQEEIKEWTTVLGLPKSPIKTVLNTPVSGWTKSIYGPTFESYS AEGVPHNIANQEPTVMAWFDLACTGTKCFSRTNCERH VE01_05732 MQFSISTIALYATLFLTVSALPLTSRALSSQAYNDLSISAGQAG DAEAEAAAKIGIDSSSDLANVSKADLQIVKGIHDVANAAEVGAFNPAVAAATGAEKVA IQNGKIKNKVLKLSAAVLALQIQEAQGDSSVATKLAAEQKKLANNIALDTKAAGQDST AVSFDGTS VE01_05733 MSGGSFAFYRFSIILLLGNLAWTTRSNSLFVSKHATELIGPQFN SNIGRGEQTMYIGLTICFWYNLVAWILSILDSCVVLVYIGLIDLGIVAALIPAVYWQG TYIPHWKSVCQSAASWQVSNASDKSWFTVLAKLQKPADPDPKGCCEKYVETWIFTVVV IVLFSIFGILNIRSGTKNDLFTWCRLKRPWSTEMIQSKSFLRTLLKYLLLPFYIIWHF FVFPRTRAKWYFWYRYCVKVIYRHRGRRTPSTASLIQDNPQYEKNTIFRDKHSDRLSR LLMLDISTLVASNLHYTDIQSLSLTSSHIRETLFPTGNIRHHTAHFRLNSCNQAGKTR CWHCQLQICNFRRVVDMSANSVTHRRLFIYTPANRAAQCATRIPSPSLLGRVAANADH TSRNKWSA VE01_05734 MDIPNTNAARADSKADLKTESKSDEEYEAGAVEHLDSDKQALPY VQEVPTEGVRRAIAPPALVAAMTPERRAAAEKALVRKVDLRLMPMIVLMYIMNYLDRN NIAAARLGALEEDLNLQGNEYATCISILFVGYVLMQIPSNLYLNKIGKPAVFLPSCMV VWGVISTATAACHNFGGLVACRFMLGFVEAAYFPGCLYYLSCWYTRAELGFRYSILYS GALISGAFSGLMAAGIVGNMDHLHGLRSWRWLFIIEGTITIGIAAAAFLILPNFPRTT MWLSEEERQLAAWRMEEDIGEDDWVDSESQSFLNGIKLALSDIKTWILLVILFGIVSS GSVTSFFPSVVGSLNFTPVQTLLLTVPPYVLCVITTYINAWHADRTGERFYHIVLPLT VAVIAFILAAATTSTAPRYVAMMLMIPGLYCGYTVALGWISNTLPRPAAKRAAALAFI NCISNIASIYTSYMYIKPKFTIAMIVNCITAAMSILTATGLRFMLIRLNKQMDAADVG VVVSEGEEVDQHGVPINAAKGGFRYKY VE01_05735 MRTNVLSTLGVFTTFCQPVSSCVYGTSLLPRAEGSLEGSHFGYT EPIEPLQWSQLIPDMDGSEFVDVGATLDMLALGRLGTATESNALRHFDFGIFTENRVV GEYASVGSQFDFDTAAIAFLTSLGNTYTTQVFDTALSPVSHIAELGQIATVTSEHISF ASPIAHLDNNPVMRYSGSLTTPSCSEGVVDEITSVARPLPPAIRPGGRLQRNGCGSTH AKYRGSTGSLTFYSGRPRPRRELKWAALLVLLRW VE01_05736 MDQWSEGRLALFKALGEICDRVGDDDARDLAQSIKKPNGATFIQ ELRELQQKAHIADIITKDSHAAEIHLAFNDPKAFVTQLQELKWKAQILDTLRNNDIDA ASTDAIADPKVKELAVRLETLREKAQLYDDINGTSKPDTSDIQSRLNDALSVRKELIS RLRRVRENRAQWVQYAHSLSKRLEKREATLAEHGLLNGVPFQNKDSGLERPTASEPEL IKKEPGAPDPSRVTPDLAPPRLNRSLCLPGPTDDNDLDGDTMVGTPGAKTPKPVVLPH AHGEPQSVVRAVPEREKPTSTHTEPRSSSAQLDLLQTAAVAADEPQQLPRLAPVQEAS LERDATDNNPPASSQEHHTSSTQGEADDVGLDLPAGLGNHPAATPSAKYQGEPSSDPP VVISARAVRKRKAQSSPQKVKIKTEIELSSPVAFLGLSTLEPQESLDLDEIGQKTITP KKKRRVDDPGFGFLGEDDNDADYYHYRHPPVFNDAVVRSPILGEPTTPHMNPRRDALR IAALQPKSSNKPILRHASAKYTPAKRLRHSEAPGEVPFAEDGENESRIDATAQNRKLQ GAKIDAYQRLSSLLNTTSPNSSAFQTPGNISNKRTPRTAGPSHRSTAERDKRRGRKRI EAFRDASEGPDDEPFRARPLWRLGLEHFKVNPDHNQGYDYAFRDVVRGREQRKCLPGC TNPDCCGNGFRKLAELTTGQAKNQSSDMDTEDERLLEDFLGGNIHKLQAMTADERQET LIQAKARDLANKHGKHRHAFERRQSPPGFWRADFPTTQEVQSDRAEAADFERELVRKR YEEAVRGGGKWMFRDE VE01_05737 MADTGPELDHEDSISSQDPHNKKTKKIKNRRPANTAFRQQRLKA WQPILTPKTVLPLFFAIGIIFAPIGGALLYASAQVQEITLDYTHCFDQAPNTTKINEE MPKGLATWHFHDMENTAPKALWAKQTISYTFPNGAVLDNVTQCSLIFDIPAPMNPPVL FYYRLTDFYQNHRRYVKSFQSDQLKGDAVDAATIEGSLCDPLRLDPRGRPYYPCGLIA NSMFNDTYTSPLLQNVQDGSGASKVYQMKNNSGIAWDSDKKLYGKTKYKLDQIAVPPN WVLRYGNSSDYTDAHPPPDLENDQAFQVWMRTAGLPTFSKLAQRNDDDVMTTGRYQVD INHFFPADIYGGTKAIIISTRTVIGGRNPYLGIAFVVVGGLCILLGAIFTATHLIKPR KLGDHTYLSWNNDQPSTATTTGREGGREGA VE01_05738 MAEIKIDSQLFQERLGHFLSAWKADKRSGDTVFGGASSVLILMG KTEESAQFQKNNAIHFWLLGYEFPATLFLFTVDTLYVVTTAKKAKHLEPLKGGKIPLE VLVRGKDADQNEKLFQKITDVIKSAGKKIGVLTKDTSNGPFIDEWKKVYGDISKDVEE VDVAPAISAAALAVKDENELRAMRNASKACIALMNPYFVEEMSNILDEDKKVKHSTLA TKIDNKIDDTKFWTTVQLPNNQKMPTDFEPGQLDWTHGPIIQSGGKFDLKMSAQTDDE NLHAGVILATVGLRYKTYCSMIARTYLVDPNKSQESNYKLLLAVHALVLKETRDGAVV KEIYSKAMSLVRAKKPELEKNFLRNVGAGIGIETKDSTLLLNGKSTRTLKDGMTLCVT TGFNDIENPSPQDKKSKVYSLVLSDTVRVAASDAVVFTGDAPSDLDATSFFFKDDEEA EPAPKPKEKKDSKVGAVATTNIVKSKLRAERTTQADEGAEARRREHQKELAQKKQEEG LARYAEATDSKNGVAVKKFKRFESYKRDNQFPPKVRDLAIVMDQKNSTVVLPIMGRPV PFHIQTIKNASKSDEGDFSYLRVNFLSPGQGVGRKDDQPFEDASAHFVRSLTFRSHDG DRLQDIANQIGNMKRDAAKREQEKKEMEDVVEQDKLVEIRNRRPNVMDNVFIRPVMDG KRVPGKVEIHQNGLRYQSPLNPAHRVDILFSNVKHLFFQPCQHELIVIIHVHLKDPIL IGKKKTKDVQFYREATDIQFDETGNRKRKYRYGDEEEFEAEQEERRRRAELDRQFQLF AQKIADAGKSENVDVDIPFRELGFNGVPYRSNVFCQPSTDCLVQLTEPPFMVITLDDI EIAHLERVQFGLKNFDMVFVFKDFHRAPAHINTIPVESLENVKEWLDSVNIPFSDGPL NLNWPTIMKTVTADPHAFFADGGWSFLATESDEEDADDESEESAFEMSDSELAASEES SEDDSDFDEEASAEASEDGSEASDEEEGDDWDELEAKAKRKDREGGHSEDDAPKKKRK H VE01_05739 MAQGEIKSKLGSAKGKKPGVDAKKGKRTIAPKRKDLVKNARMIK KHSGGLTAMTERTLGSKAGHLELLKGGKKNKDMKADVPKGGSKKFG VE01_05740 MSSGRYSLRATPKKTSHPDFVETPAARRSNRRKSQFPEDEGEDS ASATESTKRESLEPRRTTRGSSMARSDSGEVSPALPNGNGNANGNGQVTNRRAKATNG SATNGSATNGSASKSIADASEKIMAVEGWQPGLDPKIDYSGHMEFGGSVGVTAMMIGF PTLMYYMWIGATYYDGKFPTPEPGESFVDFAKHMGNLVYEGAFPSLKAWTMYWVFFVA EGACYCLLPGVYGYGKPLPHAGGKQLKYYCSAVASLYTTAIVMAGLHFSGIFPLYTIL DEFGPLMSVGILTGFLVSIVAYVSALLRGAQHRMTGYPLYDFFMGAELNPRMFGILDF KMFFEVRIPWYFLLLLSSATAARQYENYGYVSGEVCFLIMAHYLYANACSKGEELIIS TWDMYFEKWGFMLIFWNLAGVPMTYCHCILYLANHHPDTYRWNRYALGALFVTYLFVY WVWDTTNSQKNRFRAEETGKQVIRKAFPQLPWQAVKNPRVIRTKDGHSILADGWYGKA RKIHYTCDLFFALSWGLITGFSSPFPWFYPVFFAGMISHRALRDIQKCRAKYGDAWLE YEKLVPYLFIPYVI VE01_05741 MAPAGGGGGGGNIKVVVRVRPFNGREIDRNARCIVQMKGNQTIL VQPDADDKRGGGKGAKDANQKTFAFDKSYWSFDKSDSNYAGQDNLHDDLGRPLLDNAF QGYNNCIFAYGQTGSGKSYSMMGYGKEAGVIPKICADMFERIDVVQKNDPNVKCTVEV SYLEIYNERVRDLLNPSTKGNLKVREHPSTGPYVEDLAKLVVSSFSEIENLMDEGNKA RTVAATNMNETSSRSHAVFTLTLTQKRHDVEMKMSTEKAAKISLVDLAGSERANSTGA TGARLKEGAEINRSLSTLGRVISALADLSSGKKKKGPASSQVPYRDSVLTWLLKDSLG GNSMTAMIAAISPADINFDETLSTLRYADSAKRIKNHAVVNEDANARMIRELREELAT LRGKLVGGGSSGPSEDQYAEGTPLEKQIVSITQPDGTIKRVSKAEITEQLSQSEKLYS DLNQTWEEKLQKTEEIHKEREAALEELGISIEKGFVGLHTPKKMPHIVNLSDDPLLTE CLIYNLKPGTTTVGNVATNAPTTSEIRLNGSRIMHEHCAFENVDGIVTIVPREGAAVM VNGKRITEPQRLRSGYRIILGDFHIFRFNHPMEARAERAERTEKSLLRHSVTVSQLQG IENFSPSPSPRPEHHRNYSKADSDFDWDSSRPDSPMPTRGRDSDWSFARREAAGAILG PDQKIAGLTDEELNVLFEDVQRARAERQNRDADDDLESTTSYPIREKYLSNGTIDNFS LDTAITMPSTPRQGEVEDKLQVLREEMQGQLEKQKTDYQEQLKAAQADNVEVEEIKKE KERMEESLREVKEEMMKQLVVQRQEFEQRIQELSPALPEADTFDDLNAEEIEIARKAT EHWRKRRYVRMAECILQSAAILKEAQALSQEMDESVVFQFVILDTGHALCSSYDMVLI GIPGEGDDSALEDAERPCVAVRVLDYKSGVAHHWSLEKLKERVKVMRQLHQYMDRPEY MKHFKLENPFVETCMPRYTLVGDVSVPLAAVFESRVQDFTLDVRSPYTSDSIGLVKLS LEPSSARAPTNTLKFNVVMHELIGFPEDEGTEVHAQLFIPGISEEGGATTTAMISGFE EGSVRFDSVHSMSIPLFGPKTISLSVSIFAKVSSMHLDKLLSWDDLRDHVSTQQKQRT ARIAESHFYAEEKHDVFARVQLLELSENGDYLPVEVLQANDMDKGTFQLHQGLQRRIV VNLTHSCGSSLPWKDSSRLRIGGIQLLDHLGKVVDMNSSTPEIELKLVMDPIMRANSN GSMSVALVGQWDSSLHNSLLLDRMTADKYKVQMKILWDVTSDSLGEPMTFSLNVASQV LSRSYVRNQSMFAALWQSARIVHSTSAIFSICIRPMPVKKVGDLWRMNTQHDYVKGEE NLTGWTPRGVSLVHDFINSRRRRERSAEIEAAKAFYSAEELKVEKKADEEEEAPPNEE LIRKVLNLWTISTGPIENLLRNANIEPPTDGPAEPGPDNAVRLTASVALIQRSAQLLK GGYLLVPSLDSSKWVRRFVELRRPYLHIHSIPEGEEIGIVNLRNSRVDHQPQIAKLLR QDGKGRDRKETVFAIYGTDNTWLFAARSEREKVDWIFKIDQAYFGSGNGSADEDVDAE S VE01_05742 MVTDAMLIGLPMPYLFRMKRPLKQRLSLVGLFSIGFTLLAIGFV RLPINYDRNYNHSRTHEANRTTLGTVEMFAAAIVANVPTLFTLRPRHPTTHITAASHS PHYTDTTGFRQVRDLDIITDNSIKLQHVHVEDMGESGEFGKISEESHASNDIIHVIDV VE01_05743 MADNPNIGDGGIHESHSPTEMTAGEYIHTRFTTLVPPMNRVPNP FRLLGMVSGKQWLFFLVGFTAWTWDSFDYFTVSMTIPDLAKTFGKNTTDISWGLTVTL MFRSVGSTIFGIAADRYGRKWPFVVNNILFIVLELATGFCQTYKQFLACRSLYGIAMG GLYGNAAATALEDCPEEVRGILSGMLQQGWAFGYLLAAAFARGLVNTTSHGWRPLYWF GACPPVLIIIFRLCLPETDAYREREMVRKERGNIGGTFIAEGKVALKKHWLILIYLVL LMAGMNFMSHGSQDLYTVLLKNQYGFSADAVTIIQVVANLGAMAGGTVVGYCSQIFGR RFSIIVISIIGGALLYPYTFTSSKAVIAAAFFEQFCVQGAWGVIPIHLMELSPGSFRT FVVGTSYQLGNLASSASATIESTIGSRFPLPSVEGKARYEYGLVMCIFMGCVYAYVIV LTYIGPEYLGRSFEVRDDSDLSEATGASTIEAALAKMHHRDEIEEAVTVGDTEDADAN EKRAISATHIA VE01_05744 MTSTIFGSLAVSSVDPLNVFSEAQAFFETRLGPRKGHAKNLVRA FRGFLESIDLDPEDYRNIWSARSEIFLGSGVGPIARFHRLYDGLVRIKRGREDYDCAS RLWHIFLEHDLEQLVRSGSFKMSRGRGKKTAALCAQAESISSTVASVKADRKTGRCYL QLLRLSSPGLLLLIGSHVNTVWERKLSKEDIPLLLHYLRVKQPEVMEKARMLDKIAAR LIVDGFIAYGWTYSELNDTRAKLLDQLRLYINLPWLSQREEEKEFAAYDITSLAPSTS NNVIHDEVANSEGRFWDDDNANLADEMIHTPQLQRQRSSDLDISLSYTIDGALSLGLP LDYDMSCDSDVSSYYTIDGALGNNFMHTYPPGNGGAELINSHSNSQQFF VE01_05745 MALTGANALGGVLECDFDLFPPGRTPTIADGAKLFTFACIKVFG CEHSLAPTISGEQFIGACLNCPTKADDIG VE01_05746 MKLAFITALFATGALASTFDIPLLNIPAGETPPLELADMLTELG QSELFSKVGSIFKRETAPTFTTLHEAQLFANSLPKHVNEVHISSLPWGTSKKCTDSTC ESCAIGANVFLASGIAACSLKFGPPAVSVPLAGIPALAACITASTGIWYVNLISCLGK VE01_05747 MKTIVFILSGLIFGLTSALPPAVDVRDSIDPSSAAYHLTRDASP VPQDNLPLEWHSLAERSTPPKFSTVHQYIAFAEQEKKKYPSECSETSSPEDCQLCIGA ILAAWVSALYLCASQVAAGPVGTATGLVCAASATSLYWIGRIKCLGV VE01_05748 MSANIRIAVDVKLFQHLKEAGGAGLSASALSEKTGVDVALLERL TRHLVAMYLITYHDGAFHATTLSNGHAEENYQHSISFCYDAARPSFNGFPEFFKKTQY RSHSLGSLEGLFQDAHKTQLPFFEWLVATLPHLQHFDSFMSAYRVGKANWYDPGFYPV SERMISGFDGSSSDVLLVDVGGGRGHNVAAFAAQHVSRMGRIILQDREPVIAGVLASG KERLFEAQVHGFFTPQPIKGARAYSLHSILHDWGDDEGVKILEILVPALKKGYSRVLL NEIVVSEEKPTIAATSMDMMMLDHFSVRERTETEWRVILAKAGLNIIHIYTYPGVAES LIEAELA VE01_05749 MPVDFQLSPSEAGIRNAAAGFAATVLKDAKKDYMKFAEHHQRFQ STKPIYEKAVQGGLIKGQVPAQLGGTGGSLVEAAILVEEMYAVEPAASLTIFATGLGL TPLVLTGKPEHKEFLAPFLSGEGAPLASLVFSEPGGVANFLEKGAPGLNTTAEQVGDE WVINGEKLWATNSAGWDFKGADLQCVVCRATKPSSDEPADALMIIMVTTEDVKRNDPG AFSVLRHVSTAGHTACSGPHIKYTNMRVPAKNVLCAAGTAAPIVLGSFDLSAVLVGAM GVGIMRAAFDAALEFAKGDNRRGAVPLLQRQAVADLMINIKMQTEACRALTWKAANSI QNGPGDYNARRELALSAKIYCSDAAVKACIEAINVVGVNAYDADRPFADLLNNAMVLP IFDGGNVGIRRRHMQELMLSEDYDAWASTYGPSK VE01_05750 MADSSAQLIPEAKESRSQQVVIIQLTMLSLASLAVIGRIWVRLA LVNTRLAADDWVIMVSLAFSVAFVADVVTQTKYGLGQHVADLPKETNFAESLRLFYFG EAIYYITVGTTKVAILLLYLRLAVKKGLRTLIWATMGFVIATFIASVIAGLFQCNPIA FAWDKTIEGGTCFNVTALFYANAGLNIFQDVFIYILPMRMLWEIQIPRKQKIALIAVF AVGGFVCVTGMLRLNSLKTASVSQDPTWDNFGSAIWSGIESNIGIVCASLPHFKPLLT RYCPGLMGVRSTAAMTPLSDGRAKQSNSGYMTPNGDDNFQLARGNGQRILKGGPGYSV AVTGKSRSSVNSSEEYIRETNAENKQQGIYKSTKTTFSPV VE01_05751 MEKDEGMLSVVDLGSDLGRRQNWEAERATRPLDWWHFESSWKYR GVAVGYIIARIIYRQFLHPLANFPGPVGAGWTDAWWFYHLFSGRVTWRNHNWHKRYGT VVRTAPNHLSFNSPEAIKDCYGFGKANQSLCLKDPEFFLESVYGSWNIINENNKDEHA RMRKMLSHAFSTKALVEQEFVLTRSVDDFMYHIGTIKAEGGKTGVDITKWFNNVTFDI MGGMAFGDSFGARVGNYGPKSRRFLSYAQEFGRDVDGETDDKYDWVGVVLDSAFINDV MRCTTLVPYLPEFLDWWIPRRYQESSYAHMDYSIIETRARIEKQTEPDRPKDFLHYML NFAGPKATEKEIASHINALMMAGVITTSTFLSGVLYYLMNNVEAFDKLKTELRTTFSS LEEITCNSTAQCEYLAAVIKEGLRIYPPAGGAHLPRIVPPEGAMISGYWVPGRTRVSV HQWSVVHDEKYFHRPDDFIPERWIKGEKASEMGDRLEASQPFSYGPRGCLGKNLAALE MRLILTQMIWKYDVQWLNPSVDWERDNQGYTLWHRPELRVLFHERVARIALR VE01_05752 MVPRPHLVRITPHPGSSKKEIEDEPDWGGIHQNRIGFLNRQERP TGHTHQGDDKKEEGGVDDKTKAEVKGLVTFRDDYHLIRPDVHSAGWRYVLYSSEDWIK NTQEWPANIEKRKAAEKAAKLKDEEQKKSGQTNGVKQEDDWRREAGQNKHHDAYASNV NGDKDQQGKNDAQAGNKVEGEDEKLQQKYTPQELALLHNLQQEKDYVFKLEQNDGKKR SPVADGRQLISLDEVDQFTPDNWIPRSDKLIRLTGNHPMNAEPQLTSLFDAGLITPNE LHYVRNHGPVPHLLWETHTLEIEGSNLILTMDELKDNFDPINIPVALACDGNRRKELN QIKRSKGFNWASGAVSCAYWKGPLLRDVLRKAGVPEIFPEGKRYWVNFEGADELSEGK YATCIPFEYAMDSNNDVILAYEMNDVPLPPDHGHPIRLVIPGYVGGRCVKWLKKIWLS DMENDSHYHIWDNRVLPSFITEMDGEFSKTMFSHPDTLCNEQNLNSVIVKPAQGEKIS LTEARKGKTYRIMGYAYDGGGHEVQRVEVSLDEGDTWLYCLRKFPDRPIRHGNKYWTW LHWHLDVEITHLLRAKSIAVRCHNVFKNTQPERPSWNIMGMMNNCWYVVKPETVQGFN NAVPEIVFTHPVEPGTSDGGWLKESTELQISNAKQAAGGPQKQFTREEIEKHNKDRDC WIVVNDKVYDATSVLEWHPGGKAAIMGHAGKVHEETSSDFNSVHDGYAFEKLKECILG MVTEKAKNFIKANAKKAAEEKAKSAKGDTTVALQKHRWIPARLKKREAISKDTRKYTF QLPDNKNKLGLATCQHIQLGFHFKDKMIIRSYTPVRPILATEEDGTFQLVVKTYFPDN SQPGGAISNILDCMPLGEEVDIRGPTGDIVYEGNGKFNIEGEEMNFRRVTLILGGSGI TPGYQLICRILMLQGDKTEIRVIDANRSESDILLQGEMENLQKKHQGQFKITHVLSRP SDDWKGLKGYVNPDIIRKHAFAPAEGSVVLLCGPPAMIQKAALPAVKDWGYEEEKNCF GF VE01_05753 MLTRKLLSSLSFLAGAAYAANITVSNHGGNSSSPLMYGIMFEDI NHSGDGGIYAELIQNRAFQGSTEFPSTIDPWEAVGNAKLTLQNTTVPLSWALPTSVQV APGNNFASGGSIGLQNPGWWGISVTPQPYSGSFWALGSYHGKFTAKLQSAKSSHVWAS VEIKSKCQKGEWVEHKFHLNPRVAAPDTDNIFVLEFQPDQGSLNFNLISLFPPTYKNS PNGNRPGLMAGLKDLNPSFLRMPGGNNIEGDIFGYRWKWNETIGPLSQRPGRPGTWGY ANTDGIGLVEYLQWCEDLDAEPILAVWSGVYLNGYDNDNPYDIPEEEIGLYVQEAMDE LEFILGDVHTKFGALRASLGHPRPWKLNYVEIGNEDNISSPLSYASYRFKAFYDAIHA AYPSIVIISSTGDLKAIADNSATDYHEYARPNIFATQFGLFDNANRSHPILIGEYATI EPNTENPLEPVSWDAGEPRLPYPNWVGAVAETIFTLGAERNADIVIGASYAPGFQNIN GSQWAPDLVSFSADPAKDVYSTSYYAIQLLSAHRYTHTAPFTSDEGFGPAFYVAGVNH GTNQYTFKATVYNTTSPVPFNIDFEGLHQGAKATLTVLNAPSGLSENVPNGENVVKRT TTSLTAQKGGVFSFQLKEYDIAVLTTF VE01_05754 MRFHAFLGFAVVLIQSPHTAADYTTTINAQSNRGTWDGWGTSLA WWAKKFGDRADLADILFTLKTTTLLGLNLPGLGFNIARYNAGACSSNTYDSTSMAVSA NIKSSRQVDGYWINWASEDPTSSSWNWSVDGTQRQALRNAIDRGANRIELFSNSPMWW MCKNKNPSGASDGSENIQSWNLEQHAIYMANVAKYFKDNWNVQFESVDPFNEPSATWW NSAGTQEGCHFNVATQATVINHLHNHLASRGLSTVISASDESYFDQAVTNLQTIGSTA IGQISRVNVHGYQGGSGRRDTLYSLASAAGKKLWNSEYGDGVGSGLDMTSNLLLDFRW LLPTGWVYWQALDGSGWGLVQADNDSGQITAVNQKYWVLAQFSRHIRPGMRILDGGNS NVVAAYDASSKKLVIVAANYGTAQYINFDLSGFSQRPANGAAVVRWNTQIDGGDRYVQ YSSDTVMSGTKFWSYFSTNSVQTFELTGVVL VE01_05755 MSKTILVVGATGIQGSSVASTFLQTPNWIVRGLVRDPTSPNAIE LATKGVELVKGDLADPASLAAAVKGVNVIFANTRFNPAQAFESAEFKALAKPGQSPLE FHFEEEVAKGRNIADAAAAVEGLERFVWSSASEPGKWSKGKYDDLLSFETKGAVWKYI QDTYPELARKTSVLYLGSYATNWQWGPASYNWIKKDDGSVALRLPGNGPHPIPFVVPS DTGNYVLALIKVPPGKKLVACSDSLTWAEYTKLWSRVVGVNAVYEPCSVEEHVGAVPG GYTEMIGKIYEYATQFGYWGDGDPDVVFPEDLGVEIKRTSMEEFIRNEDWSRILN VE01_05756 MKRQTSPGVLTEHAPLLSTDEDGISTVSLEDNNPKPQTENEPIG TCRAVLLIPSLFILIFLQASNISGITTAQSSIANDLDASGEDAIWFTSAYLISMASLS PLIGRLSQIFSLRSCILSAAVLFAAGGLLSGHARSFNTFISGRILSGAGGAGVMTLVL ILVIELAGTKRRGLFLGITNAGFTTGVALGAVVAGGLVGVTGWRPLFWGQAPLAALAG MGIFWAIPSRFGSTSAQGGKTRSLAYKFAHVDYSGAALLTSSLVLFLLGLTSPKIQYL PILTSPVVLALFVANELYLAHDPIIPIPVLRSRGVFLTCLAQLGLMSVRWTVLYYAPV YALAVRNWSPATAGSILIPTNAGFALGGLLVGGFHIRRAGSFYLPTITVFTIFAFAVA LLACFATPSSPAILIVATTALIGLATGAALTYSVPHLLHLTAPPTHFVATSLLATFRA FAGSFGTAIGGGLFVRVLRRNLERGFAEIGVRKPELVEELLRSPATVGGLQGAEAEVA RLGYVVALRTVWFSAAAVAGMAIFVQAAAGWKRAVEMVQDGDEDDV VE01_05757 MKVIIASTLTVGLATALMPRAPSAPPGYCCFTLTAANPTLGSDI VEEDTIGQNRVFSNYPDGAYCINPADEGKLYDSLGHTCIISQTTQRFQCTQGIRSQNK FVVGGNGDFFVDESNDFWACPSQGPGNDGGYEIFAETKVDTTGCVPITMASTGDKCAP PAGPIGTGTAPGSTGTGTAPVPTGTAPGSTGTTVPASPLPTGAKFGHAAHRAFNNKKI VDGLKGLVGTGTGTAAPAPTTTDTPQKCAQTLTPGSFVEPKLIVPVSREDAGHPYGDI ASPIITPSNNTLFAFTTPDAWTGTCSLLFTFPYASETANPGAYHFSGIEEEKAASGGL NFNHVEADIDANETWWTKPELKETYGKTEIIPGNSYTIATFPCKGGETLVYDVSSVAG VELEFEQNAKKSPIGLWVTNC VE01_05758 MSHIDSAAAVEYEISSDDGNALQADKYIQGVINISDGSETEDEN NAPATDAKQARLKGKRKVGKKVEWSDDESENAYEKFKNRASTKRKKTAATRKKAASRL KNAVVGRRQAKLDGGGSKLAVVEEDSDFDMMEDSLPEYLKTRRKQFDANKAKMRKAGL QLSPDYDDIEFSDDGRLLKLQERPDFSAGIKASREYKDIQLPQSSGIIPAPISQYLRD YQVQGVAFIHELFVYQKGGILGDDMGLGKTVQVAAFLAAAFGKTGDERDFKRMRKMRR AMDRRWYPRVLIVCPGTLIENWKQELGRWGYWHVDSYHGSGKEDAFQAAKAGRLEILI TTYTTYKMNKSQLNLIEWDCVVADECHILKERTSETTQAMNEVNALCRIGLTGTAIQN KYEELWTLLNWTNPGRFGPLSTWKSSICLPLAVGQSHDASIQQLSIARKTAKKLVENL LPQFFLRRMKSLIAHQLPKKSDRVVFCPLTSVQRDAYERFLDGDLVELVKHSADPCEC MSGKKRGWCCHATLPGSGIKWQALVFPVIATLQKLSNHLALLIPSSTDPQEKQTRDLD FLQAMVPDRWEDLYNHRDSIFNLSNPEFCGKWRVLKRLLRFWHGNGDKVLVFSHSVKL LKMLQHLFHNTAYNVSFLDGSLSYEDRQRVVDDFNSDPGQFVFLISTKAGGVGLNITS ANKVVIFDPNWNPSYDLQAQDRAYRIGQTRDVEVFRLISAGTVEEIVYARQIYKQQQA NIGYNASLERRYFKGVQNQKGQKGEIFGLANLLSFHSDEVVLRDIVNKTNVAEAKAGV GLIDIDVNDADATADDDMPFDETDMGEDAAMSQLVDKIISDFDAADNKPKSAARRPKR KVDAISAILASAGVEYTHENSEVVGSSKVEERLSRRAEAAIPNSLAGERRLFEDDDDH SGHLDRSFSSDGRPSRKYVFRPPLDVLKRQFCTMARTFGFDSATSFALVVEGWTTEQR RGSLERFYRLRREKMEADEEAERMRELMERGRRMREEREKAERERVLERVVEDTEDGE EGATMDVDWEGALDKREGATRDTQKAYLQSERDRAINEGDKASTRMFREGAFKAIDEA GTGSEALNQPTSHKHARSAPSEVDDKMQGMGIGPEPLTSSEDEDDQL VE01_05759 MRINIQSLLLLASFASSAVGCNGDARLCGRKYSNVTQIGAHNSA FVGELPTQNQGLEVEGQLDMGIRFLQAQTHNLFGLGEMYMCHTSCFLLNTGPLVKYLE RINKWMVAHPNEVVTLLLTNQDNADVSIFGKAMVNSGLAKLAYTPPKKLALNEWPTLQ EMINSNKRLVMFLDYHADTAKVPYILDEFAYCFETPFSQTDSTFPQCSVDRPPNASAA GRFSIINHVLDFALTPGKDGVLIPDILQAEKTNSVASIMAQVGLCQKAHGATPNFILV DYAERGEVIKAQNMMNHL VE01_05760 MTQPYSTYYQQLTRSRGEIAIRILSSARELDLETYTLYTADDTS HTLQSTHAVSIPSPSTYLDIPALIAIIKSHNIDSVHPGYGFLSESAEFAHRAWTEAGA VVIGPGWETLARTGDKLAARQLAEECKVPVLPALQTPTDNVEDIRRFATQIGWPIIIK AVDGGGGRGIRIVREEGDLAGLMERALRESPQGLVFAEKAAVDGYRHVEVQIVGDGTG NVRHLWERECSIQRRFQKVVEFAPSSIRDRAVVGRVIEAAMRMARKVSYLSLGTFEFL VHSSKPEFYFLEVNPRLQVEHTITESLCPGLDLVKVQLCLAAGQELDTLLPNISRDPL VPPPLHSLQLRITAEDATANWALNTGTINSLALPSGNGIRVDHHLTAGLVVKTDFDSL LAKIVITTSSWTDLVAKAIRALNDTHIIGVKTSLPALRGILAHPAFKAQACDTQWLES TLPALLAAGTSITATIPSPATPSAAPAFAPSSSSAPLRKGDAWSLTLTPTTTASSSST PPPAPAHLLLTKLLRNDFPSSLTADILFTAPSAPPMSYTLALTSTAASAGALTSAHRR GEAGNARHIVFPFPGQLVELLVDVGDRVGKGDVVAVVKQMKMELEIRASGEGVVGWVF EGEDGDEVGEGVLAVELVDEGGRAKL VE01_05761 MGSKPDKPTSSSPTDRLSQVTNHINPPNTPRKRRQKSDSALPAD YSDILAQISTLQNLAANPDPNHVGYARQKAAGKLWVRERVNRLFDPGTFYEVGSVAGT VEWKRLAGIKEEPVAFTPSNNVQGFGALRGRKVVFTADDFSIRAGHADGALVEKTIYM EKMAIELRLPIVKLVDGSSGGGSVTTIRTQGYSYIPPLPSFSQVAKQLELGIPNLGAV LGPAIGLGAARVVSCHFSVMAGDIGSLFNAGPKVVAGATFEEGLSFTDLGGPGMHCTN GTIDNLAADEEGCFEQLRTVLSYLPNHGLALPPVIKSQDPVERSCESLRTIIPRKRTR MYNPRTIIETVVDAGSWFEIGALWGTTAITGLARLDGRPVGIISLNCEVNAGALDALG SQKLTRHLKFLDTFNIPLVQFVDVPGYAIGTVAERSATMRHGVALGTTYFSTTMPVFS VITRRVYGVAGGLMLDCRDPRMRIAWPSGEWGSLPLEGGIDVGHAAELRKIEKEKGKE ARELRYKELDEEYRRLMNPVRTANAFAAEEIVDPALTRRITSAWVKHVYEELLPIRLM DRANGKIKPVFY VE01_05762 MSAPAPPPKLPADIRPDSLEADLLRHGLPHDLIHQVTDYVKSYM APYDGSHDFAHVLRVLGLSQILRAQDPARYDRVTTILAALLHDVGDRKYLLPHQDSTT LVRDVLLSFGATENFAAKVQTIASAVSYSSEVKDPQVVRDVIAKYPELEVVQDADRLD AIGAIGIGRVFTFGGAKGRGMETSLDHFEDKLVKVVGSMKSALGAKMARERTERLLAF RKMWEEEVSEAEWGLEGLKEIIG VE01_05763 MSESVSQRLAQIAATVKKDGAADVIPWDPKATSFPSRGDLPKIE NAPDGAAWIWGSDDQLGRLNLLTPARVAAAGKLITDGDIVSLNLPLNVPAVPAFNREP FQHKIKPLFPGLVYDDTYTLNTQSGTQWDGFRHISHIKTGQFYNGATGAHIDGAEANN HRCSIHHWSDHGIGGRGVLLDYWTYAQDNGIKYDPYEYHAISLTSLAACGKAQGIDIR PAAQGGDIQIGDILLIRSGFVSTYNSLGPSERAAAALRPHVHGPEDGMRWAGVSAEDE MVDWLHDSYFAAVAGDAPSFEAWPTRRADGGMLHEYILALWGMPLGELFDLEKLAEVC RRKGRWTFFFSSAPANVPGGVGSHANAQAFF VE01_05764 MALPLAFLGIIYLLVSYVGYLVLQSILTKRHNARRARELKCLDP PALPSTRILGIDHLKTALAADKNKEFPVELGRRQDQVGAPTFTYSTMGSTMIFTSDEK NIQAILATQFKDFVIGHKRRRNFFPLLGNGIFTQDGAGWEHSRTMMRPQFARDQVSDL DLEEQHVQNLMRAIVPNANGWTDTIDLQVLFFRLTLDSATEFLFGESVDSQLVGLPGY VKDSSRDESQDEEVFAAAFDKGQSVLATRSRMQGLYWLYNPSDFKKSCTDCHAFIDHF VRLALSKDLPSAAEKSAPADAEKGKKEKYVFLEALAAETRDPIELRSQMLNILLAGRD TTASLIGWVFYSLARDPVRYAKLRNIILEEFGTYSQPRDITFARLKSCQYLQYVNNEA LRLYPVVPVNSRTANKDTTLPRGGGPDGKSPIFIPKGRACDYSVFVMHRRKDLWGADA DEFIPERWEKRRVGWDFLPFNGGPRICIGQQFALTEASYVIVRLLQRFDAVENQDFSG KALHNLTLTSCSGTGVVVKLHAAEE VE01_05765 MQFSHALILAFVASVSAQTGTIAGDVAALPDCAKKCIDTATLNA GCKSVTDYACQCGSAHDTINNAAAPCVASTCQPTDLTTVLSLTAEICKLVAAGAPGSG GGSSAAESSTAASSTAASSTENSSSETAAPTGGSSSTETSAPSSSGTSAPSSTGGGAA PSSTGTGESSTGASEPTTSGGSAAPTSSTGAAATGSWNFAAVAAGAGVVAAGLM VE01_05766 MSTTTPVPPLPASSQGSSSSTDAPDASKRPHTPESVPHPPSTSS SSAPTIRTTAGPAAPALATCLSHSHDAPLAAYISHPAIDDAIYDRLTPYRKHIIVALV SYCSFLSPMGSTTILSAIPEVASEYNTTGTVCISTAFLFACCSLGTALSPNLASFFIF RILTAFEGTSFLIVGAAVLGDIYRPTERATAMGWFLSGTLIGPALGPFIGGIIVTYTS WRVIFYLQTGLAAVGTVLAYFLLPETIHQTKTHLLAGLPLRKQASVLAQLTNPWRVIA LFKYPPLTLISLCSSSLVWNMYSLLTPIRYVLNPRFGLTSPMQAGLFYLAPGAGYLAG TFMGGRWADHTTKKWIEIRGERVPEDRLRSGIPFLGIAIPASVLIYGWSVDQSVGGIP VPVICLFVQGVAQLFCFPSLNTYCLDVLPELGAEVIAGNYMVRYLFGAMGTAVVLPAV ESIGVGWFSTISAGFMIVAALAAAATVKWGRGWRDAVDEKRRAARREKAEAEVEVEGE GREEAGGVTGAAVVGDEKV VE01_05767 MHFFSTLVVASAFVASGVLAHPGHDTRHEIEERAAFMKNSKRDL SHCAAKMKARGLEARAIQRRAAIAKSTREKRGLSTSAPYLRARDAASVLNTTHLSSVN YTPLTPETTLFSSINSCILSPEVTQGPYYASGEYIRRNLIEDQEGVEMIIDLQVIDMA TCEPVVNSMIDFWHCNATGVYSGVVASGNGDITDTSNLNATFLRGLQPTQYDGVAQFT TVFPGHYTGRAPHIHVLAHFNGSILSNSTYGGGTASHVGQIFFDQDLISQVDTVSPYS ENTQPLTTNAEDSIFSEEAATSDPVVEYSLIGDTIADGIFAWVSFGVDLTQQFTVTPA STLTENGGVANANSGMGGGGPGGNSTGGAPPSGAIPSGVAPSGVARV VE01_05768 MTTSFTTLPIVSLSALSDPQSDPEALSALSSKLNDVFSTTGFAY LVDLPLTYTHKDVFSLCNSFFGSKGLTADEKIRLAKKTFVKSNTNTYRGYFPPQVGSD NLKEGFEIGSPTSTVSLSKRPTKFNLTEPNVWPTGHQEFQHRAETLYEELQSMSARLL TVLAGSLGQVPEYFDSYLKDSLSTLRLLHYPPLPKEKKEQELICTPHTDSGILTILHQ DSTGGLEVLNSDGEWIAAPYIPGSVVVNIGDLMAKVSGGRWVATMHRVRATNAGGERK GRYSVPYFFEPGMDCVIKAVGQEDGVVYGDHVLEKMKGWVEFQDVDGEEEA VE01_05769 MTKKSWLDSVKNRVRSRSSAPGQNAPVGSFTGVPQVTEPSVNAT QAIEPLANALKSEGIRSISALSDPYGIAREKYGLFRLAAPTASSLSEDSSLDTNHIDI VAVHGLNGTADKTWTDEDNNFFWLEDLVNDFPGARVFTYGYASEVKFTLGTGDIEAFA RSLLEALKAERVSKKDQARRIIFICHSMGGIVVKKALIIAKNEDLLYDNTRKSVVGIA FLSTPHRGSSQTELPLVLANIANVVLSGVGRYVGSMRSDLIKSLEKDSMGLKDISTNF RDQTENMKIASFYELKTTPPVNKLIVDKISGIMDVARERIIPMPGCDHRTICRFPRRD SNGYKTVLGVLREWVSVINEAENVPISSEDLACLRSLSFQEINYRRQEADQAYQNTCA WILKHPVYAEWLDKKCELLWIQGSPGSGKSTLVSFIYEEFQKNISLSREVVLDYFFHS RGSILQKTRVGMFRTLLHQLYDQVHFIRPKIQMIFKEKDRFGNAGAGWEWHPKECERL FSEVIVSAAQLKNITLFVDALDEAGSDGIELASYFHELNAKLRAGHCNARICISCRKY PVIAPNVSLKVYVEKENGGDIQTYVEQKFGSEIQSHGMAMSAQGEFKKLQMVVVERSQ NTFQWASLVVPLIIKLRRDGQSLAYIMKELDKVPRGLHEVYEHILTKAIEFQYRPKTL LLMQWVCLAKTPLTVGDIRFAMAAGFCIDERQESSSNLKDFVESDEDVDTLITSMSGG LVETIHRKHDKYTDTVSFSPTSDIYSSRVQFIHQSVNDFLLSDGLRLLAQLPSPTSWR ENELTSSADSIIGESHDRLCKLCINYIKFGKVFHAYEAVYYKGKPFPPFKEYARTYWI FHAERADSYEVSQQHLIQRLGLRPYPVFFDRMISNVMTQVYPLNYPAMSLLHIASLAN LASVVAQILETDPCMVNDLDHLGSKPVQYAASSGHCKLVEVLLNAEDLVGANSKDTAG DIFMHAAMQGNEQVVKLLLGRGVDLHNDTRMAGDALIAAVWLTSQEVFTTLPWGESKR VSTMGDVSLIKLLLGHGANANARGTGNHTAIEEAIASTIAHTRAVELLLESGANANSN LSFASPRRKQLMEWLSSPQVLLSDNNSLDKHPEPDGTVLQHAAALKDKNNATMVTKLL LEKGAQVNGEGSYGTALQIASARGNLSVVKLLLEKGAKVDGLWIASTQCNAVVVELLI ERGANNTEFGETIVEVLRRLQLLKSYLYDSDTDLHSLAGSLDTASPNDNDNGNDVNDD DNSNAKANEDV VE01_05770 MSDKAPSGGSHLTSDIDFIKTPKAAPGSHDTGEDCGVKTTNSPA IHNPPLPADGAGNEQFNNIIFASVLLGVPTYLAWKVGGGLKTTIFFALITFVPLLLAF WTVMSTYSPRINEKVKLPGRPVEHYLTFKKEADRARYSGSSKVPMQTFFELYFSGDVN FNGDCLDVMEYRHDWATFNFTWDLFRYILFTFAPDVILHNRSQDDEQVRPNYDRGNDH YAWFLGPRMIYTSGVISDTTREETLEEMQDNKMAIVCEKIGLKEGETMLDIGCGWGTL ARFASVNYGAKVTGVTIARNQTEWGNDALKQAGVPEEQSRILCSDYRDIVSQKFDKIT QLEMAEHVGVRRLTTFFRQCYGMLEDDGSMYVQLSGLRKSWQYEDFIWGLFLNKYIFP GADASTPLSFYIGCLESAGFEVKSVDTVGVHYSGTLWRWYRNWLGNAEKVKATYGEKW FRIWELFLAYSTIASRQGSATCFQMVVVKNLNSSHRIDGVHSQDGLSGALARSKAAGK ATLAK VE01_05771 MTAQYNPTSTPTVSNPLGCQSAAQPSSVLSAISPSGSMSSSLPS PARSSPSSSGASPQPQRKMSASRLPLRSITAVLAAALSVGAWKSRPFVTKFLTGPGNY SRILALVVVLANYKNFPFVWHIRVWHGILSHMQAPPLDPTTSGLTTPHHLPALFQPCI TPSQSPASECDYNLHKSNSTYFSDLDVTRSHLVAALMHVAIRELHRKPSLIIGPDGKP AKGQWGIMLGGVHCSFKREIKPYEKYEMWSRMLAWDRKWLYVVTHFVKAGTARPAGWT LDDPKDWNFVPRFLRTKRRARKARVAAPPPDVPEGAIFASAISKYVMKVGRLTVHPEA VLDMCDLLPPKPGGWNTMDGRKEEVVEEAEGLEEMEMGESVLSILGIPNGKVEVRGNG SANGHAVNGVANAVAKEAAKEAAAVTGWDWKMVEAENARGMEYAKHHAALDSLSETFT GNKHPALGYYTDIF VE01_05772 MNSTQPGASLGGKLNHSSAQTPTPQQQQQGPSSPSFESSTRRSS SAHSNTTHGTARNNQSSKKNHKKHRRPGGLLGDDSYAENTAMRNSTSRRGQTSITHLM NFALPPRPSAQVYPRSSGRDSGYTRSGLGSGYHASDKARYIHANYRFIVHPSGDYRAQ AVDADAPLDWDSVLQILVSAESQLSSLRWFTGQENPAPKEGEDVILRLVMRQPGSTLT LPRDEAESMGRLHDIPWYFAAEVTDYSRVMKGTEEYMTEQFDKEIEDLKRQEKEDELM FGDETTWAKKAVRSVIEAKEHIKGMGNAPAILKQPARHAKEHEIQQAQEPKTDDEKSA TTWVQLHDGEAAPVATPSEEGPTEAPSKPSNSTGQHRLNPVADAFNHLSLNESTVAHN NTVHEAPAHNAPAHSGPAHNLPAQPHAPYYFYQGLQHYYLAPLDIRILKAAFGNFSSF PSTLLPRVEHVSTGHIIDDELRKRTKYLAHLPYGCEVSFLECNWTDVVPDSVLANFAQ DISRRREKNREKENREEKARVRAEKLEDEERWANVRKKRQEFSTPVAEERFGAADFLP LVNQANADGTAGNSPPYATHHRPGFESLANHSPGTSPPQKRTVWGTTVLAPTDGNEVV HEESGNDGWLQDWEKELREENEAMIRQVEAASLADEVGEGSGGAPKAGNGGGGGGGGK KKKGKKITLMSTTARRAA VE01_05773 MNSRHLPAGQGMHHDNRRRQYNQHGQHNQQHYPQHQQPPQQMYN AYYNQPYYPQQPPPPQYYNTGMPPNQYNPYAVYRGSPSMPYQQYQVPPVVSTPSYPHP LQPPAVVTTPYQPHVPTTPSSTRSSYIAPATIPAPAVPPPVAEVVVPAQLPPTFIPQL SAPLTPPPPVQEAPQFPAEIPDEEVSETRIPYWPQLPWYSRPDLSWPARSTKQKKRKA VPKQSTLVEQKDVQQPGQGDSMVSNTVDATEASVPRPETPSTTQAPSEHAPSTNPTTP SSAQATLQPAVSSAKSAHRSVPPPIMPALPRVLAKQSPISAEAKSDSPKGPSQDKKPE SEVVANGPDAEAPQAEAEAATAEPTPAPSGPKSWANLFKGPTQAARLDTGVSGSSTTA AGFAKSNNESLADALVSFNANIHSGKISFLEPRGLVNTGNMCYMNSVLQVLVFCVPFY ELLDQVGKRAAHSFKSDTPLIDAMIMFMREFPIIDSAVSVEKLRMRLKDNELEKYGDA FTPDFVYEVIRTLPRFASMRRGHQQDAEEFLGFLLEGLHDECVQVMQSTSSIDGSPNV PSSPAVSQAGSVSGEGANGWLEVGPKQKAAITRSSGTRLDSPITKIFGGTLRSVLRVP GLKDSVTMEPYQPLQLDIHLPSVHNIIDALKGLTKPETITGDFKSPRGSNVTATKQLS IETLPPMLILHLKRFQYDNSGGTQKIWKKVGYPLELEIPKEVFARQKQGGILNHGGLP RYRLTAVVYHHGKNASGGHYTVDVRRQDGREWVRLDDTVIRRVKSEDVAEGGSEEDPK VLAAALEAHNRDTIPTANIFAGMKMDDEDGEASEWKQVNGEKVNGAGKKWASVANGVA AAPAGKKAAAEKFGIKDNKVAYLLFYQRIE VE01_05774 MSSSSFLQKMRKAQLEELASDLDLSPSGQKKADLELLIDSFLSQ HPEMSTDARVAPFYKRRSTNELSPVKREIAADAEKIVRVTKRRATKAADELSNSVDDS DVEPATTRARSALVRTPARALSLAQSVPLPPSPSVVADVLERRTAAIRSQIVEVASST GATEAVESARESLSSLLSIETIILFFEAANLRSEILPDRYAFSIPALPMLSKNPYPVS LPDLFLLLTSSFWQPFTLWLATALVVPLAFAYFFNLTARPRSRGAQRFEYQFDPLTFN VAKAIITYAVFSRGATFCGLVDLESVARIRSAAAGGWEGIVGGCAVGALATIYEAIIR K VE01_05775 MVSLLVMVVAALPLYWAFSKFTRFRRNLKDAKSTGLPYVIQPVY PITLFWIISGKLWLKLINKTWPDSWHKSWSKFLSPDWSWNELYDAHRELGTDTFITVS PVTNMMWTADPSVIHQITTRQVGFPKPIKDYKVLDIYGRNVVTTEGPEWRMHRKATAP GFNETNNSLVFTESVAQAQGMIRKWMDGEEKSSRTLNDVPADCMRVALHIISAMGFGV RLLWPGDEFSTGDKDSGLIHMGDKPLGEHTMSFEKALSTVLDDIFVLMLTPRWLLKIA PMKRAKRAWESYKNWKLYMEELLEKKVEAELAGEKTLGMDIMGALVKSATDADRPDQK KSPGQLESNKGKRGLTDENIIGNSFVMLLAGHETTANTIHFSLMELAMAPSSQRNLQK EVCTIFGDSDPKTWDYAYSVNNLLGGMVGATMNEMLRLMPPVTNIPKMASANQDQVIV VDGQKHTLPKGLYINFNVVGAHRHPKCWPSKGVSKVSGKSDDLDDFIPERWLKEDAST HIEEDSEEEDFGGFTGKTSSAQLFRPTRGSFLPFSDGPRSCLGRRLAQVELIAVLAVI FQQYSIELAVDEWASDEEVANMSVPEKLEVYAKATKKAREVIRTASSMITLKLNFGQT YIPARLVKKGEERFIRYIE VE01_05776 MVAETSNGITSERGNAEESGNTVNIPKTRRTYCKGKECKKHTQH KVTQYKAGKASLFAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTSCKTKA QLSLKRCKHFELGGDKKTKGQALVF VE01_05777 MASDTELSSIVETKTLPLTANVARILLLLRSLQRGRHNLDDPWI VLPLQLHEYDDLLILRDEQGTPNPAKGSGLRLMLRDFAPRGLLESVDPDASLLINSAK LCKFLGEVEDSERIRAQGPMFTPREMNLIMEERCERTPEPVYEEDGFVFGEEELSVHA RFLDTPVDTGKVVEGYK VE01_05778 MQRGNDVVGDAVGNEDNSTSAGSGVPPAKAEPLIDILHEDVNAA VDIVAVHGLGANPDYAWVWLPKNNPRGNPSYPDTPLNWLRDLLPSKLLSSRLPCRVMT FNYDSKWFLKAPQQRLSNISDNLLNSLRNNREKAIDRPLIFIGHSFGGNLIEQAIISA RLHPEYKHIVGSTVGVIFLGTPHRGSKAAKWGALIASVAGTFVSTEERILDDLQEQSG TLTDRLYEFSSWLFSESVPVVCYYEKLRTDYSTRAGPLRFIVKSMFKEVVVNETSACI DGHQKISLNTDHFKINKFYGPDDPSFRQVYPEIVRMAANAEEMLRRRRTPRAIPVAIP VAITDEQITTTKQLREFLQGMGVMNAQDVLSDIKHQKGERVGNTCEWILRRKEFSAWG ASENPQLFCITGSPGIGKTMMSTFLVDELQKKVERAPSKALAYFFCDDKDQDRKTPIA ILRSLIWQILLQRNELFDIIKPDFNAQGNTIAGNFSTLARILKGMLQDERAGEVFILI DALDECDGSLRKGLLSCIRELLQTSLTTQTGKFKFLVTSRPENDIMEELRGVGTRLLM NSTSVNYDLSMYIDSEVDRLAKRKGYTPELKQMVENALKNEAEGTFLWVSLMVADLEK EHRYNVKDKLKQLPKGLNETYTQILIKNVSNEAREDVQFLLLSMVAAQSPLKKHEIAS AFAVWKDGRVLSRRHGDEYTDICLSCSSIIYLGVKGNDNYATINFCHQSVKDFLLDNH DGLQDAWYHTSRDRANLVLFQVCWNYLTSKTFNDRNWFASYESRHGFRCLIEKKHQDL HEHFLEYPLLQYATTKWEDHAIASSPALFDMFRISSGKEPAASYPNVLRQLTIEVADA PILRDAWLLRAAIEGHEGAVELLHEQKANLNIQDMNGKSPLSWAAECGKEATVRLLLD TGKVEADLRDEYSRTPLSWAAEKGHEAVARLLLSIGKAEVDLRDMAGRPPLSWAARGG HEAVARLLLDIGKAKADLRDISGRSPLSWAANNGHEDVTRLLLNTGDVEADLRDNLLR QSPLSLASKGGHEAVTSLLLNTGKVDANLRDENGRSPLSWAAGGGHVAVTRLLLETDK VEADLVDYDGRSPLSWAARGGHVAVTRLLLETDKVEADLADHDGRSPLSYAARNGHAA IIKLLLDTGEVEADKKDKEGLSPLWWAVDQSSERGGKDWGHTAVATLLLYTDKVEYVA GVEEMFQTWR VE01_05779 MRLQTPSVTSIVSTSVFDRDISEGFDMGEVSVGSDCTHGACPEY NVSFDFMEEVWQNQTYTYTIRVNDCGQCISVKASDGGCANFVSCGQKQLICVDSTRGR GFRDKGGTKCFILSRGKIANCGLAKTTQIFWPTGKTVCRW VE01_05780 MNRLFFERESASIGQGSFARAVASRALHSLQLWPHVKFDGGDAA PAPIEGERTAGKESAWACQAGVNSLAVDRFEHKLMLTGGADSTIKLWDLEQITPQKKD QTLKTIGEVKRGPSTHQFGITHVSFYPFEPTAFLSSSYDHTLKIYSTATLQPSASFTL DSIIYTHALSPIASHLLVACCTQHPLVRLVDLHSGASTHSLAGHTGAVLAASWSPTNE HILATGGTDGTLRIWDVRKASGTLRLLDLEDSIGLGDGLDRPSRAAAKAHGAAVNGIE WTDDGQYIVSAGHDAAVRVWDASSGANTLAHFGPTLRNSKLETKPLLLSPVEHTRPKG GLLVYPNEGEVVMFELHEGRAVNRLRLPGPSVAVVRARTGTGERSVGRRVTSLAWRGV GEGFVSGGSDGVVRVWGPGVGEEMVDEVVEGDRDRREEVGREKRKREVLDDVFKDLTR TKITFG VE01_05781 MASKSRTQSAFLAPPADSPQSTTQPQTPAIPRRQATLYDAIAGR STQHGLTRTPTPHAIAPETVLFQRAAAPDRHVETDTYFASDDAAGHLPDSDLLKALHV YAADFYAAEREAGADYRSLDETALLALGVLMEELCREQLGDGGDLVFTEGEVEEEGVG RARSQSRGVGREGKRRKVERELDG VE01_05782 MDTGPPDAKRIRADPSPWSANESHIHRHPGGHPYPQATLPQPQR RLSGPRQHYEPDNTRRHSQAHPYDSYGTLGPRDPAIKPDPNEPPPLPNSRPHSEGRRN EGHANIPPGDLRVQHMRPYDQPPAPSQGPQHYIPQSQPQQSPMHTPRPGQIAYEGNGM YEQNGGEGASGVPFEYTGVASSQKKRTPRTTMACDACRAAKAKCTDPKPCQGCKDKGI DCKFPEPAQKPADRAQSDIITRMGELIKEVQDSRASVVREVRQLRREVTRLHDRQRVI ERGLCPANPGLSVLLDAYDEKTRQIKKEDDADDLYELERPTKRHKSETNGVGFTHPED DGLGDDQADSDDGSENHAVANVPPGEPAIPEGHTTGAALVLLWDAVGAMVKEPMKKDK NRGLNPLRREISRGVLSLYGRGEGFERSVGYDKDSMPDYGLEPPRSSSDTYSDPAHSP TVEQIWSQGAQSPVADSYLFRAESDARAAAVDTTGPPILDEKTVRRLAASYMNYLNVM HPIITQKSLDGMITKFLQNVQSVGAPEKDGAGYNFASFAGNTDTTGSKRKRSPTVSGS DHTFAPQLGHGWRQQTISEAIVLLILALGKVCEHQSKIPDVVPNNDSSTMNSPANHVS PPIMHQSPQLSSHSSTLPSPIMQDRAHHRSSSDIPSARYPPGTRNLDIVPGLPYFAAA TEILGNHQGGTGLPFVHACLLAGLYHSQLSRVLQSHACLKEAGYALTIMLKPHLPRYK QMLEDMGDAVRRPQIKWLPEDNRTLFTFWTCLQLESDIVAELDVPQSAILSLESIMPW PNLAMAERNNEISAKASWCYSMQLVYRKKLNIIHRDLYGPGKENEYQLAEVNKLPKFP YINAMLDELKKVMDTNPNLVWGGDDPPSSDILEARLRAKMYGAEVITTRHFLRMVLNS RYDGNQNMVISAPIMEFAQQCIRAMFHSAQAFWGIQGGRLVVTNVWGTSHAQWGHAIV LHAAYRDPRLRPYVPKRDLIELTKRVRQFLVSVAHPSSALADDIRILDYATDASGLGE EVAAMSRRGSRVGSRGGSGGAGSSFGSNGPDVGSHNRA VE01_05783 MASPLTTQIWSATVPLHITLAPSLHPSSGPPPPYLLNIPRLSYL PLLLPRLSVFFQVPLSSFTHEGIELRLLPAGLLADLYAPQLPWRIEVGDGWGVGERGV ADGFMNSVKEADFLRYGSAKGIMSMSAENSTALWDAVKDNNCPVFAALTRPLLNPASP LRHIPLRIYIPHPDSGTNNTGSFRVIQGLVPPRLPNNDHQTLGHALHTLIPTLFPSRR DPILAAAILHGARVPLHATLEDLMRECA VE01_05784 MAPSMISLPPAACCAKGTLHEGTTTGTMEKIGDIDVYFARPASN STEKAVIIFGDIFGIYQNAKLVADNFAARGLLTIVPDLLDGDTLSTDDFDSKNVDIPA WVQRHPPDLVDPIVAKVIAHLRETLKVERIAGVGYCFGAKYVVRNLKGEGLLDSGYLA HPSFITTDEFWAITKPVSIAASEIDSIFTQKNRYDAEKILIDLKIPFQINVYGGVDHG FALRTDMSVKKNLYAMEDAFKQAVAWFENWL VE01_05785 MAERQKIAVVGSGMAGLAAAWALHNDPSGKFDVTVFEKGNACAL DGYSYTPPGAKSSRIDIPMRVFSGEYYANLFKLVAQFNVPAKPRRFLFVFTREEHERP YFIHASNKHRMFPPNTTPNPSLYQRIRHLILSVILGLCYAVFAFGVKFFPPGVGGPGD AAGTETIEHYCNRLFLPQFFLNDYLVPLFSSVATCSHDDFRTFPAVYITDYKKYTSGN DHNSVTTMQAMQEKLVSNNLRIKLRHPVTNIESQTDGTVKCTIVDLDDNKKESIETFA KVITATSSHALAHLYKPARPMASQLPICEAEVVVHTDYTIMSHVISRKGPFAKKPLSD LTAEEFLASTEWLNPDASSADILALQTRRSPSGEEWTEATHVHSSGILVTVRPVIYSK SPTPSEAVYGSMNKTPRLPPAYVIADDKVAHKAVFYRMLSNPQRRELIRAAFSKEAPG SGGKKATEREGLLNGATKTQGWRNGDGGVFATGSWNNDSLTLLENCVRTALTAAKELG AELPFEVVERTSF VE01_05786 MKVAKAGTETHSRTPQACDRCRKSKVKCSGTRPNCRNCLKRKKG CTWPSTPAPGGYYQILDYAEVESGTDRRVLKGAGPILDGPLLLGIFLARHHDGELCSF LHKPSLDMSVLAVRSPFLVMSIISLSALYIPDDEALRDFGFEAVALSDNYARSARRYA QRLSDEPSVYNIQAFLVLAIRELITWTNLKAWMYAGTAIRMSQALHLGLEFNQHSPGQ KEVRRRTFWACFVVDRLISYSCNHPFAINQMSALIQLPCPENSFAFEEDYSGPSLDNI LQHTNQLSQLGITPFYITMLQLWGNMATLHTSGGRRSSKYAPTDPEGEFYKTAKAIDN FAAALPPSLRWSPQNYKLHQVTGQAQAFVNLNLLLFHSRCVMHQEYLPQLDSQYSLAT LQVDEAASYDAAGLSLDYSDAPIIECCIDSVHALTDMATMLTQGTEQDQQLLQSPFAA NALMTSAAVHLWILYTQTCDKCPKDVAEVNFAKLLDIIRSWQSRWRVACAWAETLEML RNLYSFSYGTAVTVDFDYWETGTDEITDSPTLVEEAGDANGAHTGLSDGDGIVDPSAI AQRLHDKVKGIMLNPLYATDVKKHNLRVYCRTVWQHMWNMWSFEPLESFDSDLIIQ VE01_05787 MATPTHNGVATVALRAPLGIIQLPTIQPREGEVLVRVEWTASTP LDLHQNDGGLLVEHPQVLGDGVGGTVKNVGPGVQNLAIGDKVFGFCFEESQRKAHQEF VTVPQTSLSKLPAGFTLQEAVTLPNNLVTAFHATTADLGLPLPWPRPANPSPVDANSP VLIWGGSSSCGQYAIQVLTHWGYKNIIATASPVHHEYLRSLGAKAVVDYRDSNFASLV LESAGAENADGPAVPFILDCIASKYGSLAKIAKVAQKGTKVAALLPVIVKDASDTEAP EYAMDVQASADWAEGVEARGVRTHFYQENVFFKTHLQPTIIPTLLAEGVVKPNKQKII EGKSLLERAQKALDTLRNKAVSGERLVWRISEEGADE VE01_05789 MAEVIAGVAIASSIIQLIDVGGKVVSRIKEYSDTTKDIPQGLRE ISVHIPLITEICQELREDEIKKGPQVSQSIFAVIDGCHVTLSSLDKLCREILPTPRDS SMVRARKAMQSLRADKKFTNYQRILETYKTSLMLHISQRSVVQAVTKGASKAPAFDTF YHFPSTQVSRFIAREKLLRSIEDGFSVETTDSRPNVVAVIGMGGQGKSQLALEFCRKT STARRFEVILWVDATNLTTLHRSFETITEQITAGKGRRTFKNANSLSAFIDETLEEWK ASWLLVFDNFDQPGEMKNISDFFPRSKNGAILLTSRHADSKHVSHTAVHLHGMEEEEA RELLFTRTGKERTEQNVEYADAIVKMLGCLPLAIDQAAAYVTSRNLPLGSFLEHYSKR KAMIMKHTPQLWEYRRTHGEEGDETSLNAFTTWEMDFRQIKQEGVQTESITHILTLFA FFNILKVSEDLFSAYYKSCPFPPTWMEDFVTDGEWDSFTYGDVIASLLKLSLLQQPRA ESNESEFSIHPLIRDWIQLRISAEERREYLHEATQILMSYVTLNLEGTITLAKRREIL SHLDAVMMADSQYLSKGNQLGYGALRPVSHTFATFYDTSGRFKEAEELLKRILDNDTA TESQNLIDLDSRTSLETLLKLSQVYFSQGRYEEATEMAERCYKGYTELVDAQNPNVAA AAMNLAETYYKRGRNADARKLFSSALDIYQEHLPAKDLRIYAAQEKLAGVLRSMGEHE GAFALYRQALAGKVAALGEKHVSTLRTGVALANNYRAQCQYVPALEILLRAVSITTEL LGPEHPDTLNAMIHLGILCRNMGKAEEAEKWLLRVVEAGGKALGARHPDILRAELALG MLFVQRGRVAEAEPKLRIVVLGRQRYLGVKNDATVRAVEMLGYCLWETGQRDEVEELC MRSCDAVEEGGEVGWKGNQYAACERVFRKAVTRGDKNLGRDHPDTLDCVLMLAQICEA RDRVGEARRLFEGVLDAHIKRLGLDDFETASVRDKLASLKEEAESEKKGTW VE01_05790 MADQVNSSLMATHNSLPGLSYGSTVQLKPVPKSEYHLHGYELPL PTTSGPSVSSSMKSLASPLQLGRGDAETKQYVIKANCWGITLARHFEAQLGFITLKLE GAMEHSGHPEMDDTPHPTSNSDVTEFNFWAIDDKSINLHLSFRRGDGKLHIAQWFSGK WHEWGAIRFHDLFGCGDGIPVDEEIRISWNEKAQIYNLDTGRDIWHLDMQPLAATYYV NYKTHPNRIWKASLDMDYKYEI VE01_05791 MLAADRAGHSNSGLYPIKQVSKEAVLPFRGPSGEQISQFTISSR RLSTFDSDVIHGVLSPRGWTLQERVLAPRMLYFGKSQLHWECRTSIWNERTDFKRISY TSRMLDDAREMIAAIGRKNQGIPSSRDMVGTGVGYTEYTVWYDLVSAYSCRKLTFPED RLKAILGLAQLFLGIISDVFVWGLWNKDLAAGLLWSVHAAAPITRLQAPSWSWASMDG ELTFYIPSTKWGRPLRGSLETTCDTISLTDSEGPHGTYQSGRFSCRCPVMLVALLASA KIEENEEYPYDHLRQEPNAEVRDVGNKGLVIGTAAMDDDSLVQMSDPLHPLKLHAAMF YRQHPLLADGQIVNGREQVLLSYCILLKPLVEDGIFQRCGYAEIQSSAFSRVETSSIG IV VE01_05792 MMFSRNVTPMGLSFLLSLTVFASNTLAIPCYVDPEYIYESFTVS SQAELNKAVEGCTSIIGILIIGVNYTGPFSLPFITSISEGIRTEYDYTTGNITDSLTS VDAEHLTSIGYVSLTYSPKLAKISFPNLSNVTSGIELEGIGESASVDFPSLKVAPADL RLSGYIGTINFPILAEVGGTLHVANDNEHSVWNEVNYVHDYKRSYPQSSYPFLDVGFP ALQNASSLEIKGNISSINLPQLSVITMDYGKEDMKHGVSISASTHPLNITLPNLSESS FISLSGEIGSFSFPKLLSTGSLKVDASAPLSVNITPLVTTDTLALSGNITGLSLSSLE HVGEVNINSKEVLDCSPAIAAWEGANHSIPDDKFKCTGQKAPPPKKTFPKAAIVAISI VIPLVIIITLVLLYIQKRKKAIWRRDAAPPPEYDIALEALPKYSPREDGGSVNQSPRE DGGSVNGPGSVQGSESVASTQPLERRNGV VE01_05793 MQQYPPPHNPEGGFVPQTNPNQDGFPPQQPVNPELNLNIVNPQG FHQRAVSHIQLNQHTPPPQHPANPELDSRLINPQGFNQGVVSHIQSNGHTPSPPQPTA SGINENLPNYSQGVSPSELPQSQSIIPLQQPATPELHGNQPSYGQGTSPFELYQNQST TPQQQLAQPELDSRPANQAYLQQVFVSNGQPPQMRDGSRIHEAP VE01_05794 MKLLTTTLAVLSALPLALGFATYPSVEPLPARDIFARQEFIEKH FCYPVEAVPSLRPGKTVDVNKLVALVSVETNDVLMLKQTCTVFTCYNNIGINLCNFDS KDVVITALKLALEINEISVYCNQGGLTAGVKSSKNGYTVTVGSIGSIKPGWSCA VE01_05795 MDSGDQVMQFFDREYNKALALYNDGDKEEECIAAAQDLLQKPDL PRYHRIMTLILLSAASTNWNDAEDSRLEAERLWDQTHRHYSRVDDPDASQTLTELRVD LDVVKELQIEELEKLERMFGEYDGEYDVDDEEEGDEEEYEKEQEREEDVEIDVDVDAA ATSAASDASDAAPTALSNAASDTKSERSLHGTEGNTPDVASCEHAMDKVELSASNEAE DATNTKSDEGTEDQKAPATTQRRNKLTGACASKLARKVVWPIVPASPLFAVALQVVNS NMPMLGRMMDCVHVPPVLHSTGIR VE01_05796 MGFFKVAAVALALCSAVSQASVLPRAPGIVKTDFDVLIVGGGPA GLSALSGVSRVRRTALLLDSQEYRNGETRHMHDVIGNDGTVPEQFRGLAREQISRYDT AQIKFRTAISIVSQNNGSSFLTTDNSGQTYTSRKIILATGLRDILPETPGIKEAWSRG IFWCPWCDGYEHRDQPFGILGSLLDVVGSVLEVATLNSDIVALLNGTYTDANVKTLNE RRPGWQQQLKGYGVKLDNRTITSIERLQDGAIVQDVTERKEYDEFRVHFADGGSLNRS AFITNFPSVQRSYIGKPLGVKYYGEKANVNPGSMRTDAFGIYAVGDMNSDNSTNVPHA MFSGKKAAVYVHVELEREKAATFVTKRDENKIMDIMGRDVEDLWAELTARK VE01_05797 MASVLLESADAKNSFVDLSGVDSSAFSNPYDALIEACNDDPALL QQKYSNHRQTRNAQQKANLLSPTFPGLILDGILLRRVDPTISPGYIDPRNSLVFWGRP PPHVRTLAATIQAKLKEISPRIWLMPPENMHITLLEITHSRPPSAIPPLIKALSPVIP TIISAPTKSPSRLIKPLVSFDAAAVALSFVPVADEKYSYHHLRRDLFALTAGTGVEVG SRYVVPSAHATLGRFIYAEDHDSTEKMERWVEGIEKINQWLEETYWGEGGLEWVVDQE LVLREGRLWYGGGETVAGEGVEWKGVYEKEVESI VE01_05799 MSRLQARSRNAVNSDSEDDLALKPPPRRQTRSITNSPKKPSSLS RENPTPAQDDEADDEDEEIDVLTTQSPSVRNARANARTPKSRGVSARKTPVGSASQRK NGGKRQTPSKSQAAASSSQAAIQVDSDVSDSELIVPGFPEGRRWLHSVRMPIRTPNKQ RAISDFFKPHTLLQKKPAAPTLAPTVPTTIASDSSPSNPPSDDDPDDAQLSSPVAISP LASRSPSPSGSSAMANKRPGRAAAKKGRLLSRVLTSRKDVDTDEPSDVSDFAVSNESS QGESSDVESGESSASPTGESSGAESGFGSHPPPKRRKRLTDASSPLAKSAPKQLVRKR RVENRDTTFKGADRVLFPELNNIKNDDDNENEDAMEGVQTEASSDTSRRAKAPKKKPT GTQVIKPETYVYKNGLALHEKPISKIEDIFADMTDRALAKMSKSKDDKTFLDFLEHMN GRKLRVATMCSGTESPILALNLVSEALKSRGHNFEIDHKFSAEIVPFKQAYIERNFSP PIIFRDIKELSIPGAVDATTAYGSKAKIPNNIDILITGFSCVDFSGLNSTKRVIEECG ESGDTFFAMRDYARIFRPKIIILENVMGAPWLRISDYMAAIGYACKHHFVDTKNYYVP HTRQRGYMMCIDMYDKVKNDKRNNTEKKLVKDLEFGEYMKKFGQNAIETYSRRMDFFK RPASSSVEAFLLDEDDPRVIAGRAEMTKGNAVEGRKRVVDWTRCQGRHEDYRFRMGLG ARRYLTNWEDGGSCTPRDNWWNDWWKAQVERIWDHMEMSYLRAMVRGYDLEYKFRIID FSQNIDRSLDTGGSGLTGCVTPTGIPYSSMRGGPLIGVEALALQGLPVDSLILTRESQ AKLQDLAGNAMSSTVVGAAILSALIARFDVFERGDGIQEANAAPEITQTIDDPKANFF TTRFDAHVPLSAAAAIKAANQSYRACYCEGRAGVTVAALQKCKACGHTTCIKCGVSPK HDYAKFSPTRIAPSEFEQQLKNALPMKVLLAGISIDDIIEAREDSGISFSTADKKAFE LSRDHVAQALESELRFHSLKRTEIWVVSYESTYSRLDLVFFLGHAEWRLYAKPDVDLP ANDALREALQYPIARMRPTGDDLVTGQWQIWIPKAYKFNASVEGLGVPVPSFHNVVGI AAAADSFVFPQYEITMPVDAEDYLEVDLSGVYTLQEDCGMSQGSLHVKVNAADPEKRT FLFHDPDRLGNHDDDTFVFSEDKRRLQHGEVRSRFARVDKKWRQPKFEMSLDGGVEVI KKGNKKLSVSQARHLDPMTCYVDGQWLDIENASLDLAHGTASGQFSRAAEDFSIVTSL NDCCEAHVVLQCEAALPEGEFSKYRKDHWLEIGELEQKQFFSEYAWLTEKARTIPGLD TWREIENQLASHRCATCAPAIPNMKWRLDEKRKIVPFEDPTEAAPFEIAMKSRPSPLV TQVCIDDAGIVDLKLAVNPQTLIHRAAAKLLGDDATSATLEWRLVTDYVAPPKMVFPA FALKNNDGDKPSTNPPRFKFTLRPEQQRSLHWMVQQEGENVEPFVEEEVEEASIPLLG WRAEGRASRAKVIRGGVLADQVGYGKTVTTLGLIDMQRTSDEKSSKEDIHGLIPIKAT LILVPSQLPDQWYSEVRKFLPGSYKVTVLKSLASVDKYSVEDFQQADIIIASWSICEG DNYLFKLAQFAGVLELPEKAPARAQSAWYANAMKKVAENVEVLKSKPSSLKKHIDSQL TEEGKSATDVEAYVPSKRLRGQAYQDYKERLQLQKTRLETATDLFGQDALKVDVKADK KPETKKEFTVKPRKDIFGLTKLTKTNNYKGMRSPIFEIFRFSRVVVDEYAYVAGEESL TIANLKAAAKWVLSGTPPLQDFLDVKCMSRFLGINLGIDDFTTGVMNAQNIKTMTRNM TSGEEFRTFNQKQSFAWHENRHKLAQRFLDKFVRQNIADIEAINGSVHIMPAILPAAE RAIYLELQQLLAASDFKMTKGKRAMENDRMKRIRELLGQSDSVGEALMKCASHFTLDE LHDGLNNAPDACAVIVGIRNKQLDDLEIDLNKTLRQAEYLHLSCEEPCDQYVSLKRQV ESNHYGDVDACNAIFMAMKEAARRSSHDDWEEFYMTQEERDIVLAAEGRAKPGSKKAK PTKKRKRADSESDGISSADAPEDTQKLLPLLPYGRNGNIEYAHTALRDVTNVLRKMVI EYVSRKRCLRFFDYVRNLQKHYTNLRLGNEAGAGCTCSKCEKTGLSPEEISILSQCGH TACDGCRATHEKMFYNNLAAKEECLVVGCSAINKQWQVIKAPELGVEDDSTRKGRHYG KKIEDIISLVKNIPDTEQVLLFVQFTDLLDKIVGAFKDKRITYLSLDRGDPAKTLTKF QTETGKEKSKVLILNIGDASAAGSNLTNANHIIFVSPYLTDREQTYKAAMTQAVGRAR RYGQTKAVHTYHFLSLKTIDVDTFESRNHVILDHENTTPPDYMPYSGFAKSMPMDVTP QAEGLGSKWGSTVVTKGLLAEE VE01_05800 MDSPAVLRERKRSDYPYVLTYRTRWSDNDMYDHLNNSIYNFLID SVINTYLSKHCGQSPRTSAQYGLVASSHTDFLSSLAFPAVAETRLRVHKLGRTSVTYE VGIWEEGVEGIKAVGRVVHVFVERETGRPARDGMGTVLRGGLEKLCAGERGKL VE01_05801 MAAPPAVVVTPADPWPRPYVFEDGLRRVAPYHFTYNTNCKMRWR GRELLDIFESEFRDRPVEYYKNAIETGMVVVNGKPASLTHVVKNGDVISHTLHRHEPP VTAAPITVISEDEDMMVICKPSGIPVHPAGRYNYNSVIEILAASRPGWKPLPCNRLDR LTSGVMFIGKHPRAAEELSTQISGRTVRKEYVARVAGKFPDGDVVCAMPILQISPKLG LNRVRASGKPARTVFRRLAYYGPEEGDEEAGAEEGKTEGRPWVGKKGYSIVRCLPITG RTHQIRVHLQFLGHPITNDPIYSNQRVWGASLGAGDADAMQADEDVITRLARMGKSEV ADAVAYHDEMVDDYNKRKAEKMSGELCEICSTPLYTDPGAQEMGIYLHSLRYEDKDLK WSYRSELPEWALPPGGVEGPREVGGLDEVVDLGRLRELEEGEEEVGEGERKEEHERKM ARRKGKKGDQGGREGGVPVETVTVPVTVVGEGEATSAPPAAAAAVVAAVASATAAAPV LL VE01_05802 MSTGKREINRADLGLGNHLMPIPRSGIAVTSRAGTRSVNRLSAA QLSRKRANDREAQRAIRQRTKDQIETLQQQVKQLTDPEKERRTWNVHLRNRQLEDEVA TLHERINAMEMRNTGAMDAGAPLGDPMGGPNRDLRPHDLNMQWPGMDMAYGGAHGLPP GFDGAGMVLSRADTPAGTESPAASFTDMDPASRIDFVGGAGGGGGDNLGIGSDLASPQ SWTTVTSPFDLEDESIFSSPPSAYMSPSGMPFAFQGQPGQTGQQMAPTSAPSNITSRT TYNLGTAPSAPQQQQQQHAQPPLQSPQPLQPAPPQQMLQPTPQRPHSHVPLRPSPHQQ PPPWTLIPRLLTPTTPLDALLHHALTAHRACLSAASTDPPKPIYTDLYPSPPAHPPLP PLSAALTRAVHAAGLRTPATSAAVLSIAHSVARWMARPTEGTYAGVPAWMRPSPSELG GLPAWAAMMVPFARVRESAAAGGEGLLRDWLTGVEFEWVGEVWDGKGGVVEGFENAVK DIGRWKVGGRVGEMLKESGGDVKREFLF VE01_05803 MKNKTLLLVFIHGFKGDHKTFASFPDHVASILAPSLPNTTILPL VYPAYETRGDLAAAVASFRTWLLDHVIDLEVAAETPSPSVAPSVHIVLIGHSMGGIVA ADALLGIASEQTILPSEASHGSEKNTLPSPATNLFPNIRGVLAMDTPYLGISPGVLAY GGEAHYKAASSTLSQLTGLGMFGGGTAAAAAAGGAKSPTTRSADTFKLTAVEGSAKEE KKADATGWGNWGKLAVIGGAAVLAAGGAAAYAKRREIGELVNWTTSHMAFVGCLMRPE ELRARVAGVEAVSAGAEVGWVNLYTKLGRGAEAGTGAGGRTFCNLPKAGKWKEVVNDK AKDEVTAHMNMFAPVFNPGYGMLTHHAADYILKWTGHLFTEAEAETGPG VE01_05804 MEAVPSKLTSIEDLKAQPSLWYKIHVLVYDLRNFQENPMAQARH DAVEDLLYIGAPYFDPVEVQQLKASIVDATNSKSLEMLLEDTLRELLERRMKKRVDSG DYRVCAAHDIAPIFEKAFSIKPNDLQTNIDFLALLESCKLEPKGIDNWTGLLKKSFKL NNKHKKR VE01_05805 MCLPLYIDNWKKRPGRKVMIRFPLPYKVGELNYPGNANEKVRCE AATYVWIRENCPDVPIPHLWGFAFAADAQDTKGYSFIALDGASLLTRLFETLRRQFSF LLGHNNPFIDYLEETDGTMLSALWESYRQDDGHLANLFQGLSRIMLALGNIPLPRIGS FTMDNDGVVRLANRPLTLQIHQLENEGIPTKIDRDTTYATTESYFLDVLSCHDNHLTY QLNAINDESDCRSQMAALAIIRTVLPRFIRHDLRRGPFLLTQTDVHQSNIFVDDEWNI KFFIDLEWACSLPMEMQHPPYWLTSQAVDGFADEELALIEVKYSEFHRIFAREEALQG LGSDKPISLSRACTMQKGWECGNFFYFLALDSISGLYGIFIQHLQPRFADHFADSNFE RIVSSYWCSESQEFVVGV VE01_05806 MAIDDNPLKAGFGSQLPKKRKANDLPEPTGAWPGVKKRKKKANS TRPSSAPKRKYKKSALAKVFGAARVLNNGESGWTPMNLQHRPSWRQFRTEEAKRNEQR RRLFGFDSNQLQAIDAVPTLVKEETPLLKLDNAIHPILVGQHWRTRESIPANRAFWRI GNGKPGYWEAQNPFVWEILEPILKLASQFLTNSHISHWWDTLLHGERRVFNSSFMDGL RTIHRRPPEVFYANRAQVRRELAEASGLISFGIESGVVDTVTSLGPLQHDLRDNDQYY HGFTVKHDVLGVDPVEISLAIHLFKPFLREKLTQAERLGMQFFIASTIVHEIGHAIGD LYRRQNRDIEGNYPWQELFFEDEALAEYGYSVTMANMNYIVDRVPIEPSPLSYFAHKW PRRDGDDINRTNIKYARPLESYEELKTYYPIPYHYFHDLARKDFWSSFVRVYGETGKA LHLGQLASGAQVYTVGTSQRWRWLPPPSHTPALPLNISSQDSIIAHTIQTRRIQNQNL AVTALTTTRGALSDWRAKFLSKKEKHEWYETQREREEMGLEGLPPEPDSPLSVLTALS SDVSDPDDQSGNESNFSHESSPPNAAPERYEYIHRSLCNLRLVLALDTMHNRVPINLL LEYLNRELIRLRRNVVGPEEFEQYLRHIAIEKKICLNLFIHHLGAIDITILSTGWPSD QELLPHPRPPLPPLDRDPAYFANDISDFRVVSLDQEFWRMQNAPPRAPRFMFVDIDSE DIRTYFNSLGDEQQEVDVDVFAHIVTACPDLWVAGPPGVLRFIGPEQWEGAKSGKFWE PPEPNDTEMVDVDVSGAMFGGQGAETEM VE01_05807 MASQPRQEPPSYTEDPASASTHIPIEPPTYDDVAESQPRDQLMT GELPCLIMDDCFIYAESQPTNSLYELSNPPTIDRSAAFAVEKFIYRLTTNDGEGALRQ RKRHLYDFRAGMSEFGDVVSLEAQSNSKYVFKDIKLHRGIPMQFGMAKGISTSWTSCS ADEHFKIGQSMKQKLSRKDELEWKDWDGRLVAVETKLTRKDDGKVDRPPRLELKAPID TKEFDLLVTLWAARVWKETLKDLAEPFSWSKFKRITEQGPNTGRTSYFGPR VE01_05808 MPPPATASDLIFSEQANHNFSKTLGDIKRHTLSIHNRLQSILVD AAFVQSVSGAYCRPLVANERCGSWYINPEAKSGSAYFKSTDGHTGVWKFSTRRLNTHL LELIGKGDGCIIVDSTRRGKRMPDALSKTIPIWCSVINRSLFPDKPEYHNLYTPPQAV STSENAQIAARLDDFTESFASLGINMKPLREHISKPIRPLWVTPESALPVEEQVFSDY HPVICCTASRRVAGGEVSEGGYIQGSGDDTENWAHGLTPTVFWPNSELLLSTSESELP SLITELVSSSSNTSTGKPSPTLLPPTSSLYVTSSTSLAAQSASSSDIIITVSSEITAP ASWQKDKHRLEIGIGSGKIGSRNLRSALPHMIDFVKRSLSTASAETKSPRIVVACPDG KDLSIGVALAILCILYDENGDLFELGQEGSGIDKAFIRRRLGWIMTAIPDSNPSRSTL QSVNSYLMIRPS VE01_05809 MAISMASGVTTSLLLETVLLRLGRDQLGWMVAAKTAAGMSLISM ISMELAENLVDYHLTGGVIQLDSPQFWGAAIVSIAAGFLTPLPYNYHRLRKYGKACH VE01_05810 MSGRRDFLNQPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQ IKEALAKRAAQLGAAAPSAYGVPEKKEEEDDDERFQDPENEVGLFAGGTYDREDDEAD RIYQDVEEKMDRRRKIRRFVPPSPTPTPTLHSRWFIKDEQNTDQAYREAREKAEREEY ERNNPKIQQQFADLKRALGTVTDEDWANLPEVGDLTGKNRRSKQALRNKFYAVPDSVL AAAGTTGQMGTTVMEDGMASTTEQGDGTMTNFADIGAARDKVLQVRLDQASAGNGGDS VAGSATNIDPKGYLTSLAKSHIGEGDAQVGDIKRARVLLESVIRTNPKHAPGWIAAAR LEELAGKMVAARNVIARGCEHCPKSEDVWVENIRLNDNHNAKIIAANAIKNNDHSITL WIEAMNLEAEPRAKKRVIRHALDHIPQSVLLWKEAVNLEEDPNDAKLLLAKATEIIPL SVELWLALARLETAENAQKVLNKARKAVPTSHEIWIAAARLQEQQEVASGVPSKINVM KRAVQALAKESAMLKREDWITEAEKCEDEGAILTCGNIIREILGWGLDEDDDRKDIWM DDAKSSIGRGKYETARAIYAYALRVFVNSKTLWLAAADLEKNHGTRETLSHLLEKAVE ACPQSEVLWMMLAKEKWQAGEIQEARMVLGRAFNQNPNNEDIWLSAVRLEADNGEPDQ ARNLLKVARQEAPTNRVWMKSVSFERQLGNVDAALDLVNEALQLFPAADKLWMMKGQI YEGEGKLPQAREAYSTGTKACPRSVALWLLYSRLEEKAGMVVKARSVLDRARLAVAKS PELWVESIRVERRANNISQAKVLMAKALQEVPKSGLLWSESIWHLEPRTQRKPRSLEA IKKVDDDPILFVTVARIFWGERKLEKAQNWFERGIALDPDLGDTWAWYYKFLMQHGTD EKRADVEAKCILSEPRHGEIWQSVAKDPKNASKKTVEILKTVVTRLE VE01_05811 MGKLKGGKRNSAATSSPYEKAAKQTNNVFKFNTNVGQHILKNPG VAEAIVQKADLKPSDVVLEVGPGTGNLTVRILEKARKVIAVELDPRMAAEVTKRVQGT PMQKRLDVLLGDVIKTELPPFDVCISNTPYQISSPLVFKLLSLPNPPRTSILMFQREF ALRLTARPGDALYCRLSVNAQFWARITHIMKVGKNNFKPPPQVESSVVRIEPKTGSDR PGVSWEEWDGMLRVCFVRKNRLMRASWSGKEVLALVERNYRVWCAMNDVPLEEGVVDG EDEDMDIEDSGPAQEEWDGIMDVDGDGDINGGADDAADDDDAPSFFKELAAQSPAAPQ KTKSKRPKTRVAALVRSKIMRVLEETELADRRAAKCDENDFLRLLAGFNAEGLHFA VE01_05812 MSSVKDTVDLGEKDEAPSEIIPLEQSSPHGTGILTVILFQATNL SLPHLGEGSSSVGFADPELHKQWLPYAVLEYEKFQVSAESICWGRKGSVHWMGNPTPI KFDVSASSELIVHLFVRNYDVSKECQVVLLGSIKLDPFLESRTLGTVAVDVQDGSGRV LLEVSYVAKEILPLDDWKMWRVSKEVNTGDLVYVKKEDSGRSYAMKTIPIDQNVSGLD LTYSLYSRIEHPFIASLKFAFKSSKGLSLLSGLADGGHLFSHLQRQRRFDVDVARLYA AELVCVLEYLHGKGIILASLRPENILLDSYGHVSLCSPGLFGLELKDSDHIMPGTPEY PAPEVVLGQETSREVDWWSLGIILYEMLTGLPPFYHAEADERERRIIGEPLPQLPNSL SPTARDILVGLLHKDPKKRLGANGSSEVKAHAFFHDVDWHELLERTYMTPFKPINSTP VFRVEPDKRNPPAVRRQSKGIIYEKMEIFSYTRWNPIDKVRDGNEDTASEKSSSKSED DGWELVWEPITQEFHFRNRFTNEKRPASLKAPGPVVEAQKPLESSPTAGRNFTTAQDS VELVSHNLPSQVQKKDALAAALKAGYSNHTISQILEYEIDLNTWILYYDERSDGDFVP FLLDNIPTTPLEWAVGHDNLGLVNLFLEKGADANYTCYKTQGTTRHRDLPS VE01_05813 MTRSLCLAVDQQDTAIVNILLASGVSCDFEESDRPIPPDPYFHQ CTFGIPPPLQEGDFIAPLVRAARAGNADMVRLLLANGADPNIGYHVYHNQEYDGLNLV IPIHFHCGWVVQVAMELRHLEIVQLLLDSGADVDLPQPVWPVRSHTCPLVPRSVYLMV TAGLEAAVAAGKGSGVAI VE01_05814 MQSTILKLAVLAAAVLAYPGPHVEQRDTRQFFGYTDFECAGGTI MTGPVTTGVCVAAPEAMTSAQIFGSNFWDAQVKFYSDSSCQDEISAVTEVGDNEASLC FDLGTKAKSVKYVSSL VE01_05815 MSYNLLANETSDKDSENDPADRTPLIRRLNHYKILFFLMLFVWV GSFSTWAWLQYTKQADQEPKGSPFLLAGSGMVTITEPERFNLPPSYPDHHKNSTSTKA EVYSMSMYHQLHCLASIRLHFGSMTGKFNAETDKPITRRRVFRPESHLDHCFDYLRQA IMCAGDMALESSVVPNEFGFNGWGTLHQCADWRVMWDTATANRYMYDPDEEM VE01_05816 MFAIMGNPNPLLLEADTEKNLDRSLDKNPEKSLDKSDNADQHAI ETFAAWGANRNNEEHGYDSDGKSWHDGVLRIRAITTMWSKKSMWSMFALLWLISFVDI IQNALDYSLNPYITSSFASHGLMNVSSVMSSAVGACTPLALAKIIDIWGRVEGFTFML IICVIGMILKATTHSVQQYVGAHVLYWTGHIGMMYVINVMVSDMTTLRNRAILFAVNG LPRVASTFLGPRIGQAFYDNLNYRWAFGAFSIILVGCSIPAMGLMVFMYRKADRAGLV KKHESSGRKWYQSILHYAIEIDVLGILLICGAVILTTIPFSLIYYAPQGWKTPYIIAM LVLGVLLYPAFWLYEVTLAPKQFLDFRYLKNGTILGSCFLYGVMFLSTFTWNAYLYSF IQVVYLLDISTANYVVNSYSLTSTVLSPIVAFIISYTGNFKWVAYSGVPIMLLGTALI LPFRTAENVGLVALTQVVVGLGAGIFATCSSIAIMVPVTHEEFAAVNALSSLFGGFGA SIGSAIAGAIWNNVAPGELLRRLPTGSKDQAAKIFGDINVQIALPIGSLEREAIVGTY RHVMKLMTITGVCLMPLCAISIFFWKNVNIRKLEEEKGKQTKGTVL VE01_05817 MEQAISSRLRRGPDVLAREPIFSLDGPYQYIGRLPNAEEKQGRW WSSIQSLWKMPCFNGGTWMRRRVLAYVIDRSKRFMELSETPHSA VE01_05818 MSLSEKQQDTKGEAVFETEGSSPPLEQPNASLEQPNAGLINASG HVQELQRHFSLLSLCSVAITTGNTWVAIGGGIVIAIYNGGPPGVIYELITVSVFYWLI ALSIAELASAMPSSSGVYHWASITAGKYGRPCGYFAGWWNFLAWVFGAASISSILANQ TVSMYALFHPEFVAKSWHVFISYLICTWLCCLTVMFGNKALPMVGNLGGFLIIAGVLI CIIVCVVMPKVNGLPYASDDFVWREWQNLTGYKENGFVFVAGMLNGAFAVGSPDCVTH LAEEIPNPSRNIPKAMFAQYSIGFITALFYIIALFYSIQDLDAIFEATSTFPLAYIYR QATGSNPGAVGLLVVTFLPTFITCIGCYITAGRTFWTLSRDNATPYSKVFAKVHPTFQ NPFNATLMCGVINTIMGCIYVGSEAAFNAFVGAYIILSTLSFLCAILPHLLSGRSIVK PGWFWMKGPIGFVVNGIACLYIIAFIVIFCIPYGAPFSIDVMNWSSLITGGLSVFIAG FWFWRQSEYIGPQAVALDDKVLAKDAA VE01_05819 MPQADAKNFAMETWTLYGVAMLVVALRYTARIRRFGIRNLKLDD YAMANGVVWYTILCVAFNQIKFGDGSNLMEPDEIAALTPEHRASRVAGSKWVYVSEHS MILAIWSTKICVLVLYAGITEGLAQRKWVKYVSIYTALSFVGSELALFLICRPIQQYW AVPAANPQCSNYQYFEIIQGSLSIPGDMAILAIGIPILVQVRLPIQQKAILLLIFGMG FFVIIAAILTKVYCLVPALISYVYMNWYFREASVAMYVTNLPAVWPLFREVFPNLNLS GSHAKATGNTSGATRPWAHSGSRARINSKDFDMKEFSRGRGAESQERINDSDSSEGIG EGPMEIQRDVTFTVQSESVDRGARGAGAGVGERWETNNVTTVVSGVR VE01_05820 MEDKPLRNPSQAPEMTQATPSSMASKSANPSTTSPTQTRKSSHS PAPGTKKLINSQPPSNTASPKPSREPSPARPTVRSATVRTGNGRRKSSQEPSPSRSAS AMAANIPSSAAVQRALSTANTPLLKPSISDTAIVAPQPQKITVPTEFKDAPRWPISPR LKSPPPINRPTGMARKTDQELPPISTLQFQTSNESAQSGYTDTDYDESLAMSGIRSPG RGVSTSATTLETVPESSQPGSPGIGIGLDRSQEWKQGGLPEEQIAIDQAFTKNMKSSP AAATTDSGSESGEKKGEIKLRHTTGANTTPRPHAAQLAKQASSSALGGKKAAEASATN NMTVETETVSSIPQVAVGGGTGTVNGSLRTKPSSETIRPKREKRKTARKPHSVQSGVG ETPDSSSHHRSPKPPRLHHHPSLRSISTTASAKSSPSESSRPATALGHFSSPSSPPLT TGLSEFRIPSLQAIGRRLTNPRAASSKADIFEAKIASAVDEANSSDSDETFVYESNPP DHERPRRFHSRTPSTTSMASQSQAELRPGPPSRLANTLDGSNGHSVAMKKSMKFASSF APPPTSDAATDDDASQRALGTGRGTIRHHHIGRWGRNNNTNSHASLFDAESPFPHATK PSKFGNSASMRQSSRPTSPRVGANGRGVNGNGTVRKGGFAHTYDIDDGAGADDERTPL VGSGTRSTRSRHRRNPPPSQRQRNSRSPFGACLILGVMFVLVVSGALALLLGTTQPLG GVRIAALRDVLASKTELLLDVDVVARNPNVFAIGVDKLDVSVYAKSRYAGDEALYARA AGDGAALRYGVRGTTGEGGEVVRTRDDSPWDPYPPPPSDTPLLLLGRLTSTDTALSFP ASPFRHSASLSTAALRLPDPGNSTEGRAKWDHILKGGHSWELILQGVVEYRIGWFGGW GAGRKKIVPIGGGVEVGGGGEDGVVFLR VE01_05821 MDPLSITASTIAILQATATLVSYVNDIKGAPSDQARFAKEAQSL SDLLTNLICRLGEGKAKSEDWYTAVEALGKPDGPLGQYGTALERLQRKIKGGEGLAKM GNVLLWKFVKEEVAGILLQIERIKSLILVALQLDHLELSRAIKDCLAEIYNSNEELKI DMSAVLCSMPVLQDGIKKIQVGQDRQQNHELLQWISSTDFPSQLSDIIARRQTGTCQW FLESSEFNNWLSGTEKTLFCPGIPGAGKTMVSAIAVDHLYKTTHNNNIGVAYVFCNYK VQSEQNTLSLLSAILKQLVQAQPSAPDAANTLYNLHHARGTMATVHEISNTLKVMLKS FSTVYIVVDALDECSDEHKTPLRLLERLRDLQNDGDIRLMVTSRFIPDIEEQFKLAPK LEVRASPEDVAEFIKGQIPRLHRCVQRDDELKREVEEHIVEAVDGMFLLARLHVDSLL DKTTKSKVRAMLKGLSSGEEALKKAYDDAIKRIEAQQEGCSELAKRVLTWISYAERPL TTEELCHALAVNPGDTDLDTDNFEDMDDIVSFCAGLVTVDDQSNIIRLIHYTTQEYFL RIRQDWNPTAQRDITTTCLTYLSFDPFKTGVSGISGIDDFQDMLKTYIFFEYASQHWA QHAMSVQEQVLEVALPILKDMKLVSYLVYPPYELRALLRGLRFSERIKNTTGIHLTAK LGLQYLLRVLLAGLGDFGNANLKDDRGQTPLSWAAEHGQAEIVKMLVERDDVNVNSKD NHGQTPLSSAGMKGHVAIVKLLLEQDDISADLTNNYGQTPLCKAAEQGQVAIVKLLID QDDVNADSKDDSGRTPLLWSAALGFEEIVKLLVERDDVNADSKDNDGRTPLSWSAING HEEIVKLLVERDDVDADSKDNDGWTPLTCSARTGFGEIVKLLVKRDDVNADSKNNNGR TPLSFLAVDGSAEIVKLLVERDDVNADSKDNDGRTPLSWAGECGKSEIVALLLRRNDV NADSKDNRGQTPLSWAAYHNTRIAVIKLLASREDVKADSKDNNGRTPLSYAATQYGQE SKSVKLLLERDDVDVNSKDNDGLTPLMWAARFGFEGTVRVFVEQENVDVNLRDNDGHT ALWWAESDERVGYRGWDEIVEKLKSKTSPIA VE01_05822 MASSNSETAEVPNHASPALRLPPEIVKIIVDQVPNSTIKSLRLT CRLFLETVDLRLDRVFISANPRNVEVFTAIANHEVFRAKITEIIWDDAILYVRPMPRS EVEALYGSDDEYQDYVDEDEGRDENGIDWRGDVPGWFRIGCRENIYHIKDDRECDLDR LSLVAMAQQVTEQMPMEKAWAYYQELLQQQRDVRDSQAHINALEKYVGSFPALKRITI TAATHEGLYNPLYETPMIRSFPRGFNYYLPFGYPPADRCQPECDEWDEDGNDWQGFRT VMRVLTEGRDCYRVMDLRIDDNEMGTMGLNSRVFEKENRTLDNFEAVLALLNFTHLQL DLIVDEHYSQAEIFCNGLLRRALAGASGGQGLKHLSLSTDISMHMYEPGDDCDWYIPL AMIFTPTRYSRLQHFGLASFYVEQDDLLALLASLPKTLRSVELSRIEIMDIGGSYQTL LCAIRDKLGWKERVQRPTLAISSEFNYSRPERTLWLKDEIYSFLYQDGSNPFEDD VE01_05823 MATYSGFAHLQSRAVNSTVYAGDNSFGPFFELPGSATFDFTLLF EETILSILPSAVLLLLIPPRILQLWKTPHKVISSYLLTTKIVILAVFSILQVANVIEV SQSSLQTRASLAAALLALVATLGLAILSYVEHSKNVRPSSIINAYLLLTVLFDAAQLR TRWLRGDNFAGNGIASSILAVKLFVLISEATDKTKILFTPYADCSPENTSGLYSRGLF WWLNPLFRLGFRNVVNDDDLFAADGDLSSKSLEIRFNKHWAHRSKYPGKHTLVWVMFR MMLKPLTASVLPRLALTFFRFMQPLLINSITKLVRDPDSESATNRGWGLTAAFGLVYI GLAVTGGAYQHKANRMTTMVRGSLVNAIYSQTLDLSVTSLDESAAVTLMSLDVERICT AVQPIHNIWSSPLEITLAIWLLQKEIGIALLGPLFITALAISGPFLISRHMGKAQKAW IERVQTRIDTTAKMLQAMKGVKMLGLNSKMSSIIYKLRLDEIAESLKMRKLFVVMLAF GNMSDIFAPGAAFAIYVIVANSNGQTLDVSSAFTALSLIALLVAPIRAIVFSIPPLIA AIGCFNRIEAFISSPTKRDHRMPLQGQPSRVTILDTFAMDTTTGRDIELGDFMPQTNV NRSSAIISVKNLTLAWSDEGSPVIDDVSFNVQPGNLTMIVGPVGCGKSSLLRGLLGEI PSSKGNVYIDREHASFVDQVPWIQNSSVRSNIIGVSIFEPEWYSTVVHACAFDTDIET LPEEDRTKAGSAGAALSGGQRLRIALARAVYSRQQLLILDDVFSGLDAISEDRIFSRL LGKSGLLRRLGTTVILVTHAAHRLSYADNIIALTNQGTISEQGKFGDLMAASGYVASL AARHISEDSDAPQEEAAIAKAKISDDTARQNAADDIHRPIGNWATYKYYFTSAGWRNV GIWTGLMICYSMLLQFPDLWVKFWTSSIAVHGNSVNGLYLGVLLAGEFIAMATLMILT GMLFIIMIPRSATHLHGKLLDTIENAPLYFFTSTDAGQIVNRFSQDLSVIDAELPIAA LILANNFFMAIIQAIFVCVSASYFSIVLPFVLFLMYMLQKFYLRTSRQIRLMDLESKA PLYSNFLETLNGLVTIRAFGWTKDMEKRNMAFLNASQRPFYLLFCIQRWLMLVIDLLV AALAVILVALIVQFRHSADAGFVGLALINIMSFNMTLSALIIHWTATETSLGAVSRIK SFVDSTRSENLPMESQDVRPEWPSEGSIILSVISASYALDQQPALHNISLKIPAGHKI GVCGPSGSGKSSFIALLLHMLEINNGSVTIDGVDLSTIPRKVLRNRLEVIPQEPVFLK GTIRQNIDPLNLAENNSAVEEALKTVGLWSIVADAGGLDVPMEAEDLLSHGQRQLFCL ARAMLRPSKILLIDEATASVDLQTDRLMQKIISDHFTDCTVIAVAHRLETIRNFDKVV VFENGRAVEYGEPDALLADEGSKFKALWGS VE01_05824 MSSSKLSHQAALAQMPLTEKLAFTPKLLSVVTQTILALLGAPFR NRSTTPSTIKRHVMYTAVRALVDSLTPHQNQYRAPPTDDIYAAYCKIHKLTPESEILQ DGTRAHWMGPRNAKKIILYLHGGGYVLPAEPYSFAYLHILRESLRDSVPAEEIPSVLF LSYDLAPGAQFPRQLIQASALLTHILTNLRVDLSSIILAGDSAGGNLSLALLSHLAHP HPSVPAVSLPEGAKFLGAILISPWADFSTSNPGYALNERKDELTPGFLVKCSTSFLGT AHPHPVDANTNYTQPALAEAEWWDGIPVDDILITGGEDEILIDGVKAVAARLSKGRNC VQLLAAKDEAHEMPLLATMLGEPELGASADMIKSWVKSRL VE01_05825 MDAFEYNASPARVLFGSGTLSKLPAEVARLNVSAPLLLSTPQQA EQTSTLKDLLDGKIAGIFTEATMHTPYAITEKALAYAQSTNTDSVISIGGGSTIGLGK AIAIRTGLPHICIPTTYAGSEMTPILGETVDGRKTTRSDPKILPTTVIYDVDLTMTLP AKMSATSGVNAIAHSVEALYAQNQNPIMSILALEGIKALASSLPTIIQSPSDIPAREQ ALYGAWLSGVCLGSVGMSLHHKLCHTLGGSFNMPHAETHTIILPHALAYNAPNIPETM KKLAEVLPGSEGDAVKGMNLLLEKLQVKRALSDFGLKEKDLDRAAEIALEKSYWNPRP VEKEKVRELFRRAWAGEEARADL VE01_05826 MASIVVEMPTDTAPVQGQSPDEGAIHPQLQKPVRRYSCSVCQKA FKRSEHCSRHERGHTREKPFTCRHCGRRYARKDLVTRHEQSFHPFEISRRQQSPVTET TLLASTQTSNVEPIEETTAPAPQAQSTLDPILQRYDEQIAESQRASWTAKDKTNDPKS NEQASTSSHHAEARRESDSAELPMAIDGPLPTPVVSSGPGISQPFGDSSMPGMNDEFL VNLLNDATGQSGQTPNSDTVLTQTSEASQSGPSGQVWDPSRTNWQDPDTFSRHEFAAD PNATSNEPPAMAHQIENYFQDVDIFSMLPNGGTNIEADFAIPSYLFNSGYSPPIDNES PQLLWDANERNLSVAMHGTSLIAIRAVAEPFDPVPFAGSSARLPTVVNETPKQISLRP VDSDIHSLILKDIEKRLSHEQLKDFNMPSAQSLQRFLVSYLTCFHRHYPILHFPSLDL RNAPTPLILAMCAIGALYRLSRKTAKDLWFWANKMLELELQTPPSDLMSPSTIAAAQC KLLLSLFAVFSGDVTEQALTQWGYWTTEYRLRRAILALKRPNTESLSWESWCLRETSK RLLYGIFIMSSLMTVAYDMTPSFSVTQDIDLEMPDEERLWEATNAQQWEEIIKSRNTP TLITVRDAMTHLIFAKEDSSSRTDVMSWTAFATTVIMHAVNVHMWNIMQFTQSFTTFA IGEQNNNNLRACLVTQVESALARCYTLLTAGRSEREHTSDDLEGPLIFNCLALLRSAY VRVATGAGNFNRMMLLWSDPDQVTSSIQSYIDSPQERNPFLTTAVHKAYGGLLIPIKA GHLLVRKTAALSWSVEHAIAAWDCALFVLKWIHTMEMQQRELPPNDEEMRNLTNFAQL LTEVDSEYNGKGSLAAEVTRVWASFLDDTWVWGITPRMGYVLRLMSAAFAEEWRLKFI NGNEDGPISR VE01_05827 MAPSLIHSSLHAVLDGTEAKLDPTAYAVEHYRGIKYGKISQRFA KAVAVDDWHGEKLDCSRFGPQCPQNNVDTGHLLRIPETLDKGQEEVKQDEFECLNLNV SVPARTTSTKDLVPVLIWIHGGSQIMSFPPAAHRCSDTTTLVQRSIEIGKPMIIVTFN YRLNIFGFGDGGEKNLALKDQQLAIEWVVKHIAGFGGDKNNITLGGESAGAVYAHAHL TSGSPVARGLLQSGSLYLSPPQPLEKGTSLISGLSASLLERHNVSLNEAPEPILLQSL LENNINPFWIQQEPDLVGWEGRVNDVQELLIGDVEYESVIWRNGIETVSASEIAAIFD NEELKNLYGVSPSRPTASRLAALDFINDLRFALPIEEISASRRSAGKATYQYVFDQVN PWQSSSRAHHGVDLISLFGGYDLTFNPAAAAVAKAMQERWIAFVNGESPWNGEKRFAF GPHGKSGEITDEDFAARRRVGHFDLLRQMDSMKLGD VE01_05828 MSTPASTQTRLSEAHTTIFDEGLKIRYQVAGKEYVDRSLQNGSS DFARPMQELVTEACWGSVWARPGLEKKQRSLLNIAMLCALNRGTELAVHVRGAVNNGA SEVEIRETLLQAAIYCGMPAGIEGFKVAEKVITDMKNEKK VE01_05829 MSQSSSKFITHPNGQITHVFDDKFVDPWKPCETILIQHGFARHG AFWYHWIPVLARHYRVIRRDARGHGLSSYPSHGDKYDYSLDTILTEIVDTMDQLGVQK FHFLGESTSGMVGEAFAAKYPERLHSLIICSSPTFLPEAALSLFSFGRSSWPEACREM GSRGWGEALSKVPGTVSVPDEDYVKWWISQVAVSTGEGLAGYAEFLSSLDSRPFLEQI KVPTLILAPAKSAATKLEEQEIVQRKIQASKLVVIHGNGHEIYVEKPEDCQREVLEFL KNLGKSG VE01_05830 MSTILKHGKLGEIHGVLGDDVVQFLGIQYASLKDRFAESEILDR DLDSSVIDATRIGPMVMSPLQGCDAEFGIIQHALPKPDLTVSELHGLNLNVTVPLMER KLPGLGSKLPVCVFIHGGGYSTGSNSWPQYSQARMVKLSADMGFPMIGVGINYRLGLP GFLTSSELREAGYASNNGLRDQINALRWIKKNIGDFAGDADNVTVMGESAGAVSGMFL LHSEEPLFKRLISMSGSALLVKPLPLPVSEFVYASLVKSMGLENLSGPERVKAILEIP IKDLLEKIPPTMPLMPVVDGDIIPGAPTFSQISDKAEDPTYPMPGKQWCESLIIGDCQ FDGSIFSLMLGPRRVGIAQSFHKSIAKSFAHHPSSSEKLFQAYGITPDLPDNIALRSI FTFATDIGFLAPVVSLARGWPGKAYVYHFNEPNPWDGEWKGDTTHVLDIAFLFQNFNE FLPPAQKEVAVIFARDFIKFVNGEAPWAAFGTSSQGAMVYGPSPERSTAAFMPYIRGN AEESCGRNSTIFKLGEDIPLDELATAWGDFLAGQ VE01_05831 MSLITLFMLFATSSILLIFRYIATSGNARKPPNGLLRVPGPKGL PIIGNTLQLGPQPQKMLKSWAREYGEVFQIRLGWENWVYLNTPQAVKDILDKQSAITS GRPPMPVASDIVSGGMRFLLMTYSPTWRKLRAIVHKLLTPKVSNTFMPSQEFEAKQLI YDILTDNKDEWSFYMHVRRYTTSVVMTSTYGRRVAEWQSEDVREVYGLMKEFSENTAA GAFIADLIPPLAKLPVWMQLWRKRALKYQARQTAIWMKYWMNLKHQVANKEAPDCFVK QFMETDYQKQDISEVQGAFVAGTMIEAGSETTSSSLNSCIKYLAAYPEVQQRAHTELS KVVGDSRSPTFEDELNLPYIQAMVKEILRIRPVTNVGQPHYTTADVVYGDFFIPKGTV IAINQYAIHFDRYRYDDPEAFNPERYLGHPLKAGAYAAAADPFERDHFGFGAGRRICP GMHLAENSLFITLAKILWAFEIRPHIGDDGKEEAVDVSDDAYEEGTSTLPKQFQMRFI PRNTTRERVLRDEWETAQKEGYLLGGVKVNTTGMVAQ VE01_05832 MSSIVSENKALRFGVIGPAGFGGSYLCLELINRGHHVVGISRNP GKLGSHERYTSISADVSTQGIKELALVFENLDVVVNEYGPHSAGADALQYMPYLEVTR KIILAIKLAKVKYFIMVGGCGSLLMPGNNYESVLENKGWWLAYRRAIADSEAHTSYME ERLGPMGTGLRKYRIARLAQRTGEGTAETKQIIEDYEGYVRRNDRALEFITGCRTSFM FFDGNTSFRWTFVSPSALYRPGKRTGNFEIRFDELPLKGDEKDPTNLDDRLHGISAAD LAIAIADEGELQTKCWRHWSAFADLADDTPTPSYVTLIPSSHI VE01_05833 MAAKPIEWLPLPETNGSVKLQLLDGGSFIANYAVLHAGVKDESF RMYNWAFHIFHHATNRHILWDLGLTSNPNDYTPWVNKFLIDVLKPVSPKLSISEQLKQ RGVNVEEVDSVIFSSCGHAHWDHSRPIREFFPNATGYFGPGTTDFCSPGHLVDSNCQW DGRFFDPKNKTETWKELNGPWEKFGPFTKALDYFGDGSFWIIQAPGHMPGNLCAVVKL EDGEWVLLGSDCCHSRELFDGVHEIAVWKQPDGSTSSLQADLCAAKDTIARIRIMEQD LKC VE01_05834 MANDFGFIGIGAMGYGMAMNIRKEIPNSSTLFITDVFRPSCEKF KAEFSSHGPIEILDSAREVAERALTIISIVPSANNVRQVYLDNEHGIIAAKANPDRLM LECSTIDVETARDVGETLIKANAGLYIDTPVSGGVPGALAGTLSFLVGKAKTANNSSD LLSKRLITVISAMATPEKIFYCGGLGAGLAAKISNNYLCGTILLATAEAMNIGIRSGV DKHVLYEVIKNSTGQSFMCDHVNPVPGVVPHAPSSRDYQGGFKAQMMVKDMTLGVDAA KVTGVRSTLGPAALEVYKEAAMDPRCINRDVSVIYRFLDGPE VE01_05835 MGFFGILEDKKLSHVPGTVILNEKVADSENPTAALKHGSGKDSH IILVPQPSDDPNDPLNWSDTRKLIIVLIVGLGTILNAATIGPLLNAGLYTISLDFHTS IGKITLISGYQLLVAGASGPIVCACSRKWGKRPCFLFSTLFALIGTIVGSATNSYNGL LAARIIQGASISAYESIVIAIIGDLYFVHQRGLFTSGMQFLLGGISNFSSVLAGPITA NLGWKYLFHILIAFVGTQTILLFFFCPETAYRREAQYNIDEIVNEGAAEKGVETQVEL SENTDANLPSIQTIATTRTSIPALKTFWQRTAIFSGSYSDENFLQLIIAPFAVCTNIA VLWVVVVSGTITATYVAQAFVLAQIFTLPPYNLDASGIGYLSLGPFIGGLIASIFWAF MLDPMIRWATTKNKGVYEPEYRLLAMASALLTGIGLMAFGVMAENHITYYATATVHGI ALFGVICATVSTSAYALDAYRSMSNEIFIAGMVFKNFLFYGFSYFVNDWTARDGPAQV FYVFGGVALFLTLTTPIVFIWGKRYRSVWHRHNLLEKFNIRTHSEL VE01_05836 METPGFQEVIVVGAGPAGLLLSLLLAKKGISVQTLDAADTLDSR PRATHYGPPAIHELIRAGVIDDVRKQGFLPRKVCWRKLDGTYLAGLDASDLKGDDDML TCLPLDKLGRVLSDHLKAQPTSSLKWQHKVTSIGQDADKAWVLVETPEGPKRLEADYI VGCDGANSQIRRSLFGDLNFPGKTWDEQIVATNTYYDFEKYGYEDSNFIIHPEHWHMA ARISNDGLWRVSYGEIPGLSRDEILARQPTKFEQMLPGHPKPNEYKLASINPYRVHQR LAEKMRVGRFLLAADAAHLCNPFGGLGLTGGIVDVGGLYDCLVGIHECKADPSILDKY SNIRSQKYKEMIDPISSENIRRLFDQDPDKALENDEFLKLCKRTATDSELSRTVQRGV NALMHDFTKEYNVVNGVAQH VE01_05837 MNYSTLAIVTTTRIMDPNRNFSLPPPRPDQTFVTVSAIDGGSIT LPDRCFVTPSNPDERRTVPSLAFLIEHPRAHLFGHNGLADKPFRFMFDLGLRSELRRY IPAQQAHLVHREPYLLGPGVVGNLKKRSLTPADINAVVLSHVHYDHHGDPEDFDQSTF IVGPGSLEILQNGLPGTTATHQCFDPNLLPKNRTVELPPVSSNGTRTKMPNGTSVEWS WDSIGPFPAALDLFGDSSDIILTMVPEGSHVKAVYLTPRTGVLAADVSSKLLIDCSTI DTATSLLVRESVTAKFPSALFYDSPVSGGSLGAAKATLTFMVGCAEDNVHYKLLRDLL GMMGTSIFPCGGPSLGLTAKLSNNYCSGLIAIATSEAMNIGMKSGMDPRVLASIFRTS TAQSCISDKWNPVPGICPEAPASHGYAGGFKVQLMEKDFGLAVKAAEAVGAKLALGDA GLQTYAGASKDSRCRDLDSRVIFRYLGGNEAWAQDLEGKQ VE01_05838 MAAQLDNPKEYQNIFHWAETQKDGTVPSFAVRKNDPYEYQAGFN NYFESEAVPGTIPQGQNSPRCVRFGLYAEQMTASAFVAPRNVNKKAWIYRVRPAVAHE GFTDLPNNKDTEANFMPINPHVHISPTQVAWLPFDIPDDGEVDFIAGLKTIAGSGEPT LREGIATHIYAANASMKQKAFVNSDGDYLIVPQQGSLDIQTEFGPLYVQPGEIVVIQR GQRFRVELPDGPSRGYILEIWGANFELPELGPLGANGLANARDFLHPKAKYEIKKERW EIVYKLGGKLFKSTQGHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVL TAKSRDPTAPLADFLLFSPRWDVASHTYRPPYYHRNAASELMGLIYGNYGGRSDEFQP GGMSFECGMVPHGVAYEEFKDAYEAAPPEVQISKNSIAFMFESSRPFTITDYAWNSDK KHEHEPKMWDNLVDNFSTHAKEIEEALSHAAGGSNTSKGRGFESGCAQ VE01_05839 MTTYDFKDVELLALKGKTILVTGASTGIGRETVKVAHRYGANIA IGDWNDGEGKALAEELGERVLFRKCDVSKWDDVLELFQETWKTFGIINAVLSNAGVTN ENFLEDEIDPETGKLLPPNVKTIDINLTATIYVVKCAVHYFKKWPETRCQIVMTGSAA SFIDTPPLYLYCASKAGILGFMRSLRTQLIKNNNVTVNMVAPWMTITSMLPQAVRDKW GTLPANEPWGVAYALLLPVVRPDINGKSFFVAGHQIVDFEDKLHETQPVWMGDQLSKD VDEGQKIMIP VE01_05840 MFLSYPMHLSATAPQLLIALIAVPCLLFTALYFYTTPNVLKDRR RRHLPPGPRGLPFIGNLLDLADSELVRGKAQAWAQQYGEIFYTKIGGSDYVWLSSPKV VKDLMDKKSAIYSSRSPLPLAQDVASAGRRQLFMEYGPRWRLIRKSSHALLNSTTAIK YQPVQDYESKQLMVELLDTPGRFYEHNRRFSASVIMLITYGHRLPTWDDPLLKKIYAV LDNLTEMTAPGAHAVDSFPSLAYLPQWLLGNWRSFGKKVFDHDSKVYLELWENLKREV DAGTARDSFCKTFYLNNPEKQGIDNLLAAYTCGGLIEAGAETTGTTLNNFMLAMMMFP EVVKKAQAEIDEIVGSERLPVFEDEKLLPYTRSIVKETLRWRAVNKFGMMHATSEDDW YEGHFIPKGTVAVLNWWAIHMNPEIHPNPEVFNPARYLDKPLAAADYINTNDPYERDH FTYGAGRRVCPGVHVAERSLFINIVRTLWGFNIGKKMGPDGKFIEPDMAMIRGFLSVP KPFECQITSRSAKHAAVIRSSFAEAQKNGI VE01_05841 MSKPVAIITGAASGMGLALTRHLVSKGWRVAMADIDSENGSRLS SELGADTIFQQTDVSNYDEQARLFSRAFTWGGNRLDFLAANAGIDDKQSLYEINEDLD ADGIPKEMNLKTIRVDLDAVIQGIWLFKHYARKNNTPGGKIVITSSAAGIYSMATNPL YTSAKHGLVGLVRACGPQFAEENITVNCICPSFVPTGLCPPHVLHKFPKEHITPMSTV LKAFDTFIGDNTMTGQTVELSLEHLYFRKQPEWANESQKWLGEESGEFWKEAYESLAT TEGNL VE01_05842 MLLYVIVLILITVVALWQKQKKTTFASSEEKQELASDNVRSLNH ALVCQDKSIIILYGSQTGTAEEYARRLARNLHDEYSIAAEVADIEDFDASSLNEVATK NSRTGRRVLVCFILATYGDGEPTDSTASFYQDLTTIETKDEGGDFPLRALHYIAFGLG NNTYEKFNFMVRSFDEHLQRIGATRLGARGEGDDGLGTLDEDFLAWKDETLVEVASHL HAKKSDKKFASPYEIVEKPELTIDSDGVFLGGRKATELGNNGLLVGPFDGKNSYLAPL GYSKQLFAPTCDRDCLHIEFDISGTNLSYETGDHLGVLPINPDAEIQRMLMVLGLSSK GDTVITISAKDGSGAKAFIVEPTTYSTILRYKLDICGKVSREICGALAAMAPNAEVKA HMIQLAADRNLFHSTVTSRCLNLAQFLETASNGLPWSSLPFSFLLSCLAPLQPRYYSI SSSGQASPKTIHITTAVKDELLGEPNPNRFLGLTTNYLKGLTIANNGNREVSPNRTLL PSPGIQSLPGMVAVHVRRSNFRLPASSHTPIIMIGPGTGVAPFRAFMQDRRLLAKNQT QKLGMSILFTGSQNRAKDYIYADEWEDLKAVLGNDFQMHTAFSRESDEKVYVQHRMME VKEQLFDLIHNKMAWIYICGDAAHMAKDVNAALVDIVAEGKSIATKDAANYITNLKDS GRMHEDIW VE01_05843 MMKAQIIAAAGLLFSSRAYAQQSLNQGSGFGTYYFDVEQIETCG TSFAAQNQGGVMCSHITSLPLTEINSNYVVAMNNTLLRGDMAKYCGKKVVVSVNGVAS NLPLFIGDGCERCGTGPSTSDTWEANGAPGLDFSYSVLNELSGSACADGHIDISWEIV DETLYNFDTDGSGTTQGPASGGSSSGSGSAAANAATTAASSSASQESSSATQESTSAT QESTSAAQESTSAAQESASSAATSASSASQAVNSPADVAQAAVPTTMITQTAKAASTT SDAPTTSYTPTATSGLEILATPTTSEPSAPCPTGVWECSGDDLVQCLDGKWVTRATCV DGLSCQGGNSPYCAPLGFGKALAGN VE01_05844 MSAPSSPIAALAPLATPLPPSPNGTPFTDAQWAILMSLMDAVIP RIVRASAATEGSLDYTVSDAEYAFLSTQAGASAQAKDTETLDAYLAERPSDSAEFQDL MMRQLVCYATEEQVKGLKFILAALGTRPGALLLTGYTRTLDTLDAQARSEVLKGWRTH YLSPIRVLYGSIVSLAKINYLRTSRLFPTLTGYSSTPTGYEPGPTFDYKFLKFEAAAE PATLEFDVVIVGSGVGGGVSAKNLAEAGFSVLVVDKAYYHPPNRLPMNEAAGYANLFE NGGVDASYDASLTFIAGSNWGGGGSINWSASLQPQSYVRQEWSRDRKLPLFETTEFQD ALDRVCDRMGVSTENIEHSHGNKVLLEGARKLGYEAKAVPQNTGGHKHSCGRCGMGCG AAEKQGPNVCWLPDAARAGAQFMEGYQVERVLFETRGGNKTAVGVKGVWTKDGVEREV VVKAQRVIVSAGSLNSPVLLLNSGLKNPHIGRNLYMHPANMVSAFFPEDVRPWEGAIL TTVCTSFENLDGHGHGAKMEATCMIPTLSLSQINWTSGPDWKLLAAKYRHMNTYASFA RDRDPGRILANPKRVEYTPSAFDRRHTLIGLLEMMKILLVGGAAEIHPHLSGAPPFIV DPSAERSPANPEFKEWLRKVELVGNAPPGVSCGSAHQMGSCRMSATEGMGVVDPEGRV WETKGLYVADASVFPSASGVNPMVTNLAISDVISRRVAAGLKKERGERL VE01_05845 MEPAKTIEEPTARPPPSLELQPYVQLSDAALAKKILLVEDNAVN QRLILRTLSCFGLTSVDVAVNGKEGVDMVKAAPSAYSLILMDISMPIMGGVEATKLIR EMGLDIPIMALTAHAMKEDRESFLTRGFDGYLSKPVRKPDLGVILPKWLDVNHESGVG TKKDWE VE01_05846 MPYLAHISLIVRDYDEAIAFYSRLGFTVVEDTFIPEQQKRWVLI QPPLPESQSGTSSIAASQGPTILLARASNAEQEAFIGNQAGGRVFLFLATDDFDRDYQ RFSDAGVEWVRPPKIETYGKVAVWKDLYGNLWDLIQHY VE01_05847 MQNSTWVASSVVENLIELQHLPRHVTAARVIIVVAFLGLVRLKV TNLSLAIPAVLLAAYHERALYQKDGISTVKYSVLGVLVPWLLTFGYRFVAMRRRCRQL PSVPHSFVLGHVPTMAKETMKFPGDVHDHVIMTHIADTYDLRKHGLFFIDSYPIMSEP LMVILSPDVSAQVLQNQGEQFPKHPVLNESFGRAIGPKGMVGQEGEQWKELRTMFNPG FSQANLLSMVPMMVEETTIFVSRLSKLAAGDGFIENTDSLAAAVTVDIIGQALLGVQF NAQTTSNDLVTSVVRASHLVKTFTNFDIRKLNAWRYLKLRYYEAISNTKITEILSARW TELATAPEKASSSGAIFDIAMAKYMKRGGSLQTGVTKDFLELMRDNVKTFIFAGHDTT STIITYALWELSRHPKSLRKAREEHDAVLGSDPNEAGERITEDPRLANALPYTTAIVK ETLRLWTPAGSVRISPREGTTVVGLDGVTYPADNCMIWSANNCTMKDANIFPSPYEFI PERHIPDQTPFGPIHKNAYRPFEKGPRDCLGQELAMLETRIVLALTLRKFDFKEAYDE LDRRLGRTPKEFPVLEKVGGRAYQVLFTAAKGKDGIPMWVSERK VE01_05848 MAKLIPADAVSGDHTPESPDTKEVVSLSDAESPRPASTRGQVPL SFKIISVALVAGIGFGSSWSSGVTGAMKSTLKKELKINNTQFALLESSEDFIKVLLIL ISGMITDRIGGASAILYGNVIYTIGSIFVAAATTVRNYPLMIFGRVVLAFGDVATQTA QYKIFSSWFPPNNGFASTLGFELAIGKIGGFVGKSTANIISKNLGDFSWVFWIALFMN IFTNLITLAFFFFTKYANKKFANIRDPSTGEELTEKNKKFEVKKVLEMPWVFWAVLAF SLLETSTALVFTQNATELAEHRFNTDSITAGWYTSVLQYAGFFVVPLIGIFIDLFGNR ISLMAFCGIGVFVSMALVNWANSTKGTAAAFGIYAFAYSFGPTVIIDSIRTSMWHQEV FGSAYSMKIMMNNSMNIIVNLITGRIQDADNDSYDRVVIVYVALAAGSVVVSIGLIVL SSISIDLRRLQWTRKQRLRNGHLIIERKERFLGENGAKNRKISLCCFGALCALSLGSW VAYIWGAATGNNY VE01_05849 MAIIVWSKGRAPSPDIGDGSLSDSFSGTPTDKKANISIETLPLA ETVTVQKYWWSTKSKLDPNAIATQPSVYDDPAVAKHYQPRADYENLHRFDPSARWTWK EEWAVVRKVDVKILIFACVAFMALELDRANLSQALTDNFLKDLHMNTNDYNLGNTVFR LSFLCAELPSQLVSKWIGPDRWIPMQMILWSIVAAAQYGLSGRTSFLTCRALLAILQG GFIPDMILYLSYFYTSRELPIRLSIWWTFMSVADILASFIAFGILHMRGINGKSGWRW LFLIEGIFTGVLGVAAFFLMPPSPTQTASKLRGKKGWFTEREETIIVTRALRDDPSKG SMHNRQPITPKLLYKSLSDYHLWPMYLVGLTFQLPTNPPHSYLTLSLRSLGFDTFQTN LLVIPSQVGHIITMLGITLLSDYTGQLSLTAVLAQIWVLPFLISLYIIDSTTASKWTI WIITTILLSYPNMHAVQVGWISQNSNTVRSRTVSAAMYNMFVQAQGIIGANVYREDDK PRYRRGNRALVALCSANIVIYLGVRVYYKWVNKRRDDIWNSWTDEEKEAYVLTTKDEG NKRLDFRFVY VE01_05850 MTIADTTNGKMDIDQLEMARNESALPNSKTEEGLSHYFDPSFDK KTLFRLDILLVPLLASMYLLAFLDRANIGNARVAGLQKDLGISDTQYQIAITVTYVPY IAAELPSNLVLKKIGPRIMLPGMVLAWGIVTTLQSQIKTYSGLLACRFFLGLCEGGLF PGIVLYLSGFYRPHELQVRIALFFSAAALSGAFSSLLTAAIQQMDGIGNMHGWQWIFL LEGLFTVCFASLCFWAMPNNPQGVKTFTKAQADHCDYRLTLDANSVEGAKFSWKSLLS TFKDLHVWINVIALFCNGYSLFGLAYFTPTIVQTLNFNPTNTQLLTVPPFVLAFIVTM ILAYTADHYQQRGLTAITTTLVAIIGFALFLTAKSFRQKYTALCFLIIGVYSSAPSMI SWIPNNSASHMRRATAVALSFIMTNAGGILSTWIYPKKDAPHYAFAAKLNLSFCVVTV VLLAANLVWLKSLNREKVSHREHLLNDVAHLPLEEQREALGDHHPDYKYTL VE01_05851 MATLGLLKRITNEVSLTIPSNSSLGYMNSLASAGAIRKVSGIIT KRATAISRRGCIIQIAQLPTTIALILCIVGSIDEASSNLSSRSDGPKYFKIGIAIFIV VYLLLVFLTLITARDVHRAPTRERRVYITVAVALPFIVARLL VE01_05852 MRSEIQKTAADGTMVRLNDISKVSSVVELLKREKEHIAAAFRIF AKMGFADGASSHISLRDPTDLKTF VE01_05853 MSNATLLQKSRLFPSPRLTAQVSTPLSILDATVARFPPTGAVWL FCAPRPLDTILQPSFVATLNSFPQWAGQLQWSLVRDGGLHTERFGRPMLVHGCDSDPG VEWVISKAAETLDSIVPSALERVVEKATWISTDFPERIFLSDTPLALHNLVDYAGLPG MSVQITDFACGGYAIAVKIAHPLADAQALMVFMHQWAATCRGSAKSPMDPPIFNPGLL DSYAAGNIDGDSADVALVNTARLLPLHRFDWWNDAKDPAFPSFLAPTGENLKPPLLGE NSKSLPLSPSTSAPWTTWDLTRPVSHAMLHFTEEQISNLRAIARESPGCRPNISRLDA LLAHIWSSINRARNHSDSACDVFLNVTLSARTRVQPPLSDSFIGSPIFLTHIRASGRE ASALCPGPTASLIRETLTLFTPEKIGAMLHDAAYEMSPQRLWQAFLGDRHTIVTSWLR LNVYDIDFSGSIPRYVHAVMPKMDGCVQVMDSSHEIGGVDITLYLDSLAMARLIQERE NSF VE01_05854 MATSNLPPQTLSVGTYTFTISEGGESDIPEFCDVFDIAFASNLL FNTMSGTKEAAAEEAKKAPKPEPILGTNSKAQEAFKTQLFEMRDKWLKKDDMYLMNIL AVLPTYQRLGLSAALLAPVLKLADREGKKTYIEASEAGEKLYRRLGWVETGDTISLNF TEFRAEGKVDIPLMMREPGAANTL VE01_05855 MLGCCISGVVILSLRLVLRKVYFYRLDLGDYLAALAMLTLIIYI PTVYISIIWGTPNIEPGTAFTPEDIRRRTVGGKCVLVARVMYISTNWLLKSILLDFYS KIVVELWYGHTMIYIVIVILALTYCVSVIMVFVECHPISLYWRVLHDPAQCVRAMKLL FIEGAFNLFTQIILIVLPLPVLFQVHLPKLSLSLLFTLGFFVIITTCLRLAKIGPNLT SQNGRAFWAAIELPCACLIANAPAL VE01_05856 MAAIILYALWSQWLIPAVTTVIHDTSFVPDYILRVTTGNISVAC ETRFSAIVNGMFPGPELRIKPGKATWIRVHNGMTDSNLTMHWHGLSQRMAEFSDGSPQ GSQWPIPPGHFFDYELQTHLNDSGTYFYHSHVGMQAITVTGPLIVEDCGRPPYKYDEE RTLHWSDYFPQKDEEFQAGLEAVPFDWGGETHAVLLNGKGIGVGHAASTGTCGALPVI EVDPGKTYRFRFIGSTGLSLVSMSFEGHDNLTIIQVDGSEWTQPVSVNRIQLGSGQRF DALFVAKTADELHAEGKTTYFLQFETRDRPAIYRGYAVLRYSKRAPLPSPPVEAVLSL PNATYDWLEYKLQPLYPEHIGHPTLAEVTRRVTIDAVQMINPTTNQIIWRLANQSWTE RTVQKPLLVDIYQRGETAVPDYDSAMGNHGWDPNTRAFPAKVGEVLEIVFQNTGSLVN SGGALDVHPLHAHGQHFYDIGSGSGSYNAEANEEKLVHMGYKALRRDTTMLYRYSTVT DAGAAAGWRAWRIRVDEPGVWLIHCHTLEHMIMGMQTAWVVGTAADIQKIPLDHSRGY LDYGGDAYGP VE01_05857 MKGPQLRTLPNLFAKYELRTPSQVKLPDAPCAAIDALRSYPTFA CCLCGSCLIRSDHAREMHMSREHKQKPAQQIGGGLVAAVPQVGSDHDQASGDGKASAL EPREQEFFELLDEDTAVAELDGKAEANIVHGFGSHRGAHAGLEEERDPRLDRSAEEPV LEGMDEILSEAHGWCFDGPECMLTWLRQLALSRFHTASTDKARGFDLSRRAHEAWKAT LRSSVDKDRPAPKDALSVLSMALVCHEPGGNRSSSPLLSLIAMLSIKPRTKTWKEPGN LNSCLSGLIWVVQLLIFAASVYLEKSDAGGTLEWIEGFCEKFPRPDTETPMGEILG VE01_05858 MTLGEEDKISHALISSQRGICYTEDEAPEIETTTKTTRFYISIA AIVVALFLVTLDLVILPASLPAIAQDLKATSSQAYWCGTGYIIAQAASQPIFGTISVI SGRKLMLQISLLIFMVASIMCARAQSIEWLIGTRVMQGLGGGGITTLTIMVVTDLTSL QERSKWMSILSLSYAFGNVGLVLGAAITEFTTWRWVYYINIPVCVILLAVLQLSLHLQ PKIENHHNGLLKFDWAGIAILGGSASTLLLGLLSGGVSHPWSSAAIIAPLVIGVIGLV CFAIVEYFAPMPMFPRELLKSRTFNATSLISFVGGYAVTSLAFFLIVYFHGAAGYGVI HSAVAVLPTIILVPAGGIIGGVIITKSGDYRGPLYFGCAAVTAGMSSLTVLSPLSSVG LQTGLQIPIGIGLGFIYIASNIAPQATLPSHLHAVSVNQITFTRVMGQAFGIAIGGAI FQNQFDNYVNNHVRDGSLSDGFRVRGKDAELAFTRIDQFPQQVSDVYSAIYCDSLRAV WFTGMALSAVALLCCFSTQKASLGRDLTANRRLPSSK VE01_05859 MLVVLHGVSGGSHESFIREMIATLIAQNGKGERNWEACVVNSRG CARSKISSQLMYNGRATWDLRQTANWLGEKFPNRPLFAAGISLGANLLTNYLAEEGSN SPFKAAIVFSNPWTLELSSMAMRRGCMGREVYSRAVVTGYKKYVKEHLAEISKYTDID VERVKNAADIREFDKLFLAPASGYPTPGAYYRDGSCCDALLAVKTPLLALNALDDPIV PPECIPFDAFEVNPFAVLCTTTMGGHIGWHETSGTQWVTKQMSAFLNAMADNANRCWS PATEETSV VE01_05860 MGQSTYQSVVLAKRPTSLIVPGETFTMKEHEIVKAEDLKDGEVL VDTLYLSVDPAMRGWLNDTRSYVPPLKIGETMRGAVIAKVVVSKSSKFSAGDYVTCYT GWREQAVAKEKDLMPLEVPANGQLTDALGALGTTGLTAYFGIINIGKVKAGDFVVVSG AAGATGSVVCQIAKLKGAKVLGLVGSDDKVEWLKELGCDRALNYKDPNFPTQFKEATK DLIDVFFDNVGGEILELALSRAKAHSRFVMCGSISQYNSANPTGPKNISMIIVMRIRM EGFIVYDYANEFPAARKELAQWLSEGNLQRKETIIKGGLGAAEKALLALYEGLNTGKL LVEVKAVNASAGLRL VE01_06813 MQLRWPEAQTMLAKLFNEKEASPEDVDPSGKTWLEKLLQYPWKF GSKEAQFGLFKLLVESGAKLDTDMS VE01_06814 MSFCCILCDRSFNIKNALQQHLRDSPAHALSFNCDDCDRSFSSE EALQQHLRDSPAHAPSFNCDDCDRSFSSEEALQQHLRDSPAHAPSFDCDDCDRSFNSE EALQQHLQDSPAHAPSFDCNDCDRSFNSEEALQQHLRDSPAHAPSFDCETCDRHYGSE EALQQHLRDSHVNQQDTETPLDAFFRSFPMFDYDPSLSPATSYAKLQRHEGWHRGNAA SEDAWDRYQGALQNELHMWYGAESDLTAWHALCRAIGVKPLPKTSEQCEQAVRKTQVN IVDLIEWGRSGSKGRVRTFRDVEELRAYTKETGKIFHNMFAKESSNVVLRHLLRHIFR VSL VE01_06815 MDLPKDVYPTPLERELWAKAVQTPGEVTDAERLIVLRQADLTTQ IAHALEVSGLTPEELENKALTSPESMTSEECRLMRNGYHIWDPWESTANSKIHWCHED RTAHLKARRALVTPRDDAVSLAVRCRANDFSAARAKETKARLESYAEDMKQPCKWVRK LIDPSVSWSNQTRRWGFVVFRDSATIGDSNNDSWERFLTVMDSRVDEGLRLLKGGTAI IPTKEFTFSDGLVSESSPEILRSIFQDRVAGEVDPNPRILTNTFLLVTPEVVNACINT RTPWIWAYDAKFVPSTTPPPPPPSADSAAGAEHYEGRLKVSLYTLLTWFYAVRSEELY SMDLFWELAQKQPVQAWSVPTQSGLYHHPLVILKKGTLYPSNTYELENGP VE01_06816 MKLITIALVGASAVAAASHRHVHRHAPHVRGSAVAKRADATVVE DAVVTKYEMNGKELNAAKVEQGVKDGIYILLDDTTSAAPVPTKAAVAAGEFLEQKKPT TPAAPSTTSVAPPPPPPTTSAAPVVPTPAPSSGGGSGATGLDADFPSGTIDCDQFPSA YGPVAAEWLNLGGWTGLQMTPSFSPGDAAIHLINTGIGGDNCIKNSFCSYACPPGYQK SQWPSSQGATGQSIGGLYCNAQGKLELTNPTLSKTLCIKGTGEVKVKNTIGRNVPICR TDYPGTESETVALDTQPGQEYELTCPDANKYYRWGNAATSAQYYINPAGSPLKDACRW NEAGSNMGNWAPVNLGVGKGPTGETYISIFQNKPTNPDGKLNFNLEIVGDVSGKCAYI DGQFYNNGVADPSGCTVLVTGTATYKIY VE01_06817 MVSEETYELCLPILRDSTIEDEDKTDKLEELLKKETSLTGSTLE NAILDILWRHRESSTNTSSPPLMRHTVLRRASPASWQIPRPNGPSANSSPRLGVSPLV PPGFVPSSYRNKTSSINSPFTSPRPSPRLNFSSPVIPHSPSLNAYEFPTDTGPTQDIY GDLGSDNVDWIVNDDAVAAAASANANGGHSGLNAAAAEFVQPPQQTDMSPMDMLRSVL GPTKSDEELKVALAMHGYDLSAAIAALMEGQPTDGVNQQNQANEIKAILIGKSMTPDL ARPVTPAGQQRNGVVCRFFLSTGSCLRADCRFSHDLSNHICKYWVMGNCLAGETCIFS HDPSHFMNRLALDGSCTPPLQNAQPNIQFQDPNSFPSLQPPTPDQWGNSYQTAAFNYP NMGFTPPTGFKALQGYASDGSNNNRSRPTSRARDTPAAPALDDNEAFPSLGAVSTRGG KKHHGKRSNHAKEPPVPSSLAEVVKMSPSPGPGALRQEIKKLGRNGSSSSMRNGENSA AAQAIPSPQHVPWLETGEKANKAYLKARSDAIKHGGLRNKFLQSAAQAWNRNDARAAK ALSLRGQSENDLMRKAHREAARELYESRNKPSATSTSSSSTAEVYVDLHGLHPEEAVD YLEKVLVEHAASARPVYAITGTGHHSKNGKDKVGKAIRGFLGEWRYAYREFSVPGDRN NVGGILGIDARSFERREGVAGVAGVGGVEAAAGKENANANGNGNGNVEKETPKVQQKP EDLLAQGHEIGDGKVRMLVREPPKGPAASRAR VE01_06818 MSFKDDTTASHHLLPPSSPSPPLSPLVSPLPDAPPRPSFSRRLR SSASHRRRPSLSTPSTAASYLLKAKILQDKALALWASATLTQRILFVGAYIFFSTIGI LFIVYSESLFAALAPVAVKWRALPGGWLISFALIFITAFPPVIGYTTALTIAGFVYGM KGWFICASANVIGSYCSFLASRTILSKYVHRLVGEDKRFEAFASILKHDGIKVLVMIR FCPLPYSISNGAMSTLPTVHPLAFTAATAMATPKLLIHVFAGSRLAAIAEAGSEMDRT TKIVNYVSMAVFGILGAVLGFVIYRRTMARARELEAEAIGGEEGTWDGDEEERVGLAD PVGFEEDDISLWDNDDAGYRDDWTDEEGRVETEGGR VE01_06819 MSHMRDTDFDQLMKTLTENFNVLADEVQLLSDRKTVLEHKLRFA HEQYQVLALKYAPSDDPDISSTLAKLQLPADIRVNVEDRTSQVPLPPRRSGNSKQQTA EAIRDGRKASQLIASLKGWNRSAVSNRSASIATSSNNRNSRGGTSFTTFHEQDFTVPG KKSQLMCPFSQKSNKPAPNQDSSSQVSAMETAEETTAVPDTEDNTPHHSADPICAALY AETHVSAPPSAAGSNKCPIRFLDQHSPEEVARYFETHKHEIPRSHEVCVKRYTRNEEG ARKLDAKYGSMVSMLQQLGQKHQPMLPVQDEIEEYEVDKRSNGRVESWAKAVSEEGVD PAEATTDVPTEPEEEREGRYDRQMNEVRVGESPSRPWGISVPYDFPPAPHYTENDLQA SPSAPAITRDFEGQPPVSRCPFGHGAKAEEVTEEPPSSDREDIPQARPAGQCPFSQNM DPNAPLPKDHPTVTPQDSGFGATYESQPQPEPASQPAFIAKPEAATTTGNNGGPQMLF TGPVFIGYPVEQAMALMQQWQAGANQPR VE01_06820 MPATTSNGIADDANCGCADTAVVMKMDNSTTAALTDIVDSKDAK HAACAFASLPDEIIELILSTCDPSSFASLVLLNRNWRRVSQQAQLYAQQLSRCRSYAT SHRTIALPVGDDELPKLRKAFAHEVKRNLYEAYLRPQETIIKLVSTSISSSAALPGGE AFHFAFSANGQYVLAYSTSRIHVLRFVGLDVTVERELKILRRPASITILDDGTLLAVL SIDHQVDLYDLRNGKPKHIRAIALDHHPRTISLSPGGSVLAAAYDGGIEVYSLSAAAL ATDKRGVKSDAADSLSFSRDGMQLMGTTIHSKNPNTVILTAPYFNPGEYSPEDSIGQL WATSILFPNSSRDCSHAVLLPSAADCGANWTFTYDRAFETFRAVRIDDLRNGTTYFTG PVADASSTQKLLPNTLPATTGSGDLVSAGFQGKEIWCYGVPENLDAPPEPTAEADSAD FGTPLSHKSSLSGNGQRETTVAPKDTNGNKVPKWQELSNRSRNSFIEGRHVSSLDGIV ALKWVTSSPGTSYERLVAAAPGGVGYAQGHAFDLNEDGIAPMDGGRLLLLDFDYSIVN GEKRTITIEVGEKEPEVLEEEHRDMETEVAIVRRRTVAQSRGTHPAVLRSTTIAVRPE RGPVFTLPRRLEGPPTSGLRRLSATREALSPTDETSETASMTSIDDQEALDAPYAHGT PRSVATLRRAATAAAINRVLHPPRFGQQPGPPRMRPADGHNEVPHESDADNWVPPPPP YSKDDIPTLPEHLRNAIQVGVTGLPHSLHRSSTQRSSASTESNPLSSLRRSRTTYVPM SRSSGSPASPHLSLASPISPHLAVTIPRPASSSSAISASSDINREPQPFQTPANADDF DDMYDVSPQRTPEPPAAVPVAPAPSNTIPRRPVGQPVVTIPESPVMSTPSVEPMSPIP RRAIPIPTVRDISVTPDNWDGDAGSASNTIPANEEKTRTVPEQTASTDGSQVQYVSIQ APEGSQFTSLPTLSPITPLDLHYPPSQDPAPQTGISPDAGSGVPAPPAELTPADVNLP STSLLERLNSRAQRPSSQQLSNYPRAASGSFQQLPVVQPPVMHPVHQNMYPESPNQVN TGLPQFGQTSFTDFGPPPRAATGAYNAQTTMSPIYPPPSHDQGYPVGYPSPYGDQQQF SPGGLRPHMTRLETIYSISSNGDAPAHHPTWPIAAGVSRRASRAERSAAINMQQAKER GWRGTMKKKGKKKKDYDVASSAGWTDVTTASYASKKEKKGKCAIM VE01_06821 MDSWTRLIWASCIRRSYYRSNGVGTEPSRLAEVSQLESLLPQKN LMQYTRLSWGSQPRQRAMADEAALWANLPLATKKIAGADEPATVEEHTAAEGAVATEV CEVEEEVAMGNGVGN VE01_06822 MEATATSAAIGAILAQVEARDQPRQRRTFLQYTPPEVLQRRRSI LDSMASELAMYEAAIAAGTPLPDSIPLPAYHPEQHQLLANGGEHRQRSTMDYVEFLRG AVRRGNENLARLREQSNRSLQGLLLMARKELDVADCANAAARSRTLPRAMGESTVTEE PVTGLD VE01_06823 MPRGHRPSPLAQEVHLRPVSEQPNLAIADPIFWKRFSAAIHEAE GAADIENGRARSTSASTGETMDKHGDDWLVRQRQKKRRCRVISWSITLSIALVIIAVA VVAWYFTAGPGKADGGKGKA VE01_06824 MALTQDPAIYNKAMSELPLTECEKQAFENTSTSIAASQMRNHLN KLSDTVSDEQEKKLFETEMDNFFALFRRYLNDKAKGSTLDWDRIAPPAEGQVVDYNDL ANSESVSFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFLDLSVRQIEYLNRTYK VNVPFVLMNSFNTDSDTENIIKKYEGHSIDIMTFNQSRYPRVLKDSLLPVPKSFDSAI TDWYPPGHGDVFESLYNSGILDKLIARGVEIVFLSNVDNLGAVVDLRILQHMVETKSE YIMELTDKTKADVKGGTIIDYDGSVRLLEIAQVPKEHVNEFKSIKKFKYFNTNNIWLN LEAVKRVVMNNELEMEIIPNNKSIPADKKGESDVSIVQLETAVGAAIRHFKNAHGVNV PRRRFLPVKTCSDLLLVKSDLYSLKHGQLQIDPTRFGPAPLIKLGTDFKKVSDFQKHI PSIPKILELDHLTITGAVNLGRGVTFKGTVIIVATEGSTIDIPPGSILENVVVQGSLR LLEH VE01_06825 MDEQPSSKQIAAARLVGRLFGKKQERKGNQDEYVASFLQRPSSD TLRMTGADPNQNATPKLAKLDTLGARRWPTASEVIRSRDSSQAPPEHRPSRPSRKGLV VRFTDKYPEIIGEGGDEAEAPTAHISASKKRAQSYPQAGAGQPPPGYRPNGGNDGQND QERSNQPPRDPRSQPASQAPAGQPWPPNAPRLQPSASQRRADVPGNYGAPAAGISAAS DPDHGALVARMQKEMKISEGQALIADQQNPRSSVQRGPVSRGSNTPLESFSSQVADAF NGQPKSPGTDVSSNSPPPSYHSDSAARSPRSNGGPTQNISPVNAEPHSMARLVANLPT PSPVNNESLDEFAGRIGYLYKLFELSAESKESLSASSFENLLRAASWWFLRGRSTIEQ VAKRRAPNSERLDILQQQGNADLAKCQWILSEILAHRPEIGDRSSEEITALERTALAS GQDDVARLLERYQALFSNLRKLTGSMKKNNIMPPHSNDAILTQGLNTGIWVDYPWQDP SIQTLFSGIPLSSILSPKGPDSISSTEALPLGDTENMFTYSRIHVGVCLTRDGHEPIR QPLPCILSVQRERNDKLITAVISSQDGVISIAIQPRNGSSPTWQDVAWDTKRDMIDVK LGKLFRARVLFAPGDLPTLWNMYEYTSKVQTSFLAHSDEVLVMETTVRSAHYATKEPT DQIFPKDAALHCKVRVFEKVIVEKSITGPHTRHRGVRLAVATGPNTKSLCGITHDLPT QRPIRYEHTRVDYGASLYLYFGDGPAATIGLTFETNQDRFAFHNTIIGGQRPNESTAA VLPLKSFSLTRSAESQSTVVPRMVLDSFEWSSLQVIIHNKGSDFNSTAVQSAGHLRII AESSNKDRITDRLVLAPGELKIRINTKGLHPRLSILRSEQHDLTISCTESQTPAEVRQ RLAQLLVLVAKVPTARHYSFTTHDDMHEFQRAITGFSVLFSGTAATFAISRRRMVVPI HKKWETQAACVQLLESDGVVQLVAFFEGFSHGESMNFVLKPTDSFESFSKSGNYGVKL ADAKFVLPVQDEAGMGADNGFVCLDQLEYPVEHDDIIVTFDIEDERDRFAKALPSETK HAFRFGSTKRRGE VE01_06826 MRVFPEPTQSASEFFGQSDNAPRNQRHMMLKMSADVSSARPEAS HFESSSGYHHKPSHPRRRGIADHKKATRAVRKAPRRRLLQYGLALVAASLCVAPVQAV LVPFENCLPESYINSVPKKLQFTPTFVDVSFDAASDAHNLLFKVYGNVSGTYTNVNLP PANDPAWSDPKFTDGKIENLPQPIYKYTTLYNKINVLTYQPWSQFLNFCDQLDNGVCP LGPAFSADIDHPDSFPYFKVSNSMDSSYAFTSLIATFLIINGDKLDPATNLGCITTEV TPDLGGSLSGMLRLVPFAVLILVGIATAVAGMWSPWGTTNLFKWTTNYGRDADLLRLV TPGFGDCLQYIQFVVLTGGLSLSYPGFYQPVVSKFAWSALMFDKSFVTKGDGTKALVD GIYVTHGAHGLDHISQLVGMTGVTDIWAGMAVWLLSIIAIVITVIQIGFFLQWAFRRF SRIQEEDLRSKNMPFTVGNVIRIVFSYFLLPITTFSMFQLVIAGSSPPATVALAALLL VTLVIFAGWLFYVVASSKPRSFLFDDLRTVLLYGSLYNTYSDDAATFALVPVLLTFVR GIGIGAVQPSGIAQIVLLAICEVINIVALHAFRPFHSPTSMNAYHTFFAGVRFTSILL MVAFAPSLDVSDGVKAWLGYSILVLHAIVLIFGFLLNAFQTIVEVVARLAGVGVDDDS GFAKVFGLRQLSRRLPRGAYSKQNQSYNGAQLVDRKSPQLSNGGLRTQSASSGHILLD GARPIDRNSSASIQPTNMDSYSSYTPTTVQDGNAFPFLPSAAVLAGQKDRGALTLNTV DTSDPYYRPPRARRPTIDAYSPGARSRGSWASGDWGMRRSSQPESLTAGDPFEGPSTS GRETPVPSYMAAQNQHADRPSQEHRRSQTDYTTREVDFYYGVRGPALNANVPNRRLRT GPVDPTNPVTVASGWFKNLLGGKTKEKGKGFEVVRSSRMPPGMADPRNGPSPESPPEG IPIAATGAIRRDLDNDEPTNVGEGNEMMVGAKSPLLSKHDDDDSDDDDLDEGFEMTRI SDAPPLLPELDRGKGLEIPSRFPSKASSRMQQPPLVDEDIPIPRKSSKRASQQGLQPP DASGQSSFVSSSPGTSARGQNGDHTGPSSHSRNDSVEPPLISLNDDSLMGNLNDHTRH DRPTSMGVVRNHSIRVTDQGSDVDEVRSSAAEIVSLSSQRSRETGRSVRD VE01_06827 MATAAQEAEIKQQGAFEASRDPTNPVSAADAEAKAMTESKKAGV QAYSFDPDATAEEKAAQAASHVPEGFHHEHKAKGYAIATDLDDGKPGAYDLPPPTTAG AIEPVKDKEGNIVQGQQEGDKEKWVDRTGWAPRFGNGVDPNAPVEESLLDHQTWVEGQ LPDKLYGDWYHNTAIIIFACLASYFIAVLGGGLAWVFLIMAACGTYYRTSLRRVRRNV RDDINREMGKAKSESDTESLEWMNNFLDKFWPIYAPVIADTVINSVDQVLSTATPAFL DSMRMRFFTLGSKPPRMEHVRSYPKAADDTVLMDWRFSFTPNDTADMTAKQIKNKINP KVILEIRIGKAMVSKAMDIIVEDFAFSGLMRVKMKLQIPFPHVERIEVCFLEPPVIDY VCKPVGGEYLGFDINFIPGLETFIKDQIHSNIGPIMYAPNSFPIEVAKMLSGSPVDQA IGVVAITLHRGQGLKNTDKFAGTPDPYVACSLNLREILAQTKIIKENANPVWNETKYI IITSLQDSLTLQTFDYNEIRKDKELGVATFPLEKLRDVPEHDNEQLEVLSNGKPRGVI ATSIRFFPVLGGGKTEDGKDEPVPESNTGIARFTVSQAKDLDGTKSLIGQLSPYAILL LNNKEIFTSKKLKRTNNPIWDGCHKEILITDRKSAKLGLVIKDDRGLQTDPILGTYQI KLNDMLRLMEKGQEWYSLAGDKSGRAKMTLQWKPVALTGVGAGTGGYVTPIGVMRIHF KNAREIRNVETVGKSDPYARVMLSGIEKGRTVTHQNDLNPNFDEVIYVPMHNEREKLT LELLDQENLGKDRTLGQVEVLASDYIKQGENGEYLVSDAKTPLSKGLQIHGKGSSKGT LNYDISFYPCLNIADPEDDEEEEKKKQHRRTASGLSAASGRKSIDSVATNGTKDAVAK ENAKANGDGTVNKDLASALAQGEQDQEDLEEEKTVPKIKLSPEELLKHDSGLVIFKLL EADLQETNVHVEVLVDDMLFPSYSSAEARTRQLTFDEIGDCFIRELEFSRLTIRLSRK GDVRGDDDDDDRIVATLTGNTMDTVKQCLNNPTILKLKDDRGHISTIKVSLKYIPVQM HLHPSESLNNMGTLRVDILDGVDMPSADRNGYSDPFCKFELNGLNVFKTHVQKKTLSP VWNEYFETEIPSRTAADFKCKVYDWDFAGDDDHLGDAKIDLSTIEPFRPQELKLPLDG KSGTIRVRLVFRPAYITRTRHGTSTFSNNFAVPGKIVTGVAGVPIKGVGMAASGIGAG VGRGASFLKHGFKSKKDKNNSVASVIVEDTDATANGTSPTTGVETIVTGGAIPAAAPY SDSLAPSHTRNKSVTAGSIYSTAGGAAPTGSATITIVSAEGYPPSSNVMVVIRQLPRE KQIHKTKHIKSPSGSVKFDETFSAACSADTQFQLQVKDHATFGSDEVLGQSVFFVDES GTQQEKIVPAGSGRVVVKSNFVQKEEVGAESPARGGLRKSFLGGKKENGRASREGTPA VE01_06828 MLKRRWTVGNRSSVDEGSVGGTEDETTVVEPEQGNVLSHIISQL RPGADLSRVVLPTFILEPRSMLERITNFMAHPETLLPMAEIEDPEERFVSVVKFYLSG WHIKPPGVKKPLNPILGETFTCAWEYPDDTKGYYISEQTSHHPPKSSYFFMAPEHGIH IDGCLKPRSRFLGNSAASLMEGIAILRLMNHGGPKGEKYILTQPNMYARGILFGKMKY ELGDHSFVRCPELGLTADIEFKTKGYFTGSYNAIGGTIKNDKTGEVLYELSGMWTGEM DIKNVLTGKKEVLFDALKTKPTPPLVRPLEEQGERESQKLWYRTAQAVIARNHEVATD EKTAVEDMQRREAAARLADGVEWRPRYFREVRTRPGESEEGEEGLDWILNADMNSDGA TPAEKAKQILGVIPILPGQRFEERMPIPPSRLSRESTRGGRLQDLRALDDPLAVGVSE SRVPVPPPMVNVAGNDLIDFGQDGAPVPVQAPVQEAPIHPVAPVPVPAFSEGAPIHSR APVPPPVAIQGGIHPTAYAPVPAVAPGSPIFPAPHAPVPAAAQAGPVYPTPHVPLPAD LLAAQVERGGLAQRQMEATLAETATGNNGKPGSQSPLLDFHKDLNQSLRRADSDTRSI DEFVDAEG VE01_06829 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TVLLPNLYQNPAYDPKAKLDAKQLQMHFDAFYEDFWCEMCKYGELEEVVICDNNNDHL IGNVYARFKYEDSAQKACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCQRAG FCNFIHRKNPSPELERELDMSTRKWLKERGRDERSVTRSPSPEPTRRRY VE01_06830 MSDIKESNVVVPEAASNLSVSSLAIHADDVLNGGHQDVAPPMHV STTYRYPSDPEKLVPWTEADHTAAAEGHIYSRHTAPNTTRFETILSSLLNGPAISYSS GLSAFHAILVHLNPKRISIGEGYHGCHGVISIHKKLTGLTTLPLDCPAEDLQPGDIVH IETPLNPTGNATNLKEYADKAHSRGAYLTVDATFGPPPLQDPFLWGADIVMHSGTKYF GGHSDMLCGVLAVNPARVKEGWVDNLIHERLLIGSVMGNMEGWLGVRSLRTLEIRVER QSLNTGKLVAWLNAALHPESESSVGDSATLSSGNSSAIREVLAKVEHASLQTADIKDG WLLKQMPNGFGPVFSIVMQKEELARRLPSKLKLFHHATSLGGVESLIEWRTMTDATVD RRLLRVSIGLEGWEDLRDDLLQAFTALAAEQKS VE01_06831 MAIQPLPSSTTRILGSCQALTTPSSLVKELIDNAIDAKATSIDV IISANTVDKIEVHDNGHGIAQEDLDSLGRHGHTSKLRNFEELRTVGSSCLGFRGEALA SSVSLGSVTITTRAEGEPVAFVVKLKATGGVSSKSSISHPIGTTVCVSNFLSAFPVRR QTSISDSSKTISNIKNILQSYALARFQVRFTLKVAKTGKGNWSYIPHPKGYIKEAAIQ VAGKDVAKQCIEIASPSEDDASIFDAADENETTTANPYIRIRAFLPSPDSNFSKLKGG HYASVDSRPVSCTRGTLKKVVSSYKQHIRAFASNTSKEAPKDPFIYLRITCPIGSYDP NIEPAKDDVLFEDEDAILSAAEKLFKSLYSTNIRPIPVDDTFNDLPAPQPAASHDSAI QQRVEVSKSMGVTDFQTSKVNYGTRNNKIQGHITSDAVVDKASGRELELLRDAACEPQ SPPSTQERSDHNTLNPWIIAKLNTPATERGLHGSRTLRPRPLRSYITPVDDTPSASSD DLFLTEDMPNRLTGSQTWKQPKPGPTQSSVETWINKQQTYETDDLAELQHGPKPQQSH HITGDGLSQSNYKPPYSNGFVTGFVTARELPVGLPWSPPPTQTKSKEKLQRKFKTPFI TPRRTEVVPGARENAYESSNLTPIGDTSPSQGLRRTSISAFHDDVDAAMDFERRKVTS TRRLREETAAVARLEAEAGNVAMQRMPVQENIIKTVLEDGDPRAYLMRRQKSMAAHQG PKLKRAKTMLLPLESIPDTLGTQNLILRMSIETTSVSRAMHLFAAADDYAKSGIRHDG LRMTDSCAREVEKSLNRLMAVSHGLSALT VE01_06832 MATNSGATNPIAEQAGPTSLAASGNDAASKTGAPAAGAEGTKVK SEKELERERKKAEKQAKFDAKKKGSATAPAAALSSKSKEKKAKAAEKAAEETLPEYVE DTPFGEKKILKSFDDPQYKAYNPIAVESAWYSWWEKEGFFKPEFTADGEIKPEGKFVI VEPPPNVTGMLHIGHALANALQDVMIRWNRMQGKTTLWLPGCDHAGISTQSVVEKTLY RKEGKTRHDLGREKFVETVWEWKGEYHQKINAVLRKMGGSFDWTREAFTMDENLSAAV TETFCKLHEEGTIYRANRLVNWCTQLNTALSNLEVENKELTGRTLLDVPGYEKKIEFG VIIHFKYPIEGTEETIEVATTRIETMLGDTGIAVHPDDERYKHLVGKNAVHPFIKGRL LPIFADTYVEKDFGTGAVKVTPAHDPNDFTLGKNHNLEFINILNDNGTFNENGGPYEG QKRFDVRYTIQDDLKALGLFVDKKDNAMKVPLCEKSKDVIEPLLKPQWWMKMKDLAAE AVKVVKNGEIKILPESAEKSYYRWMEEPQDWCLSRQLWWGHQIPIYYAQVDGDNSYDA DENLWFAGRTEEEALEKARKALPGKTFTLKRDEDVLDTWFSSGLWPFSTLGWPNATHD LEKLYPTSMLETGWDILFFWVARMIFLGKKLTGKVPFTEVYCHSLVRDSEGRKMSKSL GNVIDPQDIIHGISLQDLHAKLLSGNLNPNEVEKATKYQKTSFPDGIPQCGTDALRFA LVSYTTGGGDIAFDIKVIYGYRKFCNKIYQATKYVLGNLPADFVPQKSAKKTGKESLA EKWVLHKFTNASKDINRALEEREFMRSTNIVYHYWYQSLCDVFIENSKAIIQSGTDEE KLSALNTLYTALEGALTMIHPYMPFLTEELWQRLPRRQDDTTPSIVKAAYPVYDAEMD DPASEEAYELVLGVSKGIRSLMSEYSLKDEAEVYIQAFDSTSQSTVTAQTQSIKSLCG KGVSTVQILGASDSRPAGCVPFSVSAAAVVYVRVTGRVDIDNEIAKANKKLQKTHQGI EKQRKILDDPDYKAKVSEALQEVERKRLADLEAEQRGFEETIKQFEQLKLE VE01_06833 MSLPQRPGAGDLSPQQRRTSYRSSTGRPQQSQDAEAGYAGQDAQ SPTSRRTRQHRTERTGSPADTRAPHTPSPATPGAQDFQRKRSLIRPERNRIDRDHPNY YYRQHAANMTVLPSTTGNDPILEDQDRGDINGGRGEPDQRSSRDGPYGSPSPLESQGT MQGSEAGNFEKDHKSSKLTRGTNKSRKQTREERRRQRDAEVLKPPSLWNVYCSIVTFW CPDFILRCFGKPAKEQQRAWREKMGLISIILMVMAFVGFLTFGFTATVCGNPPTRLRV NEVDKGYMIFHGKAYNLLGSHHPAAMGIPERANILYVPESHGGMDGSFLFQNVNGKCK GLISKSEGSDVPSDDQGNLGWYFPCNVLNQDGSSDPKNKTFGPYLGWQCHTTQEGRDK FYGMHSAGDVYYTWDDINNGTRNLAVYSGNVLDFDLLKWFNGSQVTVPRIFVDLSNKA TTLNQGIRGRDITHKFQASGDKELAECLEQIIKVGSVDTETIGCIASQVVLYVALAFI ISIVGAKFVLALYFQWFLSRRYAASKTSQSSDPKKRNKQIEEWSNDIYRAPPRIAGDP GSTVAGSSDRNSRRASSMFLPTTSRFTSPYTLNGEKSGPRPAPTTMASQNSAAQLFPP NPMYRGQNDSRMSFPNSNPDGGVMSDVSGDGPGPAGFIHEAVVPQPPPEWQPFGYPLA HAICLVTAYSEGEQGIRTTLDSVATTDYPNSHKMILVICDGMIKGKGELHSTPEIVLG MMKDHSVLPEDVPAFSYVAVASGSKRHNMAKVYSGFYDYGADSAIPLDRQQRVPMMCL VKCGTPDEASKSKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNALWKITGMSP DFFEVVLMVDADTKVFPDSLTHMISAMVKDPEIMGLCGETKIANKRVSWVTAIQVFEY FISHHLSKSFESVFGGVTCLPGCFSMYRIKAPKGGQNYWVPILANPDVVEHYSENVVD TLHKKNLLLLGEDRYLSTLMLRTFPKRKQVFVPQAVCKTTVPEEFSVLLSQRRRWINS TIHNLMELVLVRDLCGTFCFSMQFVVFIDLVGTLVLPAAIAFTIYVVVVSIVKKPVQV IPLVLLGLILGLPAVLIVLTAHRWSYVVWMLIYLISLPIWNFVLPVYAYWKFDDFSWG DTRKTAGEKTKKAGIEYEGEFDSSKITMKRWGEFEKERRQRQAGQWNAHSNTSSYRDD YYDNNN VE01_06834 MASILADVNNPAEYIPRQQPSPGKQRMMDYGSADSSKENSGDEK PQAVIPMQSQGRLYDAPLSPVTINFQSEWARPSTAQIETVGTQLQENNGSNQTSESSR YENTDSEATVHDVAKRRQYGSHIVGSRHGSQHGILSNIESTAYASSSSGPVKDDREQA QSAPVSDASSTSSGNNVQAAPPLQYHHMQRRSDDSGKLGFGQGNELSVGNGDNGANIN RHLTPSSNYGGRRSYIQRPASAYSVNSDYGARGRSPIVLSQSPSWSGRALSAHSGTPD GSRPASYIDLLNAPYPQPPPVPHAMFDNSGLRSAVGSNASLLSTQKTLEMYRLNVKKT NDAQTQYAFALLLIDAAREIGLTEPNPATGKQSPKLGRDLESPYVETAASSSQDLLRE ARAILQKLADRSYPYAQYYLADGYASGLFNKGKEDYDRAFPHFTAASKHGHAESGYRA ALCYEFGWGCRKDPQKAVQYYRQSASKNHPGAMTRLGRACLSGDLGLNRYREGLKWLK RATDSADPQYNAAPYHLGLLYETGYGDDVFQDETYAAQLFTQAADLGHAEACYKLGDA YEHGKLSCPRDPALSVHFYTGAAQKGQASAMMALCAWYMVGAEPILEKDENEAYEWAR RAAECGLTKAEYAVGYFTEMGIGCRRDPLEANVWYVRAAEAGDERAQYRLAAIRAAAS GGIPMEVAEPKTKKGKKLQQLQARTSSLGVPNGPATPGPQDSTPRGLSTESPKKDDKE CVVM VE01_06835 MTSEAELRARYQTSRFREAGLQVSPTQADEGSADPPQAPIIDIA EPNISEEEEPRGLMPNEEDPAAANIDTEPIGGDEEDPAIASADTEHIRVDIEPASITD TQNDDGSALQRPNLADESLGIQTDPTPPASQPSTSNVPSSTPSLSNQEEIQAQTVTDD DPGDDDPGAGVPSSHESPVESDILTLPLNQSGDIPPQSPISAEPETLETEDIGGPAPA ENIDNGQLDGTVCSTRYLRDVQRSESDVEQERPLTSQRDEHEVVASTEDTGGDEATLN TTGPPRWQPDAEEMRKSFQPPVSGPATALPIAPLAGRDTDNLPQFGGTKVRLCNPCVP DPNTLPPQPQRTAADERWRDAPPTYYLSSNSRPYSFGEQDMENLERQASAASVRSRAV SSTGATHRHQRQLSQSPYPPRSSSQTSYVGGSSYTGPHHLNQGPSSYQRRYPNRNLPG PRHQSHQSLSMSSTGSPSNAQPHYRSLLDPFSSQQPQPSNSGVDTSRPLPPIPRIREE DECPVCHRELPSRTLPDFESLRSNHVTECIEEQIAIHSGRPRQQSPATVPARHERPSA TTMISSISTPEFRSNSPNSATSSASSQGDLNLAQAINLQPPAPDISSFPNTPEGRTAF REAQHAAVVLGHTRSTSHSPLAHPSSSFSPEPRRTGMFPYKATEKDCVDDAECTICLE EFEVGEQMARLECLCRFHEKCIRSWWEGKPGRCPVHGHDAWGL VE01_06836 MGSLAETSTLPFWHVNVPPEEREEECPPYLLSARGKDLEILGTP DSEYQRMTWPELQGHISRNRLDVFQRTPVELRRYFAFNYEIKMKYGSVMNFVLGEKLH WTHPIVADGKPFEKDSDLSIKWNDWPYGIDERISHLVVWTKFPLEEDPKMGGDLTDRQ RKQIDDYVEKTFRVHCGSDNVIWFRNWASLKSVHSVEHFHVMLFNVDKKFLDSITDGD VPISEKV VE01_06837 MVMPTNTIVQNYGPPFAVHGDVDNLKFSRQIKEEAIRNGIKKPK GYTVSWHLNPEIERHHFGRSHPMKPWRLTLAKGLITSYGMHYAMDSYVSRKATPEELA EFHTQDYLDYLKTAMPCEPVSYPSHPHNLGGDDCPIFEGLFDYCSMYAGASIDAARKL CNHQSDIAINWSGGLHHAKKSEASGFCYMNDIVLAILQLLRHHPRVLYIDIDVHHGDG VEEAFSSTDRVMTVSFHKYDKDNFFPGTGPLNSTGPEDEHNPGAHHTVNVPLNDGIDD EQYTSLFKTVIQSCVDRFQPSAIVLQCGADSLAGDRLGPFNLQVQGHGACVAFCKSLR LPLLLVGGGGYTPRNVARAWTNETSIAIGCDATLNPILPNHTPYRNHFRQTTLFPTPD ELLTESRLNRNTPKRIAEIVASINEELRFVNHAPSVQMQDIPPDLTGLRDEVEDEIRE NREDKDEDYRKEKEEAVGVDMEL VE01_06838 MASEAPKAPEAPKAPRAPKAPKAPKFELKTPKGTKDWQGTDMVI RDKIFSTITDVFKRHGAVTIDTPVFELKEILSGKYGEDSKLIYDLADQGGEICSLRYD LTVPFARWLAMNRDVQSIKRYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGTYDAMLP DAEIVKITTEIFTGLGWDGRYTIKLNHRKILDGIFQVCGVPGDKIRTISSAVDKLDKL PWVDVRKEMTEEKGLDGEIADRIGEYVVLKGQTDLLTKLKADEKLMANESMKAGLADM DLLFSYLKSFDALGNVSFDLSLARGLDYYTGLIYEVVMEGSAPGSAPVTLATTSAATA APPKPSKKPSRSKGADGEEDRSNDPSVGVGSVAAGGRYDNLVGMFSGKNQIPCVGISF GVDRIFSITKARMEAEKNDVKVRNNEVDVYVMAVGGKGFTGLLQERMAICAQLWKAGI KAEFMYKVKPKLQQQFKAAEDNGVPFGVIFGEDEFAKNQVKIKELGLHLPQDHPDKDG VIVEISNLADEIKRRIANQTGVAALTEKTEGLKVEAAESVDVPAGAAA VE01_06839 MNDTTLPKLPSATGQDAPPTAASERVSSDNDVFPFLVHSQESVT NGGPPEVDNGRLARQKRRRTSPEDQATLEAEYKRNPKPDKTARLEIVKSVALGEKEVQ IWFQNRRQNTRRKSRPLLPHEIAAFGLGGMAALSSDPILASTFGSSFSSSQGSDQVPA SPETEQSALPVTHTKGPEQAFPGTPSPAATSDNNLHGKGPTMEVSQPTITPLKANTSF QSSESFDSSFSASQGLPQSVPSTLGYLSNRWNAGSSFGTPPTSQTPAFMTPLSFDPQL PSSCPERLNASTISTPSSNVRLSLSLDGKAELVSTELSSSPPRPRQSRPSSALSSARR RSGGLQRSQSAALPFPSISPRDAPSMPFVPRLPTGRSRDARTWEFCADAEARDELTTQ AENESSGSAVAAISLIRSTSSTALKSNANKRNAPSGNSEKQRNGSGKKPMLVKAASSL AIMQTVGGKPRKNTTKPKEKDGVVLVQSPGGESDKENWIPGEGNEGSQTRRPLPSHRP KLGRTKALGNAKSFMGGNSSNVGRSRTKTTKSVEAEIFEDPEDEVVDVEVEKFMRGEV SPSKKGDLDCIQGLLSLSQGNWR VE01_06840 MSFSTMLNKIQGQPETSKYKFGRTLGAGTYGIVREATCHDGTKV AIKIILKKNVKGNEQMVIDELRMLREMQHPHIVKFVDWFESRDKYYIVTQLATGGELF DRICEQGRFTEKDASQTIRQVIEAVDYLHGKNVVHRDLKPENLLYLTSDANSGLVLAD FGIAKMLDTKDEVLTTMAGSFGYAAPEVMLKKGHGKPVDMWSLGVITYTLLCGYSPFR SENLQDLIEECSSSKIVFHERYWKDVSDDAKNFINTLLQANPDARATSAEALRNPWLS GKNATDHNLLPEIKAYMAKARLRRGIEIIKLANRIEALKMQEDDEDEAPADSDLPKNS EAAAVAAVAGSSSGSLDPRPPPSPEGKRSLSAIAKQSIFREVVMAKVRETKEAEQAKA IEAEANEQDAKKKSF VE01_06841 MLSASKRLGKELPKIHSSLPPGISLVTADGFAEWFMDIQVLDAN PIYLNQTFRLKFKFTNAYPIEAPEVTFVKTADRPIPIHPHIYSNGFICLDLLGKQGWS PVQNVQSICMSIQSMLTGNTKSERPPGDEEFVRTNRQTARDVSYIYHDNQV VE01_06842 MEMPSGNAERSGGGIGATTMGRKVSKKDRRKSSREIEDAIARVE ARFAAINLESSRRAAIEDALRSAGHAYVVAPSRSNNDSEILAQQTRLGAASGPSMETE YQMSNYHIPRNRSGPGQLSSSDYSSLHFGPQVPPGNAYIGYQFGNEAAGHEALPGANL NNAKEEYDSGRQLHEARLPGEGKVAVQNYIGTTKYYPDEDQYRSASGDEMIANVKYNP GGVVPRGPRAKQEKSIQFRGAAQQFTSQMSPSNFSDPALGMSAPPMDGFRDSQSTPSA PHVLPMGPPASVSHPIFDGVNEYSPPNRPYRGPAIVSSDMANTGDT VE01_06843 MTSSLYRLAGQSAKRLCMRASPSSKLVAPATTRSFATTPRRNAE PYQATRLVAVGDNFTSPAPRDADITANITPEETHREGENVENRKIRHYTVNFGPQHPA AHGVLRLILELNGEEIVRSDPHVGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMTNE QCFSLAVEKLLNVEIPERAKWIRTLFGEITRILNHLMSVLSHAMDVGALTPFLWGFEE REKLMEFYERVSGARLHAAYVRPGGVHQDIPIGLLDDIYQWATQFADRIDETEELLTD NRIWIGRTKGVGVVSAADALNLSFTGVMLRGSGVPWDIRKSQPYDAYDQVEFDVPVGV NGDCYDRYLCRMEEFRQSLRIIHQCLNKMPAGPIRVEDYKVSPPPRAAMKENMEALIH HFLLFTKGYTVPPGETYSAIEAPKGEMGVYLVSDGSERPYRCKIRAPGFAHLGGFDQV SRGHLLADAVAIIGTMDLVFGEEA VE01_06844 MAPYTPSQEELQRRKVVGINLETVDDVTSTDFPGHYAGEDHSWD LDLFRKNLKIQFHHNTQFNASFSVAGIDASVANAFRRILLAEIPTLAIEYVFMNNNTS VIQDEVLAHRLGLVPLKGGRKGLLEFMKWFSKANEEEGTEAGEAFDYNTITLHLQIEC TRNPDAAPGETDSNKLYINSAVHASDIVFEPVGRQPEFFSGDDTITVTNPDILIAKLR PGQCIDLDMHAIKGIGADHAKFSPVATASYRLLPTITILKPILGNDAEKFAKCFPKGV IGFEKVTKEEASTPGSGYEGHAGEKKAVVKDTMKDTVSRECLRHEEFQGKVKLGRIRD HFIFSIESTGQWDSDELFLESIKALKQKCVRFKRNLSLMTK VE01_06845 MATTMASQTPPSMLPSSSHSEGRWKYLDNIRKGQGGFTDESYEI DEDGNSTSGGRIDIQEQMKILVIGAGGLGCEILKNLALSGFKDIHVIDMDTIDVSNLN RQFLFRHSDVGKYKAEVAAKFVMKRVTGVRITPHNCMIQDKDDSFYMQFGMVVCGLDS IEARRWINSQLVELFDEENPDSLKPLIDGGTEGFKGQSRVIIPTMTSCIECQLDMHAP RAAVPLCTLATIPRQPEHCIEWAHIIAWEQEKPFSKLDNDDPKHITWLYGKALARAQE FNISGVTYSLTQGVVKNIIPAIASTNAIIAASCCNEAFKLATSAAPSLGMEENYMMYS GNDSIYTFTFKHEKKDDCPVCGNLPRDLEVDREWTLQELIDALAIVPGAQLKKPSVRA EGKSLYMRSPASLEEATRPNLDKKVSDFVNEGDELAVTDPAFARVSFRFRIKYT VE01_06846 MEDLLTPMSTAYKNIKEDQEDALVETRGRREALRKNEFQARSPR EALEILQNEPDFSNLISILKYLDTTNDISLSSPSPLSSQLINVLVSDTVPNYWAILSE RGKGSKTFKYKRERDLLLNCFRNATGLGAISARLNVLIDQSKITSKKGDGPNFVQSLR DYQSVLEDLLHGNAVLQGLWEALQSEPIPKQAALWREVTVLIGGSRLLNSAAEAHSII NESNNTVRETSWIADGVKYSRWIASNIQYWAKHLALESVRPWKHLTELLSKSLRLGYP DVILEAVLDLVLGTEDDLKVLQKLLDVIPTYEQKSVLNCALRLLVKHHLPSDPNYGDV AWWQEDTARVSAGAAYLSTIINGNAARKDMLLSWMTGLPGAGIGEPISIRRAAIATIS HSKYDLEAILEKSMQQFGDQLYIKHTPSMQQDVHAQILLLCAGYVHRISPMKLKILAR SGVYLNAVSNRLSASSERARFLGMLVGEAISGLVEPTGNQMDFKMEELKSPEAQWYKD LVGVIDSLGSVESLRKHIAPEAKQTKPSLPREVNKPIRPPQHSSKIISIEEIDDDGSE EEDDLVPYTKPDSDEEDSDEDPTLVVRNKPTAPVYIRDLISYFRDTENYDRQRLALST AASLIRRKSGFGTEVKDHAEELATVLMGLQDKYDIDDFNDLRLQSMISVLLCDPQRMA KWFARTFFDGDYSISQRASVLSTIAISARELGGLKEEDKSLTKTALASSNNFPSKQLP ERLHNIYAVEESPVDSLSHQLSKTMIQPLAASLADKATGPDILKVKTFSSRLAVESRR APPTVNALSSMVAESFFFPLTGRFFAHLKAYGGKNVIFESFLLSSYLKTLSLIMHASG SSTLQLPQMTSEFWDLLLAVRSHTIGDKSVREAVLFGFMTLLEVNGDKRRLSEKHGRE LLETQRWVEAIFGSIGEGGKEEERARTLAAGVLYRIREVVEKYQALLMGDLAHPAHLT YISFYAALCITIQAQSLELRNPRKLVLLKLSLSFYERSEGFLMQAKIEQNTSHDGPPK REYKRWDSNSLTRSQSLSVDSPTSTTHTTISSFRLPDNDSDDGFKSPSITTRGRTLSM DSTTSDFLAKKDYKNLFYSTIKHYAATPPTPPLSPLEDSLPAKRELKRSGSSSSAHSK TSSIDSMLDEFDFSLTWDANSRRSSASSYTSIASKVTTENISQKQAPRDTARDTAPVL FLKPPPPQFLKLSTPPRPRKRSGFAPSLPTIISDSCMAEVAMDSSSISSTSSPEHYSA RTRPLPPLPLITEDIPTCSEIQIPGDRKPRSTWGNDTQTPSSTVFPPPRKAHSPAPTA VPFHRPLPPLPLAVRPPVSGSRLNFSKPRPVPPPPPSQRTSPETAVEAISLFLLSQSR ARYNAHIPEFYSRIKYHMTEVRKMIADVQFKNAALEAEGRPVRTLSIKELREGTGCAM GVQWKVDKEEVVKRVRRARVEALKRRGEERKPWDGGRYQELCKLALEELKR VE01_06847 MADAAPSVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLG VEVHPLGFTTNLGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVP NWHRDLIRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKP FLWLARKLVGNATLEFVAAPALAPPEAQVDEALLAQYKQEMDVAAQMPLPDEDDADL VE01_06848 MQNLHAPTVPSGPTTANGGGIRTEGLTLPELQLKKESMEAELRA LGGVLESHGVDMNTNLMTPDGFPRADLDVAQIRTTRSRIIYLKNDYKALMEVIEKAVH AHFEFLQANPLPESDEQKPATTNGVHTLEAARSRPPVLETPFARVNSVVENSPAEAAG LKAGDLIRNFGYVNRENNDGLRRVAECVQGNEGRDVLVKVSRGFGAERQELNLTLVPR KDWGGRGLLGCHIVPL VE01_06849 MASNPPGKCCTVGVKHTGETTGTEITIANGSLDVYIAKPTNPKP GKAILFVPDVMGISKNANLMADQLAANGYYTLIPDLFNKDSLSNPWRPENFNLMNWIQ HGMKGDNPHTVPEVDAIMVKALDYLNEQGYKEVAAVGYCFGAKYVVRFMSEEKGKRIK VGYLAHPSFVDEAELEAIKGPLSISAAETDSIFPVEKRHKSEEILIATKLPYQINLFS GVSHGFSVRGDPSIPQEKWAKEQAFEQAVQWFNFHM VE01_06850 MYSDVSPTTSSSRLASSGRSILSRLTSPLRSRTRNATDFHIRAN EPHRQYSPGDIVKGIVVLTVVKPIRITHLTVALHGFVRVLKKPAAAGEVITSDHVVEI TSNKRSQYTGNGHVSLFHDEITLCGEGRLEPGIYEFEFNLQFPSQGLPSSIDFERGTI SYMIRSTLTRPTSIAATSTCERKISLVETVDIGPMSVPKPRIISLEPIAKNSRRHIRS AAKADQAATESGKSSVQPKPTESAAAEESANQRPSTSNPPSSPTSPLHSDLQSEISEG SVVSSSSTGYVIGHSTQSKPVSNGAETSSSKPAPNKTITAKIELLKAGCLPGDSIPIT ISIQHTKRIKSLHGIIITLYRQGRIDSAPPLSLFTDIKGKDLERLKHEEYYPKSKTGL GGLSLTSAGSSSVFRKDLSQTLAPIMIDPATLSTVITTSLRVPEDVFPTITGVPGEMI SFRYYVEVVVDLGGKLAGQDRHLPRLGMVSLPSMTNPNDPVLGTDTATAPTLAAWGGN IVDTDRIRREKSVVACLFEIILGTTDSARMRGRGNITKKGPADEIQTALPTPVPSVDS EGQQNGHAEAIPNEAEYHYDEYGQQWSQWPQGSHDQTYTYNDEQHPIPDQNQVPEYSQ STVPPPQVLPSENLNEKDRVRLAEQTLLPSQPLEEEAGPSAASQPAPTAPPDPDDDLY NDDYRPVAHAAQQRPPATALNGISHSSDTITPATPLAEGPQPQATATTVDDKRELERR RLQAEASSPSDFPDLDDTAGEGASGSAPQPSAPFLDEEDEFAGQYTSRHDGLPRYER VE01_06851 MVTYYEILGLPNSRDAARFLTPQLLKAAYRKSLLQHHPDKVQQV SSPEPGSTKATNKQPSSAYTVDQITKAYSIISTPKLREEYDRELALESQRTGEFGAQQ RNGFRTGVETVDLDDLDFDEKESLWFRSCRCGDDRGFLIREEDLEEAVDDGELAAPKS APPAAKQALILDWFHRSLSAHSFKDLEKALPAVASISGMVVKDYLQALSDEGLIKVEK IGSGNWYWAFVSDAKQSKEKVLHDLQAEETKLKISIADIKKHITEATAQRDEDDEMLE DNGMDRQALLEAHERLLKETASLDKELAAYSGSDPTEVLRKEKEIQSLKDDAEQFTDN LECIRSYLLDLTNDREQVALVMQSTCGDEYIPGEGLKEL VE01_06852 MASTAKHIQLAQALPPRLTRFFARYPPAAILPAQSESSQAPITG ESTQEAAPSPFKAHKHPETGKWHDPVFSLRRQADLVKLAQRHGVEDLMPFSVKSSAER LRRREENGLRVKGTGVGERVKGKESERQMKGRLEKRRQAMLDMPQLIQTWKERGHGRG WKKWPK VE01_06853 MSTSLNGKTESIANGINGQGDPPPPPPSDSIPPPPPENFAPPPP QDLPPPPPSELHPPPPAPPQEPKKKAGWGAPKGRQPLSIEEILQKKKEADQAAAKPKF LSRAQREKLALEKREKEVEEQRRKTEAERQPIAAAPRQAPNGPGGSDRRRDEDRRGPS NVPTGPRSMRHGDAAPPTGPSGRNGNNGRQQGNMGPPSKQTANSGKGSLAGEKRPANG ESTEAALTRVRYMGAEANQSTFSANKKRRKTTEKKFNFEWNAEEDTSPDYNPIYSQRA EVNFFGRGRLGGFAEDPESGVFKYAKALEARDSEAGSVRARELLEMERRRKEDAGGRN SLDKHWSEKKLEHMRERDWRIFKEDFNISTKGGAIPNPMRNWGESGLPKRLMDVIAMV GYDEPSAVQRAAIPIALQARDLIGVAVTGSGKTAAFLLPLLVYISELPALNEFTKNDG PYAIILAPTRELAQQIEAEAKKFATPLGFTCVSIVGGHSLEEQAYNLRNGAEIIIATP GRLVDCIERRLLVLGQCCYVIMDEADRMIDLGFEESVNKILDALPVGNEKPDTDEAED PQAMTQHLGGKDRYRQTMMYTATMPSAVEKIAKKYLRRPAIVTIGNVGEAVETVEQRV EFVSGEDKRKKRLNEILASGEFAPPIIVFVNIKRNCDAVARDIKHMGFTSVTLHGSKT QEQREAALASVRSGQTDVLVATDLAGRGIDVPDVSLVVNFNMATNIESYTHRVGRTGR AGKSGVAITFLGNEDADVMYDLKQMLTKSSISRVPEELRKHESAQQKSTRGGGGGQKK IEESSGFGGKGGGW VE01_06854 MARYDIQQEDSSTLPAISPRQSNPINTLKVDDRHQSSPRGSTNH SKGALGAADGINEIHITPPRRSDTPDDAEGWDTVDNITALGLAFGYSNTPEQASPTKK RFVLEELEPTHEPPSIQLTRPPFEKWVKGFNKNAARRHRRANSIAGTSVSDSDYHSLQ SKIRTRHGLRKSVSGSSLGFVTAVKSASISLASFSIATKSRNRGHSSKHQKTDRSSRA SNIGPRTSEDSAYAARGIANDVAVTNRSIRRRRVLEEIISTEEGYFGDVKFLMNVYVT LLASVPMLPSLRTSISRNLSDIVELHDELLGDLHRVVPHSEYTQPDYISSPAPEKQGH HRWRSLDAVPENSSDLSWVQKIPGMTAEAQVAADVAKVFGKRMHRFFAYEEYGAKYEM MVKKVASAYKTLPQWEEYQKGLEALAASLESTNIQNGQPNKSLTVGDLLVKPIQRVCR YPLLFAELLRQTPVCDCPESHMEIEKVLVRLREMTSEINKATEDPLMKPTMEKTWLLQ DRLLIPDQVDPQSKTLIRSLGHVHLCGVLHVAWQTKNGVDGQHMITLLYRDCLVLATV EKLESVYNVGAIIFFNDIRIEEADNGKGIQCHTAPYSWKIVFECDHQLFEIIFSACSS KEELEWRNRLNICTSRDHTDSYDQAFMTTVSLGIKSLGTVFGKPGTVARKLSIRRATT VGPKSPLCQVIIKNTSATKDTATPSLNAAVNRSQSLLGTNRIPVLAPSRAERVRLERL LSDVWTKQILPYPGMSGRARSEHIVRSSASSMMRKLSVASITSNFAKLSGSAVSPQHA SGSPGEDNSDRKVVISDKDPGSPARSNVLKTTANNIQPTTSINDLDLRFDSTFDEKMA SLAAPPVTESSPIGTMKRLAALRVKNVLQPDKNRHLASPPSTPRKISLGRKMMRSVSG GSVGGHSGSPLSQISRESENQTLVKLI VE01_06855 MARGTGVQSKVHYKGSTDDYVVFVEDPAMLKKWRGDKTIALVEV VDAFKVFTTGKQGAQGELNTASKSQLENEFGTSKEDDVIQKILQEGTLQETEATARQG ITNETIGVRGPF VE01_06856 MGKLIKNHWARLIILSASIYQIAAAIEGFFWPKIFWDFLTKNLD GAVKPIPVLQSINLVMGLVITAWEWPLGIIAKTALHRSIEARLVVYPLAALSAALLYQ ATNPAIYYTIGIVVYFWAYSEGEIVVEKPWSLPQRGRPGKV VE01_06857 MFSSSLRKRPQWESVPSNLLSIPAPQWQEKTLNTHDIDVPVYGS GGHEQRMCFLLLSASDLDTQEEVVERVERLSLFNEGQHVGIVFLLKEKDGKNGFTAYI KLQTILLDLRLEVSIIPLNSLRALSTAIFVCQRQLLLAKPIIAPVSPVTILPHCAARA QLLEHTRNVLSDMFHGFSELAAAATTEDGQNAIKEYVPDKGQAEQAIEFWLSEYVAE VE01_06858 MVPLPLFKLASLFVRHISKYGANRIKIQAHEHPSLRRIAAKYGQ TIHQITMRVSVASMRDVAAEKRAKEKAEAPTVKTAEQVKADEEKAAAKAAAAAKAAAT GTKEKVSSYDPSRSIWTRKFRPIPEAKAVDLFADVLGDAFVLLVAGGLITYEYVKSKS KPDQNTIKIAELAELLKDEERRVAELEEVEKKQQLRVDVLEQALELLKSERATGKLKT A VE01_06859 MEGESCMPPVGWLVYEDIRLPQRIIEHVIRKRRELEPQLYPSSD SDTEIDFEPPRDPWYQEIGPVHDVCYQDDGEEIYCAHDSNEKQLLDNLACLDFEYHDR EEEDQLEEDETYEATTKEAFATVKPYLAWECLYPEGIDMAALSPLDINANLRPRQAAH KERTKAPPAKVSPREKDHPPPPPAEVYEPPSSDRPNGATYTTGKLLGKGGFAICHDGV LADTREKYALKIFQTELQIHSKMRHANIVQFHRAFTYQESTYIVLELCPNGSLMDMVK KRRFVTEPEVRFYTIQIAGAIKYMHLKGIIHRDLKMGNIFLDKDMNVKVGDFGLAALL MSGKDMTACRRTTLCGTPNYIAPEILEKGKGGHDHAVDIWSLGIIIFAMLTGRPPFQS TTQEEIYRKAREREYDWPSLDKTNNYISQEAKDLVALLLQSPEDRPDCDTIVQHPFFS SGWVPQEEEMTPSLRENSPDPNQFATLSLRGGRASLYARNLKALCVKSDVGPWSATQK VHSSTYREVAAEEKAGLTPAVPLADNVVYRPFDEVVREHKATLAREESRAATKPKEYD QKPLTHRPVTVAAASKTVPRSFAAQQRAQNQPPIVSATVRRPRPQAESGPSTRRANPY DQASEEEQASAETRTRSRREPSRSQAKGKGVSESVVATEARLGADMVQQLGKPRSETT APSVKSSSRDPATASIFSPSENAEFLHRSKPRHVTKNLQVLYAEIERALNSRSVGPAR EAPDSEPTIVVKWVDYTNKFGLGYILNDGGVGCIFKSLPVSGDPNTQIPPTCVVVRNA EKHLQNRRNPNYPDRNQLVPVSGADIEFFENNGDEGISCVKVSPRAFAASEEYGVAGK LGRGKDEWEDRKREKIVLWRKFANYMTVFGRDQDHPYDDALNRMSLDGDSDSSDPNKS NVVTFYQRFGDVGCWGFRNGSFQFNFPDHTKMLLSADGTWCDFYHLPLEAARDLALTG NLPSAALDDRQHLSFPLQAFLNFMTKPSTRNGMTSRRRGIQVDPMIQGIPAANDFRRK VEFIKAIVGEWITNGGIGRSAMEPESRLRWLGNRELVNVKVPFKHVWVTVGAYGGDDR RVAWFDPREPSQVVPDIEA VE01_06860 MKQLANRTTTRSFGRYICRSCSHQAPRQRRGYATESSPELYDVV CVGGGPAGLSVLAALRATAATSGLKVALIESQDLSRTRSWSLPSTQYSNRCSSLTPTS VEFLDKIGAWKNVKRDRVQPYEEMQVWDGVTGSRIEFDWPQGSQGKTIAYMNENLNLT SGLLKRIDELSGVSIFDNTKVENIELGQETETADLRSWPIVQLSGGRQIAARLLIGAD GANSPVRAFAGINSSGWDYNRHGVVATVELEGEGWGGEDRKVAYQRFLPTGPAAMLPL PGRFSTLVWSTTPERAALLKTLSTEDFTAMVNAAFRLSPVDLTYMHTLTSGQADEYNW RSQHTSSDTNRIPQRVLSVQEGTVASFPLKMRHADTYIGERVALIGDAAHTVHPLAGQ GLNQGQGDVESLVKTIEYSVTHGLDIGVSMSLESYNADRYAKNHVLMGVVDKLHKLYA FESGPIVPLRSIGLSAVNALGPLKHFFMGQAAGTGAKIL VE01_06861 MPPPFMPCGPGCTGDCQNPGGGFPGPMPGGMNFPGFPPPSGPGP STFPGPYPQPTEWPGIGPGNFGGPSGGGGAGLSESPYVYPLGTSGQRINLLIDTGASL TLISTAAYNKHFRSIPVCADKRINLGGIGGGLSPSYQRFTMPLTFDFGNGKATVEGEA WICDSGFDVDCLLGLPYLRANQMSLEWGSNGETDSVMVQGHKIPINVESKVVQYLVGN RSGRQRKGRLIRLGGKRK VE01_06862 MAPPRRPPPGFMPHKFGPPPQMRPFPDRGFDDFGGENRYDREFS RLQRGPPPPRHSSRHGLRDFADMFGGLNLDHQGSSIGSKGGNGSHGIDRDRSRDSETP VTFPLSPSGKKIHCIIDTGASMTLIKRSSLRRYFPGISVTRGVGITMSGISGKGDSTD ERIMLPLTFVGENGEPIRVQGEAWIVEGKHLDTDILLGLPFMRDNGMHLQWGGGSGSR SGGDHVACRGSRIPISIDS VE01_06863 MDFLSAKSADIQSTKTKAVEDARQMQVSVIEECKKSSRDPPNYL LLELIGKGSFGRVYKAKDLTSTAVVAVKIIDIDEGDTLNPRLADTYSEFMKEVNALKL LSETKARNINHVIEALPVGKAMWMITEYCGGGSISTLMRPTPKGLRERYIIPILREVA EAVYWVHQAGIIHRDLKCANVLITEEGGVQLCDFGVAGMMETSLDKRSTFIGTINWMA PELFNANPHYGKEVDIWAFGSMAYEMATGLPPNARSGITDGAEMGDYLKEHIPRLEGN QYSEGLKNIVAYCLEERVSDRPDIQSVQMHPYIYNTNNAYPAYSLAELVRAFKHWEES GGSRKSLFMLGGAQGPSDSFPSSEDQDNWNFSTTAAFDQAVGDSTNLQDVINVYGSSV DLSTEFAEKTSKPSRQQQARERGRRRPPPELLNPIKAPLEKLFDPNTISNYEDNSRIH YGRDFVMPVSDLPLRERDDTAQISIRDTMIDLGGHDIETGLSSFPDLTIRPDREIRAI DTDDTDDIEYSYDDNTMHGFGRQPSSDSAENPNRRTRDWKFPSAPAASSDVETSRFPN TAAPARPPVTLGSGGRPKLVHHPTEPLGAGFNNFMASAPTSPRQSIRESLIDLDFGLP DSAPEYVPDYAPEPSRPSTADSTTSNEFVSGNPFDLERHASTSFRNAPREPSLYLPGD SGFAGHFRARSNLQDVADISDFSGSDAEQYGPPNGQHYGQRSQQMFDYSDADYSQPPM IEKPFNTQSAPSWTTPQGVVYGMDRFPPLPIPPSMGALAGTSTSEEMAGELQRLLGGL TTQLEAYRDVAESLPAGQNAPSHREKTTRME VE01_06864 MAPMVRPPPTHFQPSGSSASKPTPTKTLLRKSTLAGKRKLDDAG LDLDGDDMSASMNPAKRSRTVTFNPLVQEQLFTSSPEAPTDLYDVRRLVKKALDEHNR TGGDDSRYDGVKEVFSTKNRPQGKAENDLMRAHLLALTNCVSLLGKNSSGLVRAVLES EWVGRDEPYVRAYVNFLSNLASAQGAYVGTVLSMLVGKFSTLRISNGRLADCPIVHRT QLSARVHTALKYLLRLIPSASGTLAPILAAKFPFSDESKKAHMAYINNLIKIIDYAPE LKSDIFALITERLVKIDVQMQVDLDDMDDDVAAAVVQSVSIRSDLTGEDDSEADDSDS DSDASDDSLDDKENRVKTMHENVEKMDAILDTLFELYTPHFEDPLSSSAISTFDTLLS HFRNIILPTYRSRHTQFLLFHFAQTSEHLIDTFAGECVDLAFKSGRPAVLKQSAAAYL ASFVSRGANVLPHVVRDVFDYIGTNLDLIRSENELSCRGPDLQRYSTFYATTQALLYI FCFRWRDLVVSPSAEEGEIDEEDFVGRDLEWRAGVKELLDRTIYSKLNPLKICAPSIV EEFAKIAHHLRFMYVYPLLATNKRIRLSQFALGAGNASSGLLRDSGFSTGVATEDGEE ESWHQLEAYFPFDPYQLPKSRRWVENDYVAWRGVPGLRQDEEEDDDDSTDSGEDDSGD DDDDVEVDTATDDEEN VE01_06865 MSASPPKEPDVEQGVQSPGNGEGPMDSQDPHASGLGYEFEVKEQ DRWLPIANVARIMKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCHQEKRKTV NGEDILFAMTSLGFENYAEALKIYLAKYRETQSARGESQQNRPSSQGFGSAAAVGSSA GVNAAGGTFNSTEGSNNILGGQQAESGEHDPSGFGGLYSTGMQGGHNGSVGGDGY VE01_06866 MASQITAKKQQELQNQYSAYKNGLQQIAQKIGDVEQEAEEHKLV LETLTPLPGDRKCFRMINGVLIERTVEDVVPALKTNSEGLGKVLEDLVKSYKRQQEEM EKWKKKNNIQVVQQ VE01_06867 MHPHLHTEDNRACEDVMNMLDECHSRGFLYKAVGMCNGVKRDVT VCLRAQRVERTAANREKARIKREQIKAIWAKIDEES VE01_06868 MVNEVPAYVLAALTAGGGITGYIRTGSLPSVIAGTAVGALYALG GYRIATNQQYGLELALLASLVLAGSSIPRAIKTQKGLPIGLSLLALYGLFTYGTAYKN RL VE01_06869 MAAKRNARDVTPSPPINKSGTSTPTTPAPAVKSATSKSKDANLS AQEVAVAMWDNYVKATPQRTKLIDVFMAFLVVVGVLQFVYCVIVGNYPFNAFLSGFSA TVGQFVLTASLRIQTNAENKAEFESISPERAFADYVFGSLILHFFCVNFIN VE01_06870 MSSKTAGSSFNLLYFASASSFTGKDSDEFEAPVSLSKLFDLVEA KYPGIKSKILDSCLVTINLEYVDVPKSGTGEEDVTIKAGDEVAIIPPKVESSYPQNPT ASSEPLANIVNQADLTSSTTLPPLYHPAGYDASQQPNYSPDTPQLRDFGVNNNDDGAS DPNQYMAQAGGTPEEGTNDLKRPRACEACRGLKVKCEFDPNNQEGPCRRCTKARRNCV VTQPSRKRQKKTDSRVAELEKKIDALTAVLHATRSDTIPHSVTDTPESLERRPSNPYE QVTNGGYGPQFGMRPEPQNSKPNDWAVPDRQYPRMPDVDRAEPVQIHRPSIDRADSGS TARPQIVVAGQKRKYQDARDSSGQSSAVDTQSASQFPHRMNSYISGRDEGTNSPLSTR PNASNEYADVIDRGVITAESATALFNRYVNDMSPHMPAVVFPPGTTAADIRKSKPTLF LAILSAGASTDHPEVQKVFTKEVMQIYADKIICHGEKTLELVQALLVSTIWYWPPEHF EELKFYQLIHLAAVMAIEINISKKNSSSQAPKSMAGLWKDHLWRRTAFPDASSIEARR TWVSCYFLCCNAAMGLRRSNLIRWTSYMGECVEVLETSPDAAPSDKVLCQWVRSQHIA EEVGTQFSMDDSFASVSITDPKVQYALKGFERDLEHWSSHIPNDIQNPLLKMTEHLVN LYMHEVAMHVDHNVESFNAPFTEEKLRGPDNPDQQASRPLTPTHIAALSTCLTSIDGV FEAFMSLDVDTIRALPVMHFVRIAYAVVVLIKMHFAAATPGSELGKVIDKNNMKVEQY LDGLLERFKATAADNKSRPGSKFLMVLIMLKTWFHRQTNGKANNMPTEAGGQGKTNIP KHHHQTPEHQQQKTFSPANTPLQLLSEVATGSSAAQTPRLDTRTQYPPMSQRLWAQQA SQPLNYPDSNGAHAAQGYNNMGYNIDPALGANEPVEYDPSAGDGFEQTVGMTIAEGQL GQYFSDDAFFGVMMEGLPPISFDDFQWNSGPLDLSNKGGM VE01_06871 MDVSRNVAKTVASRSANWTTRIIGTTATSSSTFSHSAPLFNNRG IITLPSNVRHGRHSLISSNTGYTFINKTFCSRGLQTISSPKATSQSTQLAQEGGNLEA SAVSVDNALPFPKVPQPPKLPIRERLRRWEAENISEVPVLNVSFDQDHAKHGEVTNLY TRPVEGDQIREVDDGQQLEDPPLPLFEGGDLVGFGSERSFLLRGDLVELRTSDGLRIE LAIFVRELGIQSQFYTMSGRWVHKVSRNARFFVPNFVSPEEVDPLIAYLPDADVPEAI QDRLQSFENALPRSIGAPLLQKMADFGHEADAVYRENLDTLDNAYNIVALPQQVRHVS LPRLTKEILKLPRNTNTESIAPATLYAVYRTIMNEGMGFSVQTAGNTRSWGLFEIVPR RIIDSIKMVTRIIRSWQVHTVTSARDGESEIRPLGQFMAEFESFLETARRAIDVSRKS RASTMSGQVGPAGAVVDPGGPVKFIEQHFDTSDLKYIRFMKCWVAWDTFSHSSPMNGI GSAILRATGRYDVQSLNRSTGWQFLQEIGIIAPWETKASFELRLPGYGYYKHAMTEKQ SGKEPEDGLAGMRKDWKEQTVYCIDDTGAHEIDDGVSIEASETEGQHWVHIHVADPGS VFGLDSYPARRAKEAIQTVYMPDRVIPMLPDSIVQEKLSLAPNRPTLTFSAKMNEKGV MIDHKITAGTIRKVVYLTPATLAELLGNKVTDPKEVLAVGDSETITSFNDGVMHRDMA SLDSLSASDKANLKLLASLGDARRKYSLLRGGIDLNNARASVIVKIDKDIDVDCYNSP RNSTHVHSDPFISISIPPASDDGPSIYGPVTNLMTLGGEIAAAWCSARNIPIIYRVTQ AHPDKPDPGAFFRANVLPYVLRGESVPKNVQSAYVMAQGPVLPSSVPGPHVALGVEMY TKCTSPLRRYSDLLAHWQIQAALLEEAKIGESLVGSSREDYLPFNKVKVDGLIPKMEG REKALGAARRGAERSWALQAVLRGWKFGQSKGWRDEWEFRVLGMMGGMVNGFVDGLGL MAMMDMPAGMEPDDIGEGDVFTARIKKVSPYEKSLLMEPVTRVSTAGSVEQGE VE01_06872 MNKPHRKQAYALPVLERLLHDGETFSKSGTWGRRQVEQRTDPAR GPSEMGGRIKLAGAEVVGFSAPESGGWVGLGFRGTGWGVWWSKVAGGLRPEPVAANSH TKKIQREKHRVPARVENNNSFRSRAQARGRPDGAE VE01_06873 MDSTYVHPPPVMGQSPFFYYNPDPKPDNRQHGHFSPHPNIQVQT YQHVLPSTPTYSRPTSSCSQPPMPMQVFNAAMQASMTPMASPRPMYQKPAILIQDHNS RLYDSESVDADAYYFPSTPPLSSPGSSVDSPSSYDILPTPLEHTSFFGIENFEGVKEG CQGEVQSENLAGLDWARCGSPPMTPVFIHPPSLMTNASELLSATACPSLSPSPSPYPR SAISEQQDFDFCDPRNLTVGANDSPNPTLSVAFPTLPTLCTGDEEEHKLMLGGEIAHK AAENNFFDYTAHAHHGLPIFEELSDLDSEDEFVNGLVNFPATDNVHFVGNKRQRTEST LSADDSFLCDNDFEDFDESEQFAVACLPSPPSSASEECDALPEKHNNKAEDTDDDSTE FDAMVRSRKFTVPMDGAEGAPAQEASEGQQQNSTAASQSGSENAGNNATGPGSDAGTG ATQQAPVNRRGRKQSLTEDPSKTFVCELCNRRFRRQEHLKRHYRSLHTQDKPFECHEC GKKFSRSDNLSQHARTHGSGAILMGVLEDGELPSDHKYGESDSEPEIRNYGKVLYQVA AAAPGSDGEPSSSDSDSQSRKKRKRSE VE01_06874 MAPPPKPLNVIALISGGKDSIYSLLHVLSLGHRVLALGNLYPQA APHVTSTPSTTSSTSTTTSSTTGSNSAPAAADDDAPDPDSHMYQTAGHALIPAIARAL GLPLFRAPIVGGAVVGGSTYAPLSGGEGGGDETESLLPLLRAIKKAHPTADAVSAGAI LSTYQRTRIESVAARMGLVPLAFLWQYPCLFKEDGEAGLLAHMEEVGMEARIVKVASG GLGEGELWVDVVSRTGRERVGRKVGRFGGVGGVIGEGGEYETVVVKGGREWWSGRVGE GERRVVSGEGGTAWVEFEKGGVVVEEGDGGGEGGKVEVRKPALLEKSFEETLKAVLAA MEPAASKDLRVGIDDTTTTALSASATWKTTAHPNSNTWTIVPSCTSSPPTAAEAMASI STQLSALLSTHNLTIKDVVSTTLLLTSMSTFASTNTVYASLFSAPNPPSRACVSVGSL LPDSAPVVMHISLSSAPARRALHVQSRSYWAPANIGPYSQAQCAPLLPGKGGEGVYIA GQIPLVPATMEVVDAADHELVKGKEGGEVGLQVVLALQHLWRVGLAMDVSFFASGTAV MARCGLEVARRRARLAGVAWDQRHRRVVEGEEDGEEEDVDLWELTNRRGEEMMGSERQ EKALPEWENVEGEETGLGVSPFWAAEVEELPRGVDVEWLSGAGIVGKNVKVRSVASGG GSGVHECVVGGEVVITTMFVGYEEARGKGLVRVLLGGVSGKEELGGAEMVYFDAGIEE LWGEVGGNVVPCRSLWDKDGTRLGAVVVFKSEVL VE01_06875 MASTTTRTFARAALRSTPARTAAYALPRQAFRQQSRRGYSSEGS AAPKASGGSALYWLGGLLGAAGAGYYVYGQQNGFSAAAKGPFQPTFEDYQKVYNEIAE RLEEKDDYDDGSYGPVLLRLAWHASGTYDVETKTGGSNGATMRFQPEGDHGANAGLKA ARDFLEPVKEKFPWITYSDLWILAGVTAIQEMQGPTIPYRPGRTDKDVAACTPDGRLP DATQGHKHLRDIFGRMGFNDQEIVALSGAHALGRCHTDRSGFSGPWTFSPTVLTNDFY TLLLEQTWQWKKWKGPAQYEDKATKTLMMLPTDYVLIKDKGFRPWVEKYAKDNDLFFK DFSAVVTRLFELGVPFKEDTPKYEFKPTNA VE01_06876 MKGSFFAVAAAFAVGASAANHHAHDAFHKRGMAPSGVAVGTAGA VESCGCTTRWETVTGEPTLHNPPPTSTKETSSSVAPVPVPTTSEEPIVPVVTVPVIPL PTPSPTTLQPGTHTIPATTITVTEETTVCAATSTEVPAGTHTYGGVTTVVVTETTVTC PVATVSTSNGVTTSIIVETTYVCPSAGTYTIAPSTTTVTESTVFVYPTPTTVVPGTYT QPAITTTITETDYVVYCPYTSSVAELPVPTSTSPAPVETTAAAQPIKPVVNKGGLGTN GDQWAITYTPYDQAGACKDASAVLTDLKDIASKGFTAVRLYSADETDCNGLENVSAGC EAHGLQLIVGVYIKETGIEGAKPQITSLSTFSRWHLVILVVIGNESIFNGVCSAEELA AFITSSKQVFQGAGYTGPVTTTETLNVLQQHKGALCQAIDVVGANIHPFFNSATKAED AGTFVKGQLDIVDQLCPGKTGINLETGWPSAGNCNGEACPGPFKQAAAIKSIRKAVGG KSVVFSYHNDDWKNLGQFDCERSWGISSLFDVVGAVVDGVVDVVDSI VE01_06877 MQLFLLRRAPSLDPSLSTLTADHHSPHTGDLAHNISQYRSGLLS FAAVASPPRLERRKFLKRDLRNGNVIQASTLQLQEIDNHSKLLLGIRPHRDFQSTPAL PKSSAA VE01_06878 MEPVPETERMSEFRSSPVPTLRIHEAPSFQPYIPPSNNPSWSTK PPSTRRATDPTPSSPLGWGPTTVAYRPRNVSPYNRGHVRSHSAASTPTPPMSRAKSMP GVSRVGFFNIGSPARPSSPAGSPNRNRALRKPVDEAFPVAPPLPNRGLKIDTSDHVAI PEEVEEEEEEETPRGYDRSASPNLLPSMSNPHLRRSSSPSRNLSIHYPTSGATTPTSP SSITSSPLYPTSRYDGRYDSFNSYPYATSTSSVPTTPSSLRSRSPSISSLETIPDTPD AEEAALEAERIAQLKAAADAVEGEEGGGGGSDAVVGRGRKLSPGFGRDKSKRWSVCGA ERRGDIDLETIWED VE01_06879 MRSLRFRRFSPLPTLLGAVFLIAIIVFLLSPSSNDSASYNFRKA SAASNPLSPPTSPFRKSAGSKNGKRIPPPVIRYQLNNVTTTSTPIENRETILILTPLA RFYQGYWDNLLKLSYPHELITLGFIIPKNREGNAATAALQEQITKTQTAGPESKRFAS IIIERQDFPPPLASQDEAERHKMENQKVRRAAMSRARNSLLFTTLGPSTSWVLWLDAD IIETPPSLIQDLALHDKPIIVPNCFQRFINPDTKKLDERPYDYNSWQDSETAQKLAAQ MGPDDILLEGYSEMPTYRTLMARLYEKGGDIKTEMPLDGVGGTALLVKADVHRDGAMF PPFAFYHLIETEGFAKMARRLGWFSYGLPNYKIYHYNE VE01_06880 MNLNRKYAALPDLDSAPDIYETPSLTDDNSTAPDGTARSGSASS HYTDFDSPPGTPSHSPQISRARLHPDTARSRFEPSLIDAKDVDFSDRISGKKKSYRAS SRRVRVLADGTREMGDLSDEEDGEGLERKMARLRREVEEVRVEAEQRRRGREGKDDDE GGEVEDEAVGLSRLLEELARPVVGGEGKASRLSKALSKPLPPAEAAASTSEPASKQPT DGAGPATYTVTYAPSYDPTHTLARITTFDTRLASLESALGLPPAGSNAIHPILPALTT LSQKLSVIGSATPSSIDALSRRVRALTAETEKMTAVKEAARAATPGGGGDEEKDEDDE QAAKINALFGTLATIEGLAPLLPGVLERMRSLRKVHADAAGAQGEMEEALRRQQEMGA EIEKWRVGLERVEEAVREGERVGGENVKTVEGWVRELEERVKELDG VE01_06881 MSLTIDAQVQLTDDFGQDTLMGLQELDSQLAIFEESADLSTEVL AATASDITMDIPLSVGDAKAMAQLEESDISRTFPKSDNAALPSSTGAWSLKANGNLLP SKHLQTLSGADGDAKSNESRSSMASSMATETPDGSSSLSSISSQTALSDHDGLQKNEL SQEPTRKRVKYDVRPKSSIPIDIHISEYARQCIAAAESSRLNPYALHTEEYELLRTHL SHTQVTTYLNIRNAILRLWIRNPLVRVLQDEAIGCARDARWFDVANICYEWLVRQGYI NYGCLDNIEPRVPASKQRPGKRPRKTIAVIGAGMSGLGCARQLEGLFAHFESRFLEKG EEVPNVVILEGRDRVGGRVYSRGFKTDTSASTLEEGYRCTAEMGGMIITGFERGNPLN ILVRGQLALDYHALRPTTTLYDFNGQPVDPTRDHLAEKLYNDILDRLYDYKFKLPKVV NIEGDHDLVDAYRDAHGDIGKTIAVAEDEEASKSHVVLSDESGTEQNSHLSKSPVDVV PVSTDRITGRPHKEPGGPAVHKAAYKARLIGWTLQDGVEDSKDLDLDEAADREGSTLG SVVDAAIKQFGSVVHLLPLDLRLMNWHIANLEYSNAINLKELSLRGWDVDAGNEWEGK HTQIVGGYQQVPRGLLHCPYPLNVRKRSAVKRIAYSPDQSGAATIDCEDGSTVEADIV VSTIPLGVLKDSSINFEPALPEWKTGAIERLGFGVLNKVALVYKEPFWDTTRDIFGVL RDPIYRASLNQADYSTKRGRFFQWFNCTKTSGVPTLIALMAGDAAFQTEKEDNQSLVA EATQVLRSVFGETVPEPVEAIITRWGSDKFARGSYSYTGPNFQLDDYEVMAKPIGNLF FAGEHTCGTHPATVHGAYLSGLRVASEVLESMIGPIDIPEPLVLPKDSLATLKRKATE LENQPKDLRQARLQAYENEVWTAIYARFGQYPLPPQKLTINPYVLFSKANAELARQRC DTNRRPGKGRPVSNEVRTMLGKMWKDASEEEKDPYKQRANEGKVVYLAALANYKELCR VWDEDSIAFRKAYEKEHPSVPSKEEEEEIRMSSQGKRDRRAKRVSGYAESEPSDGEGG L VE01_06882 MALHAGFSVDAIADKSRRDLLALLEGVRGKKNLVLERDLAGPIG LFVKFSTLQDYGVDKVFFLENGNADTSQQNVVFIARGESARNTKVIADHIKRIQRETQ TGHEFSIFWVPRRTLVSDKILEDAGVLGDVNIFELPLYFVSLEKDLLSLELNDSFGDL YLRKDPTPTFLMAKALMLIQQNHGLFPRITGKGDNAKRLADLLTRMRQEAIAGEEGND NKFTMSPSTTIESLIVIDREVDYATPLLTQLTYEGLIDEVVGIQNNQAEIDSSIVGAA PQPAQGSAKSIGTSVPQGKTRKIQLDSSDKLYVPLRDTNFAVVGGLLNKVARRLKNDY DSRHGNKTTAELREFVNNLKGYQAEQQSLKIHTGLAEEIMKHTRTTQFSQLLEIQQNL AAGADPSSQHEAIEELISRDAPLTEILRLLCLESCISGGIKPKELENFKRLILQAYGY QHILTLDALEKLHLLLSRSSPTALMIPMPGTGVSTGTKTNYTFLRKQLRLIVDEVNEQ DPNDIAYVYSGYAPLSVRLVQCILQKQYLLSFTRGSAAPGNANANAGGGGGQGWRGFD EAVKHARGATFDEIQKGEDKAVKARSLLTNSGERKTVIIVFLGGITYTEIAALRFIAK KEEERKNIIICTTSIINGNRMMDTAIEKGDFANAASQS VE01_06883 MATITSSNHGSHPSISFSNEDGQTSYSMYAETSNSPRSPVFPLD DNLSTDGPLPNPPFVFPARPASTPSSFSRAGRRPQSAYDLPKQSGLNQDFQLGGGRSA PPPLPSFSFNPTAAATLDSGIYSPPLSPMSPTGGRPIPSRPAGHRRGGSEFIGGDGKT GAGSLLSTSPTKTEGHLPPPNPSVPSGPPGGRRGHAHRRSAAISCHDLSAIMKPQMPA AAPLGGSAPTSPSEAQSDAALATSLDNAIQSGLENAKVSDTVTTPPIPAATTTRLPKT TRVGFSDNIEFIPRPLSLVSSDASSTMTVRQGHSATGSLSSVISLAAASPPSKDQQRG LPSPATSRKPDEHRPSTAGPVLGASSQGRFLEETGAIRRRNSDPSMSEEANGEDLPLT PRTAAKRYFFFNQHDDPLSDVSPNQSRPVSASSSDKTREKFSSPAPSPKKLQPAHKDA DPTEGMPPVTRKTSMTRKPSKKQKKVKSWAGSILSRKGKPRSSKHKGLSRKSPTPPLR NYEPATYDTTEYALPSQTEIPEQTPDKVTLQETAPSTQTNFANWRPRHVAPQDDETMS PIIDLDAALGPFNTPTSHDLEWESSQKSFGQTKRRMHSAAGMTGFKGPGLHYHRRTES APEMVAFENPRFGLHHIGSSSTMEDVFEEDEEDEDWEEVKTVSHKGSTIRPDDDESSG LGIEIKVVDAESGHDNVMDWTLDQGSNSQRGLKRKTSALSEGEGLQTASSMNSARSVS PLRETFTMEDLNPPPRIGDDTIITRPISSSKSSSTSTPPFRAVASKDLAPMDIQPYSI QPPYLTPTTPNSIFQSPFPSPRSPVSYDARRMSTAPSSILDEPGFNPLWLGEPGPEIR MSVDEVPSLTSSNSTMTRESMMNPGFGNPQFRNGQRSASLSNPTVNRKRSSIASLSRL LSSHGEKSKLSIEESADDFPESKKESKGKRISRMMQFWKPSKSESSDA VE01_06884 MSPPSRSPLKRLHAELSALQSLGARPASDGSAIERLEPVDTDDL FKWEAVVKGRGLGGGYEDGRWLLEIEVPEQYPNAPPKVRFRTRVVGSNVDFETGEVCL DLLKDNWSPAYTLEKTVEAVALMLANPGVDSPLNVDVAALLRSGDAVGAESLVRWAAA ESWGRYEGK VE01_06885 MARATENQTRQGTLTNYVKHRPDRIQSSRPPPYPLPTMAFPNVT SRDPPSVPDSPAAKRQKTAGGYQGNGYNSADDSGDDLFEGIVPESKHFTQPTQIIDTS APGFNLAGTTREEVLVPASSPFAGNSRSSPPSQVAPGRIASLMAPAGTAFKVPNGVQK EPTKTKVIDLDENDGPTYQGGSSDDEIQDSAADIKPSIFKARSKITFGSPLGSSGPSF RDLISTFKPPVSTTAMFEREGSVNGIKRKTPMQSGPARALPTGTDYGMDDIHDLVLKR KVERVLKVAPQAKIYQIVDCIIKCHGRVDDATVMVFDILDVIEINSDDDESKKGVAKP KAQPVVEAVEPQMRRQLKQPIASIQERYSSTQYQKKVPLVPASPVAVTPPKPKRKLMQ GRRNPDPSSPMGPPEPSPKKQVPEKIQEVEEIILSDAEDYDSDAASEEEDNPELEGRV LSFLNKCSKGDLIDLANIKPDVAEFFLSKRPFGNLDSAREVSNAKETKTGKQSARAPI GDRIVDTSMSMMSGYEAVDALVATCSELGKPLTEEMTRWGFDVNGAAKGGELEMVSLE EDLHDSGLGTPSSKSTSEVGDDDVRAVSKKGKNKFLKKPEKMASTLVLKDYQLVGLNW LALLYKYKLSCILADDMGLGKTCQVIAFLSHLAETGETGPHLVIVPPSTLENWLREFA NFAPELVVEPYYGSQKERQDIAERILDARDEVNVVVSTYEFAAKKEDNKFMRRLKPNA CVYDEGHVLKNPKSLKYQGLIKIPAQFRLLLTGTPLQNNLMELAALLGFILPDIFRER QEDLEFIFKHKASTRDSDHAALLSAQRITRAKSMLTPFVLRRKKAQVLKHMPAKICKV EYCEMHSSQAEIYNGLRGRARERAQLRLSGGKVPNDGENNPLMQLRKAAIHPMLFRRH FTDDKLEKMVDLLRRHEPDEFSQPRDKILMEMKLLQDYYLHTWCLRYPCIKKFDTPDL TWMNSGKVDAIVRLVKQYKANGDRVLVFSQFTLVLDIIEAVFQTELIQHTRFDGATKV NERQTLIDDFRDDETITAFLLSTGAGGTGVNLMYANKVIIFDSSFNPQDDIQAENRAH RVGQTREVEVVRLVTKGTIEEQIYALGQSKLELDNKVSGEEDMEMKGEQMVARMLLQE DGQATPTSNAEA VE01_06886 MATRRSNAVPATGEKTLAEDFKQPLGQPSITAPAVPMHVIVKLL AFTFAMVVVPIGSYFLTLDLVFSGNSTFAGATAAIMANVVLVGYIIVAMREDQSEAIE AAERERKGR VE01_06887 MTQPQQPAYAPHQLPPIPPQPTQEQRPQAQQRPSIRPRSKSGFS IRSHKSQDSYGSTPKVEIQETPREKAARRLSTKADPRLAMSEAQPSDIANDHSTRLAS LREVQHRDTYGLPIADPDRSNPTRSRWERPLDTIRSFEAAIDGNYARKSGRYDGATES RRSSYYGGSTMNGMAPRNHQGNGYGGRQSYRNDGYAYGNGSRPDSYYNNNSNNGYFPN RSRYPRNDSEPALNTMAGVYPLNGNAQSYETVTTAAGSGSSAEPLGYSTDPSSDNSSF DRVAPISKADYGNNSPSNGQYGYQSSQQQYPVGGVQQGYQAAGYPSQNSGPLPPVKDR APPRVPIKLGKSAPGEPTPQYDLPKAAPDKRKSWFSKRFSKS VE01_06888 MFSPVRPNRNSPNQPQSTTPRQIDNSEDESIFNNIKTPRFHRLS RSPFVKMPTEAGHRLYVKGRHLSYQRGKRITTPGTSLIKIEGVENTEGANFYLGKKVA FVYRAQREVRGSKIRVIWGKITRPHGNSGVVRAQFRRNLPPKSFGASVRVMLYPSSI VE01_06889 MADTVIKKEEPHGAGNIELKNNTVIVVLGASGDLAKKKTFPALF GLYRNQFLPKDIRIVGYARTKMDHEEYLKRVKSYIKTPTKDIEQQLEEFTKICTYISG QYDQDESFVNLRKHLEELEKGRKEQNRVFYMALPPSVFTTVSQHLKKNCYPESGIARI IVEKPFGKDLGSSRELQKALEPNWKEEEIFRIDHYLGKEMVKNILILRFGNEFFGATW NRNHIDNVQISFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED IRDEKVRVLRAIPAIEPKNVIIGQYGKSLDGNKPSYKEDDTVPKDSRCPTFCAMVAYI KNERWDGVPFILKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVMRIQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDSMKGDHSNFVRDDELDAS WRIFTPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPTTSTQP NKL VE01_06890 MRGLQTTLPLLLPALILLHLLAAPYTKVEESFNIQATHDILTYP PPFSPSTFASHIRSNYDHITFPGAVPRTFIGSLLLAQLTRAFLHATSFAYIRTFTNAI LGTPLHFAHSQLLFHTLVTGSAQQTARFILGSLTALALLRYARALAKAFGPGVGRWYI LLQATQFHIPFYASRTLPNTFALLLTTEAARAFLPVPGNNAAGQRSQVRRGIYLLVAA GVIFRAEIALLLATQVGFLLWTRRADLRTVVLAGLPAAALSIAASVAVDSAFWLRPVW PELASFIFNAYHGASSEWGVSPWHAYFSSSLPKLLLNPLAIPMLAAALYFPATSRAAR GLVIPQLAYVALYSAQPHKEARFIIYAIPPLTAAAALGASYVWTRRARGVVYRVGSLA VVGGVVVSFVAAMGMLLVSSLNYPGGEALWELHQRVGIDRQIGFVREGQVVRVHTDVL SCMTGVTRFQEAAPSKPFWRADFLSYGGNSGVLPGKGGRVDWDIEWAYDKTEDEAALR DVRFWDQFDYALVEDRRKALGNWRLVAVVWGFAGGVEMLRPGEEMMHGSDEEAWKQAM VPWGSWRKGEVGWGVLMEMVGPRGGLKEVVRRFVTRGWWVGPRMEHKIHIMGRVVEPV VDEE VE01_06891 MMFFGLGGIFYFMVLVTNAIAILSEDRFLARIGWSASSAAEPAF GAGPGGDASVKSKIVNLIASVRTLMRMIKQRFVAFYDSGDSTSQVKLLKFSPWFGPTA AAATPSCFLLDWGPLLLSLRRLVTAGILLPFESCYLAPTTSG VE01_06892 MPGLALKAGLRAAAPLRRPPPLLRRQQAPKRTTTSNTNASKTPA SGSTTTPSSGATIPIAGSIPPLPLWQRLGPLTTALSLFSRSQRARPWTTQFVSTLIVY FLGDLSAQRIRGEEYEVERTGRALVIGAGAAIPSYTWFVYLGNSFNYASPILSLLTKV VVNQLAFTPIFNTYFFGMQSLLSHPPSLSSLEHAFEHVKRTVPTSFINSCKLWPIVTA FSFTFLPPDFRNIFGGVVAIGWQAYLSFLNRKVEGVEWEDEEKEEMRLLEVGDGKETG GKSAA VE01_06893 MASNPIPKANEALQKLPIAIPHIPETKAELKEDVQVVAAKSFAG LRSFAAGGFGGLCAVIVGHPFDLAKVRLQTAEAGVYKGAIDVVTKSIARDGLKKGLYA GVSAPLVGVTPMFAVSFWGYDVGKNLVKKFSTVHDNQLSIAQVSAAGFFSAIPMTAIT APFERVKVLLQVQGQKVLAPGEKPKYSGGVDVVRQLYKEGGVRSVFRGSVATLARDGP GSAAYFAAYEYIKRALTPVDIHTGKPSGQLSLTAITTAGAAAGVAMWIPVFPVDTVKS RLQTMEGNPTVSGVVKGLYRAGGLKAFFPGFAPAICRAVPANAATFLGVELAHQFMNK AFD VE01_06894 MASTYALPVSPLPHTPHTHYRQHSHGGHTHSHSQSYNRSSSPSK LAPAGSRPAYTGGTPLTGNMHSRTQSAHIPNHLANLDLDRHTVSPVREQSPALSGDEN PLGNGGDDTPYPKNQPTTPLYSEFTGVDFNQPVEDHPHNGHAHHGHSHSHSHSHGHSH SHGDHSHDDHVCQGHNPKAAEPRSKFTTFMLKRTLNFPLLQSILLEKDSRRIFYFMNL NFAFMLVQAFYGFVTDSLGLLSDSIHMLFDCIALALGLFAAVSSKWPASQRFPYGFGK IESLSGFGNGVFLMLISVEIIIEAIERLAEGHETKRLMELFIVSSMGLAVNLVGMACF GHHHHGHDHGGHSHSHGEEKHDHKGHGHDSDSDIPLIPGGADAPAKHSHGHSHGHSHD HGHSHGHSHDNENMRGIFLHVLADTMGSAAVIVSTVLIYFLGWPGWDPLASCLIAILI FLSSLPLVSSCAKRLLLTIPADTEYKLRETLSGVSDLRGVASYSVPRFWMADSPDLVE GEPILGAMHVIATRGSQLDDVRERTRTYLLGHGMDVVVQVEREGDAKCWCGGDNTRTP ANSTSRF VE01_06895 MLQLLLTIVLVASSALTTLLLCLPTQYRRGSPRKATDKPADASK PEPEISVQVLVLGDIGRSPRMQYHAISIAKHGGRVDVIGYQESALHPGLVDNPLITIV PLAPPPSILSSLQKSGVPFIIIGPLKVLWQIWTLFHVLGYKAKPSRWLLVQNPPSIPT LAISLVICFLRNTHLIIDWHNYGWTILSGTRGPSHPLVKISKRYEEILGRYASTANFT VTDAMARQLRNTPYSIRSQIFTLHDRPAEVFQPITDTKERQTFLSNLPDTALEAQNII AGKTKLLVSSTSWTPDEDFSLLLSALEAYSARRSQTGSLTPILAIITGKGPQKELYLR RIEKLKADGKLEGVTILSAWLTTGDYAKLLACADLGVCLHMSSSGVDLPMKVVDMFGA GLPVVGYSGYESWSELVREGENGRGFETSERLGELLVELLGKGGEKDLATLREGARWE GGRRWDDEWDKTAAKVLGLINSGDGKPGCC VE01_06896 MAPPPPRSGAAGLLARQLKEMQSDKNLPGISCGLVSDTNVFEWE VMLMISDDCKYYGGANFRANLSFPQSYPLMPPTMTFLTPVPFHPNVYPSGAVCISILH PPEDDKYGYESASERWSPVQSPETILISVISLLHSPNDESPANVEAAVLWREEQKSGG REFRRRTRQCVRESLGEE VE01_06897 MSKDDSKEAVDQEAVNQEPVNQEPVNEESVNQEPVNQESDDQET LYEETPSEETLYEETLDQESLYEETLYHQTLDPETLSILAQDAATERAVEEASALTIN NPSVFPVYIEYLCRGTVNAVTLFHSDDSNRRTTAGIFNAIVFAAEHGLSEFQDRLMTA QILTDEPLSIPIWAESYRKVERGNVFRDYVAQVIAYELGVFWGPAREVGREEVADMLA GYTQLNGYTPLGGDIKPNGLPHVNGDAQPNGFTHVNGDTQPNGHTHTNGVAHLTRHTE LLDDVLDYMKPIPGSTPTHPNIAPVCFYHLHASGADCPDMSYPMSSWIM VE01_06898 MAIMNSVTNHIVRRGMDIHQAHQSSGGHRKHGDKSLLTPLAIAT LAFTSIIFFFTIMMASYTYGHLIPTLAMVETPTALLIETTTTEEKEDSTDKEPLLEQC EVTLIQQTPITASIRTTLQHLRETGGFFGRYRGLSMFVVYIFLCSQFSIVFSFLPYGL GDVLTMIVLSTIRMGWTHAIVSAPSPLPWFRRLPSVKTFKKIAPITAIVALSEELSIG VPFLFAGALGLFKSIDRQASGETIEDPEACLVKIFAVAAMFFSLVILVVVPVTMVMAR IHASLIEENVDTIVSVHREPLSIKEAWKSVDWNARVHVYKAYLKGFAIQMSIMILFSA VVYVQLVMFLGPKFPEVITQYMLMV VE01_06899 MEHPVKETPQLIRTLCSGTPSQQLAALDANFTHDASFRHTICRV PSFGDVNVPLLGAVNSRWVMGCIYRWYKVLSPRIEVDVESVVLDPKTQRLYISMSQTF SLFIIPFYSAKVPLITVLRLVKEEDDRFYISAQEDYYEPEDFVKFFLPGAHWLLQIFQ WFTVVICVLCALLLAPPLKVAQKIVGFKMD VE01_06900 MASTNSIKVVLRFRPQNRREIESGGEPIVTFDSDDTCKLDSLEA SGSFTFDRVFDMASKQSDIFDFSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGTDM EDEQGRGVIPRIVEQIFASIVASPSNIEYTVRVSYMEIYMERIRDLLVPQNDNLPIHE EKNRGVYVKGLLEIYVSSVQEVYEVMRKGGNSRAVAATNMNQESSRSHSIFVITITQK NVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINSLTDGKS SHIPYRDSKLTRILQESLGGNSRTTLIINASPSSYNDAETLSTMRFGMRAKAIKNKAK INAELSPGELKMLLKKAQAQVTTFESYVQNLEGEVQQWRSGESVPKEKWAPAISAGAV QGAKVDARGPPRPSTPSRLQPESRSDSRADTPATDRSSTPSIPIEKDERDEFLRRENE LQDQLAEKESQIAAAEKSLKDAKEELSYIKEHSGKTGRDNEKLTGEVNDFRMQLERLN FENKEAQITMDSFKEANLELTTELDEVKQQLLDVKISARETSAVIDEKEKKKAEKMAT MMAGFDLGGEVFSENERTIQKAIEQIDALHEQSSLGEAIPPDALAEIKTRLVETQGIV RQAELSMYAAPTNETGSRRREELEARLEAMERDYEELLERNLTEADSEEIKGRLEKAY ADKKDIQQDMVDGIKQDIADKTAENTRLKALAEDLQQKLKSGAAANGTQAPGTPNGKS VQQQIAEFDVMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAF LERNLEQLTHVQRQLVEQNSSLKKEVAIAERKLIARNERIQSLESLLQDSQEKLTAAN HRFEAQLTAVKERLEAAKVGSTRGLGGAAAAQGGFSFSGAGGRVAKPLRGGGGETTIP AVQGLQNEGGGNKRTSWFFSGQK VE01_06901 MSTAARRRLMRDFKRMQTDPPAGVSASPVADNVMQWNAVIIGPA DTPFEDGTFRLVMQFEEQYPNKPPLVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRREYTKRVRETVEKSWED VE01_06902 MSLRMAMSSLSASTQRVAQVSRHFSTSRAARQEIQDAYIISASR TPTAKFNGSFLTVPAPKLGAVAIKSALEKSKVPISKITDVYMGNVLQAGVGQAPARQA AIFAGLPTSVEAITINKVCASGMKAVVFAAQNIQLGLAEAQIAGGMENMSRVPSYLPR ASSQPAFGNQNIKDGLIEDGLWDVYNQFHMGVCAETTAKKYNITREQQDEYAVQSYKR AQDAWKASAFKEEIAPVTVTGRKGDTIIDTDEGYLDIKPEKIATLKPAFIRDGTGTVT AANASTFNDGASALVLVNAALAREYGSDSRVLARICGTADAAVDPVDFPIAPAKAVPI ALERAGITKDQVAVWEFNEAFAAVIKANEKILGLEGKRVNELGGAISLGHALGSSGAR ILVTLLHQLKVGEYGVAAICNGGGAATAVVVQRIEKV VE01_06903 MADKPPSGAPPPYGGSPYPPQPAHLNPDGGGGSNQAYYGSPSPQ PQYANPQQQYNNPQPQYGAPAQGYYQSGPPPQMGYQQQGPPMGYQQGPPMGYQQYPQQ DERGNSSGAAGGCLGALCAAMACCCCLDILF VE01_06904 MASLLRQIIAGPRARHADSNLDLCYVTPFIIATSGPSGTYPQLA YRNPLDKLVSFLDKSHGEDWCIWEFRAEGTGYPDKEVREKVRHYPFPDHHPPPFAIVP LVMASMRNWLTEGGKRDSIAGIATDGAANGASKEATEQAQKNDTKAVEKLDKKPGNGR VVVVHCKAGKGRSGTMACSYLISECGWSKAEALVRFTERRMRSGFGQGVSIPSQLRYV DYVSRWTAGGKKYTERPVEIIEVHTWGLREGVRVGVEIYTEEGKKIETAHIFSKDERL VVEGGSPGGSGFKDWVTDMANPAPAAPSKSRTIALDGTYGNENEPTSTASEPVSNNNN NSTPSIDKPAALAPKIGLAAKSAKESASAALQRAATGKEPESESEAGGQAVIFRPSSP LILPSCDINIDFERRHRARYGMTMVTSVAHVWFNPFFEGNGPERGGKPEEDGVFEIEW EKMDGIKGSLRKGTKALDRVAVVWRFADREREREVVEPGVESEVPEMRAADWRGGVGE GKVLGLRREMVDTADVSKASSVSGEGEEVVDEDVEGVKSSGPGGEEIGEVDGAGPEKK VE01_06905 MDRNEFVDMLTSDIVRITVGQVDRQKTFSIHKNLFAKKAPVFYK MFDGGFKEGVTGLATLPYDSHEAFENFAQWLYFPSAETFSSHIVRNLLPQENSSVARW ELVETIVFANKYCLDALSDLAMSSWIIHQRSTFPLEELRKITSYIVANTSHLCKARVG LLCSHMGMAYTSASGKGFLQIKYI VE01_06906 MVIVRKWGEDHINKSLKQINLDTWLIDGLVLHRSPCLSDAATWN DDVDNSSYTLTEATTSTHSATTPLDSPYIKLVHEAGDASAVWSIGSNAMCKVRYIEKG VTPESVTLNFVRDQQPTFETPMVIHHAFGSDRSYLFLKRVPGQTLDAAWPRLNEYWRR HYVKAVADICREMAEWKGLEFGGVDGQNVPEAHLLKFSGPEDFSSVNLQAACEAMGMG CTDFVFTHADLGPTNIIVEEEPKSGKVGTIDFEIAGYFPRSWIRTKFRISGGMDLSPL ADDNPHWWRSEVQRALGADGFDDVVEAWEEWQRG VE01_06907 MDSRRPGTIGASKPFPTKQLFILSLCRICEPIAFMSIFPYIYAM IESFGFDHKDPTISMYAGVVTAAFTFAEFSSGMLWGKLSDRIGRKPVLLTGLAGTGLS MLVFGFAPNIYVAIIARALGGLLNGNIGVLQTTVAELATVKEHQPRAYSIMPFVWSLG SILGPVLGGALAEPCKHYPSLFPPGTIFERFPFLLPNLFCTSVVVLGVLVGFFFLEET HHEKKYERDVGLEMGKRIFGAFQRAEDVNDLKSEKSMALMSETELLIDDFEQLPRYQS TEASPQIPVTTQPEILDIISLDSNNSEPEKEAPLNPFTKQIILNIAGYGILAYHTITF DQLLPVLLAHPETKDAVISLPFKFLGGWAMNTKDIGFILMAQGAYSMFATLVLFPYAV KRLGPLNLFKLVAFSYPILYIVTPYVILLPEPYRLIGLIPLLAWKTTFANFAFPATNI LLANTAPSLLLLGTINGAASSTASFCRGLGPIISGSLFALGFQVGYFGIVWWFTALIS IAGAAIAMRMSEKGFRKDVDEVVADEEGAVV VE01_06908 MEKLRSHGLQILSTIHANLSPQETLSRDTTPFSDELLELPPLLL ALLRRQTALLLKPVGSYNDALLLKRLADLERTARGKLYVYPFHQVPVAWRRLVCEVGI VRAVVAILGIYGGEVGWVDEVVKTLDMVLIMSGAPGEGRREWIEKTFEILEEVIAERN ELGHSGREFSGGGGRKEAHETDSPEPPPKRLRIANETSAPGDVIDRFPSSSSFTPPVS HPIPRMEVPDTYVLGALLSNPADADVGPIPVVFTGGMGHWPAMSERPWSSPQYLLKRT LGGRRLVPVEVGRSYVDGGWGQRIIPFKEFLEEFVMRPSTVGREERSEKEDGADQEED ERRKTGYLAQHNLFSQIPSLRSDILIPDVCWLDPPPPHSSSPMAAVHAATAKLDEPLL NAWFGPAGTISPLHVDPYHNILAQVVGRKYVRLYGPRETAGLSPRGEEGGVDMGNTSL VDVGAWEGWDEVPEEEEEDEEMETETPSREVKRDRYRERFKAFGEARYVDVILEEGEF LYIPVGWWHYVRSLSVSLSVSFWWN VE01_06909 MTINRTRKEDMWAQSSTTTANTENSTPTSPAKSSPATSPAKSTG ASSIGTNEGTNSTKASMTPISRGSHSGNHSPEEGLPPMPNVSRMSEYGDDSEFGGEGS SMLGGNHQQHADALSRALSVISLHGDTTPRALKTVVAITATN VE01_06910 MISADRLNESVDELAVFGGRKPAGSVMLKDLDAKAVRSCWNDYS SFVKVNPSAKQSAVVFQVYDVAKSQELESLGGESAYPHRQFGIVALVVAKYEDAHLDA AAGEFVDETLQVPTPKEGKNVYSNISRGGETLEELFGSAERVERLREIKKTWDSSNQF KGFASLL VE01_06911 MASPQQLRTVTTDLLKINHPVMLAGMNVAAGPELAAAVTNAGGL GVLGGIGYTPDMLREQIAELKSFLKDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LIDIIIESGAKLFVSAVGVPPKHVVDKLHGAGILYMNMIGHPKHVKKCLDLGVDLICA QGGEGGGHTGDVPTSILVPSVVELCKGKTSPMTGKPVQVVAAGGIYNGQSVASALMLG ASAVWVGTRFIVTDEAGASQAHQDAVTTSGFDDNIRTIIFTGRPMRVRNNAYITNWEV NRAQEIKDLTSKGIIPVEDDFEKMGDDIDDETMDSARPFLMGKAAAVVNEKKSAKAVV DELVGDAVKWLQIGNKMIAKL VE01_06912 MKLFRTAANSACRPSTPHLRRNSSFRRISLSASPTRSRSSLSSV PATPWSQGASDRGAKSGDGEATAKSAAKAPKTAYIALGSNMGDRIGMIEQACNSLTSR GITVKRTSNLWETEPMYVLDQDNFINGACEVETTLEPLELLDELQDIENSMGRHKVID KGPRNIDLDILLYDNQVIHHKRLDVPHIGIPEREFVLRPLAELIPDKPIHPSKPWKIT LDYLNALPSSSQPMSTSTPLGSNPASTLYPLRPHRQTSIMAILNLTPDSFSDGGLHTS ASLDKTIDTFIRGGASIIDIGGQSTAPNTPSVTADLETSRILPAIAAIRAHPLGANVT ISIDTFRAPVASAAIAAGADLINDVSAGLLDPAMLPTLGRLGCTVCLMHMRGTPSTMD SLAVYPNGLIPTIAAELLERVAEAEAAGVRRWRIILDPGIGFAKTQAQNLEILRRLDE LRDWPGLRGFPWLVGSSRKRFVGRITGVKEARERVWGTAATVVAAVQGGADIVRVHDA VEMGQVVKMADAIWRV VE01_06913 MAASDRMALPEKDALVPSPNLQCHHLMSEPPPGQLPGSSAFGGY APSTTATHNSRIYSERQRSDSDRTKVSYMSKVSEASESRSTRPTGHGAVRSLPSWIDS VEVYNEDDDSKVTDRLLAQPTPSVAPQHPYATTRAERPVSKDGFVDFDDPTEAAKSAP ERTLFGTTREPVRGRKWDHARDDDPVILQYHGGNAQRWSGFVKASMYGPGMDEESETV EPTFLDEQTPGYAQPWRGDAPGTDPEKALGLLHSKKKRLQWYERMQRIILMHPLVPLA FRLTVLATSTIALGLSSSIFHLTRTSPFEQSPSGIMAIVVDVIALPYIGYITWDEYTG APLGLRAPKTKMRLVLLDLFFIIFESANLSLAFQAITDRDGACFSKIGGNEKEHHMDA NLCGQVKALAAILFVALVAWGLTFTVSILRLVQRVGGRDEKD VE01_06914 MRLYLLPISNRRTLLYCRRLNVSISDHPTFIDRGTTRAAKLWAD WETKDSGWRKKVVDWGNTALKKIPYEEWGLKSIPPLSARRRAEELEAGQATVEVSFPS GVIPMNEVSELLRRLGTERESLHKSRLVYCFVGMPITAPVALLPVIPNLPFFYLVYRA WSHWRALSGSKHIQFLISKELIAPTVSPILDTLYAPGIMRATRGSISLGNKPPKSADS SAPLLKDQLPHEVMLLQEGDSTLIANALEMPELHAEIERAIWQVRNDIRTKQEAKDKE NQKDEVKEEDKDKK VE01_06917 MALKSTEDDSMESRTPTTAPSSPPDLGVEEPPATSNLLNDEQLK QIGAEEKKAHLQNKRTELARQKRLAAKKSRVLGKEAAEAKARELDELLAKSAAFSNIL TKKTQVLGRVGSGFDGKALGEHDLEMAQQPKIMSGGTMRDYQLEGLTWMYEICAQGMS GILADEMGLGKTIQTISLIALLREKEKYLGPHLIVAPLSTLSNWVEEFQKWTPTVPVL LYHGDPAKREELRTTKITKHLENGRPTSKFPVVCTSYDIILRDKNYLSHINWEFIIID EGHRLKNFNSQLFQELRKFTSATRLLISGTPLQNNLKELWSLLNFLLPTVFVDWEAFE SWFDFSDLQDEEGTEEFIADQKKQELVKKIHLVLQPLLLRRIKADVEHMLPRKREYVL YAPMTEQQTELYDILSDKSQDARKYLENKVVERITGARNASKGSAKTKTKATRSTAPA KVESDSEEEVPLSISIRTRKAKAELEQKPATRLNAFEMMMVGKKKALPPRTPSKASLK RKTSDDSIASSAKSVKSSRQSTPATASKSKPKRKSYKEADASDEDKLSDDEFEAKLAE ELAEADIASSSDSDDESHELAKTLEMAKREIATKKLGNPLMQLRLVCNSPHNFYNPWS ADSKMPIDETLVSSSGKMLLLDRLLNSLFAANHKVLIFSQFKTQLDILEDYARDLRSW NVCRIDGGVAQDDRRQQIKDFNEDPEHRLFLLSTRAGGQGINLASADTVVLFDSDWNP QQDLQAIDRAHRIGQTRPVVVFRLATKGTVEEELLMSADAKRRLEKLVIKKGGFRTMG QKMDNKEDLDAAELRKLLLRDGQVYTYKGGDEILSDADLGILCDRSEDAYIRAEQGLG NAEGFKIVETKDGGLLVAGEMK VE01_06918 MQMPTGKWWKEAAVYQVYPASFKDSNGDGLGDIGGLISKIDYLH DLGVDVVWLCPMFDSPQHDMGYDISNYEKVYEPYGTVEDIDILVKACHDRGMRFILDL VVNHTSDEHEWFKESRSSRDNPKRDWYFWKPARYDDAGNRIPPTNWRSYFAGSTWTWD ETTQEYYLHLYATQQPDLNWNCEAARNAIYDSAMRFWLDRGVDGFRVDTVNKYSKPLE FHDAIITDPNHYEQPAAYMFCNGPRIHEFVKEMHDKVISQYDCMTVGELSLTPDPDHV LRYVSASAKQLDMVFHIDTGNMDHGGPKDKYDHRPFILPELKAAITKWQKFIEGTDGW TTAFLENHDNGRSIDRYASSEPEFREISAKMLAIMMTTMTGTIFIYQGQEIGMINAPK EWPIEEYKDIEALNYYNEARALSISGEDPSRLPIVTRGLQILGRDHGRMPMQWDSTAH SGFTTGDKPWMRVHDLYPEINVAKQVPDEKSVLSFWKRMLRLRKEYRDLLVYGIFDLL DPGNEKTFVYTKTGERRAALVALNFDQGVQDFEVSTDKEWKLVLSNYDAPVAGKLAPF EGRVYMSAN VE01_06919 MDSDNGPKATGGPAHTDIVNMEDKAERDVAHEELKPSYGAQNAE AQEKTMTLLEAIKLYPTAIGWSMVLSTALVMEGYDLLLLSNLYASPLFNQKYGVLGSK GYAVPASWQSGLSNGARCGEMLGLAINGIVSERYGYRKTMIGSLIMMICTIFILFFAP NVQVLLIGEILCGIPWGVFQTLTTAYASEVSPVVLRPYLTTYVNLCWVMGQFIASGVL RASLQRTDEWAYKIPFAVQWVWPVPLLVGCFLAPESPWWEVRRGNREGAKRALERLTS ASNPHYTVEETLDMIEQTNELEKSLSEGTSYWDCFKGVDRRRTEIVCGVWLVQTLCGT NVMGYSTYFLKQAGLPTVQSFNMSLGQQALGVVGTLLSWFLISRAGRRTIYLTGVSIL CVILMIVGFISLAPSSNTSATWAIGVMLLVFTFIYDFTVGPVCYSLVSEISSTRLKTK TIVLARIGYNISNTVINVLTNYQLNPTAWAWGAKTAFFWGCTCFCCVVWVFFRLPEPK GRTYAEIDILFQNGVSPRKFKTTEVDTFHTGHSSALEKSVSTA VE01_06920 MWSSSIPTVLTLLLAVSGSVANKDKEHRKSCVVKSGGSNATDDA PAILKAFKKCGHKGRVVFEPTNYYVNSVMNIDWLKDVDVDIYGTLLWSTDIQYWLKNS MDVGYQNQSTALIIGGDNVRINGHGTGTFDGNGDYWYQWIRQQPNTSNYPGRPHAVTF GNLTNSVVKGLTFLRSQMWTMSIIYSHNVLLDSILVNNTGNWAQSSNTDGADTIRSSH ITFNNWTVYNGDDSLSMKANSTDITIKNCKFHNGLGIAMGSIGQYNGQYETIERVTAE NIEYVNTLHAFYIKTWTADQNGYPPNGGGGGLGFASGMTLKNLTTTGLRGAAFAISQC TRFSGAPGVGNCTNSEFQIRNVEIDGLYGDSKSARVASLQCSAVAPCTNITMVNVGLH LTSGAPAAEYLCGNVANPSGFNCTGTPCVGGSATGESELGKETAASGFVHLVNLFRPF DELFLGLWNGTLSACSVDWLVSLDEHVRNSASASLDITDIQMVNLLVTQQWLRLIVWQ LSNKLGFLSSVSSHESLNFQYPIQIARDLTISTCRLPQQSMEIHGIGLVEKLFDVAYV LIDVIAYTPPSAPEPPISDLGPKDYLTYILSLVAKLRGGEDRFLPLLQEKISQVLPNF LNPLTWSLPLASFLENPASPSEKFSPGEGEGWTGHDMEDHSFRQPSMS VE01_06921 MDQLPDPTIDLDWSGYVGSIHERFRAAAESHPDRTCVFETKSST SPERRFTYRQIYEASNITARYLREAGVTNGDVVMIWAHRSVDLVISLMGTLTAGATMA VLDPAYPPARQQIYLEVSQPRALIKIGSATDENGPLAPVVQKYIDEQLNLKTVVPDLR LLDDGTLSGGEVDGKDVFHNVREHASTPPDVIVGPDSNPTLSFTSGSEGRPKGVLGRH YSLTRYFGWMAERFQLSSESKFTLLSGLAHDPIQRDIFTPLFLGAQLLVPSREDIQHE KLAEWMREHKPTVTHLTPAMGQILVGGATAEFPSLERVFFVGDVLTIRDCRALRRLAI NANIINMYGTTETQRAVSYYELPSRAKDADCLEKLKDTVPAGKGMENVQLLVVNREDR NKLCGVGEVGELYVRAAGLAEGYLGDPALNETRFLMNWFVDNEKWVEEDKKISKDEPW RQYYKGPRDRLYRTGDLGRYMESGDVECTGRADDQVKIRGFRIELNDIDSNLSQNALI RDCKTLVRRDRNEEPTLVSYIVPELAEWRRWLAASGVEDIEDEGTEMGTVIVYAKKFR RMTTEVWDHLKARLPAYAVPTIYIVLNKLPLNPNGKVDKPNLPFPDVAERTEDASEED LKNWESLTETERTVAQKWADLIRGFNPKTIRRDNGFFDLGGHSLLAQQFLLNVRKEMG AEVSINSLYKFPTLSQFSAEVDKKIEEARSGKVAAAEETDLAYSRSLEELINNLPAKF QTADPQALADSENITVFLTGATGFLGSYLVKDILTRTRRSVKLVAHVRGAKDLAGALD RLQRSLRGYGLWRDEWASRLSCVVGDLAQPQLGIDDASWKTLADEVDVVIHNGATVHW VKRYQDMMAANVISTVDALRLCNEGKPKQFSFVSSTSVLDTDTYIKLSDDMTRTGQGA ILESDDMMGSKTGLGTGYGQTKWVSEQLVREAGKRGLSGSVVRPGYILGDSETGVCNI DDFLVRMLKGCIQLSARPHIINTVNAVPVNHVARVVVAAAFNPVPNGVHVVHVTAHPR LRMSEYLSILEYYGYKTPEVSYAEWKSELEKFVSAGTLEKDLEQHALMPLYHFCMDDL PATTRAPELDDRNAVAVLKADADRWTGVDEGVGYGIGREDIGRFLRYLAMIKFISEPS ERGRPLPEIGVDAAQIGEIGGRGGAA VE01_06922 MACCTLPSIHPHTPLGTILSISGTSTYLTLPPNTPTATPHPRAL LYLTEGYGTLLPNSQLLADHLSLLLNCPVIMPDQFRNEPFPMVKPPGWDDEAAFIRLQ TDHHPGTVDPMLEKVLEWMQRPVGHAEGGLGGVVRVGAIGYCFGGRYVMRLLAEGKIE AGVVNHPSFFTLDEVSALGRPAGGKEEGEGGGKVKVWKPLAIFAAEEDDILPEGKRRA TEDRLKEGGVTWVCTTYAGTLHGFSVRGDLNDPVVKFARDSALDGAVKWFNEYLPSS VE01_06923 MQSVEMTGAKPGRHQRRPAPGADHVKHRRTRSGCFTCRNRRVKC DEGRPTCERCKKGSRECSYPETTPSKSGGAGSSKSGHSSLTPPSDEYDDEVDVDRLES IPDEDEDPFDTNQGMNSLANSTHTLQQQNQSRLSSETPSLVQDKGSSPSPSTEGSIGY NAHLHKADQRLAKPSRLPPVTDGGKPDWSGLPRDLQFYLEYFVANVTHHHYYFKKDAG NFFHTTFLEAALQNKSLLYAVVGFSAFQRTLHNPEGKIQDFLQYYNKSVQLLLKSLRR GEGRNVDTMYSILQLATIEEYLGDWVNLLSHQKAAYSILTSLLTPQTAMETDLNRVLL GWYARFDIFAGLIGGFKTVLSQEWFDVKLQFYTHKVQTEPDNILWKIDETSSKINIIA MEMSVLFAKISKGEISIEQFNFENEAIAKKIREFIPSMDPALHDSRYKVTDFTGARPL DPEDIVNPYEPGLIQEGVLWPINICKLDIYALDLMRLVQTAQILQIQTKDEEVAIPAF QICQMFEAVEYWPRSPKGAILSCLASLGIAAIALPRDQRHMNWLRRKFTVVESNGYIY PVTFRERISELFQDPTCMEWWLPNGENYPPTIRSIRSFVEERTSTPRDEVTEDLRDMK VLFGSLNLEDDKSNKSTPSDLTLVDSNNSPEWIQPNYISAYTTGTEHHNAPSHSHQQW FGPEGFLMQDPNEYRPS VE01_06924 MACGNGIYPRAITSSRFVALIRMRRFQGTVEEQWQDIYQLLFPD DDGKVSPYHESESGPSLDGAHTSRFPKDLSMEDLDSPPNYHSLEGHRISLPEDGSSFR RDPRSPVISSLRAGDGVGAELSHTNSSKSRSSTSGESAELCEEDETQCSDSDDIDTSY KALDPVKQALFDNSTRQFWDFYNGLSAPNWVVLWSKHATYKGAASSSQGTQGSTRTAP DSRTPSECPSNTASLLAPKRKKRDDDDEASNNGNPSKRSSRILPQKEGLNLACPFHKH KPWKYNHGILRFRTCSTTPFDAIFRLKRQPVSVEGWTPEMDKKITKRKDETDGERWER IYRELFGSTLTTVPSPYFVPYDLASANEADLETLLQQEVPRIVLNSLSEQVNNMDIPD AARALFDPSTPYFQFENLIGNAIREVFTMYRSATATANNSTAPSTTTQPSSDNASIAD DSSVAQSTCWERTTVNSSRSSHHQSGSFESLPTVVPPVFPDTTQRWSDPMHPIAAGGP SYSRSMHEQVPPPSAELVSRASETTSNIVHPNPSGRMHSAGEAMQQGGQHNVMNGYGT SMDEYMFVDFDLLGGFDAEAFPSVPSNTYSAPTRS VE01_06925 MRIFVDGACRNNGYSGAEGSAAAVFKRGKNQILQSHKYRLPDDP APTNQRAEITAIIIALKQALHKHEDLDTFPRLDVKIFSDSDYAVRCMNEFVFKWLENG WTTAAGRPVKNQDLIKDAVRYHDELKRLGKVRIRWIPREQNQDADQLCNEVLDGYDYY DEYY VE01_06926 MACVGAGQQRFKFKEEHGTRNSIAIPHRNKGFMSFNIMDVLKTV PHTPSSALTLATSEFVGTMTTSTAVRFNLVYWYGEFLQDIPARLGRNEALDSAVKALT AAHSSYCLYKRATPEALVKYSAALRTLRFYLDDPVKACSSETLCAVMLLLICQGFHGL NDMGWTGHCEGAAQILKARRYYDPNDEFESKLHLSLRAPVIFEGLFNPKIKFTPLEWK TLVDNHIDEGTFPGKMMRYVSQVTAMIRHGNFFEGEISDMKAVDELRTNYQTLKAGIT SYEQYMHSMIPTGEDIKRIASDAQTYYLVQRFYNFALTVGIILGCVLSALDTEDMELI SDLNSFSSGIMALAEDGKRFRPLGASYMMLCFQAAWIGTNDPSVKAEAEKEMIEYVEE FGGGYTKERLMSELERMSRHVRLIDPYAR VE01_06927 MSSSTEIATYYAYDPSHVLPAVFAALIGISLIMHTWQNFHYHFW RVTFFMFYGGTVFTAGWIMRITSSYDPGNIKLYIAQTCLVLAGPPIYSAAEYNILGRL MHYTPMHSPINPSRVLYFFIYVGAAVESLTAVGAVRLSAANGDMDLLKNGETFISIAL VLQGVVELLFMSFVALVHIRCARAKMLTPKVRTICIMLYGTSTLVLIRCIFRAIESFS NLSVISSGTCDGTCQAVLRHEWYIYVFEAAPMVLYTYWLNIVHPGRFLPRNTKVYLDY NGVERVGPGWKDHRTTWMTFVDPFDIRGRMSGNVDHEQYWLRPEEYPPAADAATKPES QTEFVRAV VE01_06928 MATKSKVHEIVILGSHFSGLGTAHSLLRDIIPTLSKQTPNVKYH VTIVAPHTEYFYNIPGPRYLIGKELIDPSKLFFPIAGALKEYKADQYATVQGKATAVD HQRKVVSVVLQDGATQEIEYQSLVVATGTTSNSKLWQINDHEDATKALYASVQAALPT AKRVLIAGGGAVGVETAGEIATHFPKAEITILSGNDKLLPRLVPGNSSSAEARLGALG VKTVHKTRVRSAAETAAGATTVVFSDGTTQTVDVYIDATGGKPNTSFLPPAWVDAKGY VITDGGKTLRTPRAGVYALGDVADYSNGSLIDAMASIIPVATSIGVDIATEAGKGELF KQKIFKGMKGTQIVPTGPSSGVGQIMGWRVPGWLVWLIKSRTFFLEKAEPNWKGLEIA KA VE01_06929 MPIFPHFLPLPAPPHEACPVIGHLIRLPPDFPQLVDVRIDSEFY PGNLSFYTPFVAQLGLRFQSDAATFTSEGTPQHSPVYSGEPNLASSTPSTVPFYPNVF PPALTNSSSYSNTSPSYLPSNLSSYCLPDIKQNFNSFSNPDMLPPSRSPHLPQLSSSM SARDPYNTNSQQLRRHSELLTRSPPPAGSMRNMQMSPTSSSGFTSPQGMESPQPANNP NGPPPLNPTELLYQNIQTADGQQVRPEIIGKIDKGFFLAENDWTCYRRNYFQISCSYT LHPSVPTGTTMHLMQEGQSTQIQAFAMSISAVVDGEHGKPIELVQHTPKRDKGPQMKP DRVVLLPRPVNTTLYNGINADGTLSSGGRPLFDPNYAQVSTRPPTECTFERIQFKQAT ANNGKRRARQQYYHLIIELYADLGSQHGDRRWAKIARRVSAQMVVRGRSPGHYHADRR GSNSSAGPGGANDQGGSIGGYSSNGSLGGRSGDISLSGGSSLMSGGGYGNSYDASRSR RYLSSAGIDVSNEPMMGADEEKSFHDLEGYYYYPHSVHEGSIGSTLRSHALPPYQPHR DDRSHLSTPSYPGRVKQEHHTGFSLPSLSNGTQDSFGRNCGRFDGVPTSRGYYPSTSI VHSEINTS VE01_06930 MVYAPIRRFANLRHDCPDISDSMLLNSNNQTEFTGVADVVVAGA GIIGLCYAIHLKNISPHLEINVFEKSSSPTQKIGESTLSSFSRFVSGDIIPHDYFLRL FGLKDGLQFYCVDERGLSVTSEDIGGLDLSFQLDRRMSELFLTMWAQKIGINVYHGVG TDFKVMPEDAFAISANGDKDGVAAPNQEIGTAMNHFTAPQVLLDDASQSLATSVKAKL VCDATGFSRRLTSKFGNRETFKGGWNCDAYWAYFQETDVKTEDRLDHWNYPATKHICF PEGWGWFIKLISWEKSPLSNLMDLISYVIDNAKRNVSARDIPSTTVLSEMFDCPFEFI TSIGWAVRNDHKFPDNLEEYGATESERKFTYFKRKYPTLERLMDNSYAILPNYYGKKT YFVRKSMAFQSPVVAGDGWLAIGNSAGFTNPLISPGINAGIGGAFYAAMLTQEIFAAP EESSRAVMKKSANAFQAYHHDFMMPRLNQMNRFWYSMFRDHRLFEAMIPSFWAVGVQE IDHLYGASFVAEDVNWVVGAGTADFQTYSKAVLDVLEPTFDGVAPTEDAVEKVQALTS DAPSSVNDLLKTLRRSYISPETSHETTVQTPTLPPSLRNLLDLPNTPTPRPRRPQRLD ANGRRLPAGPAAPQSWLDSSRHAPEPETESHVIQSSCRPALHHLPGITRKPRPGSLVD CSLRRMAEDWDFQNEYNQYHLATLPTRLRTLLLSYIAHYGPVDGVGYLGLERLLQLPE DDDSGQEVNNEDFTRLDLASAVGSSVSLKHVMRLIHPPINDSTSAAVSWDAPSLPQSM RASPLIGLTHVSLANPGLAASWVALLAFAAATPTLTHVSLASWPAPNLTPNATAVNAK MTARGSPVVNLAAEDRYAHSIDLDYSAAAAILRRLARRWYSLEYLDVDGCAEWWKALY WGTEEEGIDWAGDWVRVRCISMRNVEGSRRVRTSSPFGKSAAAKTFVREFEAYVRKHR GWIDIIKDEDNVV VE01_06931 MRVVEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDS ICFVLGITNMTTVRAQNLQDLIYKRGQAGVTKASVTIVFDNKDKKKSPIGFEEYAQIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKAFKTMAKKEMKVQEITELLKDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRVVVAHDYIKNQEKLKLSASDLEAKKQRMTDLEKGAERMKTEITN LEEDIKRVKGQRDKELRKGGKAQALEKEVKDHTHEIVRLSTVIELKRTSMGEEKERKL SVQKTVSELEKQLQEKTKIYDKLQLQFVEAKDALEKQSTEVETKEELLQTLQTGVASK EGQESGYQGQIQDARNRASAASTEQEQAKLKITHLEKRIKEEEPRAKKAKEQNAGLLN DLEGLKSQAQKLESNLNKLGFEAGKEDEMYKEESSLQQTIRTLRQQSDTVRRKVANID FNYSDPAPNFDRSKVKGLVAQLFTLDKDKSEAGTALEICAGGRLYNVVVDTEVTGTQL LQKGKLKKRVTIIPLNKIAAFKASAEKIGAAKKLAPEKVHLALSLVGYDEEVSTAMEY VFGNTLICADAETAKRVTFDPSVRMRSITVQGDSYDPSGTLSGGSAPNSSGVLLTLQR LNELTRDLKMAEQRLAELQSIMAREQKKLDQAKKIRQELDLKSHEIKLTEEQIGGNSS SSIIQEVQNMRETIVQLKSDLSEAKARQETATKDVKRIEKDMKDFDNNKDGKLVELQK SLDSLRKALTKDSAAVKVLQKELQGARLDSEQIGGELSAAQEQLQESELALKTQDEEI NALMKEGRQAQRDHDAAEAQLNDERAKLSGFDDELRSLEDASRSKASRITEEGLEMQK LGHQIEKFNKEQQAAVQIISNMEKEYDWISDEKTNFGRSGTPYDFQGQNIAECKASLR NLTERFQGMKKKINPKVMNMIDSVEKKEVALKNMMKTVIRDKKKIEETIISLDEYKKK ALQETWEKVNGDFGAIFAELLPGSFAKLDPPEGKTINEGLEVKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSVVQALTAADFK VE01_06932 MAKSDTSPLGANAAADEEHRLLVQEFLKSASSTELGNVWGESIC TTDLKVISATAKPNGRVVFEYTCQASHANRLGNLHGGCAATIFDIATTAALVPVSKPD FWKFVGVSRTLNVTYLRPVPVGETVIIECDVIAIGKRLSTITGTMKRKSDGALTCICE HGKVNIDPKL VE01_06933 MSFLSFHTDTLDVLNVGRFFNPQEHDGNYYRQLHSIVARVPIPA LFITLLLICAPLVIALYVYQSNAAKARDEQPAGCRKLGIKTKSNLSNEFDEKFASGWP LSTTAAPTKRWTVQSMWIYPIKSCRGVELDHADIITTGMKYDRQFTLAQLKNPAPAAE TEEKRQTVRTWEFITQRKFPRLATVKVEVWVPDQSSAGYHPEGEYVQGGGAVVIRFPY QKPGWRGKLEKLATILNGSVPEKQFKVPFDPTPSQVQKSGYKYENVIIWKDTVNALNL EVDVPKELQEYLGVSNKLSLIRVDNSTLREVYRCAPKAEDLGYQPVTGFQDAYPLHIM NLASVRDLEGKLPKVKGVPSLSAKRFRANIIVTGPEPYEEDTWKRVRIGSYEFAFSCR TARCKLPNVDPVSGEVHASEPDRTLRKLRNVDAGAGKYVGCLGMQVVPISKESVMDVG DEVVPLEYGEHVYINQ VE01_06934 MAEPSQTAADQQPSNMAASSSTEPVRNSKYDPKKPHITEEPITA ANWYKHINWLNVVMIIGIPLYGMVMAYWTPLQWKTAVWAFAYYFMTGLGITAGYHRLW AHSSYSATTPLKLFLAAMGGGAVEGSIRWWARDHRAHHRYTDTDKDPYSVRKGLLYSH FGWMVMKQNPKRIGRTDITDLNEDPIVVWQHRNYIKTVITMGMIFPMLVCGLGWGDWM GGFVYAGILRIFVVQQATFCVNSLAHWLGDQPFDDRNSPRDHFITAIVTLGEGYHNFH HEFPSDYRNAITWHQYDPTKWSIWMWKQLGLAYDLKQFKSNEIEKGRVQQLQKKLDQK RATLNWGIPLEQLPIISWDDYVKEAKDGRALVAVAGVIHDVGDFIKDHPGGKALINSG IGKDATAIFNGGVYNHSNAAHNLLSTMRVGVLRGGSEVEIWKQAQRENKDISFVSDSA GKKIIRAGSQVTRIAEPVASADAA VE01_06935 MAKFLPFQDATTQMLLPHETLDTDHRSVWPWKRWFAKEEPLTPR PDEQAAAAPRSNLARRISRKAIPGLPRPGTFKRQQSELRHNLEAVKAKQNERRAFSVD PHRADQLCDSPKTSTLSLPRNSAPTLIGVATDDADVTTEEQQAISELAEPEIPRDDFT EHPAPHSIAGSDGLLDEELDKKWILNLSMHFRDRSNREKFFVTYAETPTHWRRVTISL DYRDAPPGSLEEELQQTQYQRDKSARIYDAIRESLPDIQFYDTVTNLKLQTESDRLHV HVTEDIHEVIQFPPVSAINHLYCQRVKEQDLVFDSHLSGFVYKVTVNGRVFIKKEIPG PDTVEEFLYEVNALHRLSGSDSVIQFGGVITSNDGSLLKGLLISFAEKGALIDVIYDG KDELSWPRRERWAKQIVQGLSEIHEAGFVQGDFTLSNIVIDGDDNAKIIDINRRGCPV GWEPPEVAALIESKQRISMYIGVKSDIFQLGMVLWALAMQQDEPENQPRPLTLASAPQ EIPSYYRALVGICLSDDPRTRCHTTSLLSMFPEMEGDDHGRDYGNQPSDRAESQYIDP AHAVERDDIDNFRLMDSQTTERGGIAPSTGTHTRGRSPPRSAIGELEFEPRIVTVSPG RHYLDENQVNESVPDNVVDDFVVPHDIADSDAIGGGEATVHFDIEDVIGDDEHTNSGE NRHAIDASENSPEDMDHINNAAEDVTTSPAHGIVATKNIATAVATESASLINGDAIET SAIAPTEKSEDVLRSPGNENATESNTTVPMDHENIQIPTATVTNEDDGDKREDATENH MVTPDNGKSTFDAATIATSNDSGYAQSATEDNSTVAGYRSDDIEIMSGDVSYITGNVT EDRAIAAGDGIEETHSVAVKTTDGDANNAIEDKIEDDTVLGGASNSTGDKITGDSVAP GAAVGQSAIPRDEAKECGEVTTDDFVMLGLGTKHGDDDGVLATRDVTGTATLEHQHQD KNLEQTEAAIGDLTGIGGHSTLEHSDIPQGISDDDLMTDMP VE01_06936 MLYSQNLPWRPALRNVYRATAQHSRTVALRPYSGGRWLSLNGTQ LSASRRQAVPLVSRGSTSTFTTFSRLLKDSSDDSKDISPEEIAARAAKEKAELERKKE REDVAETKTKGSDSTPAAGASGAGPVDGKGSSATGGSGEQSGSDGGRKGRKSANERAL QKPTVPEIYPQVMAIPIAKRPLFPGFYKAVTIRDPNVAAAIQEMIKRGQPYIGAFLFK DENADRDIIENMDDVHDVGVFAQITSAFPVHGEPDALTAVLYPHRRIRISDLVTPNRP GTDAKAAGEQPPVAEPIIPDVIPAKPEAAAEPSQKKGDAIASFEEIPPEAKSVDESAV QYEPTAFLRKYPVSLVNVENLVEEAHDPKSPIIRAVTNEIVNVFKEVASLNSLFRDQI STFSMSQSAGNVMQEPAKLADFAAAVSSGEVAELQDVLETLNIEERLQKALVVLKKEL MNAQLQSKISKDVENKIQKRQREYWLMEQMKGIRRELGIESDGKDKLVEKFKDKASKL AMPEAVKKVFDEELNKLAHLEPAASEFNVTRNYLDWLTQIPWGQRSAENFGIKNAMTV LDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIARALNRQY YRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDEIDKIGRGHQGD PASALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANMTDTIPRPLLDRMEMIELSG YVSDEKMAIAQRYLGPAAKELAGLKDVDVDLSKEAIEELIKSYCRESGVRNLKKQIEK VYRKSALKIVQDLGEDALPEAEALTEEGKAALEASKKDTTDTVETSGNIEKETTEVPR VSLAVPESVHITIDKTNLKDYVGAPVFTSDRLYDITPAGVAMGLAWTQMGGAALYVES ILESALNSESRPGLATTGNLKAVMKESTTIAYSFAKSVMAKEFPENHFFDKAKLHLHC PEGAVQKDGPSAGITMATSMLSLALDTPLDPTIAMTGELTVTGKVLRIGGLREKTVAA RRAGSKKIIFPHDNLSDWLELPENIKEGIEGHPAKWYSDVFGLVFADLDVEKANNVWK KQLSKPKKEDKSEDSGDDD VE01_06937 MASSPMISAPLKATNEIDWVPPLKDYIRQTYGDDPERYAEECAT LNRLRQDMRGAGKDSAAGRDLLYRYYGQLELLDLRFPVDENHIKISFTWFDAFTHKPT SQYSLAYEKASIIFNISAVLSCHAAHQSRSEDTGLKTAYHSFQASAGMFTYINENFLH APSTDLSRDTVKTLIQIMLAQGQEVFLEKQIADVEGVQENVNKAIFEKVWLLVTQIKS HYMASLAQYYQALADDDANSHGTAIARLQVAETMAKDAQRAANSFPNNVPANSNLSSE TGSSLVDITKRHLTTVQEKLEGLVKDNDYIYHQTVPAEAGLTAILKLPAAKAIPVSEL YAGQDIQRITGPDIFQKIIPMSVTESASLYDEEKAKMVRAETERVEIANSEMAASLDY LRLPGALQVIKGGFDNEVFSDNDFKGWCDELAGHEPYGGTFDYLTSSRESITGVLEKS TKQLDMEESVCEKMRSKYEGEWTQQPSSRLTMTLRDDIKNYRDALEEAGRSDNILYSK MRQNEVDFDEMRSAGETGEADVLFQRALIKVGGKRNNSGSPASGGLDGNLLDADFADD DVSVMDQVNRVEDVLKKLNLIKRERGQVLKDLKEKAHNDDISQVLILNKKAVSSHETQ LFKTELEKFRPYQTRLLQANHKQSSLMKELTTSFNSLLQDKRVRSEQSKYEAITRQRG TVLAKYKRVYQEFLELETGLSDAQTWYKEMKDTVNSLEKNVETFVNNRRSEGAQLLHQ IEQDRAANAGGQQERERERLRGLMERMSMDPSTSPSNANPPSSRGQPSISPSAHYPPT NFAGQYQVPSATPPQQAQPTFPKYSSPPPGNYYQQQGGQRTDQYGNQGGSRPGSQSQQ GGYDPSSFARREYNQQPTSPPPTQQHFSPNQYQYQQQQHHTAQPMQQQQFVPAGYVPP PPPPGPPPLGPQQTFSPPGSVYQQGNGRDGYPASQSRMSQQSGAQDPWAGLNSWK VE01_06938 MNSGTSSLLKKAPISIRYRASSRVCRRSRYPDGALDKAAVRSYT TCTARQNVRRDLAASANARLRSSPRRAFHASRSLQAKNPYQVLGVGKDASASDIKKAY YGMAKKFHPDTNKDPTAKEKFAEAQSAYELLSDPKKKESFDMYGEAAFNQGGGPSPGG DPFGGAGSPFGGGFGGFGGGGAGFGGAGGFGADFSFDDLFKAFGGQAGKRGGRGGRGS PFSQEEVLVGDNIEVQASISFMEAAKGATKTISITPMTTCKTCSGNGLKAGTKRTECK SCDGTGTRVHFMQGGFQMASTCGSCGGRGVTIPRGSECGTCDGDGVVRERKTINIDIP GGIEDGMRLRVDKEGDAPVTGAAAPPGARAVPGDLYVHVRVASDPKFSRSGSDVLYTA TIPLTTALLGGEVRIPTLDGHVDVKVATGTGAGDKITLSGMGMKKLNARRAGTGDLKV EFKIAVPKFLTAKQRTILEILADEMGDKTAKRVMNVNSSKSSETETSQKNEGFLKSIW HKLTDKPAESSTTTASDSKDAKPKDAPKDGGAQEKDGKSPKKGSDSGL VE01_06939 MAPSKARAAPDDSRSEASSTRERHGGSGNATTITKGRRGGTGAA SSSLRDVATAGSVVTGVTSAPNGAAQEANVGMQWSTLDPEILQAYSHAYQLNTPPAFI SDYNQLMLTGPGIGRFSPTMARRREKRRQSKDQLSNTVRKHFNGLGIQENEAIVEFLY KVKWQDKNFRMRFAPNKPR VE01_06940 MTSIVAQPIQIHPANVTQSSVIMDIPLESPPVLSKIKTEGEKPR GRRRSRTQITSKDSNGYGSGADGDEGQSSGGDGTDGPEGARKRRRSRKGLDKKFECPQ GGCGKSYSRAEHLYRHQLNHTPKQIYSCDFPDCDRTFVRQDLCNRHKERHTAKGSQLQ RKDAMLSNVSPITSNNTSMAFKGSMSPEVGRPGSSGFKPRTAQAPYQSPAETLSGPFS PVGAHTTSAYPRSGSLSATADPSNAYPPAGSPYRRSNSDNSIQSAHTLNAGSMQQNST GQGRHAGIGGTDGNMQDNTLYGRQSQHSTNPGFDALSPNQRQQSYVNNHNNGMQVPMQ QPYVNAQNFTPFSLPPPGFSPVAAPASTTTSRDTESSYVISPPQTSMSIDYQGRDTSH PQQSGPDMMLLDQMAAVNTMPVFGGEGYNRSPFAIPDDFVAFLFSGQHLDNSSPMSNP ALGTQGYSNYPDAQNQYQPYFANEINLGGYFPQNPQHPMAVTSLLDTSTPEMILSEDK SQEIIDLIKERFNETDHAPVAKQKEALLDGDRSNDSHMMSRKMMQTYIGSFWWHFHPQ LPILHKPTFSPEKTPNLLLIAMMAIGASCLDKMHGYNVTQSGAELANFLAWHLRWEIF SDVHFRPPAKLWVFQALLLLEIYEKMYSTRALHERAHIHHATTITLMRRGSSLIGRSA LDSPPSVRDERQGPNDSKQSSTSGANTPDEWWNHWITNEATRRAAFAAFVIDSVHATM FGHSTVMVAHEMRLPLPCDEALWSATNSAEVGRIEASLHANGVKPVSFLEGLKRTLNA QEVRTNAFGRSILMAGLLSVSWHMNQRDLQVNSLGVSQVLGGRDKWRGSLTRAFDLWK QDFDRSLAHNTETNSGPYTYTSKQDEDTVFESRTVLHHLAHMAMHVDIVDCQIFARAK RLLGRTIGTQDLNSTQRKMKDIWAPTAKARDATYYAIRFLCTVLLPEDTSSGMHMYDQ DTDFDYSARDDVLMNRSWVLYFAALIVWCYAFALEGPTQEEIPSAGAFQDQVFDMRVY LRRLSNVKSPEELKSVSNLNGCTGMLMVLRHTFEKTRWELLHEAANLLTNCIQLISTN NV VE01_06941 MKLFTQTLGTVAAATIAVASNSGGNPLATSDQRDLDALSIKINE DHDFGFLKAEAKATFQIAHGLPISQEANSRIDAAIDELAFSSIQKAVNNDPYYPKVYW VDAGPRNWFGLDVPGGRYSYDNPDCIYRTIPISSKLRYVLRGYRHPEGPTDVTFSLIS DPNSQNTVASLAGDDLVVDADGSYTITIDSSSGEGQTNHIKSSLLAQQLFVRNNLGNW QVQTADNLTVELIDDATGHKPIDETAIVHRAQWNLQESIIDYGVGALGLKTMINPVNT LSKPSQSSTLGTLTTQASSFGHFNLKAGEAIVATLSIGEADYFVFPATDPWLITVDPG AYQLSLNSEQAVANANGTYTFVVSRTDPGVSNWIDTAGLNEGTIMVRWQGLPTDSSDK DNGVAIVTQVVQISQLADVLPSGTKYVTPEERKEHLAQRAAGYAQRFQY VE01_06942 MSTTFTMPNRQLAWLITGCSSGFGLALINIVQSHGHKVIATSRN PSRTPELVAEVENRGGKWLKLDVEDANCAHVIKVLEASGQQIDVLVNNAGSSIHACVE QFTEEEVRRQMESLYFGPARLIREAVPHMRKRRFGVVVNMSSGAGLEGRESMGAYAPA KAALDGVSKVLAKEVSEFNVRVLIVSLGAFNTNMVNAVTTSKNPMPEDYQGTIVEKTI DAMGSGSFKPEGDKDKAVKVIYEVVMGEGVGVGREGERFLPLGRDLAARVKQVQDQYS HSMELVSQNKLLV VE01_06943 MGFASFWQDARKSGQQYLATQHAESVESVVRDGNLEYTKETAGN ESQPSYQEASGAPVEKESPLGYEINWFTVIFLNIGQMIGTGVFSTPGSILSGLGSVGL SLFFWFIGLLIAFAGFSTYLELASYFPNRSGAQVVYLEQAYPRPKYFFPTAYAMLNIL LAFSSSNAIVLSTYTFRIAGTEPTEWQAKGVAIAGFTLATIVVIISNKWSLRFSNVIG VIKVLTLVFISITGFVVLGGRVKSIPDPGANFRDSFAGATGDASGVVRALVRVNYAYE GWSNSFNMVNEIKKPIKTIRWAGALSLAIVAVLYMLCNIAYFAAVPKQDVLESGTGVA ALFFGAVFGPGNAARGLNFLVLLSSFGNLVSNLIGSSRIIRVLPYPAFWASTQPFGTP LGPYAFKYVLTLLMVLAPPFGDAFDFLVDLKSYPDAVFAGCVAVGVFLIRRQRKRINA ERPEFKTWDVVLLFYIAIQIILLVMPWVPPAGGINAGSVSFFYATYCFTGIGILAACG VYYWIWTHFLPRRGNYALRQTVIVLDSGAQTHKLNKVPNSELAEWDARHDVVGKEISS GSKVDSNTGSNEDEVIRQRVPDEKTNNYREV VE01_06682 MRSMKSARQQLYYKHYDRKVRKRQRGSRLVVPPPKPLLKLQPLL KRPLKEMLSTPPQATTPAKQSPVISSGASASISVNSSSSGPQAQDGEMPLPTAVQVAR QLWQFHGCTEEQHQEREEEHTQAHEQPSSLQHACCSLPQVTTLLAGTNIDGSPLNAVP DVLCQHRLIKRGE VE01_06683 MPKVCPLGAKVSLLRLSADNMLYRLPEDIDELRSRISTNINLLN AFNGRLTRDNVVKLVRHQEDEEHQTAVDWLTPIDFDFATQQSNFISRRLSDNASPKLQ SHLDNLGSKVGIQSQNTAALKDLDEHDESISDLETQPRLQTLEGSSEYEPSEASMEDG SVFSLSQSISSKSSAHGAEGATCELVILLMENTELRNFHPPLRQNFEITAFKSELHQL LKVFSKDLSKEALIPIEKESVRFVSQLRRRVTHTIGQEVFGLKDQSLLQSISQQQQLD ARERIEKFLKDLIQSKGSGDELSLQHEHTSDNDSSDDEYELEPFSNFELVKKFLINSK AFEKLRVSISKLEAQGEESNTEMRSRHNVIELESKIGKDEKLSDIGVNQSPLSRTVIP ESRTILQAGRINVFATLLRCSRTLLRLSRPKLEIGYRRLEWQCDCGMPLYGDFRGDPE EISKLVREIQAHGYAVTQTCHGTKQMPADSGWTTASNSAVSVPLGLNTSQTTQNSCLN STGTSSRALGETRNPTVGSTVATTSLTPVTDNRSKFVALCVNTGPFQKTYDEIDISST PKNTQMFQDFKKTYEACVGSRRNLLRRWLTKPIDIEFIQFAVEGLRRVYPIPGSPDCT ICAHAEKKDRLVAARKYEPHLNAVALTHPPIPPDLFFHLWECPEHITPTVQNLWLNRL PKKLDEKLDKVCLGTRPDGELILGWGVLVIEGLHRRRILRLTIAIVALSMVISVAYSA GMKDVSSGFAIGALLVGCWTLFIAALYSEWMAR VE01_06684 MLLLDTGKVDADSKDRHGKVDADSKDRYGQTPLYLAARNGHEAV VKLLLNIGKVDADSKDGDGKVDADSKDGDRKTPLLSAA VE01_06685 MQRSTEPKHVGAADCLSFKTHEIASSGGGANSHASSISASTSPV NDFDGGPDRLSTVPMSLIDSSVKDARTPIAIIGMSCRFPGDATSPEKLWELCSEARDA WSEFPADRFNASAFYHPNIDRNGVMNTRGGYFLKQDLSRFDAQFFNVSPNEAKAMDPQ QRMQLECAYEALENAGIPLGNLSGSNTSVFTASFTKDYTEMLERDPERGPLYQALGNA QSIMANRISYFFNLNGPSIAVDTACSASLVAVHLACQSLRCGESKQAIVGGANLIFSP DMMIKMTPMRFFSPDGKCYTFDHRATGYARGEGVACIVLKPLNDAIRDGDPIRAVIRE SGVNQDGRTSGITLPSGEAQERLIKSTYLRAGLDPLDTGYVEGHGTGTPAGDPIEAAA IANTLGLGRPADKPLRIGSIKTNVGHLEGASGLAGIIKAVMMLEKGLIPPSLNFERAN LRIPLEEWNLTVPLHLEPWPSAGPRRVSVNSFGFGGTNAHAILEDSYHYLSSRGWKGS HRTAPPLVAAAQDASICGGDDRGRVFVLTSNDQQCCELLIRNLTDYLQEKMVAQPSNW LIDLAYTLGQRRSVLPWKVAVPANSPADLLKTLQGQIKPSRTSKVPRLGFVFTGQGAQ WYAMGRELIDAYPIFKSALVAAQSHLEILGATWSIFDELSRDAQSTRVNIASISQPLS TAIQIALVDLLASWGVKPIAVVGHSSGEAAAAYAANALTMESAISISYFRGLLTMTMA EKSTQLQGAMMAVGLSQEHAETHISTITSGRIVVACINSPLSVTVSGDAAAVDELHKM LECEGIFARKLKIDTAYHSHHMQSIENDYLLALRELSTNSSTVIGYWSSVTGNLAEST ELDAPYWVRHMVSPVRFSESLRNLCLEPGRDPQYRLDASGVAVDLLVEIGPHSALAGP IKQTLATSELRGAGIAYAPTLVRNTDAVRAALQLVCTLFASGYPVDLDAVNFPNGAES RQVIVDLPPYPWNHMASHWHESRLSLNYRFRQHPRHDLLGAPVPDFNDLEPKWRNIIR VSDIPWVKDHKIQSSILYPAAGFVAMAIEAALQCKGLQGELVTGYRLRDVRFSKALVI PETAEGIETSFTLRPSTDNNLSSLETWQEFRVFSHNGQEGWSEHCRGFILTEIASQPT EVDGGRQAEEAETSSQLRFANAVSLCTESVDIGNVYESLESAGVQFGDTFRNFVNIRQ GPHQALCTVSIPDTSAVMPHRFEYPHVVHPATLDAVFQAIFPALTRGNAQLQDPVLPT FIGELFISRDINNSPGHRFLVHASASRNGAREVKATIGVVDASELNSKPVIEIKGLTC VFLRNTNPVDQEEARRLCFKLCWEPDVDSIKRETAKRLWGAEQDPTDSATISNLELAS VYYMQDALEVLTDADYQSMEAHHQLYYNWMKYQCKLATEGGLKHVTALGLAAGLEQRK ETLSVAQASSVDGQLLCRVGGSLARILRREIQPLELMLEDDLLHEFYGKAIGADRYSI QVASYVDKLAHKRPNMSILEIGAGTGGGTLPVLQTLGGYSGKSARFIHYDFTDISSGF FEKAQEKFADWGGLISFKKLNIECDPVTQGFENGKYDLVIAANVLHATRNMDNTMKNV RKLLKPNGKIILLEITHVLLRASVVFGTLPGWWLGTEECRKMGPTLSEDSWGTLLQRQ GFTGLDVCVWDYPSEQDHLTSLIASTATDGHEPRYPAALIVHDGSPVGVSLDALRASL ESLTGECIAVSSLEDAQGDGKVCIFLGELHTPLLRQPQPDQFDHIKGIFSTARGVLWL SRGGTVLCETPAVSLITGLARALRCESQASKFVTLDLDAQRQLDEQGAAQVILDVFRS AFDLNAEEQICDVEFAERDGAIFIPRVLEDISMNKSVMAATRQLSAEIQPFQQPNRFL RLEIEKPGLLDTLRFVDNPTMAPPLPDDEVEIEIRACGLNFHDIMISMGQITEEPLGC ECSGVLTRLGKNVAGFIVGDRVCAWAGGAFSNSLRTAGAVVQRIPDDMTFEEAASIPV AYCTAYISLYDTAGLQRGESVLIHSAAGGVGQAAIALSRLIGAEIFVTVGTANKKKFL MEQYGIPGDHIFSSRDTSFAAGIMRMTHNRGVDVILNSLAGEALRQTWHCVAMFGRFV EIGKRDMIANSRLEMAPFMRHVTFASIDLEAIFYHRMSHGAKTLSEIMKMFRGKVLSP ITPITVFSMSEIEAAFRLMQSGKHTGKIVVIPKAEDQVKVIPRAVVQPYFRDDASYLL VGGLGGLGRAIARWMMQHGAKNIIFLSRSGLDKESARQIVRDLEEGGVNVATYNCDVS SEAQLAKALEEIARRMPAIRGVIQCAMVLKDTLYEKMGVEDYERVLRPKVQGSWNLHE QLSKSELDFFVMLASAVSVSGNPGQSNYAAASSFQDALSHYRTAQGLPAVTLDLGVIV GAGFVSENEGARTSLKRQGYADIHVEELMAILQSAITRSGGDQACQIVTGLYTREILD SKLGQTAPTGDIPFWFRDPKFSHLLPRSATLLGEPTDTAVSVRHSLERAKTTSEASQI VCEAIIAKLSKSLMIPRDDINSDRPIAAYGVDSLVAVELRNWMLREMKADVPLFEILG NASLLVLSRRVALKSQLLSAIILEGEGIEAIEGIQFNPGRVGHSENLVEESRAQKMRA LVEKYSMDLPVARHSPVQDDTWSVILTGSTGSLGSHLLESLLAHPKVGKVICLNRSVC SHQRQVDINTGRGLTTNLAADRVEFYQVNLGERELGLTANEYGLLLSSATHIIHNAWQ VDFVSSIESFDVHIRGVRHLIDLSVASKKAANFYFISSASTVTNWLENHTGKVPEEII YDYSAATPLGYCESKHVAERLLDLASARSAVPVTVIRVGQIAGPTQEQGVWNKREWLP SLVSSSKYLGLLPETLGAIDTVDWIPVDVLSKIIVELVGASETKPPVKPRVYHVLNPR ITSWKTLLPTVQAHLNRGQTNIQIVPFTVWIDALQASATDPALRVTRNPALTLVEFHH RQSTTRSGSIARLDVRQAVAASNSLEALEVVGPQWMAIWMKQWNF VE01_06686 MFAKDETGKSRASGLHQVNTDGDGDVVFIGCYKYDGKTMLVSEY AQVSLRQTIAIPYDFEKTHVSKVCS VE01_06687 MSKRKPLVLLSNISSTRDLCDFLGFYGTEAKNDLCRVPIPFHIL LHSGGKTRQSFWEEGRFSSKSLQEFLPSFAAKLKCAPNDIERIKLVLRLKTREVEIEM EARNEDIWEIAMATFRDEIKRGKAKGEVKGVSVLVEPVMRKGGVETGGWDGDEEFDF VE01_06688 MFLANKGLDKQRRLHIAAREGDIERISDLLESGDNVNETNADGD TPLHLAVWKQSKPAIRLLVLNGASTIKHDARGKSPLEKAKQWGGVELEAFLIKVLEDH HVLQSDLRTYMLTEDWNEFDKTLEYRGLINTCRVEGRTLLQIAAQLDLSDAIRKLLDR DANIQARDEDALHLAAQSGSFKAIQQLLISGADI VE01_06689 MTLNLKHIKRSRGKSKKKKFTFYEGEDLSCCVVSFMLALALTNN AFKNKFKSLRDIYNLVVPLDANRITLKWDDEWAERPVFYDVKVTANGVYALTPKERRH IMGNSRDVYKRYYMLDFVDKDC VE01_06690 MEEQRRLHEPRLQKKKIHRRKQLPSPHAGDELRLDSGYNSQSKS KSDSAKAVSYRQKIADFSKAGVNLSNHSDDTKAKLKAEKEAAPKGEDLDFVLGKNPLE ALTKGKAPIFKAYLC VE01_06691 MSSKPFNDDPEKDGGYGAPSPMSVNSDGQPATLHVEDQQKGPMM SRLVDSFKRDPNASSGARTYKAEDGGFDHEGAAQRTANSGLARTGLFVTSGAALSRGG PASLIIAYGIIGIMLFCTVQALGEMAVIFPVAGSFAAYSTRFIDPAWGFAMGWNYAVL WLIVLPLEIVAASITVSFWPGAADTNSAAWVTIFFVLIVSINLFGVRGYGEAEFVFSI IKVIAVIGFILLGIVLNCGGGPVGGYIGDRYWKPNGVLPDYQGYIQNPDAAPGSIVSG PFNNGFKGLCSVFVTAAFSFAGTELVGLAAAESDNPRKTLPTAIKQVFWRILLFYMVS LTIVSLLVPYGDSRLLGAGSTDAKASPFVIAIENASISVLPSIMNVVVLISVLSVGNS AVYGSSRTLAALAEQNQAPRILAYIDRKGRPIVSIGIASVFGLLGYVVAGGPKTSSTA LNWLYSLAGLSSIFTWGSICLAHIRFRAGWKKQGHSLDELAFRSQVGVYGSWVGFILN CLVLVAQFWTAIWPIGYGSLTSTEIAESFFLAYLAAPVVLLCYFPYKFYFKTPFMRSH NMDLVTGRREHDTAELIEEEMMEKRSWPMWKRAYKYVC VE01_06692 MDFLEFNPNFGVLICTRCKFALAPGTVGSHLSNLHKDQVTLSER RDCIALWANKPIQPAEVIQQLDVPEDARPVPKLALYSNGADVAKGRATAFPTVTLSPV WYQAFHVSNFRRNFRVAVPLELGNSATSSSPPPPPASLEGQVELQLTEKMRVSDEAAS SVLQRPPEQSAWLQTTEWVRYLQGRNLGAAAWLIALPHSSSKPEPDLIAILDSFDRLV EQARESIKQGKINAFDQQRISSFLRSGSRTSKASDRPSP VE01_06693 MGDWILRPLERLDSWDGKCLWYKTRKLGGREQRCSRKLKARDGD REQILANVTELVQEGSRPRDDVLRELAGLMLCPANHRSTINQQLLCEHWIARWAEDHI DEDDSDGRSDNGDENDQNHEDDYFPSNDLDTQEHPDIDDFEDSAYFSDDNSNSSVHSD ISGGSADLEISTLPLDQDDDPTHLPSLTSTLAPQPAATNNPPAIPAHIRSYSPYTTHS PQEISRALQTKLEQALSPAEISGRIIYGFREVIGSPYIKIGITNDFGRRRGELSRGCG YRCEDLVFQLETRHAMKVEHLVQKHLAAARRREDVSRFVGQGGCNIHMTHVEWFEVGD ERVAEVVEGWVRFMKLKPFGEVGDGEAWELKREWKGKLGEVEADHEEGDRWLRWLERH APATTSDTNLVVSVDVTEDEGVEEVVGEAAAFVPDFIRSTTNDDFLPPFQQPTSPTET HTAYLYTTSIPPYLPPTNPGLPQPNLVTSFLFHALQLVFFLTIVGNISCQQLASLVLL GFATLVARQSDRAMTGESGDVYSGVLRGVYYPDLTGRLAAMDR VE01_06694 MLSTVQEIIGLRAAQLYIVIFVFITVCYSVSCLFSYFRPHLRQL PGPFLARFTRLYKVYISLKGDSHIEYQKLHDKYGPIIRTGPNAVSIGDAAMIPEVYLQ GSLYQKSSYITGFTFTIDGQSMENIFTSRDAAQHKIMRSSVAAKYSLSSMLQLEPLFD KCIPLFVAHMDKRAGTAIDFGEWLSWFSFDLTGLLSFQEMFGFMDQARDINGAIGAGW ATMTYGAIVGQFPAAHKYLFGNPTLVGILDRIWEKNPINMIQQTAYAAMKKYDLEKPT NMRGDLLEYLRQKQLKDSTIMDEREIMNNILIFFIGAVDTNSTALRAIFYYLVKTPRT YVTLVQELQDADAKGLLSDVLSFQEGQKLPYLQACIKEAMRMHPTVGSPFDRVVPKGG AVLNGQFIPEGTDIGITGWVTQRDKAVFGADADFFRPERWIEVDEMQVRRMDKNMLAF GLGNRSCIGKHVAMMVLTKTVGQIVRNFDMEWASEKEEWDTTCRMQVRQTGVVMRLTR RG VE01_06695 MPADGTYAPQSPDLSSFQSSTTTPVQQHRPHQQQQQHHHEPQTS TYTPRSPRLPDFTSPQSNNATAQRSSISSSSGYQVPPSPQQYQGFGDSRRNSSYLPPI PDLPPTHTFQSPRGQGQYTSPAYQAPTVPYSQAHSPPQNYSRQSYSLPQQALTYQPPP PQPLQQPQAPHQQLHEQLHIKSETSDDAMTGRVKRERVAAAPGISEDVKPHLGASQGI EIKTKFPVARIKRIMQADEEVGKVAQVTPVAVSKALELFMISLVTKSASLARSTNSKR VTAVHLKKAIEADEQFDFLNDIVSKIADGPDAGQGKRTKEEEDNSDSDEKPKKKGRRK KGE VE01_06696 METEQPGSEELNFFHKDNVRFKTLDSIRESISELYVSIKDNYVG SNNATTSETPLWIIARSSGKNYVEAESDSEKAPSEVEEEEEEMVDEVNNQEMEVLGSY DMQENAGRHSTEYLRNMGGSDMKEYSEKWSEVLWIIERIFGVFAAKSDQLREFLNGME TVRSALIEELVDGTQGGTDLFHEILAERLQKFGIEEIEDVLDKFVASKAYEAEEVEKT RKESEGSKVLDIARDEIREVFEALKNELEELKKESEELRDELDVLKRGEGH VE01_06697 MKYVLVSGGVISGIGKGVIASSTGLLLKTIGLKVTSIKIDPYMN VDAGTMAPTEHGEVFVLDDGGEVDLDLGNYERYLNITLTRENNITTGKIYQHVIERER RGDYLGRTVQVVPHLTDAIQDWIERVARVPVDDTNEAPDVCIIELGGTVGDIESAPFI EAMRQLRRRAGKDNFLQIHVSLVPVIQGEQKTKPTQAAIKDARSAGLSPDLIACRCDV PLEKATTNKIAMFCQVEPEQVIAVHNVASTYHVPLLLEKQGLITTVRDILKLDAVTKS EHLISRGQRTWAEWKTLTTSQDRLFETVTIALVGKYTNLHDSYLSVIKSLEHSAMRCH KKLELQWVDASDLEDDAKTARPAGFHAAWHQVCTADGILVPGGFGIRGTEGMIKAAQW ARTQKVPYLGICLGMQLAVIEFARHVCGLEGASSTELSEQCAEPIVLFMPEVDRSAMG GTMRLGIRPTIFQPGSEWSRLRKLYGDRTEIHERHRHRYEVNPEYVERLAAGGLTFVG KDDKGERMEVVEIKDHPWFVGVQYHPEYLSRVLSPSRPYLGFVAAASGCLDKITGEIA DLDAQAQALGLGERSEGVAT VE01_06698 MADNEAGLKAQIAEMQQKLDQLKTTATSSSYDAYQTSLTGRYCS PEMSKLFSQRSRHSTWRKLWLYLAESEHEIGIETITPEALDQMRAHLELTDADFEVAR VEEKKRRHDVMAHVHAFGAVAPAAAGIIHYGATSCYVTDNTELMLMRDGIDLLLPKLA KVIWNLAQFAMQWKAEPTLAYTHLQPAQLITVGKRAAQWAQDLMFDLENIEHVRNELK FRGAQGTTGTQASFMEIFGDDTAKCDKLNELLCKKTGFSECYDVSTQTYTRKVDLIVA NAIAGLGSTAQKIAGDIRHLATFKEIEEPFEKDQIGSSAMSYKRNPMRSERIYSLARE LMSKPASFAATHSDQWMERSLDDSAIRRMDIPETFLLADAILIGLDNVSNGLVVYPNR VNARVQEELPFMITESIIMKLVALGESRQEAHEQIRVLSHQAGRSVKNDGKPNDLVAR IKATEFFKPIWAGLDDMLKAELYTGRSVEIVERFCAEGGVLDKKIKPYKAFIEKATTA ELSV VE01_06699 MADKRAHDAEAQRPFLAGESSEDVLLKMKVEEDEPSTRKWTAWV RILAEVVMAGAIVALLLRGWQRGEADGKKPSPVPTWPKTTVTFQPNERYLHDGMFANE SAALETLHNWIELSADGRGYVKIEDAEKYGLGKGYEMNVNNTHTEPAYMLSVFHQLHC LSYLAVAFQTAFTDKVPSNEVAFHSVHCFDYIRQAILCNADTSLEGDTGHPGWGGVHQ CTDIDRLREWANQRDIYKYRGTMPSDTVL VE01_06700 MDRQYSSRPPSATSMDGPRDDPRSYSDRFTLPPLEYSSDRPMPN NIRYPPSSPIVTLPSLQNQQNQHPYHPYPPQDRQPPMHPQEQQVKFTPFQPGTGSVYH FGRQTAETRQVRKIGQRRDAAEEYRKLLGSNNGEPTSRPPPPPQHQQSRPLSQQSSPT FGPPRPMQDPYSAQGRPNNHINNQSDPNNHHVQLLRSPSPQSRDLRKRPSTGTLPKPK EQKLRDSSGIGIADLIRPDSSKYTTTDVHAAHAATTSRRACMWFRRTRPPRRGPASYP RAAHHPAPTTTAMSASDEMARRLRYPYYVVHCSLWDASRDIDSGAMEGDGSVGGGERR NQQRRLMGTLVASPFVGKDERGVEGCFFCFPDLSCRTTGQYRLKFVLMVLDPNTMKMG TKVPYSASVTSGVVTVFAAKDFPGMQASTALTRRLKEQGCLISVKKGIEKIGERGGES DDEGAGSKR VE01_06701 MAATVFTQAELISSLSQDDIPIKLRCAICSKLAMNAFRLPCCEQ AICEDCQSSLPSSCPVCEHTPVAAEDCKPHKSLRTTIKVFLRTEEKKRVALQAKAIKD TPPITPVEDAPAPVTAPEEPISEAPAEDTAEESLPITDQAPVSEQDGTADGTPVTNGE AVNEDQKDILQQSIEEIAPGQEHTGEDTTAGEVATDANEATHEGEKDANGDAAGAGMP GMTGGFNAAMGGFPNMTGDMNQMQMQMAMAMQNGMGANAFGGFPMMGMPGMSMDPMAM QNMFMNGGFGGMGMNGMNMGMGGMGGFEGVAGQGFGGGGGWGGQQWNMGQNNFNPNAA LSAGMGHNDYGAPHKPGYPFQQHHGAGAGYSQGGYGRNQYNEYGHHGGGGFAGRGRGR GRGGYGRGGGYGHGEYAQHGNYQNSVAGHVSESAAPQGPKEQQSTEPASNVDEFGREI RTPSALAETEVTATDNAETNGMTAEGDAQVATNPLTTPSDVLDTGPKPIQTLEEIEQA QFMSHGHGGAYVARSSAYATQAPPPLADVPINAPTGPKAMREGLPNTSILHLRGRAPF GESRRESGSSAVPETEMDGRVEWDGEGAEGGEGVQPRNASKSRSRSRSPKRERRERHR RRRDESTSDGSRERRRERRRRERRREEGEGAGDAGEDVLEDEAEAEARRERRERRRRE RDSDRDGGRDRDRDRDYNRERGDRDRERERNRDGGREKGRDRERDRERDRDHNRDRDH GHKSSSHKHRSRSRSRRRRQHSPPAQNGDAAGEDGFRPPMGPRAMSGKENHVAPAAKD PHTAEREARDRERLVREAQRMAGLGGGGGRKRGVGSGSGGGEEGGRRKRGRGE VE01_06702 MILGPITIVDCFVFVFLLFPQQLLHNGLFDLITCGLRTLPFLFI KLPISIVNDRYLLGRFTTKPFARRATLFEDAVVRCVHYGFTNIKPPIARIFFVKGVSW PFLRYRMLRHGYLFLPVHLEDIEQESLKGVWMIDEPSLKPDIVFYFAHGGGFSMGSAY FYLEFLLVTLELLRSKFSNPAIFALDYSLAPESSFPCQVEQALAGYNYLIATKKVPPS RICIAGDSAGGTIMLSLLLRLARPNVNGRVSGELNGNAKSVRAGSNKPGMACLISPWA TLVSPAHCDTESDYLDTFRLHVFAHGYVGRKGSVYDPVASPGSCKDVDWWRQAAPLRG FAISYGSEEVLASDIRDLVELLHSSGVRVVADEDEGGVHAWPVAGLFLGSTKESRIRG VRRMVDRIAGLMVEV VE01_06703 MATMELHKLRHRRAHSGSSSVESDYGPQKTHSSTGTDLPSTSPP AYSDTSVISDSDVPPPESTDPHYKTYSVHNLDMQNITLHANQDGPALYTIINSTFTAG AHSVVLHAGPRTTPPIGAANISTFKGTNQFGVRTGASTALEGMEGMAWEELRRTSSWT HGTYAFEWMWADGERRKYEWRRTSSPLMLDDQADMELVEKGREGMVLARYTRGALIRW TVRARLGVRILNSEGEDEWERWQTVVLLTVMTLVEMSRRRARQRKSP VE01_06704 MPKGKPKFGTPPRDPAEDPNDLPLPFTDDPTGGADPFDKRVEDA WDCKKDYAMPEEDFVTRNVPYEDNMQPLLEGWAKALLCMPSLRQATLGFSVEIFDSRS ADENDLCLDDWEVIYKAPDNLGLSRWDSNLEPEERRNRRLTFHNTYGWRPNKDIMDML QRVREDNYPGTKSVVLDVDSWGDILR VE01_06705 MRSSLFFLVAGAIGFACARPQTDDLSSCKDVTSLSTCIQPLTNA LDKCTDASCACGVLKTELDCFKNYCPEVTIPASATDEYNKECTGSNAKGAASMLAIPG VGLVAGVAGVMAML VE01_06706 MQSMVALLGAHSRGALSRCIKPSIRPFSSTHACKIQVPKAAPST RRQSRSLNAQGRPDHQSQRRGWIEALIGDRKATIAQFTLAKKAGALKIEPEDSIELLR SYCNAVAEPGWEIRFCREHKIDPLTLAMVSRILNKNPALPLRKMGENMMICAATLGDL SSIVAVMNRSLLQDNLHHPGLLIPMTKLKFYANQGNAEAMVMYGRILDRQKKHAEAAE MFQKVAETPRDGSVDAEIGNALVQQGNLSYRDGKKEEARMSFKKAALEFDNPEGYYKL AFMMPDEDPLKETYLLKAAASRIRDAVVEVAALYERTARLHGSSEEGKQARLLAVEWE KLASHQAPITK VE01_06707 MFSRILSSARNIVPFNKHDEAAKNEKKSPGGRSLTKQTTEKKSG TPKSTMVTTRQQSGASATLINPDDSNANVPNELLSESAKKRRRTELSKKAATEEETPT KRRKLPVRNKDENSPGVAQSHLAVEIPLKELSEEPTATPTAKSKKATPKSTPKGKRNA KAADAEPAEESATSTEQKELAEKDTIATAVVDAPVAKPKHKKFGDNDPVEVVAALEPE PERIQEDEDESSDDDAPEEVGTEAAQSKALGAAREAAKAAEQKEAAERQKRKDRDAQL KAQAKAAKKRKHAEEELDESATIENSSITVEPVGRASKPRFDRTTLPDLLPEDFLEDA SSEDEAPVQVEKPRVSKKIKFAYEEKKPKDKKLGSTTYRVAQVEKAGFAPKASRNTLS VKASLQGSRKGQVRKPVSSGFVVAKRARA VE01_06708 MITEIATFELASPADLSDPSSTTRSTICAFLTAVLATDGGARAA YFGQSAENPDTVILFIDWDSTDAHHRFLASPTHDDLASPVLAIMNRTSPSQIIHLPPI SHALLGEQADINVTEVLFYYFPSSLTDEDIAAIDVRMDVLRPSLESSEAKGVFEGWAE EEDVVFQGLRGEERCKVWVHVVGWEDMAAHGRMVGGEKFEDSREMVMGMHDLKGMVML HTALVKV VE01_06709 MSNHPAILSPLALARLPNYQNDPAVAPSSSSKEKKDDSDHVSRQ LVNLGLLSPKHVVARERIVRGPGAAEAYPKKNTSVTARTAPALRPRRSASLDTSPSEK SGSSSSNSRANNSTTTLSRGAMTGMLDTDRSRTRRERTFVGSECAVCEEPLEHTLRGE RILQFSCGHVSHGACFYEYMKEFDSRYCPTCNAPLGLDTSRGGNVLDIGKGFDHGLFA LPPADIGSLRAEKLSSMVRSVSVSERGSATPTPTPWDSQTVRPPSYESGARPNHDRER DSQSRNGRESASGHRDSGRDRDGRDRERDRDGRERERYPSSNGAHRRSDSEATGSNGY PETTSQSVAPSRRHDYDVQSMDTGVTSPRSSRNPIPPPLVSIRSEFPTLNRSRAQQTL ACLITIEVPDNNWRPDPEDVRIPPMPKLREEERYARPPSPVKTVPRFYPYESPEVLEE MTESLRSRVENWHSLDFSRFGKLRLYGTIRVGKDKVQWQELECYLFSEMLICVKEKKA TPLQQWSDEGNGRKTSRCTLKGSILIRKHLNGVTQTAAGDTLGMTQRITSSNLTPASN PRPLEENTLTLSLSVAELPQFHLQFDNLTQLRMWQQALQDLHSPEAPSTNGGDYDHDA ASEAEEDDWRRTLPSSKRDSSLHSSSYAGRSATTAPTEYTHRSVRLPDPVHIPVDIVV VVPVSSSMQGVKISLVRDALNYMVQNLGERDRMGLVTFGSGGGGVPLVGMTTKTWSGW SNVLSQIKPVGQKLHRADVVEGANVAMDLLMQRKNNNPIATILLISDASTSDTDSVDF VASRAEAAKIAIHSFGLGMTHKPDTMIELSTRTKAQYTYVKDWMMLRECLAGCLGSLQ TTSHQNVKLRLRLPEGSPAKFVKISGALSVTRRASGRDAEACLGDLRFGDKRDVLVQL VITPDAASPDHSPSDPWETIMSNLEALSGPLEDASPRALSVEEVPLIQADLTWGDLLR PNTTTHLPRPSLLAITMLPPSKKSASPPTPPIPPHPSVVQRRMELLTADMLTRALSLV ARAQHDRAAHLLTETRSILKGLAKGGLPPIPPPPPTGALPLLPLPGQGRSSRIQEGKS SPPSLSPTASIAHLPPMQPPPSAALPTPRERDQSVGPATAAGIDPAVVAALDAELEGS LEWIHHPAVFGRDSRKAVLQAVGVIGSQRAWTTRSAVESMWARRVGGVRRGLQACRNW GDGGGEGLEGVREES VE01_06710 MRVLNLFAPVAVLVAGVLAQTNPASVLAQIPACTQECGVKVLVP AQCPLTDLANCLCTNTTLQSTFALCIVGSCGVADQFKSTTLLQTEICKDVPKQSRSAE IIRDVIIISAFTFVIVGLRFYSRALVTSKLWWDDWAIVFAAILMIPMTIIPILNATRG LGEHFWDVPPQNLEMLGKLYYISQILYFVVQALAKISILLLFLRVFPSQKFRLVVKIS TAWMVGQTFAFVIVVAFQCLPVKSVWDHSIHGKCANSEVFAYTVAGFSIFEDFVIMLL PIWELKDLSLNFKKKVALIFLFSLGSFACITSMIRVKCLVAYSNSVDVSYNAVDMILW SVLEDYVAVICASLMCLRPLFVRFFPSVFPSSNNECKNTQNQGWAQARNSKLASKLGV GSRGYELQSEDGGAKERLEQGIRVQKAWRTKSNSAVTNDEELLVCS VE01_06711 MPSLDQQFQQACDAGDLPGVVLLASDKAGKFKYEKAFGLKSPGE KIDINATFILASCTKLMTTIAAMQCVERGEIRLDDDVSTILTELKGIQILTGFNEETN EPLLTIAKNKITLRHLLTHTSGLGYFGMNPLLSRFFSTLPPTRTANTPLLHRITSPLL FEPGTSWEYGTGLDWAGVLVMRLTGTSLEAYMQSHIWDPLGIKNITFHQELKPEVRQR LVTMTKRGAKKKVWSKPSTSGEKVEWTNDILYEDPCAHEYGGGGAIGSATDFLKILTS LCASSTSVLLKPATIDEMFTPQLAPSGQRALTLYNAALAETKTFTSRKSSTKLNFGLG GLLVLSDDETGLKAGTMTWSGLPNLLWTIDRGSGVSAFYAGNVLPFGDFKSHEMQQLF EREVYGLAAAVGVAVGSGSKL VE01_06712 MTAFRYLCGALAAAGTVLQGVSAQGVAGTYTDADTGIIFATQTI PDGNPLQGLTKGGYTVGMALPANAATVDATEYIGMIIGSSANATTAGTGWAGFSHGGG MTNNLLLMAWPYNGKILTSFRQASGYVDPNIYTGNAILSQISATINATHYKLIYRCQN CLALDLSGGTDTTHSTSGVLVLAWAQAFPAPITPSDPNSDIVQHDNGMGIYGAPAANM IQANYATWAALAVPPTTTTAAPTSTGTAAPTTTKFPVIPVPTGTYDYIVVGGGAGGIP VADKLSETGKSTLLIERGPPSSGRWKGTMKPTWLEGTNLTRFDVPGLCNEIWVDSAGI ACNDIDQMAGCVLGGGTAVNAALWWKPNPIDWDYNFPTGWKAADMVAATNRVFSRIPG TDTPSMDGLRYLQQGENVIAAGLKQGGWKEVTANNVPGEKTKTFSHTPFMFSNGERGG PMATYLVTASARKNFGRWENTSVRRVIRVGGHITGVEVEPYAAGGYTGIVKVTPITGR VVLSAGTFGSTKILMRSGIGPADSLAIVNASTVDGPTMIKSDDWITLPVGNNLEDHTN TDLVVSHPDVVFYDFYEAYTNPIAADKNAYLNKRSGILAEAAPNIGPMFWDVIPGADG INRQLQWTARVEGSLGEENGKTMTLSQYLGRGAVSRGRLNILKDLTMAVSQVPYLQNA NDIAAVVKGIENLQTALSGVKNLTWLQPAPGVSAADYVKNMVVATGNRRANHWIGTAK IGGDDGRNGGTAVVDLNTKVYGTDNLFVVDASIFPGMVTTNPSALIVIAAEQAAAKII ALPNNVAQAKYAQCGGQS VE01_06713 MRISSSLAAATALSAASAHTIMTQLIANGVENGVGVGIRVPTYD GPITDVASEYVACNGGPNPTTPSSTVIDVTAGSTVQVYWRHTLDSDSTDVIDASHKGP VMAYMKKVTDAATDTGVGGGWFKISEDGYDSATDTWAVTKLIAAGGTQTIDIPACIED GQYLLRGELIALHSASSASGAQFYMECAQINVTGGSGASTPETVALPGAYSATDPGIL INIYQSPMPAYTIPGPSVFTC VE01_06714 MKLSVAALGLSVLAQQASAHYIFQYLTYDGTQSAAYEYIRENTN YNSPVTDLASNDLRCNVGGETGAGATIAVTAGSSVEFTADVAVYHQGPVSFYMAKAPT TAESFDGSGDVWFKIKDIGPTFSGGAATWDLGLTYGVTIPASVPDGEYLLRIQQLAIH NPWPGGVPQFYISCAQISVTGGGSGSPAPLVAIPGAFAETDPGYTANIYSDFTSYTVP GPEVWQG VE01_06715 MALKNVLLAAAALAPTVLAQGGAWAQCGGNGWTGATTCVSGYTC TYSNDWYSQCLPGSGGGNPTTTAKPTTTAPTTTSAGTGTTSPPTVGNPFANVQHYANP YYSSEIYTSAIPSLTGTAAVKASAVAKVGTFVWLDTAAKVPTMDTYLTNIEALNAAGA SPPVIGTFVVYDLPDRDCAALASNGEYSIANNGAANYKAYIDAIRAILVKHSKTKVVL VVEPDSLANLVTNLSVAKCANAAATYKSLTVYAMQQLNLPNVSMYLDAGHGGWLGWAA NIGPAADMFGSIYSSAGKPAAVRGLATNVANYNAWSISTCPSYTSGDSNCDEKRYINA IAPLLTNAGFPAHFIIDTSRNGVQPTAQNAWGDWCNLKGTGFGVRPTTNTGDALADAF VWIKPGGECDGTSDSSAVRYDAHCGLDDALKPAPEAGQWFQAYFAQLLNNANPSF VE01_06716 MRVILPVLAGAIAFTDVVSAHYRWTSLIVNGAATSPYQYVRQNT NANSPVTSVSSNDIRCNAGGLASGASTGTASVAAGATVGFALDQAIFHPGPITVYLSK APSTAASYDGSGAWFKIAEVGATISTSAITWPASDISQYTFKIPSSTPAGEYLLRIEH IALHSASTTDGAQFYISCAQLKISGSGSGSPGPTIKLPGGYSATDPGILIGIYWPIPT SYKIPGPAVWSG VE01_06717 MKSFLISALLAATAAALPQGLGQITRIVITDAQPTAIAVPRNAE AQHLGPVSRTVIYDSQPTRISVPRDDVLDNAKRQTIGGICSSNDSCAPGFSCTSLGSG YSLCLPNILVPSVVPITASRTDIGDVPVSSGGAIQPTNVAIAGRK VE01_06718 MSLNIPNAPNANLFKQGYNSYDSEDGAVLRNIDACRAISSTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELDVVHPAAKLIVMASQQQEAEMGDATNL VIVLAGELLKKAEDLLRMGLKTSDVVQGYERAQKYALEVLDELVVDKVEDMRSQKELS KAIRTVVASKQCGSEDFLADLIAEAVLAVLPKNPTQFNVDTVRVVKIMGGSMEQSRVV KGMVFGREPDGSVKKAVRAKVGVFSCPIDTSQTETKGTVLLHNAKEMLDFTTGEESQL EVAIKELYDSGLRVVIAGSTVGELAMHYLNRFGILVIRILSKFELRRVCRVVGATPLA RLGAPMPDEMGSIDIVETLEIGGDRVTVFRQENDSTRTATIVLRGATMNHLDDIERAV DDGVNVVKAITKDPRLVPGAGATETELVERIMAHGETTPGLPQYAIKKYAEAFEVIPR TLAESAGLDATEVLSRLYTAHHKKEDWSTGVDIENDDGTGILDAKDAGILDLLVSKSW AIRLATEAARTVLSVDQIIVARQAGGPKPPAPNANWDED VE01_06719 MAQQQPSIYTTDHASSVLQTHKWRTVANSAAYLLPYLKPGMTIL DVGCGPGSISIDIARLVPGSHVTGIDVADPLNEARDSAAAIGVTNVVFKVGDIHALDF PDASFDVVHAHQVLQHISDPVLALREMRRVAKPGGIVAARESASMAWFPESEGITAWR DLTARVSRAKGGNPHPGSGIHSWAREAGFEKSQVACSTGSWCFSTDEERAYWGGSMAE RALSSGFAKIAIEGGYATMEELKDISKAWLDFVDDDLAWFGLLHGEIVCTV VE01_06720 MLRSNNTVCLLCQYSQNVRFASRKFTGAMQTQRHASQAQSRLPK RMTLSPRVAQPNPRTSESGKPVRRRQDGPFGGMNLKEAGIRGVPEIRRTSGTDTKSND REGGKTNRREGYHALKMQRALAPVSYNSRTVIKERLQQIDSFKKFQLLASVQESIEAQ ALKGLEDVMPTAVQRLAIPALLGEASKHQRKKTVKAEREDFLIAAETGSGKTLSYLLP VIDAVKRAEQQDADVEAYNKMKQEEDLKQNNLTLVSPPLTNMPHPTTGRPRAVILLPT SELVSQVGTLLKSLSHTVKFRSALISSSVSGKVIRNRLFSPGGVDILVSTPHLLASIA ESDPNILSRVTHLVIDEADSLLDRGFSPLTSEIIDKSNPSLQQLILCSATIPRSLDSF LRKRFPDIRRLTTPNLHAIPRRVQLGVVDVERDPYRENKNLACADTIWSIGKSAADHE GPDKNAVDLKHVLVFVNEREKTQEVAEYLVTKGVNAVALSRDTPEHRASEILADFTSS EKLTVPRGENPGSLADNQPNYLPFGHVAPPVKRNLPNMKVLVTTDLGSRGIDTLAVRH VILYDVPHSTIDFIHRLGRTGRMGRRGRGIVLVGKNDRKDVVREVKEGMFKGQALI VE01_06721 MPRPKRAKVAPSAPAAQPRRGRAAAPSRKPREASEAIESSQEQN IEQETQTTMTRATRGNATVPGPSTRAARLSASNTGVLEESRKRRDSAISRLEAENEYT SSNGGPSDAVAHAPGEILSSSPEVEIGRRGRVAASIESSAIIPGTFRRRARQPSILGR GQDIEIERSESVDSDIAQSQDITNVERPLGRVMSSGFKPRQRQPSILGNVRSSSVEVE RDIGTPVNPGSALRTGLFRRRARQPSILGTPSQNPSRAPAAEASDEEGQGEEDEEDDF NPEDESTPLNLPKSNTLDGSPQSTSPLNSRKRKLSSPSASHSSAALPPLERSPSSALS PPPVSSPLSAISQTPEIMSSTHAPPHSSSSLRLSLTPPRRTRVASGIPSERTPPTRRQ PSRALAMDDDLPSSPPPLTHSPDNRPTVKKPAGKPRGKAAAKPEPASLSTAQLQLLLP RRRRRAARDAYEIGSSDDEVDAESDEDELSHLAAALSRSNRQTPAARKRVTKLQSAIK GKGKAAAAAQAAAAKRTYGSRRAAAPSLSDKENGENSAEVSEAEVDPDDSLAPVQDSV EDSSVLEERLGKELKIAKRKFEDVDQWEMEFEDMTANSSSPKDAR VE01_06722 MAKRKDISYLPHQVRPRVKPQGPRSGRAIGVSPYEREPPMAPPG TNQKRVKGVQIFRPFIYGTTAKPFDETTNPKPEGVPADHTHSWTVFVKGVDGTDITYW LKKVQFKLHESIPNPLRSVDAVAGQPFSISETGWGEFEINIKLHYVSESNEKPQSVWH GLRLHAYGTEEERAAQKASGEVLAWAYEEQLFNEPYENFYEILTSVGEKTKGKAAAGA KKKNLGPGTSIPERTALIPMRSSPGQPYSREAEQLEILRLQDAKRKVEEQNRAMTLQL KEKEAKLAALRA VE01_06723 MATVKRVVVCGGNGFLGSRICKYAVARGWDVTSISRSGEPVWSS VTSSPSTPSWAHRVSWERADMLKPSTYKPLLKGADAVVHTMGILLEADYKGVVSGRES PISGLMRAFSSSKAGSQNPMERKPDEDLKPQEKDGQLTYELMNRDSAIALAQEANREQ VCTFAYISAAGGAPILPARYITTKREAESSIATNFPTMRSFFVRPGFMYDSSRPITMA MAAGAGGASVFSEVTGGVLKDFLGVAGTKPLKADLVAQAVVEALGDDTVKGPVETKEI EELATKAWRKGML VE01_06724 MPPAKKRKTRASASTEEVRDADESMMKKEEPKEKPATKDDAPSQ ESAITAPVEASTSTEDALAKAKERQERFKALQARAKSSAQKNMKEAALESQRLATDPN LLTTLNRKHATASQKLLKADIEAAGEDFERKRAWDWTIEESERWDKRLKKKESHRDDQ AFQDYRQDSRKVYKRQIGNMKLDTSNYEKEKMAAIERAAASGGLEIVETDDGELVAVD KDGSFYSTADSTGFVENKPDKAAVDRLVADLQQAEDARLKKRKERGQDRDEGDITYIN EKNKNFNQKLNRFYNKYTAEIRDSFERGTMI VE01_06725 MASRKYMDKLFDDPAIRSGYSAQLIARTITTIRPFSVHPHTLPL LTGWQVDGQPSPGEQQLCLRDYIRHDRDYTIYIWTIGRVNSSKMPIPKWAAAFTGAFL RANDPWGAMKMLTRAALSMDGNKDLPTFVRLVREEVRSWKTIYKRDKMKSIVYIALEH AEMHGLSEDDGKRQDLENKLQEKMPEMVAFIVSDSLHNDYTQTDESFGRQAYK VE01_06726 MYFNPLPPNDQEKGPRFSINLLLNPTEDAASHPLQTDPSATSLP YHKRVAEGWLNSTAGTPGGRTALLPIRTSTSTTLSRPPQRTSEVVLPPLGSLNLLTSP GPKPRPTSSSYQDYQDHHQFHQHGNKYRHRSSPGSCSSMTGRSRSTSPTTPSHSNIPY TLEQVHFIQYYREDKKVQWQAIVQPFKRQFPRVVVHQSSSNSPKRGKGALECRYYRAQ MYPRIDDEGNFVRDHNNEYEMVNIRVRDRPIHPHKAILEDYIKLVTRCPEEVLKYSWT DDKDKAEARHIIANRTRQGRGELPGAIIRVRTTTF VE01_06727 MLPPTRVQIKRKATEDPVEILRVHDELTRGRNAGQFIFKRQRIV QGSRPNAFTVADSAGYTSALENGPRAPQVKTSQPGDEFRDQPSRNLSNTQPAVPPETR HVTIRQDEVGAVPPANDTGAPDVARLPRVIAEPRRFHLSRKLAYGAGNNNVEGANARR TPGKTNIAVFRERKVIPAAETGPPTDTKIPAPLDVSRTEPQNRTTSREPVKELGKKPS VSLLRLTPVNAVKPRRNSPAPLRGARLPSGDIIPWDASTERLNMEMQAYTLREIRKNL ADNNEPQVTVSLKPEPEAPKSPASRFKPRAGAGLRYHERHPDAVTAQGTGGDSEMQAM DVDDDDSNYIIETYIRMPVEQALLESNEASIGLLVLDSQPDIDQFYNGDSDSDSDAYD EEEDENAENHPSTDYPDEEVQSDDEYGINPYQYRNRNTSDNEEYDEDDATFSDDELEA KKEPWSRRPWMEAYSGKKEGNDEEGESY VE01_06728 MTSISWFNGAWGEPSQQTLYELVSSYLKLSDLSTAVTETFYLAN VLILSNHIDKAQELINALYKHRNEIAPATSASANGSTPVLEYFWQTHKDKLSRPIGEE QYESVLKFNSLTLDGYLAREQWGQYRECCRADWMPKHLSIAEPEDPHIWRETDNPAIL AMCSRLLAKEGSQGMFPPHERMREALAAAMKLYAQPQAPIEEGVDYMSTQAWESRHSF LLYRRLAIELAIRVGELDTASEILSMALRLDGFGRSSGASLQDFLFVPGIYDVLPLLA KGGKESNPFFIEEQDADTLVKDIISAVDLRVTKGQQLPLTPREAGWEELLDRLAEGAW RVNTREYKGMGLDYPEEILFPPATEAEIEAVEKDHGELPADFKDMVRIANGRGLKEID GDYSGYVLQIEPASECDGFLHFIIPPVMWKANGEESVKDGEYQYGRYASWSGLTSWNS VRDSIVEKVEYIEQMIKDGERADDDYESDG VE01_06729 MATQTEPEIVLYDLANTKNVCFSPTVWRIRLILNYKQIPYKTIF LEFPDIEPTLKGLGLVPGESPTGEKHKYTVPAIHHVPTNTHIMDSTPIAKFLEATYPT PSLPLTSELGRTIEVQARSVVGPTFRASVVPREINILSPRSQEYFRRTREAALGRKLE DLLDAEEESWKAVSEGMRGVGELMRTNKEEGPFVLGAQPSYTDFFIAGSLQAARVVDE GVFERHMKYVGYKEVYEACLPYMMKYI VE01_06730 MIERQENDRLRSNGNNYAKKGATSHIECPPPRNGKQEQREPPKI WNSRPKQLDSDFYRSKSSRSRRKRDRSRKPSVDAGSMASAENEVQTSNTSMSEGHQEP PSDDGALEQDKLSLSGRFGCRDHVDDNPTTPDLSAQPTTSGSILNEDSGAVTPNPIHP GGLESRNKHFGVSPLLQPTTTEQHVARPILEHNTASTGPLHTASLPELINENGGAGGG IVGGSKPTSSGIPVAEPLVEDDFDIILPKDTNLTDDDCNESSELGWLCIQGRKKGEFM ELTPLIPHSLSSVEPPKVLIKPSKTEVQRVQQLRRNVRRRRRDIQNQKLLLQAKDKQI SAEIEETFKRLREFAAERHKNPKVHDSRLELALRLFSESRSGRDECGPLNDELTSLEE ALEFEEIQLTQAEDSLYEGFGISPMESGEDQEDNHTMKELPLPQSSPISESGHNSASD IDEAKSENDDSDYDAYSTGSFEQYRKNYHPLYIEYQENRGSQDNLYERRAYIMEDKGR LEDQQESRHPFGLTLLEDDQKYLDSLPEVLRLLDIEIDGYRVEIEQLRAQCLEQGIID EADNYMDGDREDSNVYDDSDDSDDSTPIPPPLPAPTPPKPALPTPPPQINITSHAPSS MPISCQSIIVSNLGTRLDDQSYQNRINPWLFGKLAASRTELTLLATILSAMGAEPDIA SHLDVLKLWDHDGAGAEPPKRPENLDEETLNRLRRVTREVVGDGFDRALVSSLFGLSL WGGEAYGGSDETTYLDDI VE01_06731 MAGGRPKKGRKESVATGWLGWEYDYDKGCYVNSKINSDGEVVTM DGGPCPPPVAQTGGLEERLDQFSLSPDEPQVEGDEDAAQEEHMLPSSSDIEFQSPQPS DGRSASYPPFSSSPNSSLYSQRPNGDHSKMFTVAAPGLEDQTYDPNASEIGMAPSENI APQGQTYPAYSVASYGRPRSGTALSQNSTGSYYPPINYRTNTIDEGGELLGDPVARSY DSTSQPTNTNFGGRLPPTGPANNQRRNRGGRRYSGHTNASLVEAKDTTTEFSSKSGLE FKERRVFKVVWTEPNGVDPRENYSSFSKVTASKHGELAYTSIRRFVVWKNYDGHAICL PVLTYRGKGTAKPGIKAAHHAIIFTESKLANRSIKHPPRETKPEKKLTNAPICVELMD RREQLDVMSRLNYAKVYTVEHNVKVCFIGKIHKDSVKEFKATYKKIQKDVDSPPESAV DDEVGESYNGAGVEGEENLYPDNRR VE01_06732 MAAARRSSDAAPSKEGKHIDQNGQVFELPTYTMKMIYDAIPAHC FQPSILRSMAYVVRDYFYVAVLCYAASYIEYIPNAYARGVAWAAYSVLQGFVFTGIWI LAHECGHGAFSKNKKLNNIMGLIMHSFLLVPFHSWRISHSAHHKATGNIDKDTAFVPH LRESWVKSYQGPDANVESVELSHLAEDAPLVTLWVCIKHQLFGWPGYLFANLTGQKYD KGFPQFSHFYFGEDSVLFKKEQLPLIMLSDAGVAAMIALLVVGGQMIGSWNMVLYYMI PYLWVNHWIVAITFLQHTDGSLPHYDNNTWNFARGATATIDRDLGFIDTHLFHDIIGT HVCHHLVSTIPFYHAGEASDAIRKVMGTHYRADRATPFMWAFWKNQRACKFVEETVEG SGVYFYRNLHGTGEPPKDLTGGKAQEAAELVVEKKATTTTGVAAASQAKRRLSHSAQM TAKALPILVAE VE01_06733 MHIKQIIIQGFKSYKEQTVIEPFSPKCNVIVGRNGSGKSNFFAA IRFVLSDAYTQMGREERQALLHEGSGSAVMSAYVEIIFDNEDGRFPTGKDELILRRTI GLKKDEYSLDRKNATKTDVMNLLESAGFSRSNPYYIVPQGRVTTLTNMKDTERLTLLK EVAGTQVYEARRAESLKIMTETKNKRDKIDELLDYIRSRLKDLEEEKEELRGYQDKDK ERRCLDYTLLHREQVKIAERLDEIEEFRQGGTDNMDESREAFIDGENELAALKDEVKQ LCNEMELLKIDRRQLEEDRRESARSQAKVELEVKKLADGQSASEQARQRQKQELQAVK KEMSEKDAELAKIIPDYNKAKAEEVEVKSALDASDASRQRLLSKQGRNSQFKSKAERD KWLRKEIEVLNNTLATQKANRMDADEDVKAVQTEIKGLEKEISGLRERLEGWGGNRQA LTEQVTNAKDALERLSDDRKVLRREDDKLESITENARREMNRAEQDLAHSMDGATARG LATVRRLKREQNISGAYGTVAELLQVGENYRISVEQTAGNSLFHYIVDNEATATKLAK ALYDQHGGRVTFMPLSQLRPRPANFPKANDAIPMLSKIKYDARYENAFQQVFGKTIIC PNLTIAGQYARSHGLNAITTDGDTANKKGAMTGGYIDPRKSRIEAVRAAERWREEYDG HRVRATELRREIERKDQEITAAMGDLQKLEQSLRRLDDSFDPLRSELRNKDSHVDQKK DQLDSKVRGRDAVDGMLKQFGDQTEAYDAELASEFKKALTSDEEAQLDELNSSVQDLR SQWNDLSSARRKLENRKNLLEIDIRENLRLKLDQLNNQDADASASGDSHDLKEAQREL KRITKTAAAVDAKLAANESEIERVDSETARLRREQAAKEAQQEELAKTMETVKKKMDR SISKKAELTALAAECAKNIRDLGVLPEEAFERFSRMESKQVAKRLERANEALKAFKHV NKKAFEQYASFTAQRETLTKRRKELEASQGAIEELVLVLDQRKDEAIERTFKQVSREF AGVFERLVPAGRGRLVIQRKTDVNLDEEEGAGEGVENYTGVGISVSFNSKHDEQQRIQ QLSGGQKSLCALALVFAIQQCDPAPFYLFDEIDANLDAQYRTAVAQMLAAVSAQQSQA ASGTLAEGERVMSGQFICTTFRPEMVLVADKTYGVMFGANKTSRVEAVSREKALEFVE GQKP VE01_06734 MASTPAAGQAPSHTYVPNQGYEATPLNPEDNPARAAALEGQEGE EEIEEDETYDDIFDEDEGALDDLDWDPNSSDLTKSYNRQRQLHSNSANLPKINSQSKP IVNAKASVDDQITSLTKHAAKIRLDGGTGGLSKDSKSHEKDKSDRATSEQVLDPRTRM ILLQMINRGVVSEINGCLSTGKEANVYGALSVSPPTAEDPEPTPLHRAIKVYKTSILV FKDRDRYVTGEHRFRGGYNKSSNRAMVKVWAEKEMRNLRRLFAAGIPCPEPIYLRLHV LAMEFLGDKKGWAAPRLRDAEMHGTADEVDERWRVLYLELVGLMAKMYQVCKLVHADL SEYNVLYHNSRLFIIDVSQSVEHDHPRSLEFLRMDIKNVSDFFRRKNVDTLSEQALFS FITTGPYSDATVTSRLEALFVAKAKGEAEDEAAGLAGDADEVAARQQVENEVFRQQYI PQTLEQVYDIERDAAQVGKEGKSSLVYANLLADKTAGAQDADGTASGTSSDDDEEDSE DDESRFEKGTPRGKRFEDKDQKKEHKMAVKEEKREKRKEKIPKHIKKKLINSSSRKK VE01_06735 MAWRDRTNLYISYRQSYSHHPAKKQRYGASTGNGYYESGLGEEH RGLMSPSAFEDDGDAIIEMDLLPPRWADISDEITELLGDIAGKSQKLEKLHQKHVLPG FDDDVVKRNEEGEIERLTQGITQGFHDCQRSIKRIDNMVKESKQQGTISSGEETMAKN IQISLAGRVQEASAGFRKKQSAYLKKLRGLGGMSSPMDRSATPQFANYTDPSLMESDA DKSYSESTLLQTTHKQLTSNDSAIMQREREITDIAQGIIELADIFKDLQAMVIDQGTM LDRIDYNVERMATDVKAADKQLTVATGYQKKSVKRKIILLLIIIIAGMFILLIIKPKG GNRSSSDEEIPPPQRRLL VE01_06736 MADALTEDQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEDEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDEEVDEMIREADQDGDGRIDYNEFVQLMMQK VE01_06737 MGFSMRRWRAVALIAIFTIFGIYHLTASSREWTQPAVSPKPNAQ KPNTPPKTPPKTASDKSGKTHWVKRPARYPVESVQPLPQGTPKSIPSIQAKAPVLGGE ANLERKKRLAAVKESFDHSWAGYKKHAWLDDELIPVKGGSRNTFGGWAATLVDSLDTL WIMGLEEDFAEAVESLDQLDFSYTKATTINVFETTIRYLGGFLAAYDISERKFPKLLV KAVEVAELLMSSFDTPNHMPVVRWNWLEYMAGEAQVAPFNMVVAELGSLSLEFTRLSQ LTENPKYYDAVQRISDMLEEHQNKTLLPGLWPIAVDAGTPAFDRDNAFTLGAMVDSLY EYLPKQYMLLGGLLEQPKKMYEKFMPVAKKHLFFRPLNPDNLDILVSGEVRVSGTPPT YELKPEGQHLTCFVGGMIGMASKIFNRPDELAIAEKLTNGCVWAYESQIHGVAPELFR LVPCNNSTNPTCQWSEEAWHAGIPSLPSDAAAAQKKIMNFRLAPGFASYPDAKYILRP EAIESVFMMYRITGDPAWMEKGWRMFQSIEKITRTSIANSAIDDVTKPKPRKLDSMES FWLAETLKYFYLLFSEFDVVNLDEWVLNTEAHPFKRPV VE01_06738 MDFWSRLIAGTSLSPSTPSKAAFNDPVKRLARFRREYSQLLQEW RHVTNLSKDTDTADTIRACLRNINKFLSDESRLPSPHPCLSFSAQNQIYVSAAKIATV SYNEDIIREAITLFSTLIESEEEDFIENEAFSQSLMNLLARITGANSIRLNSETEVDV IELAFGIAAKIRLQPEILPAWFTTGDVSSDDEDKGHHERFTGKTSKEDFPLFYLLVDY IHHEGRIGDFARTGLLYIIESASVSMPLEQWLVESDLATLMATGLGALYSQLSRKLVI DYPEDSFPLILAMSDFRHPRATADIVSSASTDFQSQMDTFLSHLVFWQDVLDHCKSVE VRQTLLEHFQVIFLQQLLYPSLLESSDADGGSSVAVLTYLRRVLESVEHPDMIHLILN YLLALPDTTMLESMASTKSLASEARKRKSMNLATMGTQQSTPDLFNLVDLIIGSLRSK SVQTITVTLQLFSVILRRHHRYAVSTLLRTTRVVTDGPQKLIGAHQMELTYILNLAND ISVQEGFDEIYDGHIKDATNLLESHPCSHELIVPVGISKPPAKHSTIPGTPQDVHLHT LRPDDPILKTLLSILSTFFTNSVETNLALTEALIDLAICGYMNIEGWLLPDPSKYTYP TPPQQPAPSKPVKPGSQAAIELSQIETLREARRRPTLSTAATPPLLLTLRALVTQTTT YRSAIPRFDDLLHARRQAFKLDAESPPPPPPRRTDARGNRKSSESDRSSSPPAQRRTP PASTSRTRRLGASAAIDSLASRIFPELASRSSSPLARGRTSREQKRSGHGTPGGGRVV SNSPLRASETAGAGSMLDEDGVAWESMGSASQSAAYAHIDRGILTRRVGMAKIVVGEA GGRRVVDTASIAPSTPAGSTVGDGEGDEEGSVITARTDETGTETETDREEEVVEKMVS VSHVLTNIIVLQEFILELAALVQVRAAMFGEVKFT VE01_06739 MGLFGKSKKKDSYESQQTYGQRAQSSYDLTCDRRRYRDGDYALD HRQQYQYQQYGAPALAPPGWMLAPPYMQPQQQPILIQNNYVLAPPAPQSNGKVSKVNK LASASVANLPSFLQGDLPNYIPGAQLFNSGVAEWQKEGVQYMNQGAALTDLISSRFDS VLTLMDSDKFGRDEHELVELNVTEQGQEPVPATRDRALTTSRKTSNTRADDRGPQGIG KAISTTDVFTKWKLYSNSMVAESLTPVKFYTSTLPLLCIAAQYSDRVYHTPKGQERHE HIPPNVRLGTKAMVIKSVPVDAQDVIVFAIRGSARFMDWAVNMNQTPASPSGFLDDAG NLCHAGFLDVARNMIKPVAARLRHLLQEDPSRSRCSLLITGHSAGGAIAALLYSHMLS SSRGADSELRALAGFFKRIHCITFGSPPISLLPLQKPQTPELRKSLFLSFINEGDPVS RASIAYVRSLLDLYLTPAPMVASSRSRSEQKPMPKSILKNSKAASSSTLAVNDYRPPL PSRSTSAPDGASPIWRVPNATLSNGGKLILLRAVPRKLDYKSRQSGGGDVIQARLVSD EQLRSVVFGEMVAHSMSTYLRRINILATNAITNR VE01_06740 MSPKGDFAAVRKDIKALLNQPEYDDGSAGPVLVRLAWHSSGTYD IRTDTGGSNGAGMRYEIEGGDPANAGLQHARVLLEPVKAAHPWITYADLWTLAGKVAL EEAGGPEIAWQGGRTDYVDDSKIKEIRGRLPDAAQGSDHLRNIFYRMGFNDQEIVALS GAHTLGRCHGDRSGFEGKWVNNPTRFSNQYFKLLSTLEWEPRTLASGVKQFGYTDEDT ETELMMLPSDMALLADKGFEPWVKKYGADKELFFKDFAAVFAKLMELGIKRNERGEVT NLDNEKGGYISAPKKADTPGYKKHSGDDGQPVAEAEGLEKKNAQYRSRL VE01_06741 MSLPTPGTPSSRYDTTPIKASEIINFIKTLSERFGLQLPIPRDG ESPVSRRSALRDYDAKIGDEIVWLITFLSRRKNHALQGALQTFTAEADTLRVSHGWVY KPNGDRDVIPIVGDAPLRDGSGRDQRLQLRGCLLLRLKEARESKDFCSSDNVEPKSTR VREVSLQTELDSSPIPLNLGQRPVKRTSGEFSAPDIAAEFKKPRTPALLGSPDLTKTA DSVATTSSKQDSALQTVAPSTNSTSSTLFPGYSLNPYHEQTSRTVSANTSFSSIASGV FTGRSIPGTGTTTQASSFNTSFQSIAPPIQRIKAMSDELHSSQYGSMDDDDFIEIINE DLQENSGVDISMSDVSVGPIIQGDLSQRLQQVFPELPQWLTEAPLCVRYEITRVFLHA EVSMDGLPVRDIRTLLDYNALWQFLKDLPQLRGKPLPEKCAPKVWASSLEKWSVGNFE GVVMSASLKFASSKTGPFFKVQLKPLATALTHRFGRRFGNDRFLEMTLPNLTKFRPPG STEDDLEMRRKEISHWIRGYGHQFMGIEWRAFGLKDARSKKINNIELKKASDDAENNA THQVFFFAVDGTGFQTGPTPPATNDDPKHHTKFAVKGLLHWLIPFHLEKNQKQPYLKL FSRISLGLSKTYATVSLKATEISFVRYDYCSESGEVMNDGCGQISRKLAHNIAQKLNL DYTPSAFQARIGGAKGMWIVDVKDFGDKTNIKIYPSQVKWDNSSFFTDPCHRIFEVLR WSKPVRSATLNLQFLPILEDRGRSNGKGMREALSRMLENGLTYEMGRQRAAMDNPLSF RKWVRETDPGITDRIKLGQVAYWAGLPKSQGEKINLLLDAGFNPKEQSFVRDLARKAN VARGEILKTKLNISVGRSAYLPMVVDFTGTLGPREVHIGFSTAFKDEATGFCDTILSD MDILVARSPAHYTSDIQKVRAVFKPQLSALKDVIVFSTQGDSPLAGELSGGDYDGDIA WVCWQTEIVSEFENAKMPVCSDMVKEGYITKNSTTYAELAWKNPKNTIDEFLANSFDF NLKQNMLGICTNFKEKLCYTKGSVACEEAVFLSTLLSSLVDQAKQGYSFTESDWERAK AEKIRVLVREPNYKRPAFDGNPRGEIHLIDHLKFRVAQKTIDDTLADLHNNLPIPQYW DDDVVQLAKFARKEAQTSDEWASVLRGLDADIEPIRKLWIKYFRSTSDDEESKNIFST AIDDVYPQWCEIKPAMDTAFTRSLTMPYLANAELSTWELLKASILFSTQHRRYVSKMV WWLAGKQLAHLKAMSKGPVSVIPAMYAMLKPDNTYVKLAQVEDEHHPRFWEVKDEAVV DEDEELDYED VE01_06742 MKRKLDGNDVPAPVEETKTLESNSFADLGLDARLLQAIAKQNFK APTLVQKKAIPLAIKGRDVLARAKTGSGKTAAYLLPILHAILKQKETSTKPCTAALIL VPTRELADQVFKAVELFTSFCAKDVRAINLTQKVSDAVQRSLLADAPDIVVATPARAA LNINTSALSLDNLTHLVIDEADLVLSYGYDEDLQNVAKTMRKGVQTILMSATLTSEVD TLKGLFCRDPAVLKLDEQNDEGEEISQYVVKCAEDEKFLLIYVIFKLKLIKGKCIVFV QDIDRCYRLKLFLEQFGIKSCILNSELPVNSRIHVVEEFNKNVYDIIIASDEYEIIGD EEDAPRVQEVEEATPEEPKKAAGDDEMEVDIAESEEVVKEEKPAKKKRKQAKRDKEYG VSRGIDFKNVACVLNFDLPTTSKSYTHRVGRTGRAGQSGMALSFVIPANQYRKHKPTS VESCKHDDEVLAKIIRHQTKKGKEVKPYNFDMKQVEAFRYRMGDALRAVTRIAVREAR TRELRQELMKSDKLKRHFEENPEDLHHLRHDGELRAAKVQGHMKHVPSYLMPSGGQKA ITGELGFVGIRKESENRIRKARAANKAKGRGAFGSKAKKHDPLKSFRARTKNGK VE01_06743 MPHSIQKVFLTGANGFVACHILSDLIKAGYLVTATVRSKTKSQE MLDAHPEWKDIVRFVYVSDFTVPGAFDEAIKQEGGKLNYIIHTASPITFDVEDIQKEI IDPAVQGTTSLLKSVHEYGGPQVKRVVLLSSIAAIVNPMEDTSITGDPYSEKDWDPVT AEMAVEQKNPLFGYCAAKTLAEKAAWTFLEDNKTSFDMTVINPDVVIGPMLHSLPTPE RINETNRITIYNFLDGTTTGVEAWGLPFYHFVDVRDVSRAHVLSLDSLTTSNQRVALV HGLITPQLVVNIIRKNFPELKSKVPEGNPSKIVPDGIELSRWNNEKSYQVFEKNWTYR DLGTSVVDTVNNILKLEKVWQK VE01_06744 MPYVEGGYAQRVLSTRPSNEYQEGPEDESWIREFDVPFKPKRPG SQIFDSQTYPVKRPRNTLEASHPYGRQEEIHPRINVNINGHPSRHILAPANLLHEQAQ YGPNIPQSNRVQSMPYHQTSTQPNTMDFHPRHPSISAQANQTQGNFASPHQPAPQGNH ISPPRPPSYAESDLRQPDGKKSLVQPFRPNQNISNQLFQPQNLQGSRASSVAPPQGHA GVRGNIDFKITRHQSVPPSPVPHQRPAQYAASGAGASGYGSQPPLQGFQPPPQGFIPS PRGPQNLTQGFQPSAQGFQPSPQRFLPPSPMQARPDTISRTDLQPRSETSIANDTNIS KLPVVKRTGPFIIDDDEKGIRQPESLITNSETRGNTATAYQTPAPTINFAQGRRGVRS LTSGLDDPPDRKNDAHNQTRKNKPALKRSKKDEDAASEKIREQSEAYAKIQEKQDMAA KAKDTDALFEEPINEAARARIQADIHKEETRKREAEVRRRYREGLAQELETIQKENAE REKARKEAERAERASKKAKTQEEREAIQKTREEEEKKRHDEQKRNAEELLRRKRQEQS EREAAVLEKEAAAERKRQQDAENLKEKLEASKQAAISLKPARKGQGGREEEGGRATAE DMEIPEPPVDDDGGLFVPEWVVIESPDETYAPTGRSVAVHLRGDIPRQPLTPTSPPKI DSIPNIEKRASNIAGTIKGIPGSDNISLRAKAGWRGSIKANTETKVASIVIEHHKEII SADQKRHDEALAQARARERTELKEDLVDSFQTLTSTLIEEVQGQLKAAVVQVLNVRIP AMPMNARNGPNPASAITVSPKKYASETQCFLGRKPIKQPKELTPFGEKSPSDNEAKRR EKDEMRLAEKAKKRFEVKLHRDNAEQGRYMSEYEFRSTIEGQVKEYREKREKKYEKEQ RMMPHGGGRPQGQARFGYEDIDFKEGDSTRPSKSNSQSDKGRCGGVMARLRESNGSLG HFQQAAAAKIVDDRMHHLRDDGDSESEVSEEDPDDDESDTILIRQPKLTEPSNHWDRA SDIKATGADRMATFEYDNKPTQVIKPRHLQDKEMVNIFSVQRSEIKDEEKSLAITIKQ FFDRDDANEFAEEEIRRTRWGPAMFRPQITQWYSKETGLFHGRAYIDSEENIVECVDV AVQAQYIGDLEFAHEKVKPKLEPKLYIIFKSITKRVRTTMGSDDDDEASENGASEQNI ESDESEEAEQSMEIDQNGEPEQPMEADQARAAEQVMGTNQNGEEEQNKETEHDTDNNQ NVNEKSDDHKSGSSREPGGDGIDALFEEEAEVEPSDNSGHILAADVHQLSLDSKCSEA KPEMMLTQTCKPVGAYAERELANKRASEIFLAEIRPVGGDISQLVAFQNDAVKPVRES LESHNRSGELFSASNDYANSGEIRIWVEDFEIMGPSN VE01_06745 MASKGCIACLRTLQRQAQFSRQTPQIARVSRSFTTTRYSRANPP NSDKPAASSLKPTDPLTGSSSSASPTTESESGQTSKLAADGLAALSKTAGTYSAYGVA EVLYRQCAAKADYSIPEAAMEDEEMPKTADGEDLGQGAGWWHTELGLPPTFSTWSQVT MLHMYLLTVRLRCFPASTSSIYQQHLIDHFFYDAEHRMVVSHNMEARGTRNKYLKDLF TQWRGILFAYDEGLVRGDAALASAVWRNVWKGREDVDVKGLAKVIAYMRKSLMDLEAT EELEMQMGNWKWSDPDALKVLVGKKSPMIDQPFTEGTEAVGDTKSV VE01_06746 MASNPTATLSKLLGSATIEDHEEILRAANAVLKKSKTDQDALRT RVIALLKLDRYADALRALDDGGDALSESCHVEKSYALYKTGQLEAAQKIFGEVTSVSR GLRHVAAQVAYRAENFEEAGEIYKQLSVQDAALEDEENDLRINTLAVDAQLEWQGNGD KVEKSRRKPSREDFEAFETAYNAACGCVARGDLGSGSVLLKRARDLCESLDELTDEEK KAEILPIMVQQAYVFTKLGKSHEAETIQKMIDTSEIPEAPTRLVAQNNTFACQKQENP YLTERLFEAMPTVPDTEKLFQYQASIIERNRYAIDLQSLKYDGVIRSTSKAISKVPAP TTSPYVNGLSSVNAAAHTKCQNDKASIKTLLSLLQKRPNDVGLVLTIIQLYVLAQNPG PAIALLESFFKRLEESATLAALNVRYSPGLVALIVSLYRLQGRKGPMKVQLGKAASYW SSKSTQSDELLKAAGLSLLESSNPEDLKAAADFFGSLRKQDPNDRIALAGYVASVATT DLSLVSADLAKLSPVDRLIGSVDSAALENAGIASLPVTMATASKKRSAPTEEKPPKKR FRKSQLPKDYVEGKEMDPERWLPLKDRSSYRPKGKKGKKKAADATQGGVVREEESVEL VGGAGVVKVQTSANKAGKKKKGKK VE01_06747 MPDIVSYTPAWLSNPSLGHEIFTPARPKHVDGRAPSTSISGGSS KYNVNPGPKRTIARRGAEVFVAVGKEIRWADLVYVKELYEVKLDRKARGKRAEDFSDD GSSSDDAAEGYRIIKTTVADDIKQLVISPHGDYLAILTTHTVHISVLPDSSHLTGPDR SPLRLKTYTLGPTTHVTSQSAVKSALWHPLGVNGTCLVTVTEEAVVRIWELSTTDRWS FDRPALAIDLKKLADGTSLDQDFSASKFGSSKRFSPDSVEMEVASACFGGRGTGGWSP MTLWVAMREGDVYALCPLLPEKWAPPPTLIPSLSVAIVAKVAMMEDDFDYSEDTKRLS QQQLAWMSDLDNQEPVTVEGPVGEEPADVYTRPQKPGRVPKLQGPFIFELAPDDSDND LDTLLSDIFVIGAKIDADALMDGEDAELDFDEVDQEGLSIGVICLASSSGRVSICLDV DGVEAQWLPIRKSKQLLFPQQTTPPSLLTYQVLDTLRSKENREDNWPMFSEDVASRYS FFVTNWSNVTFISLSAWVFRLESDLQNGPAAGIDFRLDLLAKGENFIRDRLISNNSQG DESLPALAACTSIRDPDLGYFLLTNSSHGPISLTLATPEDSFNMRRLITDSPSNEDSE SPDQPLLLCPPRPVYEPPAAFTAPSNLPEWHDNLRHSKNKRLLHEPIRLSPATLTIFA DAHKIMSEETHRINGAAAELFRRCEKLQVDLKEQIAKANEVANRIEQVIGDDNDVDDS YVGANQSIEDRIENAKDRQRELAERVENIKKKVGRSVVRELSDREKIWFEEISTLNKN ILGAEEGKDSGLTGGRKMEFWRRFEEVKSLKEGIVEEVAKISEKEGSRAAEATRDEGV PTDIRRGKVAQVMQLIERETALVEGVKGRLERLSLV VE01_06748 MPGAVIRNTVDRLDRPSAYYAGRKKRRYDDREERERTPEPQEDP LKDATTLYVGNLSFYTTEEQIHELFAKCGEIKRLVMGLDRFNKTPCGFCFVEYYTHQD ALDSMKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREEYDEGRGGLGR AIQAERVKEEEEYGKGR VE01_06749 MFGAFRPTNSLSVGLLWKIPWRLSKFQKARQRKRLRAVDAVVSI VDQALAKKGMEIKPVERWKAEMPKEQEMVPRDKYTIFDRKEKKYRKAIHKLPKWTRVS QRVNPPGY VE01_06750 MSFYFAIVGTQDNPLFEYEFGSSKQGGDGIARFPEQARYMNQFV VHSSLDIVEEVQWGTGLMYLKCLDRFYNNYVSCFITPGNIKFLLLQMPSQPTGTAIST RASTSIAANPTSPQTEEAIRQFFVEVYENWVKTTMSPFYQVNMEVKSPVFRNRVVASA KKYL VE01_06751 MDYANLPTPATAVADFCLIPIGTPTASVSAEVAAVQRLMKASGL SYTMHSAGTTVEGSWDEVFRIIGQAHTLVHKNGVLRVQTDIRAGTRTDKKQHFAEKVS KVEAILAADVSTKAEGAAGGSHIDAEGNEGYIAGDAKVGEPAAKAGTAKASESESEGS SPGGTQVGPGKVKGNWASLLKK VE01_06752 MIINELPPDSSTTSNPPPRQFFANQPPPTAPRIIEEVPNNAARK TLAELFASYPKPGQPSQPSTDTTTYPPSQNGPVLTHNQPIIDTNTAQPTPPDAPQSSY NPTQHVGPPQCLWFPPRPPGQDIDGNTSIPDNPWTPLPLSATMVDGPYSPVAPKTPIV PRNQSISMPDRPRTPASKFKTFFSDILSSATAVPSKSAKSHISNPAVQPSRRHSTVNS NLRHPRSEQTHTRRTLATALALPDSPTSVPKYVNPFLTRPITVPPRVTIHPKSSPENV SPHTPTAPAPQFPDAPFPQLNTHPFGVPPTVHVLADWPYGPILETMSPRTRRFAIGGT DADGNDMKIYRPRLDRDTYLECLPPASRVVVCCTSSACGAICELDGRAGACRRRSAPV KDAARWERYMWSCEGCGEDMEMQVLKESEGNSPTGTGDACYKKDEQDA VE01_06753 MQHDSSGPDSTMEKTQTTLTYGDDAQLAALGHQRELNRSFSPLA MLGLCFAILNSWTALAASLSLALSSGGPSSVIWGLITAGVCNLSLAASLAEFLSAYPT AGGQYHWVAVISWKKWVPILSWVTGWINVSGWIALVASGGLLGSQLISGVISLVNPEY VPKPWHQFLIYIGYNVVAFLINTYLTRILPTITKAALFWSITGFVVISITVLACASPN YSPPDFVFREFINETGWPDGIAWLLGLLQAGLGLTGFDAVAHMIEEIPNAAVEGPKIM IACVGIGVFTGFVFLVVLLFVAGDVQEVINSAAGPMLAILYNATGSKAGSICLLVFPL VCLLFATTSIMTTSSRMTYAFARDGGLPASRFFAKVHPRLDLPLNALYLTTALVVVFG CIFLGSSSAFNAIISASVVALGVSYGIPIAINCLRGRKMLGPRPFVLPDWLGWIANLI GLAYVIVTTVLFLFPPEMKVTGSNMNYCVVAFFLIFVIATVQWFVDGRKNFKGPKFDA HALEHGGVIGMGGEAEGRRRSGESGGTVVEEMKVKEEEE VE01_06754 MSYDGSAASPSPDNSEHQEVTDIPIATLIQHLVDAKKALSSIGT LWRANEIVSAAQDALGESVILTARTAFLRTGISQQVRLLEKVRSGIQVVYDDGQADFE NVIKNLDDADDRLKKTMSTLRTTIVASALRPPSEPPRSLLDFIDENAIEATRSALKNT IDLTQSARSSFASSIRTFDTSRQALKSAISSAPAPTPAHSSPIPPLLHDLRNHAAEMA LLLESLTSHFDLCAKAVKHTEGGFLALKAAASNNQLPAGVTVSGVIPSPAASSHLTPI SPAERSAMLRVLAADATELPAVVQDLDLRLQEMEALLPHISHHVSAARSAYTATTAAF SMLEHLAASLPSYIAASTSFASAWQSAKAALNDQADELTNMRTFYDGYLASYDGLVLE VARRHGAERKMKNVLAKAMEQVERLREADTAERKAFRREVGAFLPSDLWEGLVGDAPR WEVGVFEEGGGSTPGLERGIVEGSLGRERERRGGRE VE01_06755 MTRATKFLYTSFDSAVPSTSHYPRDNSSSTSPEATPSSTSNSSR SPINTALLRRVHTKSRRGCLNCKRRRIKCPENHPDCTQCTKRGLTCEWPEIQIEQTGN DGRRIVRAIPPQVDSPNTFSMQDFRLFNHFVKECHPSHPLGNEAAWTHDIPSIAHNHE YLLHAMLALAASDISGYSPNDSALAISGINHRVRAIESLSTALSHGVHTMEEGNAMLA TCYTLVFQSALISDGFPEYMSFIRGCMVVAWQMGVKQLKFIFEGILSDEQLVKMGPYL QGPPDIDPDLTNGAIGSLEACRPVVVRDAEKAFFECMLEIAQAAQISSRQAYIGIMKI YGIFAYYMSATDFHQFIHPDNQVGMLLQAHMIALQMILDPVLKNEDSAGTKMDKPWRP RHSGSVAWLNTIEDRMSDEMAPWFAWPMSQRDAAREQIEEQKFLRSQALQEAGL VE01_06756 MTSHDTYPLQLLPTTSTSTHSLRSSLKKTSSFKNPFETASILST STRYSQTTLRAPPPYTPFHATTSLQIQTSGHALLAFPSPPKQLTTAVFGLDSNGRCDR PVYLSTRAVRNSGNCTLVRAEDETGAPLAETRYKWGPSSDPVVCIGDEEVVMSRPKWT ARTIRFSWGGRRYQWRYGCSSERRGVEGDRGEGCNSLLLLEWVEGEGKEEVRTTVARF VRGEETRTPGTKKSCAGNGGRLEMALDRDGVDTFADGIGEEVVVATLLVMLKKEVDRR RGAQIAVIGGPVPCQVLAFAREK VE01_06757 MEPNINPSPKLKLKPLIIATLLQARRLTRPRKPPITTPAPIDEP RHNPSPCILTTSLFLTLLPLEIRSRIYHFVFAGRELHLAVPWFSKDKPLMAKLRHNEL DSEPGGGKWQARWRGSVARGYPECDQYLEFKQEPGVTGELLGLPLCCRQVYYESIRFL YASTGFTILHPPALTMFVDADRKGADFMRWVRVLRLEYEFSVPVRAGDFDVPGEMGGL RPGLDWDEVCRCLVLMTRLKVLHVTIYPVDALVKRHWAGDMVWEMLGGLGMARAEEFR VSVRKPFMKFRDVLGEVPFELCEVYEDY VE01_06758 MTAQPQITSVTFENTHSLLAIGHAKPRLSWRFTPGSPAVQNWRQ RAYELEIRRGQGEGTVESYSVESEDSVLVPWPSAALQSRDVVQVRVRAFGGESKDGTE WSAWVTGEAGLLNQSDWVAKLITNPDVDEGPLRPVLFRKAFSLGSEKGKIVKARLYTT ACGVYEAYINGKRIGDEAMAPGWTSYKHRHHYQVFDVSESLKADGDNVIGVEVGEGWY AGRLGFHGGQRFIYGRELASLVQLEVEFADSSERFTLISDESWTCGKSPRIRSEIYDG EVYDMREEQEEWNESITTPNGATWEGVKVLPPLTAKLIAPDAPPVTVTEEVNPVEIIK TKSGKTVIDFGQNLVGNLRVNSVRLSAGQKISFTHVEVLENGEISTRPLRGAVCVDTV VFSDKVLRDWSPKFTFHGFQYVQVDGWPATMDGELPSKSDFTALVMHTNMERTGWFNC SDTLVNKLHENVVWGMRGNFLSIPTDCPQRDERLGWTGDIQVFCPSANFLYNTAGMLS NWLEDVSEEQFKDEENMIPGIVVPNILREIWPTGGQAVWHDVVVLTPWDLYNSYGDVE ILRRQYESMRGWVDKGVARGPDGLWDPLTRQLGDWLDPAAPPDEPSMGRTDGVLVADA YLVRVTSTLAQISAILGCPEEVERYSADAVKLKALFQNKYITPLGLLVSDTQTALSIA LAFNLHEQPVQAEAAAERLSRLVRTSRFRISTGFAGTPLVTHALTRSGQSQLAYRMLL EQKCPSWLYPVTMGATTAWERWDSMLPDGSINPGSMTSFNHYALGSVINWLHTTVGGI SPLAPGWKEIMVRPVPGGSLTSAEVRYESPYGRIECSWTLEGTKFAMKLEVPPNSTAV VILPDQVYGAVEGGKGQVVGSGTHEFSCVFEMGPWPEEIFDPFRAD VE01_06759 MKKYFGLRGSSLNRAIVFLVVWPAFGCYAYNLAVMGGLLTLNSF IETFPLLNTITTTGAEQHYNSQILGTVMGLYNIGGIFGSLLCIYFGDRLGRRRVIAIA ASVAMIGAILMATAFGTAQLIVARLVLGLGTGGYLATVPVWQSELSRASDRGASLVAN TAFIGSGISLALFLDLGFYFVGSNSVSWRFPFAFQIVLLLMVAGFVAFLPESPRWLVK QGRCDEAREILALLLDVEPDSDAINFDIRDMEASLSVSSSESRWGVFKMGRQRTMHRT ILASAGQFFQQLCGINAITLYTTTLFEGFLGMDPVNARILSACIGFADIAGGVVAYFT IERLGRRILMIWTSIGLCICMAILAGTTSNPGNSGALIVAVVFVYMFEGIFSIGFSGL PYLYAAEVAPLQHRAATNAISTATVWAFSFMVAEVTPVGLNTIKHKYFIIYAVFNAAI ALLVYFCFPETKGRTLEEIDEIFLQSNNIFDPPRVARRLPRLHLSEEVDQKRSLSEER GAHALKEL VE01_06760 MAMTYPYTVYRGTFIHHPRLNSSSAKPELVRNQGALWVSSEDGR IKGYDWNVHDDASFQSFLSNHGWIATKAGTNKNYKSQTRVKVVQSNDTRNEFFFPGFI DTHIHAPQFPNIGLFGSSGLLDWLDEYTFPVEASFGSKSDPNNEDTDPKDTPLEGLRV YDHVVARTLAHGTTCASYFATIHVPATNALAALCHSHGQRAFIGRVCMDNHDQCPSYY VDESAESGLNATKSTIDYIHTLDPNGTLINPIITPRFAISCSNSSLDGLGKLAASYDP PLHIQTHISETTSEVDLVHQLFPEAASYADVYDKANLLTSRTILAHAVHLTKDERTLV RERGSKIAHCPASNSALGSGLAVVRTMLNEGLTVGLGTDASGGYSPSILEAARQACLV SRLVGYSTEFPEISGNATADGSEKLSVVESLYLATRGGAAVVDMADDIGGFDEGMIWD AQLIELGAVKNSTTNPLDAAHVSCVTTESLLESGPVGNVDLYGNETWEEQVEKWMWSG DDRNVKNVWVQGKLVHTRE VE01_06761 MVSRSLFTAGAAALSVFATVDATSSYLKYSTVPGYFLQDIDSTA TDGFDYTATNFGLIDQSYDSDASFDPEGTKTQWERFENEVTALNIASSADERYAVLYL GRHGEGYHNVAESSYGTPAWNCYWSELDGNGTFVWADAKVTPNGIAQAERAHAFWTSQ IASQKQSLPESFYTSPMSRCLETAKLTFEGLVAPFTPLIKEYLREGISMHTCDRRSTR SYIASNYPGWPFDAGFTEKDELWTKTTAETPSAQDERSKAALDSIFSTDPSSFLSITS HSGEIGSLLRVVGHRKFSLSTGSVIPVLVKVEKVQGDAPFTSVAPWEAQATCNAPPIT SLADVGCVCSSTTSASASATTSASASASASASATGSATDSATDSASASASASATGSAS ASASTTTGAANSTLSYTTSTVYATSVHTITKCPATVTNCPIGSVTTVTYVDYTTVCPV GTVPTVTPEAPYPSANGTTVIPQKPSSSILPTERPSTIPSSATSLLTSSIAMTAGFAL FAFWM VE01_06762 MAPAKPWADGPFKLIPTPLFTQGPDKPVDQYVTVASQMAIAHNT MIRALNSIYLQAPHVKPEDYKDFIGYSLCWYQLITNHHRGEEDRFFPQIEEKTEKGLM EVNVEQHHAFEAGIESYNTYLQSLLPTGTSFSAPKLLAIIDSFAPALTTHLADEIPSL LSLRRYGDALPLGKLAAIEFQKVGMGAVRTEGGHMFFVNLDRGFEGGLWRNFPAIPAP VRFVLTRVFGKWNAGWWKFAPLDYDGNRKEL VE01_06763 MPPHDGLTHLKEYDVKDSNVELIGTDIDHNLKYASAATEPAWNN GEVGVVAGLRIWRIEEFQVVQWPKERYGQFYEGDSYIVLHSYEVGKDANKKLGHDIFF WLGSKTTHDEAGTAAYKTVELDEFLKGAAIQHREVQASPSEDFLSLFPIIRILTGGVK SGFRHVEREVEKEEIKTLLRIFAPANKRGSGIMVYEVQPTWESLDEGDVFVLDTGIKI WVWQGKKCSPMEKAKAAQVVHDMTLAKHIDVEVLSQAESRSRVVVGLLGGKDVTQQEL QCPRPVSTAEKQGTEAGRPTRLFRLSDASGQLTLDLIKDGEAILRSDLNGDDVFILDV GKAIWVWRGQGASKAEKATWIKVAQMYMNSLQGASLTPIATVLEGNESMAFWKAIEV VE01_06764 MEKESAPERSPSPATESTKTKDEDTTPQQSDQDVAPAPAPLEPE KERTALQTAVLMFALCASVFLAALDVTIVTTALPTISSYFKTSMAYTWVGSAYMLSSA ASTPIWGKVSDIWGRKPILLVVSAIFFFGSALAAAAINIDMLIAGRAVQGLGGGGLLT LVNICISDLFSMRNRAKYYGFIGMTWAFASAIGPILGGVLTEKVSWRWCFYINLPITG VAFFIIAFLLHLDTPKTPVVAGLLAVDWLGSISIAGATVMFLLGLTLGGVIHPWDSPI VLCLLIFGPLLFVIFLAIEWKVAKYPIMPLEMFENTSNIASLLGVFFHGMMMVIGSFF VPLYFQSVLGATALLSGVWLLPLALSLSFSSAGTGLYISKTGRYMDCIRVAFTLSVLG FGLLYDLPLGKTWSKIIIYQIICGLGVGPNFQSLLLALQNQVKPHHYASVTATLGFTR NLATAIGVVVGNVVFQNSMLKQEPHLKANLSPQAAEMLSGKNAEASVFFVNTLPALER DIARAAYYASLRNVWLTAIAFAAAGLISCIFIKGRKLSKVHEKVETGLAAEERKARDR KAAAEAKAAGEKDIV VE01_06765 MSKHIILSLLLLLPSLTSASYPTSGPAITDRYWDCCKPSCGWKG KASFNSPVFSCDSNNTHLSDFDAGTGCNGGNAFLCADQSPWAVNDTFSYGFAGASFPG YVEDAWCGACYQLDFTSGEVKGKRMVIQVHNTGYDVHTANRFALAIPGGNTSYAAACA LQYGVSNTVFGEADVGLITASQCDKLPKALQAPCHWRFNWFLDAQRPTANFTRVECPA VLTNRTGTIRDDDKTFVTQSSAQKSVYSPYLLAGFLALLSGIVLI VE01_06766 MGNYPLQDVCLAVICGTPVIAAICTGLRVLARRRIGVKLMADDW LVIAATVLSIALIGPSYEYVKLWHIGIHIWDVSQQDMEPPFDRNYHVQLSFNLINYLI LPLVKVSLIMLLLRVASIIDRVRIALYALLGIVTAAAVIPWFIMLFMCPPRTGNTWAP TTFGGARCVNRVRIGELQIFVTSFSLLTDLLVLPIPFIISQKLVSLSLRSRLVIGAIF ASTLAVTAISAAKIYLTYLDRLYVVAEPDWTYPIDYCVNHIENNVAILVACLPTYRGL FTNAANRNKPTAATADIRRTYPTYGMGSRPGDVVLSNVEVDGVNPTRKGNDVGMATIV TGLVTQDSKSGSIDLESGGGSSHSRDYRHDSMADLVGKR VE01_06767 MSPPRRALIAVTSANALLMEGKHVTGLFIAEALHPYNVLTAAGF EVDLASETGKYTADWLSEQPDFLNGKDLETWKDTNSEFRKKLDNMPKASELDPSKYGV FFASAGHASLIDYPTAKSLQNIAAQVWANGGIVSSVCHGPAIFANLIDPATKEHLIKG KKITGFTTEAEIDMGIEATIKSWNVELVEDLAKRVGATYERGAGVWDDFHIVDGRLIT GQNPQSSVSTAKAIVDVFDKL VE01_06768 MASSAVEKVLPKPKIEMYSGSYFLACGLGGIVACGPTHTAITPL DLVKCRRQVDPKIYSSNVNGWKTIYRDAGLRGVFFGWSPTLVGYSCQGAGKYGFYEVF KYLYGQKLFPNTNKTVVFLGASATAEAIADLALCPFEAIKVRMQTTLPPFANNMREGW AKIVRDEGYAGLYKGLYPLWARQIPYTMVKFATFETAVESIYTYLERPKTSFNKTEQL VVSFAGGVIAGICCAIVSHPADVMVSKLNSERKAGEGAGQAVSRIYSRIGFAGLWNGL PVRIAMLSILTGSQWCIFDSFKVGLGLPTTGGH VE01_06769 MPPGEQHLEAFGDMVTEFIKAFEVEDALVIDKGQPLFQSTIMRK CWETGSFWYFHAVNSPKAHILCRTLRYDAFRQGGIIILGRDVDAVIEEKLKEEDHYKE QLRIAFIADPGLMDSTRK VE01_06770 MPSSIIGNLSAREPANPSSAYDNDIPLMDDSDDDDPVAAVNRDR TLLGEDPLADFDGEFPSFKRKRKQSGGIAGTMGLSGFLSPFSRKNTSPPTTGSGPGTA TPGSSDGPIQNGNTGGSGLPADQPKDGNSLDWVIEGPGHRVGYENLTAIDWIFEYTKE RQRLRVLYSNTSGIIGYARQMADASQIWIVLVLTGIAVGLIAAGIDITSGWLGDIKEG YCSAGTDGGRFYLNKSFCCWGYEEWSKCQDWVPWSSTLHVSSTGGKWFIEYLFYILYS VLFATCASVLVKTYAVYAKHSGIPEIKTVLGGFVIHKFLGGWTLLVKSIGLCLAVASG MWLGKEGPLVHVACCCANLFMKFFSNINNNEARKREVLSAAAAAGISVAFGTPIGGVL FSLEQLSYYFPDKTMWQSFVCAMAAAVTLQTLDPFRTGKLVLYQVTYTTGFHGFEMVP FVILGIFGGIYGGLFIKANMAVASWKKSTTWLPGPVTLVIVVALLTALINYPNIYMRS QTSELVYSLFAECSTIVDDQFGLCSKGAATVGAVVLLILAALVGFFLATITFGLHIPA GIILPSMAIGALFGRAVGIIMEIWVRNHPGFFAFAACAPDVTCVVPGTYAIIGAAAAL GGVTRMTVSIVVIMFELTGALTYVLPIMIAVMVSKWVGDAFGKRGIYESWIHANEYPF LDNSELSIPDIPVSDVMTRIDDLIVLTATGHTIGSLKDILAANPYRGFPVISDLRSAI LLGYISRGELIYNLHVSTRHPRNLPPETEVFFAHQPLADPQSTLDLRPWMDQTPITLP GRSTMQLTATYFQKLGLRYILFTSRGVLQGLLTKKDISYVLNGAGSSRRDTVDGTEYN QVRMAREEEEDDGDGLLRGGGDAGDPISPGAHDTPLL VE01_06771 MDENRSSTGDGPSVTAQDPSAGLDQIRGFLKAKDDTSRFVGLAL LKSVLDTQAQLREDAVQVNSLWESISPKFLDKLLRATKNDHVTADEARNMIDIAVGVL HTFAIILPEESREDKRFIGRIEPLANCLVQSSPESAVLVLQTLLTLASCTVGASQIIQ VKDVTPLTELATQQPLVLDVIGLSWSNASTAKSNLNIVSSSIDDIMPKLIVAFKDTDA VTLLAFTADTFSKVPPEVLRTDPSWLEGLIILLKKLVTSRPTATGRAAYIEAAATLLQ VFQQSCPGRLFRDDTQRDATAKPFSYLLVNLILIDIRSSFPTLLSKLNSSDYPSTARR LAGGFDVISGFIGFLVRSLDDIDEGNGSSTLTTSPDLLLKLRKDIAETMSLAIEYMRD RWDASVAGVSGLHPEARTGTSATSEGTRLTLTWGSMEDNIPDDPLILASIRTLAIWLR EDENENMRSESAGLTDMLIELYKTPSSKSLDFKYPILTALEATLTTEGGVDGFLSHDA WAVLTEDLESIVRYTTAEPASNVNSEASRGIEIIRVLLAVVDDTAVTEPHHHWMKIAQ TAASMKPGPKLIAPWVMELKIAMIQLAAALLHKASPGMRKRYRPTAVALSGLSQALQT QLRDGKNLMPQDFQDSIDDVVLELANLD VE01_06772 MLNDSTHYDPATYINDSEENRDDKHLIGNRAQASPVPPISVSPD IQQARNTFMSANKGFMANEKTSSDQPTYGRPIYITRLCLRILSLVLSLGVVGLVASVM VRHAQTKDLIAVNPRTGLTYRVWPLATNFVPSNLLLGAAAIASIGSLGLVIASFTKSV RRLTKIGAVTTLCVSITATVLWIAATTYFKLWDSKKRTYFDLWSWTCTHQTSFKTNGV DMAPLCLQMRVAWYIAVAVAAVEILAIGTCILALLKGRKTYQALGAEGLRFR VE01_06773 MPSAIIVLALGKDQKQWSTIHAISRSQKEEYPSTVKHRHVDLSG DPNSIANELKGVEAEYLFFAAYIQKESEQESWDVNGALLENFLEALKITGANKKLKRV LLVTGAKQYGVHLGQAKNPMEESDPWLTGSEWPPNFYYNQQEILKKQAANGGWEWVVT YPNDVIGMARGNFMNLSTSLGIYAAINKEINQELPFPGAETFYTRFDTFTSSKLHAEF ALWAILAPKAANRAFNVVNGDVQSWQNLWPKLAARFKCKIPANQFTRPSPLASSTTLS RPPIDALASEIGLKGTAAVKPSTLEQRIKLSEWSKDEKVKKVWNDIAAREGLERKAFE QATWEFLDFVLGRNYDLVLNMTEARKMGFEGFVDTWEALDETFTELEEAGVLPKIK VE01_06774 MGVSQSALEKSISAALGGDEALYAFPGKPFYQIDNVKPYNLSIP IKPAAITYPKTSTQVAAIIKCAVDANVKVQARSGGHSYGNYSLGGVNGAVVIDLRHFQ QFSMDHTTWQATVGPGTLLGDLTKRMHEAGNRAMAHGICPQVGLGGHATIGGLGPSSR LWGSALDHIEEVEIVLADSTIRRCSATQNPDIFWAVKGAAASFGVVTEFKLRTEPEPG EVVQFEYSFTVGSFASQAAVFKRWQSLVADPELTRKFATKVAISVVGMIISGTYFGPQ AEYDAFDMQSKLGGESVAKTIVFRDWLGVVGHWAEDAALLFAGGLPSHFYNKTLTFNG ATLIPDAVIDTLFAYLDRVSKGTVIWFLIFSLAGGAVNDIAHDATSYAHRDALFYFES YGISLVKVSKTTKDFIAGINTTLKNGVPGVEDLGSYAGYVDPELSNGPQQYWRTNLPR LEQIKAVVDPGDVFHNPQSVSPAGSTRSLSKLMVGKRAKLRSFFRHRKGRN VE01_06775 MFIKNLRRKWSSKPCVASTATPQLYLAFYARLKYPNTYHYALHI SSPESASSPAIRTMKYHCKNIITVTDGTVSIPWVYEAVKIDPDSDPRIVVRILLGGVS RIDLVDSLLEAVPVGQGSKEDFNCVSWVRDTLLRLNQVGVISRGDISDWDAVERTGLD YVNEKKQQGRFESGWKGDISRVATFDRTLGREIYP VE01_06776 MSVSRLTTIALLGLITVASIPCIMILHFRVGTFDLMESISQKAP HLLLGGPAVLRTVYSHFGPLDAQLTSLIRFFWPVVTGATPELSLFGVYMGGQLLSCLA LVLIEGERKGNAWSPIGFPTFWATSWVFIPFGFVQPIHNLIHVLFSSQGRAKGEVDAN AISANAKRIVLLPVSLTLGYIIPSFVICLPSPEVVSHHDRQGFLIAWQFFAIWTAISQ FILTRLVSDNIINRLLGIGESPQRRAATALRYTYNFVLMVTVLTHSLILDTVLCHAFI PSYFPATIDQLHPLLVFQPISPFSNEKLEAFERGILSLLQYDTYFAGASSLIWALYLY SCVKPNATFAGLVGKATLFTVLFGPCGAALAVMKERDEAVFVDSTESDTPKKQN VE01_06777 MHISNLLLIAALPALSLAQSLDSLASDVPLCALGPLSIAAGENN CNMEATCLCKDQTFISSLTKKVEAACSKADLDKVVTLATNACQSAGVTVDIPVNNSTT SSTDASGWGERSSSVSWVMMVGVLGLATQAVL VE01_06778 MSDSASKFTSSAFINGIYIPSGLLIFGCFIVKSEWLPYAIALSA ALAGWKIFDSYPKAVLRPDALQEFELKEKTIISHNVAIYRFKLPTPNSILGLPIGQHI SISANLPTTNPEGEAIRKDFLRSYTPISGDHQPGYFDLLIKSYPTGNISKYMASLIVG QTIKVKGPKGAMVYTPNMVRHFGMIAGGTGITPMLQVIRAVIRGRAAGDTTEIDLLFA NVNVEDILLKEDLDALAAADKGFRVHYVLNNPPEGWTGGVGFVTPEMIAKFLPKAAAD VKVLLCGPPPMISAMKKATEGLGFTKAKPVSKLEDQVFAF VE01_06780 MSLSCQFAARSAVRSLRAAGGVRVASATLAQRRWNSTEAATNPK ISTIVDQISQLTLLETADLVSTLKTRLNIPDMPMGGFAAAGPAAPAAAVEEEAAPVQA EKTLFNLKLDSFETGSKPKIIKEIKSMLGLSLVDSKKFVESAPKMLREAVPKEEADKI VELLKGLGAKVTME VE01_06781 MDEINMFKGHEAILQDEIVLGKLPSEILSIIRNGSSPRYLDAIA AAGAIPRLTTRVFARFENIFADTCSRWVEGRQGKEQDPAIIEAFARILPFAPYLHVYL ANYTTSQSNTTSGRELRYLSDESSSNISELSDAGLQSLLLAGFRLLRFDRALYTGLIS ASKIQNLLRHDNLVVRYLAARILALLLSASDAKLEELLAAHVGKNSPVMGDYDGDNID YGFLSLYEARKIKDVAAMRLAMQASESRPQQLQPQGLSPLVVRCGQVLLPRPDGTPSR GSSLITTITTANNKEDFAQALLSSSPLLIHGLSGAGKTSLVNDFARELGKESTMVTLH LNEQTDAKMLIGMYATDTTPGSFTWRAGVLTTAIREGRWVFIEDLDRAPNEVISVILP LIERGELLIPSRGETIRAAPGFRLIASIRTSLNMNGQENPPAMHMLGARLWNRVPVKM PSRQEFQEIINGTYPVLHKFLPGIISVYERLYSLAQNPSFALKSKTSLGRPISPRDLL KWCRRLEATLLAAGSKTGEEPITEGTKDEMFMEAADCFASSLQTEEARKTVITAIAEE MHIPPQRAAHYLDNHVPRLNDTETHLQVGRVTIPKRRTSHRVSKLSKKTRPFANTTHA KRLLEQVGVALKMAEPVLLVGETGIGKTTVIQQLADSLGYKLTAVNLSQQSEVGDLLG GFKPVNVRSLAIPLKEEFDDLFAATGISASRNQKYLESIGKCVAKGHWTKASKLWREA PKMFDRIVSDLEKLDAAKAREGPEAPVKRRKTESKLQSLLSLKPRWELFSKNLEQFDV QLSGGSKGFAFTFVEGNIVKAARNGEWVLLDEINLASPDTLESIADLLLSGSGTKPSI LLSETGEIERVQAHPNFRIFGAMNPATDVGKRDLPMGLRSRFTEIYVDSPDKNLDDLL AVIKVYLKSSSTNDERAAHDVARLYMNTKRMADEKQLVDGASQVPHFSLRTLTRVLSY VTEIAPSYGLRRALYEGFAMGFLTLLNRESEKMLVPLINHHLLDSHGNPQALLAQTPR HPEDGRQYVRFMNNKRDRQYWMLQGRETPQEQPHYIITPFVERNMLNLVRATSTRRFP VLVQGPTSAGKTSMIEYLAKFSGNKFVRINNHEHTDLQEYLGTYVSGTDGQLKFQEGL LVQALREGHWIVLDELNLAPTDVLEALNRLLDDNRELLIPETQEIVRPHENFMLFATQ NPPGLYGGRKTLSRAFRNRFLELHFDDIPEDELEYILENRSKNTAPSDCKRIVTVYKE LSRLRQSSRLFEQKDSFATLRDLFRWALRNADNREQLAANGYMLLGERVRKTEERQAV KEIIEKVMKVKIDPSVLYSAEASPEIQLHNETSNAQGVVWTGAMRRLYVLVSHAMRNN EPVLLVGETGCGKTTVCQMLAEAFSKELHIVNAHQNTETGDLIGAQRPVRNRAAILKQ LEDDLKAALNGLNFQTDAPLKDVEQLTAAYISLSEEQRVSIPDDLRQRIYNGQSKAKA LFEWSDGSLVQAMRDGEFFLLDEISLADDSVLERLNSVLEPQRTILLAEKGITDSFVQ ATEGFQFFATMNPGGDYGKRELSPALRNRFTEIWVPSLSEMEDVLQIVDSKLNALTKK FAKPMVDFAEWFGKKYRSSSTQSISVRDLLAWISFVNGSKPQDPYFAILHGAAMVYID TLGANPAALLAINPESIIEERATCLQQLSSFLNHNVSAIYYTNVEVNNKEDAFVAFGD FQIPKAAASQADTGFAFDAPTTKLNAMRVVRALQVQKPILIEGSPGVGKTTLIAALAK AIGKPLTRINLSEQTDLMDLFGSDVPVEGAEAGNFAWRDAAFLQAMQRGDWVLLDEMN LASQSVLEGLNACLDHRGEVYISELDQTFKRHPNFSVFAAQNPHHQGGGRKGLPSSFV NRFTVVYADVFRNDDLTLICQHNFPDMDKAVMANIIGFVSALEQEVVYKRRFGSEGGP WEFNLRDTLRWLQLLASNDPLTGAATPVDFLNLIFRQRFRTAKDRDHVNRIFTQVFGY EIPFRHLFPNLASDAYQVGMAYLPRNQLIQRYPFPHEDVRRHYAEIESIMTCLRQNMP CILVGPSGSGKSSLVQHVAAAAGAELVVFPLNSDIDTMDLVGGFEQLDPQRSSAAFLQ SLSVFLEGKVLSSIPRAVPEEAIEILSMCKNPDQVSSNYFAKILQVLVSLYEKTSLPE LEGFLTTCQHFVDNPNKIESARFEWVDGVLVKALEEGKWLVLDNANLCSSSVLDRLNS LLEPSGFLSINEHCGPDGEPKLVRPHPNFRLFMTMDARFGELSRAMRNRSIEIFLDTP IISSDSEVESTIPPVLEPSQQRFGNLIKALEAEGPSGQLGSLTKVALDHVSTIDTDLL PRFAQAIQNGLVNPAFINEETGRIIARYLEALQPGKSQGLAHAVNQMYDGLAGALGLP ESFKESQTIHTLTNSPLVPLLQHYPATANQSYWLGTVLELQVEALDGQEAQEEQLRTL STLKPSQMNRLQRSMVRDRVPSVAKDTTASIAEFLRESLQTFHEFLQNAPSSDSAVHS RVLREIMQFWWQTYRLAQTGKFEEATFQAHLAIGTELLSRLAKVQPGGIVELFQKRLK DNFDSGFSLTTGLSMETLWLQFRPLPVSSTEKLAMLLEMEALAAKFDALRWKISISVS ELAGIMSALVKAHHTLLTADVDGRSLIKTLSTELERLEAIAGKETASVTPFLASQFEA LRQYKALQAMHTKTFSQGGNLDATDPETIVLANHSTSSLMHLASASPSSNPLFSTDYL WGSGDGLQPISDSFSASLIHKLHNSSDVTLNSLRLLETELPIMGRQLIQASASLSRNH FLDMDMFLVELMVDIVGAHSAELAVNFRVAAGELDSLPIPSSYSMATSAVLHPASFKS VYEADTCPPQLQHVIKEHFMPALVSIAAVKKHAGNQAQHSALGWVQFAVGVLALYVPD RSYDPDKRQRLERERHIEMSQALQTRLGALQKFEGILTSQNSNLRSQVLEAEINELGE PTETLQEVFRPTTSELDQLQGEFNNLLNTVLSARPHALLFSQLTSSSDDSIQSLKLLQ SNVVQIIRRFSDRFRAYGDMTVPLVNMLRCLQIGLFMAGMSAEEPSNNARNIVALSKM TPFLGGEAEFDDSNSLEQPLEVLGQIATITSIDGIDSFGDEQRQILYNIFHSCFEQWS KRLELDREEAEVKGGLYRFKGSFEDENEEDQEQFNELFPAFDEEESKPIGGTIHHAAR DTAIILAKLHAEIYLNKSVPAEKITSLIRTMASRIGTIWNSDTSLYGSGQATSRGLTE SLLPGALLLLDDKIQALSADTTTSDTYNFYLDPNLPEARKLVGLIHQVQVRFRELQEV DEIGHMQPLDDVLVSCRELLEFHHTEPIAKIITKVEKVHTYMHEWQFGGWASRVNSAT ALYDRLTQTIVNWRRLELTTWSKLFEMEDKKCDDDAKSWWFIAYQVAVAAPLELSSSE EELRTYAQKLLKDLETYFATAIQGQYAQRLQMIKQLQQHLKMLAIDYPLMTIIENAVS SFLGLYSRYEGQVSENLRKGRVSLEKAMKDVLLLASWKDTNIVALRDSAKRSHHKLFK LVRKYRALLSQPMEIVLKQGLPEEQDGTIGNVVVANHKALVTVDKSALALCEAQVPHW AKKSPRYINISKTVGMMDDASQIPEDTLNVSEYIDSFLDNIVTTAAQLQKATPSVLTE ENKVTVKHLKTRKRKLFAETLKELREMGIRHNLGTAALAQQDSLSVVLANADNLPQSD AFDFSGINYYYSQTLDLVPRARLATRGHNEDLSLAEVARSSGLLEGLLQVVIQQRNAL AQAVSDSVAIGESIKQATGLWSGGQYDIASVLSSTAYEPSLKWLPSILRVALGLLKTH NRLGSETNSDVDEVLVLWIEKFDIFRQTYNRLPVLPSGLQSSSHIELETEVHDAIKGL RVNIEFLKTTHPHLDYILHQILPWTATESVIVEEIGNGADIPALDKNISKICDSILVA VEKLSKSITTMPTSTEDPLWLTNNDISLRNNFTFLHSTEINQQINEAFTLLKSLDLSD PQVSRVAGAMFAVTLPIVEQYFNILQQSIARYAQLNRATCKMTYILAKTFIQIATQGF CTPAEKSDSQEGKTDKLEGGTGLGEGEGADDISKDIQEDEDLTELAEEPNKGDREDDI EDEKDAVDMADADMEGEMGEAEEKGEDEEGSGDEDDKDDIEEETGDVDDLDPNAVDEK MWDGDGEKADKDQEGDDSKGEQNKDEQVAATENDKPAPEQKEGEGEEEEEEVGAEQGE EVTQQDDVEKHDPHADDGDALDLPDDMDLDGEDEEGKEMNNEDDGMEDLSDVEGEDQE GDEVDESDDKNMDGEDAEGQEDQDMGDDLDVIDLDEKDEEGEGEDTNEAGEKAEGEDA EEEPETQENLLRDHDEDAKADDENVIPSEAQGVGEDQEDNNNADDNKESSSKAQREDG GGGGDASEQKDAAAEEGENGRQAQGEAPTSNDETQDAADAQPFKKLGDALENWHRQQT KIRDAPQSQEKGQDQPMDTENETSEFQHLQDEEAEEDSTQALGTATEDQAHAVDESMA IDMETKEMPEAFQPDEVEQDDVDHDDAMDIEDAEAQPESTDAYEGRAGAAIHQAKEDR EPEKYVPQQPTDIDDDVEEVDRELDATHIDDSGAVELSRDSASARQLWTDYEASTRDL SLSLTEQLRLILAPTLATKMRGDFRTGKRLNIKRIIPYIASSFKRDKIWMRRSVPSKR SYQIMLAVDDSKSMGESGSGALAFETLAMVSKSLSMLEVGEICVLGFGDSVKVAHDFD TPFSADAGPRVFQNFGFEQARTDVTRLVRESIELFRAARAKASNAPADLWQLELIISD GVCDSSEHEPIRRLLREAIEERIMIVFIIVDDVRNKKKGESVMDLKEAKFVKDEYTGT SNVKIERYLDTFPFQYYLVVGDVKELPGVLATLLRQWFAEVADSSG VE01_06782 MASIPEKDPHWMAPEFTKPGQDFEHDDHVNLHEDVDTLIAQMET EIEAAGPQKDMFAINFKDPKHFTYFLVVFASMGGLLSGLDQSLISGANLYLPKDLGLD SKQSSLVNAGMPLGAVIGAFLISPCNEYLGRRISILIACVLYTVGAALCAGAIDFPML VVARLILGSGVGLEGGTVPVYVAETVERRLRGNLVSLYQLMIALGEVLGYAVGAIFLG LPGNWRYILGSSVVFSTIMGIGTLYVPESPRWLMHKGDYLNAFRVWKRIRGTATRESR EEFYEMKSSLEFEVLQVQEGRTKRFPWMDLFTNPRARRALVYANMMIFLGQFTGINAV MYFMSVLMGSIGFDDTQANYMSLVGGGALLLGTIPAVFYMELCGRRFWALAMLPGFFT GLILVGVSYNFDPAVDTVLVQGLYLTGLVIYMAFFGCYATLTWVVPSEVYPTYLRSYG MTVSSAWMFLSSFIVTYNFTGMFQAFTGIGLCLGFYGGIAVVGWFYQLLFMPETKNKT LEEIDLIFERPTMVIVKENIKNSRATCKDLLRGRFRKVATETQRVV VE01_06783 MISHPNHTRLSGSISTTICITSSFLTSSFLTFPSLTLYSSPNLT TWTLSSNVFNRPSQVSEFATLDTNADEGFWAATVRYHDGLLYVVVTYIDYDPKLKATY YLFTTLDPYDDTAWSASLQISNPPKTIDPDLFWDDDDGTLYIASEWGAMYPSTLTLTT STSSSPAARIWNGTSNSNPEDPHIYKKASYHHLLIAEGSSGLNHSATIARSPSSIWGP YESYPGNPILTNRGTGGVLSDRWTCGFLSGWGWGDWWGAALATRSGSGFEVSLMGRET VMFPVSWLEGGWPVADPVRGEMSWWNLPLSASPPRHDEILVDGADIVNSTPARQYLET RSTTASPSHHPTPSPPPGQPKTLQRVPSSANLTANSAVRASDGLTFIARRQTATLFKF SVDFDFSPRREGEEAGDHGVYYPGTAC VE01_06784 MGLSSPRHDVKGTGRQRRKSGQYKALATDQEETVVMLNESFGQG LQDNQEGSREDCEEW VE01_06785 MDPMDGVYRSTSLADRRASMANSEEGTAPSPTRNVLTLDTLSTR MPARVEKIVQTRPRGPPTPNLTTPAELDSPTHSNHDPPPPPTPAASPGPDNMRPDWSA AGDQEDIFLAQIRQYFKQRSGPERTRILGDLLNLCTSQQLSFVSQYVSPLLKKDPFTS LPDELCLRVLSFIDDGKVLARASQVSRRWRDLLADDMTWKNLCERHDYRRRLSVRDDT IEPNSPIPPLPVRPQAYPLVTSAPQLFGANYVASAPPFTSHSRSFDTATAHSKMTKRP PIRSHKSYFKQRYLVETAWRAGGQHVSRHITQDQGVVTSLHLTPKYIVVALDNAKIHV FDTDGDNQKTLQGHVMGVWAMVPWGDTLVSGGCDRDVRVWDMASGESRHTLRGHTSTV RCLKMSDANTAISGSRDTTLRIWDIKTGLCKNVLVGHLASVRCLEIHGDIVVSGSYDT TARVWSISEGRCLRTLNGHFSQIYAIAFDGKRIATGSLDTSVRIWDPENGTCQAILQG HTSLVGQLQMRGNTLVTGGSDGSVRVWSLEKMAPIHRLAAHDNSVTSLQFDDNRVVSG GSDGRVKVWDLKTGHLVRELTAPADAVWRVAFEEEKCVVMASRSNRTIMEVWSFSPPL EAAGQERTGFMHNLPPRPLSETGYRFTGADEDMGGLEGGDATTYARDDIDMEDAGPST APPRPRTFLDD VE01_06786 MFSQALRTSRAAGLPKAQFARKAVMARRAVTTDAASAHAEKESV PEEDDKPFQVQLSDESFETYELDPPSYTLDTTKKELKQMYYDMVATRRMEMAADRLYK EKKIRGFCHLSTGQEAVATGIEHAITKSDDVITAYRCHGYALMRGATVKSIIGELLGR REGIAYGKGGSMHMFAKGFYGGNGIVGAQVPVGAGLAFAHKYNGNKNVTVALYGDGAS NQGQVFEAFNMAKLWNLPVLFGCENNKYGMGTSMNRSSALTDYYKRGQYIPGLKVNGM DVLAVKAAVAHAKSHAVSGHGPVVLEYLTYRYGGHSMSDPGTTYRTREEIQRMRSTND PIAGLKSRLLDWEVVSEEELKGIDKQARSDVDKEVAEAEKMAAPEASEKILFEDIYVR GSEPEFMRGRTVDETYYY VE01_06787 MLDGLFILLVLSVVMAVASFLAGALPLSMSLSQSQLRLLSAIGM GVLVGTSLVVIIPEGIEAVYASSIPVVHTNGARAPPHPLLTPRTFDNPTFYEAASALP GPVIPVDTSIFDRRADTTTVADAVHPPPGPIVPGSSNLEDFPTIHNDDAPLSTTTASS STTAHNHATERSPPTFYIGFSLITGFILMFLIDKLPRHATSTLASPPTRHISLNNLSD TTPASPISSDSFLHSLAPSPKQTRSLATTTGLVIHAAADGIAMGASATSSNTKLGFII FLAIMLHKAPAAFGLTSVLLKQGLSKRAARGHLIIFSLAAPIGALTTWLLVNITGGGG GMEGENGQWWTGMLLLFSAGTFLYVAMHAMQDDAAGHDHASSSTLNGFGDGGGGGGGG GGKRGGGPVLRDTVASVVGMLIPLVTQVGHHH VE01_06788 MASSPDDWSTQSNDALHISLVRPENGASKTINTFHPKFTYPIFG EEESIFGYQNLKIHLRYNASDMRPGVLITYSKRFKAVGDVEPTDLKELLSDFLPENAF QKSPAFDAAINDPNLKDFTPPGKLLKSHEEGDAAYEIWYGNSADPAIQQLLRRLQILV ALFIEGGTAIDDAEWGSGRWTVFLLYKKGPATGDASPYTFMGYCTVYNYYPIIPKLPL AAPGTQRKAISLPANSDTAIPFPDQSLSDLPIRSRLSQFIILPPFQGGGHGARLYSTV FAHYQSDPQTVEITIEDPNEAFDDLRDLNDLIYLRSLPEFQALKINTAAEVRSKGRVP SDEILEQAPLNELRKKVKIAPRQFQRLVEMQLLSSIPPSIRKSGQLEHLPPNTLATKM KQREYRLWGLLVKQRLYKHNKDSLIQLEHGERIDKLGEVAGSVEEDYARLLGKLDGGE DEVKDELLGVPEAIESRATTPGKRAAEGDELDLSVRQSKKVKVEDAEEE VE01_06789 MASSILTTGRRKEGEQVLAFIGCGTLGTGILTGIMSHVGKEGLS FVQDEAGNTEPLPFLQDQHGNHLFPPTSYIATCRSDSAVERLNAAVSEANTTGETFKV IQNDNVKACQEADVIILGCKPYMVSGILSKPGMVKALEGKLLISICAGVAAEDIENTL YGGKPGGVGRCTVVRTMPNTAAGIGQSMTVLATPSTPIDESVSMLIETIFKCVGEVVW LPPNLMDASTALCGSGPAFFLLLLEAAIDGGVAMGLPRAEATKMAAQTMKGACMGVLG DKEQGRAPVHPAVLKDRVTTPGGCTIGGLMVLEDGGARGVVSKAIRAATVVASKLGQG VKNVNGANV VE01_06790 MADPFEVRMRFTNQLQHLNASVTSSQKAAQYALKYRDMDEDLHS CILEQVEKNSMNNRANILYFLEALADLSNTNGHRDYIRMMQRDILRIVDAVAPEDGSG AANIKVVQKVLASFAAKGYLEAQTVGEIDELLQERDSGMPGLEGSGGSPTQLAEGMDV DDGVWEDRRGDAGGVRLDKKLVEQRIEEDRERHKRLREHQWAVPPAVGEEEDEREFEM LFEETSSVGSDDYRLFEEEFLDRKRCAEEHKADMEGTGEA VE01_06791 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G VE01_06792 MAGKARKDLAKANVAALSTLHIGSLVFNGAFIVFSLIFRSRSLV TYAVLSIPAFACQYILESSGRPKYTTLNGKSSLKSAGEDLAAPGLTEYLFDVVWVTWA CLLAVLALGDKAWWLFAIVPVFGLWKGWGLIGAARGMLGGPQGQPQGGEEQQQAAPQN RRQRRMAA VE01_06793 MAPSNTCVTNQLRQLIHYHIDNNLLKNALFLAERLVAFDHRSSE SQYLLALCHFRLGDTKSAYEYSKAGGSRGTHLGCAYVFAQSSLSLERHKDGIVALEKS RGLWGGRNSFGKHNQYSRNPLPDAAAVNCLLGKLYHGYGDKKKAINYLEEALKLNPFM WDAFTILCDMGATVLVPNIFKMSPEMEAVIRSGAQQPENLVSSQASVSQGLSGGLFSD STQTKPPARSAPIATDMADPFNTAQPRSYGGGLFGLSQKVNESSMNISHLPTAGGGGL GPDTMETPTGPTSQMDVSVVPMGREPGVVSAFPVEPPQAPVRRSRQQVGDYGMEAPPK MNRGGVPVKRSQKVDTQRDAPLEGSLQSSLRPPGLPLLVPERKRTVSGQVVQPRQTQP EDPGAPQRRSVRLFNQIRPVSSKSTAASASTGTGNQPRELKKARPPISRMMRPGSSAS TVGRVVSGNRKPMEEMDIDQKEYPPRRAHHANSAPAAPEKSLENEAARQEEALRWLLE LFRKLGTGYFALSRYECMEALQVYSSLPRAQQDTPWVLTQMGKAYYEQAAYADAETYY KKIRTMAPTRFEDMEVYSTILWHLKKETDLSFLAHELIDADWHSPYAWCALGNAWSLA REHEQALRCFKRATQLNPKFAYAFTLQGHEHVANEEYDKALAAYRHGMAADKRHYNAY YGVGRVYEKQGSYDKAFTHYTAASIINPTNAVLIGCIGTVLEKQKEPRRALGFFTKAT ELAPRNTLMRFKKARALMAIGEMQVALQELMVLKDMAPDEAMVHFLLGRLYKGMREKG LAVRHFTIALNLDPKASQQIKSAIESLEGDDDDDELSMMA VE01_06794 MEPPPSPTRKRKRPESQDDFDEKIANLSLDDFPTPPGVNSFGGV YGQKFAEPHDDASAGPSKENQISKKRAPISKEEKMELYCLDHTYFKALYGSKSYQENE WPAHLYWCRRKFWEHYYRAHPDQIYPEPPQSPENQMAILRREYANRPPWWFPSFPSIE TRAKYPTAWKHYEKDNQQPASPAKRDHEERDLEERILEEHAQLAKTAWELMTNEQLEE LLHESEAYCKTEREKIGATTEVIMEYSQAILDMKKRCGRWQARVDYVTTHGILRVKAF LRARLERELVADEKEPERKKRRTD VE01_06795 MAGYKRPRCESESPADAEQSSGSVGAKKSKNANGETGSPKRKRP PRDRAEEQLLDRLDREQYEEVMKLLPNRFELAYREHYAREKFWDQFYSTEGPGTCPER RNQTLNSRVRKIVEEHRKSCPWYFWGLEGYTRERFPKKWPHFYKDGDAGDASEDSQLL YPWDELNATTASSPATPKGADKTGLWDLPSSEMEKSIEDVKLQMAEIRTVLDEKEKKA NELRKKTVAMVNELQRKTVTDCAKIEAEASEKVDEVRREAAALTNKIQTEADAKFNEI QTDVSKLEEAMKEKQTVLEVQEITLAARKANDSHGNQ VE01_06796 MRIFHNSVVQTNPAPNPGLEDETITFRNGEPGQAIVGRDFSRLF ADIVCEQARQYMNSYPGNHASRCNTPYHTWFGELARGEIQFEDGLGEDSDVLSHRLSM IKLATEMKNYLGLSIKDAHLFDVLSWERRIVAEMYCNKLMREKAPWARYTGIVSLIRH PNIFDSPVGLFYTKPSEYLAIAFYGTGRSMVQIASDIEKLASWRAGEYGSTASGQALP ENFASIVAEQARQYLESFPGNDASGCNPQHSWFRRLAEGQTADREHLQALFDELRYRN MLTRVATRCKNYLGLYIEDAHLFDTWAWLQEQEPNEQWDRYSKIHDAIFDASVFGHPA PNQGFFFAKPTEYLAIAL VE01_06797 MDIFYDIAVYNGQGITTRRVSLEEVRCFLLGAPDDFELAGIAIN ALYHITHEPISDMTVIELGNFFTVLCDRHDWPIQPNPGLTLTYNRYVQVMAVVQLPII PDNVPLSKANQYTYFRDCRHRKRLKDRWEAVKAEYLAQNPHMTNPGAQPRRIYEVRRK MAHDLGWVHEDRFHLFEAPPRPLEEFYPVFPRPLWDMPFMGNPGMELPMLPRFNGRRA LPASSVPRAAASLSELRSLDQPIVRPLQNGADQFDSATASSGTEPRTNADLDSNAATA GSE VE01_06798 MASQPNSQVAKDEHPRHKWTDFEATVLMNLIIRNIHRTGLEKDE FSKLSDSTAPRQKGRNSRRAKEVADPDKLKYVDVATALNRALHKSDYTHDIPVEEVEK LLHFFLRDRKGAIAVIDRQPTARLTRSTHKIWIRGLKFLGTKAEWDNGRKAAEEVKRR EDQERRLNVGNAGAIISGDTGAGVADGWGNDTAPAPSGDGWGTEANENNGDASAAASA WGDAMEVDTPAKAANNPKASDEGSGAKANSGAWGSGAADSSKTTVTNAADPWGAAAET TVESNSAAAAWGEPEVSKKVVTTVVAAATDWSVNEDPFATPLPPSLSSAVNNATASSN AWGVSGTSNVTKVQTVTDSDPWNKTSASRTDPVSEDTSNAWGAITGTSTNGLSSTTTE GIGKTANTAADPWGISTESAIPSLRSTANTTADPWGASTEPALPFLQSTMDTTADPWG ASAATSAIQPSAMDWGTEVSNVAPANSFTTKSAEKNAPAGALTDKSAPMSFADSWGEP VAHATNLPAITAADGWGEPVANTPYQPVPTTFNEWRDNIEKSHGHNRTLQIVTAAKLN SNEGASTNIRDHGQAQWDKTLAPAPPGWGPTSTTVMNPVAIPSNMPLWASATEEVSPP SGMNSSNGSQNPNRQGSNSDKAPKNSVQTVQIAVAGINPDRLAMLAAAETDDDGASDL ETTADGGYSRGKKSFGSSATFGSKDNAIPLKFNRLAGK VE01_06799 MPFTPTIFSTSYEFPILSPPDVSPGTRVVGICGISSVHDEHGAV PSDPSKDGWYHAQFYLLHHLLAGQGVQQKWITSVAPNRIVDNYSLLTYPDIKGTRRIV LDRTMIQRGYMNDVKVVNPKSLRDELKDTLDIEVQDGMECREPILIILIGHGSMKHGG IHLHNHTFNSDQFRLWTMGAVDAGLVTPNPFSGREGGWLVVPSRLLMNRPLNRYPFTQ RRLADEGRGKTCGSVWMIEVLSSPAFKELTIPRDPGDKRMEERLIPELINAVYDSLIG GRVKCWGVNFDPDTDAWEIDFDKRRGNPLYKLEEAWYSLRSLSPYGQPSVSSSSLLAL PTNPVGNELPAELLNRLESLAGSSQSQQPAHYTVSTRRKLLPLIDAYRRSLPGLADDQ SEALLSALIHKVENNPDCCLSRLHRVTAHLEYRFRLMELADRYVSCLDLQEQGRSCNG FDIEKWKREQSREQVNRLKEVVRILASRFVFPATILEKQGKVFPKPWEYLAMVMMERG GTSREFEIDVEVILNFRKDRIRAATMAALKNKRVEEAMRKIDRHIKDTLEDDSDGRAS LFDGDLWVIVQKD VE01_06800 MQSLEGLRSGEYRGTKRLKIASGIATFPREIFKLSRSLEILDLS GNSSLSELPSDFGRLHNLKIAFFSDCSFTAFPKQLAECRSLEMVAFKGNGMKTIPEGS LPPNLRWLILTNNLIGELPRSIGQCHRLQKCMLAGNQLKSLPEEMANCKKLGLLRLSA NKLGELPLWLFTMPELSFMAFAGNPCLQTETVIDNPVLSLVAWEELQIKELLGEGASG VISRGLWTTNADSESKQVAVKLFKGEVTSDGSPIDEMAACMAAGTHSNLISTIGKVHG HPDDQRGLVLELIPPHFENLGLPPSLQTCTRDNFTPGKYFTIQKCKNILVSIASAAAH LHKSGITHGDLYAHNILIDEAGHALLGDFGAATVYSKTHVHSEAIEKLEVYAFGHLIE DMLSLLDPEVFGEKEAFIEEELNELHYRCTRPVVEERPLFQEILEELNGL VE01_06801 MSWDLLKRFIESDVFNQNPFLSVAYLSRYADHVGITYVLCSKLR QFSYEEIEFFLPQLCHLIISLDNESMALEEFILDLCEESTFWLFQTYLHDLSSNPQST AFKTCRRIYNKVQRIVFGVTDTARVEKIKENTLPVTVLASFVLASIGMPGLPRWAGPL AISQARKPQESEATVSDSSQTQKLARSHTVAGSGSRQRRPRDSLRAASATDTRVGGES DTKAPRGASLEARPPSSQSTRKSALDRSTKRPSQFTLQPPDAQSSTISLPLPEPSSPK SVARPLTPLSARFGPRPDIPHRRHTHQVRPLSPSVLNTRQKGKLLRQNYFRSEIQFLT ALENISTRLIMVPKAARLSALRAELVMIAQDLPAEVDIPVICPATLVDGVPSKSKHHR IVRLNPTECTVLNSAEKVPYLLMVEVLRDDFTFDPEASENDALLKKLLAEQGTSRRRI FDLQDAPRLPTVEAQQPKSVPDSVFEPVQGDLGTSPAIQGEDEGHIPAVPDLIRSTST VSSPSTATQSTVQSITSSHPTPRTSGTSNSGSNSPGQPRKSTLPLHSRPSGSDQPNYP ALATHIRTAAQMLTQLESTSGKRPRSEVDAIRAKIIASMQSLEEQSFGNHDTPTFDTI MANANAAPVNNDDEDVEGLALELVTTGAGAARMENDEKTGGVQRKGDRDDPSAAMFGE AWSEKKERIRKSSPYGWMSNWDLISVIVKTGDDLRQEAFACQLIQVCDKIWQDAGTPV WVKRMRILVTGESSGLIETITNGVSLHSIKRSLTLATIEAGNNPRGRIATLRDHFIKA FGDPEGEQYKAAATEFKRSLAAYSIISYVLQLKDRHNGNVLIDNEGHIVHIDFGFMLS NSPGSVGFEAAPFKLTQDYVDVLGGVNSAEFQDYKVLCKQAFQALRRSADNIIDLVSL MGHGSKMPCFQYGVLQATNMLRQRFQLQLSADEAEKFVETDLIAKSLGSYYTRLYDTY QYRTQGIY VE01_06802 MSSKDNTTSTLPSHRRAKSHDVRSTTAVEGPRPSLPGSIPFYPR VFGLGLGFESPTEPGDLAAAQAAPVSSAGEQPILGTDTEGSAGEGAVSASPAGWSQVL NPLPTMATGAPLPPIIKGVTSSDPADLEAAAAAAPPQPPLSKVATQGPGGSSSAAVRS HEAVASSSSAFSRGGSTRTAVREDQDRVRPPTRPHPPQLLPGFVSPRSRRDARIQTGA QAGPSSNAGRRPVTPVHQVIPTYDQRREAEDAWLRREELHRREWERQQERQLSEFNGS AWHYPVGAVVRRQPFVVAGPRAPIAPAAAAAAGPAFLGRPRGNSQASAIEQASAFGNV VPGPSHRARFVSVPAGGYGAVDDRQTRIRSPRTAAVASYADSPGHGPYLGGSRLEGQG AYTEHPSPSTPEGMTREMYEMMCAFSEDVRQEQEDVDEEVEDEGEAAAAAAAAEAASF RMPMPGINQHGHLELLRGLTRAEFEAEQSQLMADALAFSELVGAEEEEEKEVAAAAAQ EAEDRRRDANRHRHPRVSSPGAASASSYYGTGTLDAETWQAAEDELWDVLNRRRVPSY DEKGALVFPTSPSAAEIARERAAIFKRARRRAEEAVGGASQGAGWGGEGPLDRNPPQI PFGIPEDIYDQACAFNEQVRQEEADRERAEGQAGPSHTSPLPAPLACPPGLIEWGVTE EGLISAWRNHVAQQPAGPHGPPAAGSGVEQHGAQPPPVHHHDPFDERDVAAISRSTAA AARSGILLGRSSARRSSARNATRGSYYPAEISTGSPRPRGRGVVVGEYVAPPEQATPL PARRRAMRTPSPSVYSRTSGFGTVQAAVQRPVISGVSSPDRGDVFGSPSIELAPVFAG IQRRNLASFNEQVNSGSDPVDEDTSREGQEKEGVKGKMVIRNGSAARASSSSLSDGGG HASRWVYESPSIGKMDFGPPRDKGKRAATASEVAAQVVEEEMLLLGTSASPAATEMER DEGEGEGVIRPPSPAVSQSETLLDDSGSRDELPPPPPSPTPPPPPSSAAAPILVPLLA GAHRTLDLDEDFEYDAGIEAAPKSTRRAKVTGGLRGFARGIKDALSSSSRKAAARPPP QQAAAVATPRPEIVDGEANQSTATGLSVGGRPGVFASLTGRFTPRPGSSASAHRAPLA KVSGTGSLGSRRWPARRSSLARAFSIPNLRSPSLTAGTLNKPLPQTPLFVVRETASGD EASSTPDIGESVESVGFLRPVTAAVEGQSLRRGRYVSFADQHPSTTARWLRSSGSAHE IVPRGEEQKEEPTPVRAQQQQRIDDLSAALEDAESSRLAAEARASAAEAELTRAHAEL DVYVAEATVAQRALTAARVEFQLQLDLARRETERHAAETERQHERHLDYLRVLAEAHG AERQGLEAQIAMLYSAVGGLQTQLLYAGVGVPQQQQGGARGARQSLQFPAAPAAAQQE EGTAANEPLPPPQQPPSPEFVYLGPRHRFGSRLPEPGLFQILSHPIPLPPQQPPFAPS ESVRLANRAGRLAAEAGGAEYRQRMRRRQVPDSD VE01_06803 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDSSVREKLMKQKMSPVRREDGERMAKELGAIKYVECS ALTQYKLKDVFDEAIVAALEPPAPKKKHGKCLVL VE01_06804 MASTTTTTTTAGTTADIDALLTTYLELLHTYTTLRSKLTALQSS TSLSLARANFSTPHQMGYTSGSNSKLRASVRLHVIPPDDDEANGSEEEGEGEAVFTLH AIDPEPASKKKEGDDTGDEDEERGADDEEGEDIDPTPSTVKGPPPLPHIFGVLAPPSL REAQASAIDAVQMIVELAGVKVRMRGLEVEVRRARKRALRAEKGR VE01_06805 MNGPNARTASRFPGHASKPSLSETGFSHGAYSSNQFSMGGYGDR HPNRAANIPSINTQPLGQNGADASTPGTAFDMQFTPLLPSQLLLGSPFQPGSPAAFAN PQFQNFANFSQQHNGQQQQQNGMASPIQGQMSPQLYQAIVSPSTYGAPQFYAPQSPTQ GYAALGNQMQLQMQMQMQMPTSPQQAQGIAAGTSRTVYLGNIPPETSAEEILGHVRSG QIESVRLLPDKNCAFISFLDGASATHFHSDAILKKLAIRGQDIKIGWGKPSQVPTSVA LAVQQSGASRNVYLGNLSEDITEDELREDLGKFGPIDTVKIVREKAIGFVHFLSIGNA IKAVSQLPQEPKWQAPRKVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHVLNGADRDL ISNALAQQSVAAAAVATTAGGINNLGNRTVYLGNIHPETTIEEICNVVRGGLLHHIRY IPDKHICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGALPPAIALAVSGG ASRNVYVGNLDESFTEDRLRQDFTEYGEIELVNTLREKSCAFVNFTNIANAIKAIEAI RGKEDYRRFKVNFGKDRCGNPPRQLQQQSQQQAQPNRADGVNSPASAGHQSNSQNGSS PTNSQAPTTSFQPAQANTASAVLNAGSNNPLTMYLNQMSQQQAAQHAQHQHQQSLEGA QATYQQQRQQALVQQIYGNGAGGDNGGENNNNQNGQADGLGIQTHSHGGHQHSASISN GYAAPTSSSTTVGGLLAPSNARSQHARAASLPFFAQDSSNQSTTSSNGLGVNGGGGGQ GFAGRGHAYQSSFSGLVSGMGGLGFGNAGGMSAGMGVVGGGAGGGGGYGLALQEGGLN GWAEEAS VE01_06806 MASTLDAVKAKIEEILPTRSAQTDSTNAIYIDLDAGKDEEGADG SEAKPYKSLGYAFIQHGGAENKSYLQRASVTGAVSADGDPSERLAWKEPAKSAVKKAQ NALDQHKKKLVKQQQIAAAEAEKEQQRLNNLEDAKKIVLTEDASLPKAVRTTTGDKNI KLGEGDVKGARVKICGRIHRLRQQKQATFITLIDGYGHLQCVLTGDLTKTYDALTFAQ GTSIALFGEMRKLPDGATAPDGRELQVDYYKVIGSAPSGDDAITNKVSSEKNMWDAQM LDNRHLVLRGDNASSVMKVRSAVEWAFAKAYKDLKFTKVSPPALVQTQVEGGSTLFEL PYYDEKAYLTQSSQLYLETAIQSLGNVYCIEKSFRAEKSLTRRHLAEYAHVEAELDFI EFDDLLDHIELMIGTVIETILADPEIAGYIKELNPDFKAPAHPFKRMRYSDAIDWLNA QDPPILNEEGNPHVFGDDIAEAAERRMTDIINLPILLTHFPTAIKAFYMKRDPNDPRV TESVDVLMPGVGEIVGGSMRMEGYEELMAAYEREGIPPKEYYWYTDQRK VE01_06807 MPPKQATLGYVKPSQTTLGRFFGGSSSANPAPPKQTTLSFSSKS TNESKVKTPVKKEELEDEDTPNENRTPKSDEDAVNEQLASELKASPSPNGKDSKKRIR DEALEDFKSEAAASDESKKLKEEQEEEEDEEPVLKRSRRSGQESTVSKNASETKPNKK DTAAANKKGTGKAATKGIKKEIVEIEDDKPLAKPERKASATPEASSEVEEEIEEDEED EKPELAAKTREKIQTTLKSNSKDPYPDWKPGEPVPYAALCTTFSLIEMTTKRLIIAAH CSLFLRQVLRLTPDDLLPTVLLMINKLAADYAGIELGIGESLIMKAIGEATGRSLAVI KQDQKEIGDLGLVAVKSRSNQPTMYKPKPLTVRGIHKSLLNIAVMQGNGAQTRKVDGI KKLLSAADSGGGKVDLAKDKGGPSEAKFIVRFLEGKLRLGLAEKTVLVSLAQAMVCHE TESKGRDKVPSTDQLAKGEQVLKAVYSELPSYDVIIPAMLQHGIFNLRDNCKLQPGVP LKPMLAKPTKAITEVLDRFEGQTFTCEYKYDGERAQIHYVSKDSETKYQSSVPAAAKG TAGGLAAIFSRNSEDLSKKYPDILEKLGTWVKPETKSFVLDCETVAWDTVEKKVLPFQ QLMTRKKKDVKTEDVKVKVCVFAFDLLFLNGEAVVEKPLRERRSLLHTAFTPVEGEFA FATSMNGQEIDDIQTFLDESVKASCEGLMVKMLDGVESGYEPSKRSRNWLKIKKDYLA GIGDSLDLVVLGAYHGKGKRTSVYGAFLLACYNPSTERYETVCNIGTGFSEEVLETLH AQLSDTVIDRPKPFYEHSKVNAHQPDVWFEPRYVWEVRTADLTLSPKYRAGYKEGIAG DAEKGVSLRFPRFIKIRDDKKVDEATSSRQIAEMYRRQESVSKGPAADDDFEY VE01_06808 MMDFPPDTILELPSNISATYLSEGAANVVYSINVPPQSNTPQHG DIQEKGEDTATNPWHGKLLRFRKDLPTTTPTLDAHIAFRTSIAPLFPAEDLIDIRLVS LGSSHLIQTLNAALRAAEEASADSPRPRQRHGVYLADDPHALLITDMSPPTSSTLIQF KPKWLAPSPSAPPGSKRCRTCALHAKRGISKPSFCPLDLISSSPADVRRAAGLLLGLP ASAPTDSTSLSITQNGSSVVEDGSTKPAAQNVVPNANHNDTPPTAATSEQLHTLSRLT SWALTSPLLRHLRHIQTTCDPYGVSHLLSSPSSPSSSTSLKGLQIAMTLRDVTVFLVV PDGEGVLRARLGDLDVKSLGKVGEWGRVEGVLGGGWYQGTKGAEGGGREGCWLGRQGG EEEGEGEKEGNGVREKEGMGLKGGKEVKERKERGGCIGQ VE01_06809 MAFNFNWSPLTADAEFYLRAQEMLTTALNKNPKPPIIVDDILVS ELNLGSVPPDLEILEIGDLAEDRFRGIFKMCYSGDAFLTLKTRVQANPMNTYLFSKPS FTSPQPLAASSGLTIPLKITLSDIKLSAFIILVFSRQKGLTLVFRNDPLESIKVSSTF DSIPFVCEYLQKEIEIQLRTLMMEELPAIIHRLSLRLWCPEYAAKEEEERLAEEKLKE GEVATDPLASPPQDAVDSHGNVFDSREISSLSLEDGVESHSLFSQKNLLRLGVLSDSQ RTFSLFTPAIRDAVFRAWAGPGDRGDGFAITPPTLTRTHSAVSNGATTYTFSDHDNEG SLPPSRPSLSHLPSATTGLSLGAGRHRTHGRKKRHRVVNLRKKVLSDTLSEGGDSETT TDTDSSVMGGSEPIMSTRIPETPDEEPITPPHSPQVRFRPRLDSIDLGETPRQVPTRV AAPVPTSTTQTALTNAMDTPLPSIEPASPLFPQQRTALQRAFPREKSPSDYMRRGSQM GSLNNYSPYPYEGAAQGGIIEQAWMMSMAAELARRAHLRKQADGSEWGSERRDETPPP AYGV VE01_06810 MRALTEEETKVLFAKLANYTGTSLKNLIAPLDNSPNGDRYVFRL HQSRCYYVLLSIANLATSIARDQLLSLGTCLGKFTKTLKFRLHITALPILAAHARHKL WIKPNGEMPFLYGGHILKAHVGRWSEDCPEHQGIVVYSMNDTPLGFGVTARSTQEARR LDPTGIAAFRQADCGEFLRDEDTLFSTQ VE01_06811 MSRAPAVKRRKLSPQPGESESRAERAELKKKSTKAFYDQASSWD LEQDYETRPRKGGKKDKENTRLPIKTADGMVQELEVAEEDEDSDLAWLSEGESEPEEK PEKEKKPEKPMRQQIMEAKEELAKIALLLNEDPEENAAAFKTLAAIGQSKTTTIKKLA LATQLAIYKDIIPGYRIRPLNEDDMETKVSKEVRKLRAYEQALVGGYQAYIKELAKLA QSGKGGWSRDGGPSLGSVAIACACTLLTSVPHFNFRGELLKILVGKLSTRKIDADFVK CRETIETLFRDDEDGTPSLDATALLTKMMKARGYRVDESVLNTFLHLRLLTEFSWKAS TNHIDRPSKAEGGFDAANHLKAKRVFRTKKQRKIMKEQKVIEKEMVQADATVSHEERD RMQAETLKLVFVTYFRILKIRSPNLMGAVLEGLASYAHLINQDFFGDLLEALKDLVGH AETGDDLDMTNDDDTPADEYDEETTRNLTREALLCIITAFALLEGQDAAKAKTTLSLD LSFFITHLYRTLHALSLNPDIELSSKSLRLPDPNAPQQSTKDNKVNVHTTTVLLLKSL SSVLVPKLGARAVPPLRVAAYTKTLFTSTLHLPEKSAVAMMGLLGKVSKTHATKVRSL WNTEERRGDGVFEALAGEVEGSNPFAATVWEGELLRKHFCPGVREGVKAVEKEVVGSK VE01_06812 MLPSTNTRADVAKTRRRAKPGATSDATMSTNPRHTRTAATNGPD GDRTTRATSTEKSRAGKTFLDNWVEPSLPPPAPSFTDHPHLSIERYGVLENMAPLGTM PTAKAKKIGKDTPRRGVKADESEVEASTSRELVTPEPQINIIRKRSQSGKADDEEWNP RTPSAQARKSATKTKQSAEAGAAMSQSPHRSKEERDMFITDRAVQFAVEDAILDYRWP TAYALRTMYNDHRINPRIRRLFMLIFTDQATEEEKTEFQCLMTYKKKEGAKDNKAQRY FDEHDNLYSPVNLFQKSWSFSGASKRASTEVANRASASPHKDTSHVSKKAKVAHAQQT ASHTMPHIHLNLSGISSASGKPAETNGTSKGKPTRQAQNGATTVSVATRSRSVSSSSS LSSLDEAVLESEMADISDEAAQGEEISETSHGTKTLRAREEPGRSAEKTARNQKAKQP ITRHSAHAPRPNPHTFTAVNTKPPAASTPSSPPALFPNLLTNANTRNGTGDNVMRPYL DENYALPQSLSLPPQNLVRSHKKGAAAALRRVTTPRDEEIIRRKKEAKGRTDGAISTR QSFVRTPVQGPDLGSGSEAGDGGAAGVSQPTTRQVLRFRRRNRDDESDNHSSPTRLSF QPDLAPGSTRNSRANTPIAGARPTRKAKSSGARMKSSPKKNPQGTSAGIPRASGQRDS PVSGGPGVNFDENDEYCSACGGNGDLVCCDGCTRAFHLICVDPPMESVSQLPPDWYCV TCGSLRTGPGATHRRRGLFGELTMLVEKRNPSAFHLPFSIRDWFEGVRTGPEGEYEEG NPPKKKQEEAIDFLRLKDAKGNPVICNSCSRGSGGTLPIIPCSFCGLHWHLDCLDPPL ANPPTITSTRPWKCPCHIDDLLDIVPGALGPAHRFRRVKGRSAIKPALKRGIRNDGHV EVEVAESDDEEREFFEDKEYGRVYMLPEKGIILDFISRVHTKRRTETALTDPYAVPPP PPTTTTAPPPLTPRNIADQQAALNLAQLATQSGHPPSDSTNQLITALLAEAAPAVIDL VARGDTTDVTGRVLAKMDVQALRAVRGLIDGVLAGREEGGKRKREDEGGDGGKVEEGE GKVEEGEKMSVEVEK VE01_06002 MPTPTAPSPALPERRALKILMLHGYTQSGASFHAKTRALEKALD KAFPAIPSTPNARAPPGSLAAYPGGIRLIYPTAPHRLRPSQIPGYVSATTTSQGGPIA AGAAADDEDDVDAWAWWRRDDRTGIYTGLDEGVDRIAETICEVGGVDAVMGFSQGGAA AAMVAALLEPGRKEKMAKAGGWKFPASFEDLECAPLKFGVVYSGFFAPHDDYKGLYEA CEGITKTLHFIGGLDTVVEESRSRGLVDRVGGDVVVHPGGHFVPIGKEWVAALVGFIR QAIEGGGVSKEEEDANVEDMDVPF VE01_06003 MSGEAVMRPDKDHSADVDKQLPEAEELAKTNIQAAIEKLTALEK QTRQSSDLASTSRVIVKIVTLSKDSGDWNLVNEQVSLLSKKHGQLKQAITKMVQVVMS FLDETPDLETKLSVIETLRTVTEGKIFVEVERARVTKVLSDIKKEQGDLKSAADILCE LQVETFGSMERREKTEFILEQVALCIENDDWTQAGILSRKISTKYLARQIPKSPEQLE KEAKEREKRRNRGEDVPEVKEDDVTDLKLRYYEQQIILAKHDKKYLDACKDYRQVLDT QAVEDDPEKLHATLQRVIYYVILAPYDNEQSDLLHRIHADTRNTQIPVEAELLKLFTI HELMRWPEVEKHFGPHLCATDVFDQNSADAKYRWNELRKRVIEHNVRVVAKYYTRIQM GRLTQLLDLTEEETEQYISELVTAKTVFAKIDRPARLVSFEKKRDADDVLNEWSGNMK SLLGLLERIDHLITKEEMMARIAPKGEKST VE01_06004 MVASSEHFQGWPNEAAFQADGYQHTPVKLSVKGLIPSYAAGTLY RTGPSQYIVKDTPKGDFKIDHWFDGFSSIHRFELTLSADGSVEVTYNSRQNVDALVEK IRSTGNYDQLTFGQKRDPCDGLFKKMKTVFTAVSRGPTPEENNIGVTIAPDVPGINGN SSDGKSRFKTLTAFTDNASYKQFDPETLEPLGVADQSSLHPALAGPLSAAHANYDPVN GDVLNHNLTFGRNSIYRVFKTSRATGKTEILATISGPDIKPAYIHSSFLTENFMVLGI WSSHIASNGLGILWQRNIVDSISRFDPARKSIWLVVDRKHGRGLVAKFTSPACFMFHS SNAWEETAADGTTSIVCDHVEYENLDIIHRFYFENFLSTSSKAGEFIKTHRETVKPHF ARYRLAGIPTTEKPARITTSTAERILTIDGPLLGEFPTINPDYATRPNRFLYTIVDSG KSSYFDSIAKTDLETKKSVFWTQDHHTPGEAIFVPNPEGKSEDDGVLLVVNYDGNTGK SYLLCLDAKDLSEMGRADVDGVVPAGFHGRHTKL VE01_06005 MTDITNSKIEGSSDGSICTREGFTPNIIGLYGIPGAGKSHYLSK LEVLSQSEVTHPFQFFEGTLVIDSLIPGGLLAFKELPEEQKVKLREKAICSIKDECTI GRTNGVVAGHATFWGGKDDSEIIVITPKDLEIYSHILYLRTDPEVIQQHRLRDWVRDR DIVPLEHLEKWQKQEENMLRTLCRVHGIHFCASSRGNDMESEEMFAVRLMSLVTDLGH HNEHVNQRNAIARLGEAMDSDATRDGRVKKMLVMDADKTLAPQDVADMFWEKVSNHGA STGAKNPLKKLFGGPGGYSYHSFRQATLLYEEATNDEVFDSVCNEVASEVTMYPELVS LLHQAAELDHVGAVVVTCGLQRVWEKVLEREGLGDYVAVIGGGRISDGFVVTAELKAD LVAHIRDAYQVEVCAFGDSPLDLPMLIQADRAIVVVGDEDSRSKSMEAALTDAIKTQG LVATQVVLPSTATPRLDSVKLPLLQLSQADIEAILCDSEFRLIHGTNNSATALLTTQT RDATVAGPALKEAHRRIGWYLAVEYIGKVIGLESYQIPHVQGGHTEGHCLRGETRTTI VPLMRGGGPMAEGINEAFPLAMLVHASQPEDLKLHHVEQQDSIILVDSVINTGKSILE FIEHVRKLHATVRIVVAANVVQDKFISGETAANLAIHGNISLVALRLSKNQFTGSGEA DTGNRLFNTTHLA VE01_06006 MDYSGPISGPSRREDLSTGASQSLPTASQPPYIDGLGYENGHGH SYEPTYNGDRATRNHQIQSQVSKEPVYSAAESADPSQLALPVLEESVYASEESSDAPS TPYSMPDDYVLTPPRIHSPRPNESSRHGTEGADACPTSLPMYSAIPRQISHRTYAQTP LSWKSLKLSVRVSIHVDNIRLEMFEKVIAEEVERRFDAHVTLAQDARLLHGLENGEII YLSGDVIDESDTLRVIARDVEALQREMDIFRFMTSFTRLHGSVVVCGSNNPIGSVLLR SNTVLIAPDESVV VE01_06007 MNSRRVDVRSVQFKELLVERANEKYSKDRYSAEDLHTDDEDAFD ISSNPSAETVLLPDAPINPRTQDQINQRSYSEALEALTAKFPRAPRITPPAGRYVTMP PAARMSSNIGTRVLRPSMQSTQSQFTYSGPPTPTNDDMPYLRYAIDQITREDDTRSST LRGTSGDSSSSYPRFPERSLKQDYVRPKYDDKPDFYSGPGPDIGSKEDIKSMAPRPTA KYNPKDSLASLALPTSGSELYLAAEPPVHTARYPALTFVPKTLRTYSLAVLVFLCIST IVGLGLCIEFADGLWAHSGSFDARYFTFRFLPQIIAASLFLWLEGVMAAVGRIVPYVQ MTSEDHQARQKSLFLPFQPTTLLRPRFSYFSSDQVHLGVCSVLIWPAVLTIPLASCLF TVTNINGLWKYSTVTSIAGVLIAIYVLIVLGLVGIILNFVRRETGLLWDPRSLADVIA LLSRSNCMDDYEGAEDASDLAELREKLGHRADRLGYWRTTNPTQDIFYCIGEEGAPLR RHGMSRGRAEPSINDKTRYSGDTEAQMLTTRATYIPWCLRRSALLLWPVAFGALLVSL FVVSFLPSIALSSGFRPQLSSTPNEAHFIPLSFFYSFVPSTIGLVLYGALRPIAYSIA RLTPLSELARTYGTRALPSLLADYSASSSVPFAALSLAIENGHWLVALLAVMQPFMIL LPILGGGMFAAYTTFPSQTLLVLTDMAAFYVILALLIGYFIALLAVAVALVPWEAQEK MKLPSSMSCLAEVVSVIGQSGLRSDAAFRAVRGRTDLRTRLVGARERGRGEVKYFCGE WREGGRVGWGVERMGRSERS VE01_06008 MPLAEQAIYQIPMVRGKSAPSTAHVECGTEYDLEYDLENCNASM ATLTESLTFGTPLNVHSLKSAIFTQEIKPAIIREKTSALKHEDISTIALRNIPLPPLS KPLREILSLDKDTPDRHVPRDPRLIRLTGVHPFNVEAPLTDLFNDGFLTSSQLFYVRN HGPVPEVLDSEIPTWELSIEGLVEHPITLTFRQILQQFDQITAPVTLVCAGNRRKEQN QVRKSNGFSWGAAGVSTALFTGPMMADIIRRAKPLRRARYVCMEGADKLPNGYYGTSV KLNWVMDPNRGIMLAHKMNGEPLHPDHGRPLRAVIPGQIGGRSVKWLTKLIVTEAPSD NWYHIYDNRVLPTMVSPEMASKDKSWWQDDRYAIYDLSVNSATAYPQHNEELPITTPE ATYNARGYAYGGGGRRITRVEISLDGGKSWRLADIDYPEDKYRDFESQLYGGRVDMYS REACFCWCQWSLSIPVSDLDASDAILVRAMDEAMNIQPRDMYWSVLGMMNNPWFRVTI TKNNGVLKFEHPTQPALMPGGWMERVKKEGGDLTNGSWGQRPNGEAPREPTIVEEIDM RAKGLNKSINIEELKQHGGPESPWFVVNGEVYDGTGFLDGHPGGAQSIISAAAMDVSE EFLAIHSETAKAMMSEYHVGTLDKASLAILNKEPDEELSSGPTEIFLRPKFWRKAKLC KKVAVSSDTRIFSFALDHDEQSFGLPTGQHIMLKAEDDSPEKTSIIRAYTPISQTDKK GIVDVLVKIYFSTPTMEGGKMTMALEKLAIGSSVEFKGPIGKFKYLGKGRVSINDKER SVRSFKMICGGSGITPIFQVLRAVIQDRDDPTTCVLLDGNKKEEDILCKDELDSFAAA DSKRCSIIHTLTDGSSSWSGQRGRVSAQNLKDYASPTETCMVLICGPKAMEQSVHKVL LQLGWDESDIVFF VE01_06009 MHLPSGAGVESSTLPDIPNSIYNGNGFDISGHAVHSDGNIGICT PRKKIVIVGLGMVALSFIEKIIKLDAKKRQYDIVVIGEESHVAYNRVGLTSFFEHREV EQLYLNPKEWYGSFEDGSLNYHLNTRVEEVLPDQKTVRTSTGDSVSYDILVLATGSDA ILPSHTPGYNANGVFVYRTISDLERLMEFASRHKDSTGVVIGGGLLGLEAAHAMMSLN AFKSAKLIDRNKWVLARQLDADAGSLVTDTIRQLGLEVMLRKRVATINTDSSNNVQGI TFEDGESIDCSCICFAIGVTPRDDLGQKAGIRCTERGGFIVDSGLRTSIPDIYAIGEC ASWENQTFGIIAPGIEMADVLSFNLTNPEKAPKGFDRPDLSTKLKLLGVNVASFGDFF ADRDGPRFLPGRRTSKPNPVENGRRSLTPPTKGPLVKALTYKDPFSAVYKKYLFTMDG KYLLGGMMIGDTNDYVKLNQMVKSQKELEVPPSQFILGTQNGGEENADDLDDDTQVCS CHNVTKRDVVDKVKEGSCKTIADVKSCTKAGTGCGGCMPLIQSIFNNTMKEMGQEVSN HLCSHIPYSRADLYNIVSVKQLKTFVDIMQAVGKVPDSLGCELCKPAIGSILASMYNR HVLDKPVRDLQDTNDKFLANIQRNGTFSVVPRVAGGEITADRLITIGQVAKKYNLYCK ITGGQRIDMFGAKKQDLLDIWTELVNAGMESGHAYAKSLRTVKSCVGTTWCRFGVGDS VGMAIRLEQRYKSIRSPHKIKGGVSGCVRECAEAQNKDFGLIATEKGFNVFVGGNGGA KPRHSELLAKDVPPDEVISLLDRYLIFYIRTADKLQRTARWIENLPGGIDYLREVVIN DKLGICAELERQMEELVGSYFCEWAETIKDPERRKHFEQFSNTPETVDTVEIIEERGQ QRPTYWPQGSVTENFKGHQWSHVSWQPILKSNQFSEEKPQVSSANVKRGDTQLAIFKV KGNYYATQQMCPHKRAFVLSDGFIGDTDAGTYWISCPLCKRNFELNGEQAGRCSSDET TSVAIFAAEERDDGWVYLKLPPVEELDALLGTEKWKVRREEAVDPFEKVDRVYKSFRG KKVADIKVEIIGKRATAPGGIDW VE01_06010 MAPNFLRLLVASPEINPINQKARSIPILNPFDKYGRVFFFSWLG FFVAFLSWYSFPPLLAVTIKKDLHLSQTDIANSNIIALLATLLVRFFSGPLCDRYGPR LVFVWTTGYFDKNVIGSANALTAGWGNAGGGITYYVMPAVFDSLVQHSGLAPHKAWRV AYIVPFIIIVVVALGMLFTCDDTPTGRWSDRALPMAGGSSDSSIRGEIDTPSSVSIAK DINNIDEKHPQTISDIESQSDGTTYLDLAKSEFIVPPTTKEIMHVVISPQTFALFGVY ACSFGTELAVNSILASFYERNFPHLGQTEAGEWAAMFGLLNVLFRPLGGIISDLIYKK TQSVWAKKIWLIFLGVAAGAHLIAIGVSNPKTEATMFGLFVGLAVFMEAANGANFSLV PHVYPAANGIVSGTVGAAGNFGGIIFSIIFRYNGTHYDRSIWIIGVICIVVNMSVFWI RPVPTLHK VE01_06011 MSSQIKPIKIYGKTGPNPLKVTIIFKELDIPHEIVTVPFSDIKK AEYVAINPNGRLPSIHDPNTGITLWESGAIIEYLIDNYDPHHKLSFSPGSAEWHHSKQ WLHYQMSGQGPYFGQVIWFMRLHGEKIPSAIERYVKEVNRVTAVLEGQLARQQLASGS DEAWLVGNKISFADLAFLPWHTIMGMLPPDEYNVNNYPHVKQWLENMSSRKSVKDAME SVSLTA VE01_06012 MPDDGLAAQLLLNTALDTDKLDHGLLNCLANEQLGQIFSISIYL ESSDFLKNPFAGSQKIQSRKLRERKETLSQETQK VE01_06013 MGASTRSFINQGLWDTLLSDTAEGDLVVIEMGHNDDVGLSTDTA DRGTLPGIGEDSVTITTSTGESEVVYSFGHYLRKMIKDVQAVGGIPILSGMVNRNYWD GTTLQSDWQFAIYAQQVAKQASVEYIDHTKYSVKKWQSMGPTTAKTYFPNDNTHTRPA GAVINAETFVEAVKCVSCTSQLLQYLNSKGTAVSAAC VE01_06014 MASNSVEVYDLLILVDATYSMSSYLHSLQTSLPQIISITTVTDC FSRIGLLAYRDYCDINLLEWSGWLSPSSLSDEKQPDLIAKAKSLEPIGGGDMPEATKT GLARAYELMRPDATTIILLYTDAPPHTFANGSMKESCSHLRNEQIALSNPNDKKGLWR AITDGVSKPKWANAAPVSYGGFGHNFADWVSASKWLSKRSGDKKAQVFCVLDHSMNCD TVGYYNYLSTMTGGACFRLTDSGSTSISQLTVEVLLAWMGVEKAQLVTPVGLLPAHML RYKSVEKIETLVDEKDPNAAPFFLSDKSDKKFGPSTVTELRVTADTLESYLPKKSTPV QDFAKRYTENPQYRKTAFEEVGKLIRDDVSAISLNPVFGSLWRVVSSDRKNPYRDEII TAFGRQVDKIQNADEKARMKIWLEESYDFTAEAMETIASVPKELRFPCVCLDPTIVFT QEADDDEEEENKPITSLQRKDLLEIGRSCDYRILRRLGRVLTRLTYINSVEEMPAHIA ATSEVQIPRIPMALASEEYNNYFWRILLHIVVPGTMLSSRPAALLAALSIQLGLEPLL QVANREMMRWRDKWNDLDIPETWNTSCLGLLLDADDAYQKREAEEQGTQANETDTSDK RLLNPQDRALFERLVSYKMLEFNLETTLRPQIGWTPEKTTVAMGPTVICVACEYPRSI TIMGVDGKCGKCLWADYATPEARQEAITVNATKQDDETTPITWVECGQSTCRAQYVVY NPAKLSIKPKCHYCREHGKAPVLECSKCLNRVIWPEAYRPADMGDFTCYACTAGVGTI VGVETNALEISKESNTDWLLRNEGSKISAPFTKRSLFKTITEAGIKDFVEKVEPLPST SQSDLTLHGKLIRNTPSIVAELRSWVLRRKTESGTCSLCFVSFKKCNLIASCGRTGCS QRVCKGCLAHWYGLNVAGGLINIAALSCAFCRRRPVAKTFAKHGFGIHAVVGLENAVK EAGEWIYAWCEGCGVAKQYMQRVCANGAPEEVKNWKCGECETAKEEGRFKSCPGCTTM VEKADGCDHITCTVEGCETEWCFVCRGVFDEETIYGHMEEEHGGYGDE VE01_06015 MAKPFATFFFFATIISASYNISSKYPITSPMLSRIADASPPPPS KIEFRSPLIEKTTAQLSTPSLRPVGYYKNQPPQRPSVQPATRQNSAETSLDTEKIKLI YLVVVAIRELRWLSG VE01_06016 MTSQVEGQSVYVPAYAKESGHETATTTSLTSVEAAKAELANANE KDIAMETDEKDAAALDVDDNKSEELADDDAADYLPMGPKLYLIVFSLMMAVFCVALDN TIIAVAIPRITDQFHNLNDVGWYGSAYLLTTCSFQLLFGKFYSMFNVKWVLLTGLAIF EIGSLVCALAPTSMALIIGRAVAGVGSAGIFTGALVVLAHTVRVERRPAFFGLIGAMY GIASVAGPLMGGAFTEKLTWRWCFYINLPIGAVTTVGLLAFLKLKPKPKRKEQSFWIT FKHLDPLGTAIFVPAIICLLLALQWGGVIYEWGNGRIIALFVLFGLTIMVFIGLQIYL KDDATVPARIASQRTIASSVLFGLCIGGSFFIYVYFIPIWFQAILGTSAIGAGVDSLP LILAQVFAIIVSGGLVTYFGYFAPFFIASSVVMSIGAGLLTLLKVDSSKGAWVGFQFI YGLGVGLGFQQGGVAAQAVLKFSDVPIGTAVVLFVQILGGAVFVGVAQNLFTTNLVKN LTALHIPNFDPNDIVHAGATNLRHMVAPERLPEVLVAYNAAIMKTFQLGLILSCLSIL GAVGVEWKSMKAKPAASPVEKAEKAESVKAAEVDTVV VE01_06017 MFRLLPHGLPKDPEYPTDLKQLGYFVNDDDEIRSIENPKYYFKY FINRTERYNERQRESMNTAIREIVSSRLAAEGMQTHLLPLGVATSAPHIPILASTHTS TTPRTLLLLGDSVQDLGILALRIIGGHGGINAGSCVDFVKYVHNQAGRPAVILANCGK LRWNRRQGKAMTRVSWDSQTRESAVHDAPLYEPAVNTVEGNRDQKAHISYLLSTVVPA LCKEGGKLDVIAIADSARYVCEVLDENWEQLGGRMQSLACVFPFHDSKVNQDSGFKTF LLERSRGYIMSESPPGMGVFGPDGGREAWQWGYGMNVYGLPVEVAEESVFPRHFKGIV DWMTEVAGVEGYVNEMVVEVDVEGVEEVEGEGWGEELVGAEIWETEAGRRQLRGEVDG VNESEVEAQQVKDEAEKDTPQPQEENTKEVTIQPENAAPAHTEGKVDAQKQAKEKAEK GIIPPQPSTTNDRTAQTLAGATAKLHLLGYDGASDDRPSSTRTSNTTSSTSASISSTS ISSNSSTSTAIPPERMERLPSYVETDEGEPQVKLSREERAAILQITVLSSPVRGKEGG KGEGEGK VE01_06018 MSMFRSKKLDLGCFINIKVIRDHTKRKVFAENETQRQALRYIIR NTTLPGRMRAQAQLELSQMHCYTRPTQIRNRCIEGGKGRGVLRAFKMSRYVFRMHALR GDVPGVKKASW VE01_06019 MFDRSRNVVDLTRQSPPIDLSQPYDPDWVSGKTILITGGASGFG EHFFRKWASHGANVIIGDINDKKGISLVEEIRASTGNKNHHYIHCDVTIWQSQVDFFH QALKLSPTGGIDSVVANAGINGYHGTPFWDPQGLDADSPPPPDMKCLEVNLIGVTYTV HLALFFLKRNPNSDVADHKAQPSANRPDRHLLLIGSIASLVTIPGLTQYNASKHGVLG LFKSLRTTAFMGGLRVNIVLPYFVSTPLLQAGARVLLAGNPIATPEDVIDAGTRFMAD TRISGRALMIGPKVKVRADNEWEVVPQEDKEGMEVAVREVFVDDFEVVEAFCARLIKL LNVLEAARGWSGWLSDMFAAITYRVLVIFGVRRK VE01_06020 MADKPAQQKITLIPWDPESQEHIDRLYIQRVACGWKADKIEKWR ICQRDGSMSLHWVVLDPSDPQTADRISKHVATQPDETTPLEDSAVAVGGRPRDSSSSR SLVPFMPVGHISVDTVASTPEPTALLADPANHRFHLSAFYISKAIQGGGLGNTTMRTA EHMAASVLGAKVITLDTWDRRLIIGDEKKEWREKFDYYSTGGLLMKFDPQGWYQRLGY KVIKEIEEFNSTSGYCLKRPDGQAWCIPSVYMEKAVV VE01_06021 MGKEAAATTKSAKPEHPKLPRLPKGAQITKRPLIHPAIAPPRTG SRVQKVVYLRSNTPFISAVKRVRGYLKEIDNRSMGTIDLSNSSLSDRDLIASAGKGRD GQQEEVVVKATGRAIEKALNVALYFQKQDDCRAVLRTGEVGAVDDIEIDGEESARVRK ASVLEVGITLI VE01_06022 MSTAIWPPIAPDELKRAESDSLARELAWLLDHLQETLAGFKEAL EECKALLAPTEPGSTLAMSSVRSEAVKGYINRVGTMIVKGSLVLRLRHYSPTQLAINA THPLILPTLSSLRELLNQSLDCIDITCWTGDRTSAPYISSQLRLLHGLLGEAHSSLKG TAAAQADRESWLRPVDANHFQPPLPDNLSLDFSIQEASIVLTIRVLESADAAPDIRSR FATVFLTKRAEHDEEDLRFNYNGTEVKVKEKVKIESADPNLMAAMAKLAALEHAVGMA RCCLAVVMEEDVEEIERLTA VE01_06023 MPSTVSIGSAAEFASILKSSSVVITDFYADWCGPCKTISPVFES LSTKFSKPRAITFTKVNVDNQQEIAQKYGVSAMPTFLIFRNGSVIKTLRGADPRGLTS AVEEAAKMAAPASPLATPGRTLGGTPLPGRPAQSLQRPVSWDVRNFINAVVAFFGLYF TSLFSFDAYKAAEDSVWNVNSVPPAGGPGAWVRGRKVGGSAPAAGTAGRRVGTLSDL VE01_06024 MGHEGLNNKKRNRNGDAKGSQDAAIYGPGKGRSWTTSLAIPGNI IDMNTSLESRSIVASQIARAISTFRIDEVIILSTSTSALDSTAASTDSATFLLRVLSY LETPPYMRDRLFQPGKSAIHPDLAHISTLPAESTALPHHASYADTLYREGVTLKAQEE GTYHRLVNCGLPNGILASIPDPIPKSTRVTVKLSSQTPSGDRTSIPAEVVAPSEPREE IGQYLGYETRTSPSLVSLFEDCPYEGGYDISILVSDSEGDDAGNISAANAKFQHILLA FGGAGNFEELTKNDPKLGSLDLDNFADLFDHTVNVAKGLPFANSGSLRVEELVLVGLA GLKPLLAEQYRS VE01_06025 MPGYRLSSRYERNIHFVNSENDELGGAWQAGSLTWAEMTQRMEI LFELPTTNFALFPCLEDGDPNDPLSHHGPPIKLQKPNIIKPGFYVLLSPDGEPVDIPV NPEMPLPRALSRPLSSPNDPLVSSAIL VE01_06026 MTTQCNDAQSQHASSHSTPTASIGQESLKPLLLSDAKLLLNIPY LQHEAGYAVNAEGMHHIAACTYMPRCTGRMIDWWFGWIHDTAQYQLWHPNDHVFSDWE GPRDNNSTYIGGHHLVQEYIGGHLAKLKISFRDPAEYFGDSWKEDFAAAGYVTAVCGR VGNWTPETGEVLYTGHLIHLIKEERIGCRMRSHFWLGDIEGVIDPAQRAAGVPDFLPK GLCQHATEEMAILASILPELYSNGLCKDQMAPHIDHDLGSFASNEPIPIDVPVLIVGG GPAGLLQAHCLSQLGDDTMMTIHFNANLRSIVDKVGMLHWIMDPEVSGFIIAYDLSGN QVLICNFDSKKHPVENWNEEHCRKVVTAAIGKSILFDILSWRPWILSRKVAKSYRVGN VFLAGDAAHSFPPTGGLGLNSGLADVHNLAWKIAAYHQGWGGDSLLSTYQYERRQVAL VNSQQSVKNGIKIFNLLKSLGTTDADLQRAKENLYHRISDPKTKESVLEGIENQREHF DNLGLHIGYVYGSTDIPSCASTYRPAFAPGARLPHAWVTHIPSSIPKLPPIDSSYVTE LQPEDVRRKEFSTLDLCALDAFTLIVDSKSLFRWTQVIDGVLAQLPSTLRINVLALGK DFHLVPSAQGEEWVEALQLEDGSTVLIRPDQHILRTFKGETTTQLVLSSLKECLGV VE01_06027 MAERIQSSGFIEKIFVAAPGTEIMMDEGAPGTTERRLRNLQHAT PSLIDPNDPLRWSSFKKWTVLLNGVAYAFNGAVTGPMMAGGMLQLASFFDVSLAMVSY ANGATLICQGFGNLFWMPLAIKYGRRPVYLTSNLLMGIACIWLAITAEKSYVPFIVGR AFLGLFQAPIEAIVPSTVTDIFHLHERGEKISIYGLGVLGGNEIGPLVSAYIIQALSV RWAFFIIAACIGVNMITLIFFMPETKFTGPRPAITIVDNDETTTIPSDKAQSEHCEVI DGCSPDTSHSITKKSYWESLAFYSEVDHLVSLKRAFLRPFILTAYPIVLWSSVIYGLA LGWNVILGVSVAQLFAPPPYNFKSGAQGLVFLSPFIGSLAGTYLCGPLADRIATWATK RNHGIREPEMRLPACVIAAVLTFLGALMASLTYQAKTHWAGPIVGLGVLSAGAQMGAT LAMSYSLDSHKELSGELMVTISCLKSLIAWIWTWVVNDWLVADGIIVV VE01_06028 MISAEGFGALPAVETLLKPSTLQDMKGAVYLNRKPFSCLRCNKS FGRQDVLRRHAKLHENGKARVLAESSATPPASVAEENTRLEEPMAEVTSRKPSCLPEL HTDTSHSSIPAGPETMQSWAESGELLEILMSDFNSNWPITLPVMQFQPSAALRMDSSE VLPPANEQIDAFDSPGQQAMQQMSRLIGNLSSSLTAEIASKGITSAFLDTCIYVFFDK FMPSFPVLHKPTFLARETSHPLLLNIISLGSLFVAAEDAVTKGEALWRLAHMSVATSW QALMATRGPRDQCNGVQLILTALLGQTYALLSKNESLRMTCQVFHGLGFYWARQCGMY QINEGSFHLPTFDTPEDEKNEQWKIWAALEVHKRAILGHYILDGQISQFSGHATCVRH VTNPLLTPASDQAFAATTADEWIVEVQKQATPQRSFQMIFVDMFSPNLLCLNQPMSNF SVRVVLEGFQSLISDVQQLKGPAVGTPSKISIAQALISLYNLSLRFLNPAAAESMELL IRWHSICLNLATPTTQLCRWICATYNIPQQLYSGADYTGHESDLVVWAQSDEGRRALL HSMAIQDLVDRLPLGRSHTIHLPAAIFAVASIYSARCMADKASVAVPATIRWEDAWGV ESQNETNFDNIPTPNNDTNEFMQGRYVTSSGKSLMRNLTYELNSLQIMLGSISLRWGV SHEMDAILHQWISIVNESSYSRV VE01_06029 MLAARRVPQACQACRFHLQSLFEGGFTRTTATTTTRARPIIARR GGFATPTSLRAFSTTLRVRDAEPVKENVAEGGIEETVRHARQTFGETLPKDFLSGEEY QLYERLYGAPLRETTYEDLQIVEDAELEGGEWDGPVSNVILRENADGEFEEVEMGEEY AGEGLGEQANAAEDVDYEIIGHTRDSISAQLQEMEVAVEEAVEANMLVQGKNQREVDA IMRLRQDMENALAQQPLEETQDVEEELEVYEEGIEDVEEDMEEDNFWERDDDEFGSNS DEIRTHPYTMAGRFGTNPSTIFLPEESFVQPLTDLLKRSDIKHLTEAAEKAFGGPGLP YSASTPKASSLLPQKHIGLEASQYRMSEIEADAYMAAVMPGVYASVMGTLVETRKRLG PKWIRDMLLREDGQGPSVLDAGAGGAGAIAWKEIMQTEWDIMADEGLVEAGSKVPGKS TVLTGPETLRDRMSVILENTSFLPRLPEYIHSANYERRMDSSKPQPRKSYDVIIAPHT LFPLKEDFKRKNQVRNLWSLLNPNGGVLIIIEKGIPRGFEAVAEARSQLLDKHIASPG ATHIVNGNLNNDDPDIAKDKGMIIAPCTNHLPCPMYPVPGISSGRKDFCHFGQRFIRP GFQQRVLGANRKNHEDAQFSYLAVRRGVDARDPSTSSTPLVQGQSATDAAFAGHEAGS DPDLGYHTQKPADSFSTLSLPRMVLTPLKRRGHVSIDLCTPSGTLERWTVPKSFSAQA YRDARKAKWGDLWALGAKTRVQRTARLGKPMDKAKEVMKASKKAGKWKGRDVVEAQKR KKTGKDVYQVDMGDEGFEGIKEVTGGKPSRGERRTRGGRVVREKRIDDGTESRRGGGK YGEDDEF VE01_06030 MPPLHLQHLHLPLLTPYATAQSLQSSLVRAFLTHKHTPSTPLPP PTLLTFTPPPIYTTGRRELPSSYPPSLRATLRAPLDSNTSGADFTPASRGGLITFHGP GQLVIYPTISLNHPPSFRKPTSPRCYVSLLETVTIRTLAHYGIEGFRTADPGVWVNPR HNRLADPSSPSIEGATEKKIAALGVHLRRNITSHGVGLNISTDLRWFDRIVACGIEGK GVTSLAEEGVVGKTVEEVARVWVDAFAAEVGAEVVRSVEVGEVLEQVGVGRLEDLVEG RGGMGM VE01_06031 MFQPQATPFASFAYTSSIPNNNPHGDYNFQAATSNLGLNSGGPI TFDEPIFNTVGSFGGNLNQHLNLPQRYNNTMTTPPVADDLEAQEALARKYQPDLKGPL VGPKKSSHAITEEYAKADPIFVAKTAKLPQTYSHYRPVLGDGNCGWRAIGFSYFETLN KLGSKDQLEEEIARMMSLTSFIETWCGYQEWLFEDMREEVLSLLRDLAATVHVRPTPD SDSLTLLRFNDEEVSNAIIYYFRLLSSAWLKANAVTYRDFIPDGLGVDNYSKNMIEPS KTEIEHLGMTLLIDVLMKPVGIAVEIVYLDRSEGTDVNSHIIQAEDETGTPIHAGAPM VHLLYRPGHYDILYKERSPALSLRQQQIIDGASASANIQVHRAGILNRVQDPSPMTNF NAFDLSGIAGIPGLSMAPLGNQGFSPAYAPLGDCKPVMSPSYSLDTSASTPTMPSVSP ISAVPSQASSTPIFPSAALPIHSGPSQPQLSRAIPPTPTEAPPPPNLGSQFRHSKYEY ESDWNDPSAQQSSFQTNTFKNSHYNTAHYNNPNFQPEEWCPDDEERPQAPRRDSARHK SA VE01_06032 MSDDGDDFMQDSDAEEYDFEYEDDGEEEDGDVDIENKYYNAKQM KSEYPAEAIEEFLEVPKLEQEKGEWGFKGLKQAIKLEFKTGKYDEAVEHYKELLTYVK SAVTRNYSEKSINNMLDYIEKGSDDPKARKCMEDFYSQTLESFQSTNNERLWLKTNIK LAKLFLDRKDYTSLMKKVRELHRACEREDGTDDPNKGTYSLEVYAMEIQMYADTKNNK QLKRLYERALKVRSAVPHPKIMGIIRECGGKMHMSEENWKDAQSDFFESFRNYDEAGS LQRIQVLKYLVLTTMLMKSDINPFESQETKPYKGDARIAAMTDLVDAYQRDDIQKYES VLQTNTDLLADPFIAENIDEVTRNMRTKAVLKLIAPYTRFRLSFISKQLSISIPEVQD ILGFLIVDKKVRGRINQHAGTMEIDDATDEERLLAMQKWSTAVTSLYQTVFRDGEGFK APQNADMGLADDQVEMVPSVLGRGAAVRNTGQKRGPAFQGRGKGGVFA VE01_06033 MGGDLNLKKSWHPVLMSNQKKVWEEEKKALDERKKTELRIKELK EERAKEEIQQKLEAAGSRKRVDRVDWMYQGPSNGQSGTTEEMEGYLLGKRRIDTLIKG NDHKKLEKQASEDSFMALQKANTLKDTAAKVREDPMLAIKRQEQAAYEAMMNDPIKRK QLLAVIGGEPEGKEKTKERKHRHHHRRHHDDASDDRRHKRRRVDDSERDGRSSRHRQS RRRSRSRTPDSRSRSPERGSARGGEKRDSRDESPRRRRQRSVSPRRGRYSRSPSPRRR RHSRSPTPPAGGEARRDRRPSPSRRVSVSPRRRSYSRERSGPKGYPSQQPRRDTYRDR DSRPDGNATGRNGDRPRTSYNAGQPSRPPFRGDDRPRNAAAPSQSVEEREAERQRKLA AMQEDASALDQDREKRLAALAEKEKIDRDADEAARVKSSKYSDKADFVNGMHQKVGSM GLADRIGRGRQGLQRDD VE01_06034 MQLCGGSKTTQRKLVLLGDGASGKTSLLNVFTRGYFPTVYEPTV FENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTHAIMLCFSVESKDSLENIE SKWVGEIAENCPGVKLVLVALKCDLRENDDAAEEEPTAPAAGGGKKECITYQQGLEVA RRIGALRYLECSAMRNRGVNEAFTEAARVALSVKGVGGKDDSKCVMM VE01_06035 MGQDTSHELVDEALPSKTLQDRSLSSVATYLNSPKAQRVVVLTG AGISTSAGIPDFRSPDTGLYSNLARLNLPYPEAVFDISFFRENPAPFYMLAQELYPGK YKPTVAHAFIALLAKKGLLHMLFTQNIDCLERAAGVPPEKVVEAHGSFASQRCIDCKT EYPDEFMREFVRSGDIPYCEDEACGGLVKPDITFFGESLPQRFHMNTHVPSQADLMII IGTSLTVHPFASLPDMALEGTPRVLLNMERVGTLGSRPDDVLLLGDCDSQVRRLADEL GWRDDLEALYKEVGGPEAAREPATPAAKQEILEEEIEKLTTEVEQALKLSDGHKNVDH KSVDHKSVDHALKISDGHKDWLEKHLATKVATGPTETVSPADDEKAQKQDTEKPDVEQ KAQEPSSDQVSEGGKTGDTAVISSTVAESDIHTGEKESSLSKDTENNDTTSSIPVETK EKTAASTEPKVDDAHPIPLGSEPSTQ VE01_06036 MTAVANPPSFQQPLNRTQWSSNGQGALNSMNPDEVARMFMPRKS VQRANSSSSLSSNSSTSSSGTSTMSNASQQSTGTLTPVSDVGTWSSTTAAGTRKKPQR TGPWPVSKSEPVSGVTSARPQSILAPNGVSAASSISSMQQQPHSVNPSNLQNPSQQQN GARAGAPPNDGNPVLYLLSMNGTFERKTITVPYYPDNLRIGRQTNAKSSPTATNGFFD SKVLSRQHAEIWADRNGKVWIRDIRSSNGTFVNGARLSPENRDSEPHELQTQDHLELG IDIVSEDQKTVVHHKVAAKVEHAGFLGATNNVLDMNFGDLDPANGVLMLPSQNGMIRG RTNSQGSIMNNGRAPGPGVMQVAQRPMNFWLTPVTTEQIMKRLTNEVRHAKLQNNDLS RTELFLDTLVSQQLFKEEEKPEEPIKSLQPNGVSFKIDAKPRFSDPPAPPPQQPLPEK PDAPRVQTSETSLKRANTERPRSATSASPVKESSSQIIALIESLESAKREIDEQNERM RTLEKMLQEEREARQAAEELAKRLEEESTRIHVNGFAKGGSIVEEAFEPPKEVAPEVV GLESTAADEDKSSTLNAENVEASTNTLQEKLELMMVEMREMKLQMETYRHRADTAETE RDDGRKSLAEMVEKIRADDQAKRETAERAKAALAASNAAASSTAGADKEVEDLPLATK DIGQNGTISTPHVTKPPENQPATALQHHPGSQDHRLLEHSAPYASMIGVVLLGMGLMA YMNGWQKGER VE01_06037 MTDHRVKALTYRVENIPPGTSKEELLERYFYREDREDIKVKSLC PSCDSVDPDEDDWTATIFFRPRDAGRGELRLANDDTLTLSRDFFGFTPLYVPPKEKGP VAADIIAVTGLGGHAYGSWSHSDERMWLRDYLPKDAPNARILTYGYQSALQSDSISVL EDHTNKFVHKLVDMRDASECESRPIIFVGHSLGCLIIKKALTGIGPMGIPMSRLPVRA IIFLAAPHRGLNIDALQTLVMGQATEGMINELRANSPTLRELTNRFRDIVEDNIDLLT CYEQRPTKTVVKGADGIWRREGTPVIMVGPDSARLDYKKEKCVSVDCDHSQIAKLKKG EGGIYPTVKSAIKHGLISTARIVAGKDVSLEYPRGGKELTHGGAGSMTGYMHSVPPPY VQDKIAASNAAYIPQQPQQAPPTLAPYQDRHIVVERAITPTYESAAHYTQPEAQSSPP SHDRGTSHAIESRYLSEAHGKADDVVNVEPDISALTISEPPQAPVFLDKSEVTVEEDM DDGYEVDPDNISTTAICSRCDEGVPDSTFHYYCYMPITNQSGEDDDKDRVEEHKNTTV CQSCAYLDPNCEIHNELLVKRGVKPHEALEPFLPYIETDILRSDNSLIRAVKENDLDK IGRLSNNSKLLSGKTYEGCTPLVLAVQLGLVDAVKLMLANGASPTERDSTGFTPLFMA VQYNRYKVAKVLLDAGADVEDTGGQSSALHLAASNGRPRMLQLLLNRQAPVDVSFIGF GTPLHQAAQLGSNECVKILLAAGADPNAMAKSGATALKWAALKGYRDVVKTLLRNKAI ASHIGTDGETPIYCAVDGGHGEICGDLIDAGADFISEVARPVMTPLGHAARLGRRNIV KVLLARGAPVDGISDENTPLGSAAVEGHLDVCRDLIEAGANLNATYGPLSTSPVGFAA KFGYEEIAELLLSRGAKVDINDSDGHPPIYVAVVTEHVRVCQLLLAFGADAGFKTEDT ERTVLAITTIDGNLSMVKLLIEYHADLDDADTYGWTPIGHAAFKGFVDICRVLIDAGA NLDLLVSGKTALTEAARAGHSEIVQMLLAGGAWGMPPPAYKGKWKNLEFKSEVTPWKR EEILRILRAAKHM VE01_06038 MKFKPMDSTPSEALLTNSLALFSFLASVLASPILIPDAPNSLSS KSSCVLGKCGLSGQCFKAGSCFGINIFDPDWSHCSICSCPGQGFNGRTDPTCVMDTGC LTVQLGTKPDPCAHKRHQYEGREASANPAPQRDGGVIDKYPTNPNIEAPTNIPESTPF TSYLARRSTIEADALEKNEQLKPESHVLHERDEFMKEYARLIDDGPGGPVTPDAAMME RLRSITHPGKRACYTVENGAIVSSACGTVDNNGDIDAGVDADVNVDVKKRGGCFTVEN GVIVEKRGGCGSVEPDVEGDPRDPLTAVAEGDPRDPLVAVAEGDPRDPLAAVEKRGGC FTIENGVIIEKRGGCGFVKPVVEGDPRDPLTPVAEGDPRDPLAAVEKRVGCFVKENGI VYAQPNCDDPNRGVGPPFPLAEGDPRDPLTDVAEGDPRDPLAAVEKRGGCFTVENGVI VEKRGGCGSVEPDVEGDPRDE VE01_06039 MKHKNTNPKKSTAKSAPSEPPPNWPAFKPLLPASDLYLEPIVDS QIIVTRNFWTSTLCKDYVSFLRSLPLVTTPGKPKKGDAVRVNDRFQITDPAFANRLWL ETGLRELVNGRTDEEEGESMSKEERTRLWGGEVVGLNPSIRIYRYTPGQFFDQHYDES NIINLPTTPTTPAKTTWTLLLYLTSPATGCEGGQTVFYPDDLPFKNSPIQKEVVVELE TGMLLLHKHGNECMLHEGREVTAGEKWVIRTDLCVRR VE01_06040 MSRPHGHRAPYDRPDMYDDHPDSGRQQGYSYSHSPEAPHVLKDY ARPTTTRRHSPTGDAPYERRSDAPRSPRREGRVRYTDRDREGYRSPRREYSRSRSREP YGGPPSRTLIMDGLPVEMTQEDIAHELKTSFTADGLEQVRLIRDKRTGQSRQFAFAKF ATLRDSKVFLEMYYPSIQFRGSYGMPQTGEGYAGAGLAYSREKEDRDRPGKGEGDWMC EVCGLANYQQRTLCFRCHAPRMRPSATGIVGVATQASVSAFSGVTTTGDSDVSPDNKA SQFLLFRNLEPGVSEELLAKGVAKLYRVKGSTTPPETQANKKPKMMSTSTDANLGAKE GSLMRVFLVRDRKTNESWRYGFAEFGSVDDAQAAMAKYNSSDKFTISSKPVLASYIHA GVFVPVLQAPSEEFEKFTFSPLSNPAMKLMYWDEGAFASEMAVAREASADARAKENPN AKLAAAAAGEGLVDAAKAGASKKRKAEKEDTAATKKIIAPHLKFWSNQHAELHGLPAN SAEGPGDSNAKEAQQNDADAPPTQTFADPIRKCCLLCSRQFKTDAEVNKHERLSQLHR DNMLKEDLVEKALTKLGKLNDAAYRDRAQERRKAFNQPKQPSSQHKKGKDTTAPVAEE PLKPVQSKGAALLGKMGWTAGEGLGAQGTGRTEAIETHLYAQGVGLGAQGGKVGDAVE EANRATGGSYADFVSRAKDKAKERFEQMS VE01_06041 MPVPAGANMGGPSTFDKLKMGAMMGGTVGVIIGFIFGSVNIMRY GAGPNGVMRSLGQYMAGSGATFGFFMGIGSTIRQDTSPIMAEAYLNARRRAMIMPRAR PNSN VE01_06042 MASNAPIDSPSTTSPSNRPTTTSSNPSTTTTTSPQPTLTHPNPS TTTLSTPTCHATLTTLPLSATHILSLVRSPHSGANVLFSGTTRSTFGTETVTGLSYSA YAPLALRSMLRICTDIQEKYGASGVAIVHRLGEVGVGEESILVALATKHRGEAWRGGE EALERCKGEVEVWKMERFESGGVWRANRDGGVGERVDDGGVEGKVGGEKVDEGVEEEV GGEKVAGDAVEEDAAPEQIIVKSDPLPEEEDTRRPGPPLEKGHGPVVHQK VE01_06043 MDLPIQKPTELSRNGGSVKRAREQAERERLQATSESPPRMRQGQ QQARGENRPRQPLPQAITASRTGQGIGAAISRPTPAPQWPLSSQTGRPEINDNAQQYQ PPSGRGKAPQRPPRPSFVPSILDASKVQDHTPSFPYQPKSGPSQSGSQSREAQQHLDD MRSPDMLSPVTPMTMQSRASTNSSVGTIGTIPDFPIPVTGANHSNSTGLGPPPSSRRG PSSYYSMQSFVSPIPEESPKATTSHGSYASSTAIPSNWGEMRYGYETDQQALFDKEMM MGEDTEDAEPSVGIVRSASVGKRAKPSIIVTKNQQEKTPPQSRGGVLEKTRNLQNAGV IEGTGRKGVPQQRANGAQGGMLVPMAMQRDTTWPTFGPDSPTEGNKELGDLLSSSDSD STLTSSPTGIEMEKLAPIAAVPAAAKMNSRSPSGSPFDLGGGEKEIQYSRNSAIRRPP RLNMDAVRDAEARGSLTSLPDLIRRATRLASMMNEGKRPASRLNDLNDFPPPGGEKGK AGNGRHRNTPSGISGMLAAFPPPGLATPVDTPKSGTRWPAYESSLADLRTPNDENDAR PRNGRRCCGMPICWFLILLIVLLLVIAAAIVVPLELLVLNKKPDANQNLSALQICEKD STCNNGGQSIINGGVCSCICVNNFTGTNCDDESAVGCTTVNFTSTATTSTKAKTYTDV TIGVSIPALISNAAVDYNIPLDFAKVVSRFSDADLSCDAQNAIVSFNPGAVSQSAAVA PSAGTSRTSAAATTGPTSIVQGSGARRRRAEEVDARLAPRTEEVMPSETSSMPAESQS STSAPTSTPTSSSSDSSSSSAASAAEAAAAANFTVSESTLDFSRTAVLYILQTLSLDA AAVAQTKITSFLVGNVYTSAGNVTVGSGVSVDFLGWKVDAGEGVVGGGGKRDVRRRGV GLGLE VE01_06044 MSLSENFITSIRAAPKSANTAISKDIGIYTHTLHPTYTIDSAFK KSSTTPHCLAVSSTHIFAAQADKAVVHIYSRQRANQEALVSFPERIHSLALLHDGLLA LGTAEGRVILWEVLTGRQVSTPTSHLQPVTCLSGDATHLVSGSADSKLYVWSVPALLS QATSGPHEPLRALSNHRAGVTDVVLGHGGSGTNICVSASKDNTAIVWNYQTGELLRTF LLPATPTCLALDPCDRAVYIGFENGDVQAVDLFVPKAAVNALHDAEQQATPIQVPPTP LTGAPTELGEVHCLGVSYDGTTLVSGHASGKITQWESGAKKFVAELGDVNAPVTNLVM LDPLKGAERVAAVGVSKPRLGEGNGVFVGQFLGGLLGKGEGRKGVQVKEVGFDEGELE RAILEFSAAPAAAAAVKGGKTDEALRKENEELWAIVNEQNEVQKSTWSKYRKVASGKV EG VE01_06045 MGCESSTHPRLRAVLPALQRRPHVTRRSTQGQGQGQGQGRSRAA ASWSSMPESRAQVQAVSDEFVSGMPRPKCYYGDEMPGPLPVASATFEIVSAMPECMKE TSLDLPAWVENTNRHTLKSGGGVVDEELVPWMQMPQPELFSGISKEQYRETPLYSEAG SPNLARAYVTDEVACPQPRPPIVSWASWETFGLGDNFADFEALISPKRGASSNSGAGD GRSYYGDASREDGVSVSSRRSSGLSIKCQGHNIPELAPLTFGQSVTQQVEDSMVPTSM GSEFMDWAGNRGSGRSSPCYSEGVYEEFATPLAPARARIVHISRDSSQFRTRSTSLFQ RDSSEPSPARVSMDEVATPARHPASPDTPDSTPKSRSRPFTPYPHAIISLDTPPLTPT YPLPPPTRLPPPPPFAPTPPLNIHPLPALLRQRKHISGSTTTELSSEILNDIYSATRL SSIKKLSLSHPCVTTIRNARRRWRTYDGRYTNPSAASPGYLDSLSTSLSLSSGNQSPA PPSSRPASFPPSEVGSKDTESEAVWSQGLVTRTATPVTDLESEAGDAEGAEGRLLPEA DLGALRRIFPESNAKWYGILYAHIVCYNHVMDLEQGTSFQHLEVDEGDRRGKEEEESV RCGLIMRNLEYCVSRIICRMRGKNGRKADKEAGGELRESHLVLTGSLSTLIRSCEGSI M VE01_06046 MSTTAVTDTFQAYVYYRSSCSGRLRIALNLKSITPTYKYVNLIA KEQRSEEYTLINPSHTVPTLIITPSSGGPPTKITQSIPALEYLEEAYPSLRPLLPKDL AGRATVRTLTAIIAADLQPITNLSILNRVGDIGGDKALWAKEIMAQGLLAYEAVVKNT AGTFSVGDEISMADVCLVPAMWGAERFGVDFEEMPTVMRIYERLAAMEEVKRAHWSRQ EDTPKELRSE VE01_06047 MKLSILSVGLLAGAVAAVPTATTDSPIVKRATLNDVGTGYASQN GGTSGGAGGTTTTVSSFAQFTAAVAGTAKKVVVVSGPITQTADQIKIGSNTSIIGKSS KAVLTGFGLLVKEQSNVIIRNIAISKVLAGNGDAIGVQKATNVWIDHVDLSSDRDHDK DYYDGLIDFTHAADFVTVSNSYIHDHWKASLIGHSDSNSAEDTGHLRVTQNNNHWSNI NSRTPSIRFGTGHIFNSYFSACSDGINTRDGAQVLVESNVFSGSSKPLYSTDAGYAVA SDNDFGGGSNTALAGTLKSVPYSYTKLGSSNVKAAVEGKVGNNLSF VE01_06048 MAPHAELSSSPPTTSPATFAPSSTQEASTLDYRGYDHVHWYVGN AKQAATYYIARMGFHKVAFRDLSTGSRAIASYAVRNGSVTFVLTSPLRSASQAEDPKD KKLLAEIQAHMEKHGDAVKDVAFEVDDVDEVYNQAVKNGGKSVSPPETVTDESGSVRV ATIQTYGETTHTLISRKEYKGVFLPGFKAAPDAEDPLNTYLPKITLEAMDHCVGNQDW DEMDNICEYYERVLGFHRFWSVDDKDICTEFSALKSVVMASPDERIKMPINEPAVGKK KSQIEEYVDFYGGAGVQHIALRTKDIISAVTALRARGVEFITIPDSYYVDMKLKLKKQ GMTLEEDFATLQRLGILIDFDEGGYLLQLFTKHLLDRPTVFIEIIQRNNFEGFGAGNF RSLFEALERDQEGRGNLV VE01_06049 MSILTPRDSAILSSLFTAEPPAPASLPPPPPPPGLPNFPSSLLQ SIQTLESSALKPLNTASPPASTITTAIEELTSLIEAHPTYPSAYNNRAQALRLLHGSD LTVASAGESGIMADLAQAIRLCTPTTTGLQADILAKAYTQRGAVLLLTSTTMRTLESG RSKDGGAVQVQVLGGRNADEVEEMARADFREGKRAGGEVAGEMDVKMNPVRKLCGEIV REAMVRDLRESGVLPAED VE01_06050 MTDYPAPPTAHEHAFFAIHSHFSERRPSTELTIYKALRRDYPEY EVVATKVTFCHLLSFVDYGLATATPIHGNNHDISREYKPPTQRNKKKNADGKLKDKVR VGLWEYVWEGNEYLYYHVEYDANSYGSKEIVVFLLSRKWPVGATPETTPEAITAASEA AKERIDKLLMAVGKWSADVHSEIYVFDNTTWRKDRNLYLSVMGTKWSDVILSPALKDG IINDITTFFDSEEMYTSLNIPWKRGIILHGTPGNGKTLSIKALINTLQTGERKIPSLY VKNLDNCSYGPKHSIREIFNRARTLAPCLLIFEDLDSLVTDKIRAYFLNEVDGLESND GICMIGSTNHLNKLDPAIAKRPSRFDRKYHFGLPELAEREAYCAYWGKKLEGKEMVQV TAQMAGVLAGFTEGFSFAYLKELFLASLVAVATKTEVEQAAGEAEVEEEGGSASSDST VVVEKADAVMEGGADKAKIETPAVEKKEKEKVVVKPISEDEIPEELKGNVFFRVLCQQ ITSLRREMDDKGDEGKAEATYGREFIAGDDEDDSD VE01_06051 MTGKDSFCRTVAFSTQSKDSPFYKPTLDNLSKEARDLLENYSGI EPDRVVPHVEEIRDRAWAIFPYPCIGIFSFVGLNLRTSPLYPTILSRLKDDKQTFLDL GCCLGTEIRKLVADGAPSENLYGTDLRSEFWDLGYALFRDKASFKAQFLTGDVFDPAS ELGKLDGKVDVLHAGLFFHLFSYEQQIEVAKRTVKLMRPVEGSLLVGWQVGSSSDTGA LRSEDGKKILYRHDEESWAGLWREVSEQTGVKFVVEAWMEDPPRVLAGNEDSDEAWLK DSRRLKFSVRRV VE01_06052 MLAQLQDVAPTRLAMLVLGGLVASLFIYALLVSFYRLTLHPLAS YPGPFLAKITDWHQVYHAYHGNRHLSLYLMHEKYGPLVRYGPNSLSTNTATALHSIYG FHSPVQKGNFYTAFPANKHAYNTHSSIDKTQHARKRRVLSHAFSDGAVKAMERYILQN VRAFTEGLGASSATVGPERKGWGLGQNMADWCNYLTFDVMGDLCFGKAFGMLEKEDNR FAIELIGNAAHRHLICGAMPLLHEYHLDHVLFPHLAAGRARYMAYSKSQSVERMKNPD VDRKDFFYYLLKAKDPETGAGFALPELWGESNLLIIAGSDTTSTALSAAFFYLVHNPS TLQIVQAEVRKAFSDEEEIVAGATLNSCIYLRAVLDESMRLSPPVGGILPREVLGNGI DIDGHHIPAGVDVGTSHYAVHHNPAYYPSPFSFKPERWIASGDVTAEDVAKAKSAFCA FSVGPRACIGRALAYTELMIALGRVVWAFEMRVAGGLRVGEGGRGLGEGRERQTEYQL RDSFTSLKDGPMVEFRRR VE01_06053 MGEITLVVLPRVSDPDIGPDAEHPSAPLNGSLPAVLDEADEEPA GLSGNPYNAAARKPTPEEMILDDMHFLAMLGQGSSGKVALIQMKKSRKLYACKTLRKE FVSRNNEQESVRRKKRILLMLGTEYKHPFLCHLVATFQTETRLYFVMEYFAGGDLLFH LQNGRFSTQQAMFYAAELCLALKYIHGNGIIHRDVKADNVLLALDGHIKLAGFGCSKD DIWYGSTTTSFCGSLEFMAPEMLLDKAYGRSVDWWALGILLYQMLLAQSPFSGDDEDK IYDAILTSEPHYPIHMSRDAVSVLQKLLTRDPERRLGSGPTDAQEVMSHVWFEPVDWE GIYNKRVTPPFMPTVESEMDTSNFDSAFTMQTPKLAPVESALTSRMQEEFRGFSFMAN DI VE01_06054 MFNGTGVDIFVRCAETTYHCNRTLRGEELFLINSTNEAVPADCE KETYSLQPSWFSGLLAMPGLTELSGISIIPYYNHGPHKITSIELPDLVNITGGISIID ADSISNFSVPKLKHIDGILELNFTGGPAIDFHYTGTKGMGRSGYSGYSSYSISIDSSG NLDCNAFAASVVNSTGYERNGVSCTSKKGSITLTQPKPEVTSTAFRIHGGGFLALIAL LAYILAL VE01_06055 MAILSFFAKSLPKLSFLLVVLTASLGVHAGMKDGEIACDQQEEV SALAMQLAIDSLNEDPEKVICAFNDGSKKKNFPVHYDLDRQDIAMPQDDLRTPNQGWT RAGECHQPGGIHAGIWLQTYRWGAPHFCMKQAEIAQNAQAIHDKCIRKRDDGVEVVRG TKQSQYEFWIEIGESNNCDDFGS VE01_06056 MLSSIFLAGLLTASTFAFPFEIPAAIQARGDQKYCDADVMNADP PNEYCYQFCDFKTVRRVSEKPVQVSERSHCTGGPAGGCPIAILDQATIEVSSTETHGT SDTTTVNAGISFFGANLGVSFAHSVESSHASTHGTSTSTASTNTLYIPEGKEGHVVFF AYYEEHCGISAAIRKANLQDDKDFDCNPDYNLLISHDANVDSIKGMQYNWDGIHPGDI YNYVDACIRLPMTTVNGHAKGQYQVCDSDNTDNACKECLGCDAEEDA VE01_06057 MAGAGKRGLLGAVSWILLAGALVMLWLVVLSGVTNHTPLNKIWF LRADTSGIGDARPNSQWTFWYVCGDNNNNCGSPVPALPLGYAWRGNSTGAPSALVGSH GHNTTSKYYYYMWRFGWVFFFIAFIFANFAALSGVLSCIRVVAGATGLLTLAATFWLC LAACLMSAVFVKARDRFQSDGRSASVGRYAFAFTWTSLVLLFLSACLFLGGVLIGRKK RDSGSYAKETAYNGNVGYPPGNQGFATDNVQSGYTTNAPQRSNIIREEVPEPTATTTT TKGGQGMRGLFPRHREASVV VE01_06058 MGIPPQIPKEVLREIVSYLNRSDDLTPCPLSGVALSALYGHVDL RTFPWLDSAYVYDMEDSDSDADADADDDDDEEVLQDKSAGQDVISLKRQKNLISSLAT NPNLGQLASAFSDGTQLHYFSDAAAPDSLTLDTIISAASSMTSLTSAKLTRNVLSACI ISSLHSYPHLGRLYVQNLSPFEVIWDSTKVTSLRSLKWRIPNVRRGPNGDEELRGIIR GIIGYIANVVRRTCPLLTELDIIIEDSFSDVGGEGYKLPDIPQQAIEGVEDRVRFYQD TTPDDDDDDDDESLTLGNLQHLGLQWKHYNIVDSQTWQLVERLIRQNSSTLTSLTIES DLCDPILNSSITLKNLTSLSIAGTVRTPIIAEGITSRFGAQLERFSLSDTDTPFTASL GQTFGSWTRLKYLCIGDVEMAGGPYGKDGRPDFEAYDLMEFITHLPRGLEELHLRIHG DRLWLDDPSDFEPVRRLAGPIFTKLRCLHTCDILAYISNMDGGLSMEQPENAVHYRRL PLLRVGCGDADGGVPAASEEGPNKERRGLDTTSRSKDIWTSTMDSVYQDENNPLISHS CQTIEVDEDGDRDAGFEGRDAEGVWMGGETVFCGGDKTWPGQTGFRLWRYEEYVRAGS GKGV VE01_06059 MAQHTSRGPPLPAPTDTSSNSGVGVNHSGGGAGADAAGLDGTEL SRVTDQSDQTSYSIPEDGSPVTIPTNRRREGADRMSRESHPSQTSLLIEYFEGGKESH VESRRPSVRVKVTPSSGKSKGRSGGGSGHIQITKSRSGGKEEKDGGRKPSYTKRIHLS SSGGNTKNARLIDLDGDGQSLSSYASATEESNVSRNPIEIEVGPRRHRSPLIPDPDAP IREQVLGSDVSSMPANSFLDGDSGLRSERGSRGFSKNEALISGAAAALGAAALGEALK APARRRSRSLSRERIITQKAAEKVRSAKSEHRHKHSRDRSVSDNAKRAEKHSEVSGGS PRRRSSRGHHDDSLLSGESSLLTSSKLSERSHRSAASGKSSITNPKLLETVEDAIRRL ILPELNALKKENASRDKYDREQREPRHRRSSLTSSSALSQESRDGETRSKRTSAGEAS LKSKLGDDRDRNVSSGTVRPSKDRRSSRGGSESPRPHTPRTPRSADRMTGDESASRQK RSGDTKKSAFGALAAGIGLGALAGGLKNHSGGHDEKDYDDKERAERRRRRARSRSRGD DVESFDRAEEEVPPMPMMSEVNASDITRSSILSASTERPRSAAAEKEGTPVQDVARGF GSPYGGQGQRGLGMMHHNGSTPDVSRMDLRMGEGEYDLDEYGRKVPLNRSVDDSAYDQ QAYHDANKGGYGKAAAAAVGGGALGVLAANSMAHHNQHPDPHHEDDARYLDEEDDDAD AAYYAHTQHVPSPLKYVPYNQEKRGLSPIQSVSGYTEADEQERLQKQRDSRATMTQSS RSRGSLASTSLDQSPAHKAGSIRSDGVLGVDPRSFDFRDVRMGGLTDSELTQEGDLDH DADADADAASEAEYQRHRSAAFEGGDWAGANPDYVHTPVAVESAVASLLDASVLTGLT GEPSPALEGGYESHLGDAKGAGAGVNRQASYASYDEGSERRFTAKGISPAPVASPLTR DLGPIDSHGVDTYPEYELDEQGRKITMPNYKQHNSGKDAVIAGIIGAAAGTAATMLVN RHEVPEQHYAQQQPGGGHDQIPGAPLQKSFKDRARDYIPPSPRRGDMYLDSDEESSRA KMSANAAPGMGDPVDPMFAEDASVTTNPSVINGPSEPYSPADDREVQQGDRDWYYKTP TPTRKAVGSGSAGSGSGSGLLRGADSDTGLRAAQMGLMDSAPLVAKGHTRDQSLDEEW HRTSDERKRDTLITNPYEGSSPVTLLGGEKDRNLLGQLGYEGVRQNLGGEAGGYNNGS PGAVPKDEGYISSAPNARSPGVVTPEPKRGVVGFTDLEGMGPGEFDTGAGDPFYTPQL QRHQRQLSGMSQGMESPLYDGAMGRHREAIKSKDIVALMDHLTVRDAQRNARDTEILV TLVRAAAEMRNSFEDMKRLLADTEDVVITEVQRNTDKSIMKAINGPRPLPQSVKSLRS GTGDDDDLPTKRRNVFKRALKGLSMRSTNDLAQIERMLVQLLGDVEGLKVAQGLSSVA REGGFEDDEYDDVHQEGAAEQDRGYEPEGHAGTTTASHASQSGHFSNPLSRGTSASQG FGGGGRKLSENRVSTVPEDDDEDDNNVVLDYREQAILDNAYDDAEPLSPLDERNPRAG SAPLGTPPQRVEAANLSHENTPRTDKSKKHKSSSSFGWNPIPKISRWSETTASTVAKG FRGSGGKKDAAPNSPGSNSHPPSRSGSDLGNYDHDVYGAGDKLHSGFSAATLPLQQSA DSFVQQTGNSSSQQQQQHHPEDLSNLPPLLPPEDPKYKAHRNSLNLQHPQPRTGHHYQ GTLEREAQDFRGMGSPRSVDWGSTTSLDRLPQNANVNRYSNGTTGTEDSQGGQGHYDE GPARPPKEPLEPAQYPPKPAVRGGKLTKPSPLATEQRIDYSATNNEDNDTRRSASAAS SARTYEGSPRAVHRSVSGLAAVPTRKPTGPRSMGSPRGAGAVAGGGSGAGNGGEGGAG KRGESSPSPGPGGEYRYW VE01_06060 MSGEGFGVRTMKRKNVKGLALNAPAPRAPAPSNDDHAALDDAAS GRTAQLEIGIEYKLDLKREDLEVLKELGSGNGGTVSKVRHIATGTVMARKVIHVEAKK EIRRRIVRELHIMHECNSDYIVNFYGAFLSESNDVIMCMEYMDVGALDRVSRDFGPIR VDVLGKIAEATLGGLTYLYIKHHIMHRDIKPSNILVNSRGFIKLCDFGVSGELVNSVA DTFVGTSTYMAPERIQGEKYTVKSDVWSFGLSIMELAIGKFPFDASEHLSDGDSAPAG ILDLLQQIVHEPAPRLPKSEAFPQILEDMIQKCMSKRPEERPTPQELYEREPFVQAAK RTPVDLRDWAVSLMEKHNRRSHLAPQLSPATQELLRSSDAPSATPPPQTSSSITSSSI PTPGSTSGEIPITTDPRMAAISPREMVVNTINGIRSPTKPPPPVPSSTTPSARTPGLP MQGQQQQQQGFQGEQRQQGQGFVSRKAMSPEIEQGGFGGGNSHVLPIRNAPPGGPLPA PPVPRKEERGGGGGGRFFGVNGGGGY VE01_06061 MSMRDLISGEAELASDEEDDQSFDEETGEGRPRKEARPDMDDSS EEEEDDDDAEAARAVREGFIIDDEEDEEPEARERRRGKRRRAEREKEEAALDEEDLDL IGEANPEWQAKTTTDHKYKRLKRGHRDEDERDRERGLDEIFSEDEAADVDEAPRHPLR ADHRNVDEFADFIEEDELEDEDQKLRYQEEMEVARPRDRAYVGAGTEASGLDKDTLED MEAVFGNGEDYDWALDMEQEDEIREVGDQQLELKDVFEPSQLAERLLTDEDNEIRWAD EPERFQLERKAYRHVQITDEQFKEEAKWITNLIWPKKRPNLPPGGDLQGPFQKAIGKV LEFFVVDEVEVPYVFQHRKDYLIHAKRTRLAPDPSNPDQPEYGVTAQKLLNQDDLWRI LELDLKFRAFVDKRNILEKAYDNLKSASDVKDLMFEEMIPVAATMEELQDLQEYLHFT YSGQLKDLAVIDGNAKEPQQRRPGSRSSVFERVRNGQAYNLVRAYGISAEDIAKNALR EGRKQYTEDPAVRPIELADTLTSDDEFRTGEQVLLAARQMFSEEMVMNPRMRKHFRMS YFQMGTVSCQRTEKGLRRIDDQNQYYELKYLRNMTIPDIARQPEVFLKMLKAEEEGLL EVRITMQQERDFRRQLLQEFTSDNYSEVADAWNDERLKVLDLAFRRLDRIMVKSVKEN MRNECESEVLKACRDEYSKKLDQAPYKPKGMVLGTTPRVLALSSGSGDAARGPVYWAW VEDDGRVLENGQFENLSRDDRSRDAFAELVQRRKPDVIGVGGFSIETNKMIKDIKDLV AERDLRGPDFDVRDTNETHNEQLEVVVVNDEVARLYRDSQRALGDYPGLSSVTRYCVA LAKYLQNPMKEYAALGNDIVSLIFHRCQHLVPEEKLRKQLDTAMVDMVNLCGIDINEA VTDPYVANLLPYVCGLGPRKATSVIKAINMNGGMVNTRDELVGDPDSQKLPVVGPRVW NNCASFLSIEYDPSMSTSDYLDNTRVHPEDYELGRKMAADALELDEEDVKAEVDENGP GAVVRKLIKDDEQDKVNDLILEEYAEQLEQNYNQKKRATLETIRAELIQPYEELRRNF AMLSDDDVFTMLTGETNDSLCEGMVVSINVRVVNDEFLIVKLDSGLEGRVEAYEATDN NDVPLPRLFSQGQAAQAKLLSVDRREFSAKLSMREQEIKRPFRRRLNHMDDQWDSNQE ARDREELREKDKVTGRAQRVIKHPLFRPFNSTQAEEYLGSQSSGDAVIRTSSKGNDHL TVTWKVADGVYQHIDVLELLKENEFTVGKQLRIGGKYTYSDLDELIVDHVKAMARKVD EMMQHEKYQKGSKADTERWLTTYTEANPKRSVYAFCIDPKHPGYFHLCFKGGQNAKLN AWPVKVIPNAFELLKNPYPDMRALCNGFKLRFASEANKSRG VE01_06062 MAGMEQLEIHSKSYIVRWVKVEEGHTISWSVQPHKKSINFGIVK HPGAGATSAASTLRPPNERLESETAQTQGEAGERQRRSSTVRNDLSTAEVQLKAKGFI PVEWYGKCDADKVTIGTYAVNAGNGGMFGLVFDNTFSKAVSKTATFVLLTYPSNFPPN STHHNLQGGPGGKAMSTVALAPKSSNANLSAAANESVDSLHSRTHSASSRGTSVVGKG METAQPSFHVGILMKRRRKRGQGYARRFFSLDFVSCTLSYYYNRNSSALRGAIPLSLA AIAADERRREISIDSGAEIWHLKAGNAKDFAEWTKALELASNKARGNVPDEVVSKTGK PLGMHMRSNSVFNRPVRPPSEEDLEWDQVESLVSRIVGTRDAVRRLANDTKSSYKQSW AGLSLGAGNPAVVPEENGDYFNNPAERRPFWKRKTSNQSTLKKGAKGASGSANTSSNI TPQSTSSAPSPAPPGQVAKSSRRSQATSSEEESSINDHCEALLKDLDLVLSDLNQLIT RSKQRRYPPGPALAVPRRSMDSTSTGEFYDAEAGDGNDSQIIVVGRSSDEDTPASDRE DEFLSGDDASSAASDLDETRKPAGAVSFFPPKPKTLAPLPVTSKPKRRTTLKPATMLP PSLISFLRKNVGKDLSTISMPVSSNEPLSALQRVAEGLEYAQLLDAAAKSPSPQHRHL LIAAFSISYFSSSRARERAIRKPFNPMLGETFELVRSDSETPGNFRFLAEKVSHRPVK MACQADSPLWSFAHSSMPIQKFWGKSAELITEGKVYVVLRLADGVDECYSWPIATVFL RNVVMGEKYFEPVGSVSVLNESSGAVAVVEYKSKGMFGGRSEDVEVGLWDAAGGKTAL GLEGTWTSSLKMTEKGKVKGEVWHVGSLVSSAESRYGFTTFAATLNELTAVEEGKMAV TDSRLRPDQRAAEEGDLDTAEELKRGLEERQRERRAEMEGRGEVWVPRWFERVEGDGE GEECWRLKTGGRGYWEERVKGGWEGVEDVFKLD VE01_06063 MPARYAAVDAADLLFGRATTVRNGYAARETTTCRLGEQDCGQTV KPYHACCPGNTECVSPRYNAYCCEPDKDCKKQLTNLPQCANPEHDMYDFGGFFCCEQG RKGYGNTGTDGDGCAAPDYVLQEKDEWLTIAVPGIAIKTGTSKTSTGAPSATNSANTK SSSTTQTSGHASSTDASSSTTSSPTASDTPSTTAPSADAPSSTDAAAAKSSNAGPIAG GVVGGIVALALIAFLVWFLRRRKARSYATAAPASPSGPYQAVEGQGPEKGVPLADMGT GAAEQKGEGGLSELPGKERGAEGAAEMYSPGTPGTPGQAAAAELYSPVVATTAELHGV ERGPGELPAWDGREAGAGQVRAGDRGGPVELP VE01_06064 MVAFSKIAAAATFATLASAQTFQRLGACPDLGCVFPPDQADFLA GQYFDIRVEVHAPVNGSEANGGIPDKRFSLAIQKKGGASQPVSKFFDITEPAIEEWKF KWYEDYFAEDAKTPSHVNVAAKAYRRVALYEPGEYTATLSYYNGSKTVANWVVRDLAE EKKAKNVILFIGDGMTTSMITAARLIGHKSINGKYLSKMAMDKFPILGHQMTHSIDSY LTDSANSASALYSGHKSTVNAMGVYSDSSPDAFDDPKVETIVELLTRIWGSAIGIVST AFLADATPIALTGHTRTRGHYGPLVDQMLNGVTNYTWTPFDGPDVVFGSGAENFNPGE GSYQGKDYVEEFRKKGYKVAMDNTTLATLPSKERALGLFSTSNLPVWLDRNVYTENII NSTNHPSGNKKPATDLPGLKDMVLKAVDILHERGGDRGFFMMAEAASIDKQMHSLDYD RALGDLLELDDTVAATIARLTELNILNNTLVLVTADHGHGFDVYGSADTKYMASIDDN DVRGKRAAIGTYQNSGLSQYQVVDAAVSYNTGVNFPVNWEPRYCLAQGTVAFPDRREN YEVHAEPRVPATKGADKSYYVNPVDGLGGMVVNGTLRTDDAQGVHSLTDVPVYAMGPC QEKFQGTYNNIDIFFEMANCLGLARHDNKTGDKGALLPGANTTILTGAASGMEVLNGG GITAVLGVMVAAFVGAAVLV VE01_06065 MRPAPALASALLLAILPLASAVTVRTCWGYDGKNWENNVLCPGS QACCGVNGMCLPNKLCNSKKDGTGEIIRGPCATQPYDPVECGEICLYDEIDDRFPRVT ICEDDGKYCCNNDKDCCAEGRGVILNGAGKVVGKAVSSSSSTTSVPSTMSTSSTSPPE TTTSDSSSTSDPTTTSTKPTTPTAASETTALNDAASPSETAAAGGLATGAKIGIGIAV PLGVLAAAGLGAFLWFRRRRVYSASNDGYKPSPQGSPAQELVATDIPRELQAFPKEQS NIPIELPADEAGMQAAKVNYKPYVRGA VE01_06066 MPKPTTLVLGSTGGCALAFLVRALNAGYDCSVLVRTPSKLMALL DSKGVTSTTITRHLTVHTGNSKDAATIAPALLIRNRPVDLILSSVGAAPKFSRFGVPS IDDPVVCSTSMAALISAVRTLRAPTKPIVVGISSTGISNFGRDIPVAMVPLYHWLLAV PHKDKKEMEVAMSDDVNSASPAFGGFIGIRPSLLTSGAAKGVAALKVGVERAKGFESM AIGYTISREDVGNWIFEEVLKGNKGKVGRYENSFVTLTY VE01_06067 MNQFFSSLERSARYPDSADIDDVWPLTANLNLNGNNSSGNGVYS GARIRGGRPTLGQPFDGRPSQDPWLLNKSAVTNNAGLSVYKDHLAYPQTPSAPPTSPA DTPRMIITNRHLQSATGYALNRGNGRYTRLIAADELPPLQGPGVNQGADGLIILPTPL NLTPLGPLTFHAPNPFPLTPPNTAGKPTPLYSPLPPVSTPSRPSRGPRKDKVYCDKWV HEGVCAFSQQGCRYKHEMPLDIATQHALGLFQGLPMWYKKEHSLELRTPRADEKANGE GPAGTAGVRDGLPPPTALPSWRPGPGQSRGGGAAHLKPVAATRENGFSSAVATAAKLS APLPLRRNEFGSIARPVAQRPATKIIIPGSTPGLESSIYAPAVLNPTAGPYAPDSQPQ LQPQNPFGKHRPWVVGPSLRTQEVTASAATSTSGRDTAAGGGDESGEAGGEDGERGEN PYELLSAFEGRE VE01_06068 MASSADDGDDSVDELQSFQEFSPRKSADAPSVTALQHRPRMSSP SDANHGTGEDKNADGASEDAIEDDGDVAFRIELPPAINTEQYTYVSAESDDGYVDCVE SEIEDSENVSYHIRYDDGGDDIVPFERLLQLCNGQNALDVFHNPNEVEEESDIPRRTG KSRELSKRQANILSYTRKRTSTRGHLQSCNTSDEDELSINQSDSRRRRAASPRRSLRS KTDSHAPKNKRYSGMTSPDEGSSSDELASRSLGRRSLGALSSNDRRTRGKFARPSMNV DYSDDVTGDVDSDASGIVVKRSSGRLGRGHPTRGRPGRGGMSKKSGMFGRRKKDYDDS SEAPEPTRKSGRSTKVSKNMRDQLEDEELYADSDVEETGPKIISVREIFQPLAANNHF SVIHNRRCDVCSGANAASNKGPSPLIQCQGCTTSIHKVCLGYRSQREHLVTKVGEGDF VLQCRRCIGLARKKDRSAPDLGACQECKKPGLACAPFSTKKTSKQEEKLREDNGGTDP ITLVSPDLLNNHATLLFRCVSCKRGFHFEHLPPLNSSGYSPDDVADLQEARFAEYELH WNCKDCLDVPGKPQGMVAWRPANLETYAPGRTLESLTEDEKEYLIKWQGMSYFSCTWA PGAWVWGITAGIMRNAFARRCIEQNNGLPIMTESDAIPEEYLRIEIVLDVKYSSRVST RTEEIDKARIKEVDEVLVKFTGLGYDEIAWESPPQPIETERYADYHAAYLEFVAGKYF KQHGSKVKERLTQYRQSNFERNVLLEAQPAALTGGKLMEYQMEGMNWLLYNFHREKNV ILADEMGLGKTIQVIAALTALIKEKPKCWPFLIVVPNSTCPNWRREIKQWAPSLRVVT YYGSREARETAMKYELFPEGRSELAAHIVVTSYEAPVDESSRAFFRKTKWAGLIVDEG QRLKNDKNLLYGALTALHVPFRVLLTGTPLQNNKKELFTLLQFLDPEINATTLDEKYE ELTNENLPELHDLIRPYFLRRTKAMVLKFLPPMAQVIIPVTMSTVQKKLYKSILSKSP ELLRSIFGKDQAQLKPSERGSLNNILMQLRKCLCHPFVYSEAIEERSNNRAVLHRNLV DASSKLQLLEIMLQKLQERGHRVLIFSQFLKQLDIIEDFLDGLGLQFQRLDGNVGTLE KQKRIDAFNAPDSPLFAFLLSTRAGGVGINLATADTVIIMDPDFNPHQDLQALSRAHR IGQKNKVLVFQLMTKDSAEEKIVQIGRKKMALDHALIEAMDEDDDAGVDLQTILQHGA TALFEDDDQNDIRYDSASVDKLLDRTQMETTNTGDDKSAESQFSYARIWANDSGALQD DVGDPDSEEPMPNTDFWEKILKEREAEAAREELAKQKQFGRGKRARQVTSGYTDAANE EMTPRKFKKLRVLGESDGEFTRQSSEAEEEEESDAGFVDPTELAQAKARSGGRGMTQT SPAAKSPAKILPLKNEKKSSSVQANATPKKSAKQAQPPKKSVKSDRPPKKAVKRDQPP KSAVRSARPPKNAVKQNPAPGPPAVKKPTMASSGPGSAVNPIVLDKKMGSAQGAPRKP KVMAAVGRAPNDGFEVQTPSSETVSQKPAPKKWNTSVRVVTVVPKVLVPQTNPLGDAS GKSLSLAKSAPKVVERTTPTSNAVSGKRPRLADPIPVLDAFQRARIVRDVPNLSDDPD FMRTFGNTATHNRLCLACDSMHAVGQCPLKRSGVEHCGLCGMAHYGFIQSGSEAACPK FSSETQVRLMLDSLKMSTEPKEIIEPARMYLRGVIGNLVKKKKKDLELQAARSRPILP PSRPGAMQPQWRVAPPQRR VE01_06069 MSSVTLSGSCQRIAAKSLILPLLYPSLLQSRSASLNSAIGKGLR KSKGVGFRGRERGQYEVSNGGRESRNDGADVRRTSESAGGSRGFSRDDWKGDSQASGG KAEKPRTGRDVRIRAGKREIKDDRIPVRRSRAARFYDPNSSFGKRSAVGREKKGLDAP AAPEAKQDWRGFSKKVDRDFPRKRDDFKEGRMRESRDTQEPRGSWGREQWKPSSTQES SPARSSFRSNSDDQSFPLKKSFRIDRGGFAPVTRTSQDKDFSFSSKKGFEAERRRPTL AARSQDSDTTGTEAVPQKTKSTLSIMDKRMPVTIPYTTPASEFLYGTSVVEAALNSRA NPRRTLYKFYIYRGANRENEEQDRTLAKLARKQDVPVEYVTDDWLRRMDKMSAGRPHN GYILEASPLPKLPVLSLGELSVTNEKQGFKLVLGHQSREEANVNGTSDFVHVSSLAKS GDGRKPFVLLLDSILDPGNLGGIIRTAAFLGVTAIAISSRNSAGFSPVVLKASAGACE NVRLFTVDTPAGFVADSKAAGWKIYAAVAPSTNRSANAPLAMTTDELCNPLQEAPCIL MLGSEGAGLRWNLRSKADVELSIEGSGQRGLVDSMNVSVATGVLCNAFVSKKAPAAPR PAQPEAEKKPVKDLF VE01_06071 MFRFLVATLAVLSCQLSPARAALEEQMDFSITNTNVSAVWNQTD WSLTTVDYVPAQYQSRISLSNGYVGASLAAAGPFFEYDMNQTNPDGDEPANVWPLFSR RLSFSTISGFYDLQQNGSGTNYPWLEQYGYESFIAGIPHATGILFTFGDATLDSTADP SEVSNFESSLTFKTGVAAWTYKWSPAGVSTTFQVSFKAIISRVAPNLIAVEAQITPSG DVNGKVTDVLDGRSAVRSYLAEKKMDDQSTTILSAVHPDNLPNVTAYVVSTAEFDSTY TDMSSRVEASSPIVSTNETTIGQTFNIALKAGQTATFHKYVGVASTDKFEDAEAVARK ASKDGNAAGWNAAVSDHVSAWGELMTEAAIDNFTDPTTGQLPPDANIEILQIATVANS FYLLQSLQPDGSGLNDNSISVGGLASESYAGMIFWDADYWMAPGLNLHFPSYSKQISN FRAKLFDQAKANAAFNNYPNESALYPWTSGKFGNCTGTGPCVDYEYHLNHDIAFNLVQ LYNITQNKTWFDDGPRQIVESIAYMTGELLDYNETTKTYWIHNMTDPDEYANHIDNGA FTIASAADLLLVANGLRRNNGQAINETWQHMSENIEFPTAPSDITLEYQTMDNNVNVK QADVILLAYPLDYDQNNYTEADKLLDLDYYSNRQSPNGPAMTYSISAIVANAISPSGC AAYTFTLNGFLPYLRAPFYQFSEQNDDNVVRNGHQNPAFPFLTGHGGSNQIAPFGFLG LRTDREHMFINPSLPPQIPHLKLRDIYFAGAGLRITMNRTHTTITRFSTEGIPTLTDK YAGTSMPIDVGTPGNNQAIYNIDVDQTIYVPNRLYFDNITYTGNLLQCQKVSSTDSYA PGQFPQAAIDGAIATAWQPMANGSASILIDMSGGAYEKVNQLYFNWGSRPPRRAMVSF GNETASDCNGQRQLSGKVVRIPLNSIKPNDPFDAAVAAAAVVKPYIGNETLISVPGDA WSGNWVKLEIEGCWANGDEDEKGATVAEFVVVGGGAGVVG VE01_06073 MPRPSENRLVLPVSFALLENIKIYFEENLPNDALDLLQHLVISG KRTTAPPGSRRNSVLPPASYLDLISTLPIHPRHTTYTKSRDDHQAAINAIGYLQLLPA LVSVKDSGMGQAFRFEEGRSAESQRWERGTKRKAMVALGGAEAVAFDDDVINHPIARD SVWTQAKDFWHVVGWAINCAVWHRRRWEYWQLWLEFMMLVMERDLEERIALRDAGDEG EGGRLEESILGRFLLLNEPGEGRQVWKRAAKAIFADGEERAMNEFPPVWREETKVLKD DDMEMYKAPSIYSDVKDPSKDWLSRTGPGVRDLRIRLITLPSHVAERIMERAHNHMLA PDERPPSYTPSASHHPLNMQLSEAPAPEISSAGNDRGNAHGSMSQEESLSRPAEPPVE PPVETTVEPPPVEETSQPMATATTTTTTVWPSSNPFVSYYRPPNGSPRSARGVDSPGA MDLDDSRGVRGGSVLSIDDPDVRLAAEALGDLRADFVQSPPSSSRYNRHRRNVSGQPE PLLSLLTTSHPLVGSTINNSISAYSASKNYSPRFKSTAEYVERRFTPVVNKVGTVGRH TGIEGSVRWFLGGRRRAHNRHSTATTKSTDDMSSKRRKTSEDTDRTWPMRHSTQSLGD EQQLQHRERRNSVANSLASSYVESLPAYDEYKSPSYEASQTTSLVRSEENDAPGSPSS WQSRLIMSTSGLSIAMSVESLRSLKYCLGLLRWANEHIGKSVTTLKETLEDCNSKTAT PIAGSSSATEGQNVEDIFVLDSEKDREVLTQKIAELKYDVLKTLKDVVDVVSKYAGGA LPENARVLVRSHLTSLPQRFKMASTSTPPDTDDATAKADWEIREGAKRVMVLAKEGLD MMTQVSGVLDGTIVSAEEWCERLGKKTYSEETAEDILKEGIVKPPVDGQQQTPEVFAD GDYRMVGQAL VE01_06074 MATETPKPIHAIVLDAAPIITNTPPISTLLTQATELYTVPHVLA EIRDVAARSRLETTLLPFLKLRTPRPASIKAVTDFARRTGDLEVLSKPDVLVLALSYE LECERNGGDWRLRSLPGQKRLNGAPPGSVVEGAEKEGGEKVQGGEKEEVKEEVKEEVR EVKPIPESRGAWGTKIPVAEPVVVEAEKKDVEIPAEVVEVPVKDVEVPEVKTEEPTPE STEALAEEKTEVKSTEESLAALSLAPSEEPSTEITPDAPEEVEESESDEDDEGGWITP SNIKKVQAKETAGSAPQEPEVKTMQVACITSDFAMQNVLLRMNLNLLSPTMTRVRQLK TWVLRCHACFDVTKDMSKQFCSRCGKPTLLRVSCSTDKDGTFKVHLKKNKQWSSRGNV YSIPKPVAGSANTRAGGGGKGGWGQTLILAEDQKEYVQATERAKRTKERDLMDEDYLP GLLSGSRRGPGGRPIVGAGRNVNSKKRH VE01_06075 MHLMYTLDAEGTRVYTLKKINGGEVTKSAHPARFSPDDKYSRHR VTLKKRYGLLLMQQKDLKVLGQ VE01_06076 MANPREGPNPLRPYYIPPSIGFPPEAQNAGSSGRGAGQNGSTSY ASSARDIFSDIDYSDYVSEGSQSTVDMIKQMLDEAMYKYMSVLIAQPFDVAKTILQVR SQALVDTSVPAFSPRNGRQETSDFMESKYAEYPSDDSDPDEPAYFTSNAPEATTYTSP TRSRRHATDRAGYVLPSADDSPSPNQLTLKHSDSILEIIGQLWTKEGAWGVWKGTNST FIYSALLRTFENWARSFLSAVFNAPDPGIVGIIGGGLDMVDSPYPWSSLGIAVGAAAI AGLTLAPLDIVRTKLILMSTNAPRRGLVNELGQLPSWVCPGKIFVPTVLHSIISPVII HSTPLVLSSQFSIDPVRTPAIFSVASFLSQAVELFVKLPLETVLRRGQMSVLNSPPYY TGKELDTVVDIGPYSGPIGTMWMIAREEGVRDEPDALKFSGPVTRTTRKPKVKQGQGV EGLWRGWRVGMWGLVGMWGAAAMGGGGGGEF VE01_06077 MNVSRSAVRSSRWSKPIEQFLRESTQTSPRRGFASATRVTAIQN AQQPRQQRLAGQAGGYGRVEQRRAFSYTPISLHGHLDPPKPGEELHVTFIDKDGDEQT IVVAKGDNLLDIAQANDVEMEGACGGSCACSTCHVIVEDEGLYDKMEEPDDDENDMLD LAFGLTETSRLGCQVKMNKDLDGLRVKLPSMTRNLQASDFSQKNERSDN VE01_06078 MGGPMRTKRKAGESMKGRPKKLVKKFKKQTDYDSGSGDDSETGD KQDFAAVNLADSDEEEESAPVKLSTHVAADSDNEEENDEPNLDDASASDVTDASNSDS DSDADMSDADTNPNNVKIRNKRNDPDAFATSMSKILGSKLSTSKRSDPVLSRSVNALQ ASKEITDQALEKKAKQKMREEKRQAMDKGRVKDVLGASTAFDAANKEEGEPTATVQQI MEEEKRLRKTAQRGVVRMFNAVRSAQIKGEQAAKDARQKGLVGHGRREEKVNEMSKQG FLALIAGGSGGLPTGGIEEA VE01_06079 MVLQDLGRRINAAVNDLTRSSNLDEKAFDGMIKEICTALLEADV NVKLVGNLRKSIKASVNFKDLEPSVNKKRLIQKSVFDELVKLVDPHAEPFKPKKGKPN VIMFVGLQGAGKTTTCTKLARHYQSRGFKACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAKEGVDKFKKERFEIIIVDTSGRHRQEDALFQEMKDIQDAVKPDQTI MVLDSSIGQQAEAQSKAFKEAADFGAIIITKTDGSASGGGAISAVAATRTPIVFIGTG EHMLDLERFAPQQFVNKLLGMGDMQGLVEHVQSLKLDQKDTMKHIAEGVFTIRDLRDQ LSNIMKMGPLSKMAGMIPGMSGMMQGMDDEEGSLKLKRMIYICDSMTTKELDCDGKLF IEQPTRMTRIARGSGTSVREVEDILTQHKMMAGMAKKMGGNMKNMQRAQSAMGGANKQ QQMAAMQKRLASMGGAGGAGGGGMPDLSSMMKMFGGGGGGGGMPGGMDMQAMMQQMGG MMGGGGMPGGSGGAGRGRR VE01_06080 MALSKLTLLALLPFFLATPSLAVSGTGVTTRYWDCCKPSCSWSG KASLKTGPVQSCDKNDNVLANVDAKSACDNGGPAFMCSNESPWAVSDSLAYGYAAVSI AGGTEASWCCACYELTFTSGPVSGKKMIVQATNTGGDLGQNHFDLGMPGGGFGIFNAC TPQYGTPSTGWGAQYGGISSRSQCDAFPAALKAGCYWRFDWFQNADNPSVSFKSVACP LAITNKSGCVRSDDTPTGDGTVPTASVVTPVSSTSAGTTTPSSGTGTGGGTVAKYGQC GGSGWTGGTSCQAGSTCQATNQWYSQCL VE01_06081 MSLSWPERSRQERRFLRDCCPNYWGFAIYRTVYTPESDLLWEGT IAKLDEYVINSIWRYMGVTNLEVEEGEDQLDPTPTREVCARYRNLIMSDREKYDGASI DEVADHFTDWADDPNNDKSSNINMNVCLLIDEEDLYWLRDAPPATTEVRTTGNADQNV CIKVIDTLFDPEDMSECDPRYRGWALARLNRLWDLYSRVYSGDCPLSVQIFNARSKFE GGVWWWI VE01_06082 MSSPPGLECQRLTQPVKLVEEQAEQANLEIRRNSFIPFSPTVVE ALEQCDIETVRRRLDEVVKQGHPDTGWAAMHTVCHSTKSPKLKIEIIKLLLENGAEVN EAMGNEFWTPLHAATAEGDVEVVRFLLENGARIDAEDGRCGTPLTIAISFGYVAVVDL LLVQCGSNLRIQHGDHEIILLHYAATTSHVGVIELLLARGGLKDLEAKNDGGETPLLC SLSLDAANFFHNDDDFKATEKVVETLICAGANLSARDSSGRSVLHGAARYGFHAVVLK CLDNGMDINDDGGGIPQPVGWHRDGFHCTPLQLAVWGAHLELVQLLLSFGANPSLPDY EGKHPLHYLLEGWDWIKNDQGQDPSRAFANAVSIARILLDNGAIIDAKDNKHYTPFHR AVEVDPNCLPVLEFLLVRGAAINTCSDSPISGTPLHSACSEPVCLRFLLQHGADVHMR DVQGASALHRASQDGWKESVSLLLESGADVNAQDVAGRVPLHYASRFLRKDCLDLLKR YGANETLRDLRGSLPGDYLAVEEKKFETKKAQDALGRGRGGFGRGRR VE01_06083 MVRGANAFRGVLAGIQNGYNAINLGRDIIDPVGPWDEWIRDHFR SMAIRSLEFINTNIAAMRTAHQPGGPTNPITLQVFEHLVRLARDARYIDANTLIIGLN VE01_06084 MQHSSAEVIEGVDRAGHAPGTTATEMDTTRLRNGGGSVRAHPVD DGMYPTTDNKASTDRDSLRKVDIESNDTDEVKVDRVEEVRSEDEEPGAIKKFIAKYRK QIRIAIHVIVGTVMTGWWIAGLVLHRNDYGWLIPFLLWLFIMIRLVTFYVPSRYAMIP IAFLWRNIVQRVVHMIPSRFRLPLGALGTVAVILLGSMVSEETKDNTRANRAISCFGL AVFIFLFWATSKNRKLIKWHTVIVGMLAQFILAVFVLRTKAGYDIFNFIAYLAKSLLG FASKGTEFLTNADALTLGWFILNVIPPIVFFIALVQLLYYLGTLQFFITKFAHLFFYT MHVSGAEAVVAAASPFVGQGESAVLIRPFIPHLTDAELHQVMTSGFATIAGSVLAAYI SMGINPQALISSCVMSIPASLAISKLRYPETEEPLTAGRIVVPAMEDEERPSNALHAF ANGGWLGLKVAGMIVASLLCILSLLEFVNAILTWWGHYLNIGSFDPGETKNLTIQFVL GYLFYPVSFLLGVDRNGGDILLVSKLIGMKIITNEFVAFSFLTSDIEYANLSPRSRLI ATYALCGFGNISSVGIQIGVLSQLAPGKGGRVARVAFSALLSGIVSTLTSASIAGMLV SDQATLFKVSSSA VE01_06085 MPSATPRPSDLPLRPRPSPPAAASKASPASLPVHPPHTPMTRTI AVVNSSGRQAASLIRVASAVGYRVRAQLRNLEGIVAQEIAALPNVSVFVGELAPRADK GRVNTALIRELFQGAQVAFINTTFWGDEVAIGTALADAAKEAQIQHYIYSSMPDHSLI SPDWPALPLWASKARVESYIRSLALPATFVDTGIYNNNFTSLPYPLFCMALQPDGSFT WRAPFHPDAPLPWLDAEHDVGPAVLQLLKNGPPAPGSPPNRIALAYERLSPREACRAF SRALRRPVRYERGPIEIAVSIPSGYARQLRALEQLFSLGGPDAEAQPPYFGDLAQEES VPAAAMGLWEGYRGLEEYAREVFPLEEAANGLTWMHEPVERVERGLEGEEESEDEEEG LMMGKRRQEEWLA VE01_06086 MGRHRSGGGSSYRSDKRKTRDWDDPDGPGDAAERSPRALYERFD PENISRLWRLYDTQWHHKPGERFLTRKEFTDLLEEMHTTKITEIHDEGMRRIKEGEFC LTPPGSSSYFTSTCIYSTRKERGSTGQYNQFTESLLRFHNSANVSHEQDQSLAAPSEN RIMRARSNSLDAPKVTMPTIIKTQLSPTEAEHKPKLLDIPALPPAPPSTSPPPLPTPQ PTASPSPSPSEAIKQSPRPSPSPRKSRFTPLESPQPHSQTPGETPPPPPPPPQQQRQQ PYIPPTPAELDSATSVIRAACAAEEAEALARHSQQVKAAKALVWIDVIKLAGEIPCLA ALVGGASGEDGEAAAATKGGPEGMTESALMSAFAGLMRWEERAEKARECLVEAVGGLE GLGMGGRWWGWGRGLGA VE01_06087 MSQFLPHATYAEDQRYPYAILTGHVLYRGFATGALVGALAPFPI MLFRPLKYPLPLAVLRSAGMGTVVGTGVLALALAGRMYGREEIEWRDRSWRLLANKGQ VEVDTWSGVGTVMGALVARGKGWRGRLGGAGLGNLVGVGGYLGWRYGLYGGKFNKGKW EEDAV VE01_06088 MSTYQMPDSRYKRLSFRAPDYGLLYNLHKRIFPVILTILIIIAV CVVLAYSLSSRAIPRQFVVGMCITFSILAVLWTVVWAISCCRPKCAGKHNLRLESPAA EPTPAPETAAPEWDGLGPGDFYDRPKQALAVTRRKRESSRLGDLYCQVKQLMKVWADE HYPRYMYSVRTRAPAHASRERDLTVSRYAPHNRYDRWREDTAESEGMLTLRRRSSLSL SDLGMTNAEAEDLYRRQRDRDYRAARDDGRRRHHAYCETDDNRSQNSDNMEVEPPRAV LRQEIHHYNVAPRELDRVLKEKNVYVKVPDPAHSARR VE01_06089 MSHIAKIGALTDELVTTLTGLTPKNNPTRFSACRESAVRSFRYG NYPRVNQFDVEQNLVGLEEKFRVYNKDGLANAFRQRLDELGAQSTKWTPEILHLLLEL SDRPVHKSRLKDLEFLSPPEVHVEPTYKWKDLVKDDPLLRDKIWRNIDYTQDSSEDDD LYSDSDFEPPSPTDTTQSSVSGIHASHPSDLAIAIDPTSLSRLHKEQFWRATRRKPLS VVDAYFEKPPTFPITDLQAIRETLFMLRGLPTTLYSGLDKAATKDSKYASPPSNPNTV EAIIRPASGFILSGVSHHVFYNILDKFSSYGTSLKLLRTWTPVDKRLPLLQRLHEQFQ TRLRELDEIFSSLEEPYLAPMSDVIVSLAATEHAVGSRMLPFETLAKLVQEHHKSPPF RWLEALYDETCKFQLAENNDMYEFMGSIFFECFAIYLRPIKTWMEDGELKEGSGFFVK EDVKNNDRMRIWEKYTIQNDETGAPYQTPKFLRTSAHKILTSGKSIVVLKELQQYRYM RLAWESMEPVLSFQTVCGDHGNSLLPFTELFDSAFEAWITSKHRSTSSILRTELVESH HLGTVIDGLDTVYFMTNGAISNAFTSSIFDDLSRGTEEWNNPFSLTSHLRSTMGHGVG RQRISIKTAPSTADVFTARRTVKSLATIEICYDIPWKVALVIRPITLNAYKCIAIFLL QIRRASYILSGSQSRTTSAPPLYYGLRTKLLWFSNTLYSYLTDIVLRISMEEMRKNMA TADEVDDMIEIHENFLKKVTTQALLGQRLELIHKTILQILDLAIALEDAQAMHALPTT SPTKVMPEEAETDSDSDSSSDSEDEGDLSIFPDQKDTPYPERLRFIKTELDRLSRFVL VGLRGVARVGQESNWDILVEKMECGLSDGEGRRV VE01_06090 MAVAKPIRALAFASFMICIFLFYQIYKVGVQPPKGPGDVVTSYD GGDPMNEPVGEPAGVLKRETEGYAPGPAGTARINATLLALVRNEEVKGMVQAMRDLER TWNHKFNYPWTFFNDVPFTDEFKELTSAETNAECHYELIPKEHWEVPSWVNGDLFHES TRLLAEQDIQYGEQVSYHQMCRWNSGLFYKHPALANTRYYWRVEPNVHFFCDVDYDVF AYMQDNNKTYGFTINLYDAPQSIPSLWPETIKFLAEHPEYVHDNNAMEWLTDSQRRPE HNKQANGYSTCHFWSNFEVADMDFWRSQAYEDYFNHLDRAGGFFYERWGDAPVHSVAI GLFEDSSKVHWFRDIGYQHIPFFNCPNSPKCTGCVTGRLTDGDSWLHQEDCRPNWFKY VGMG VE01_06091 MVMPRLKPFSGQEPKAASPASEQKPPLDLSHHYSRVTKARQESN IKAFYKYFAIPGIGNLAGGLPNASYFPYDTLQARVALPDRFEPTSTTSTTFSPKSTES RIASEPFARIVVPRESSSSDPSSKIDITTALQYGQASGYPPLLSFVRQFTRENLHPNV PYAGGPEVILTNGALDGLSKAIECLSNSWDKTRDWVSDREGVLCEEFSFMSSIQSMRP RGLQIVPVKVDLEGILPSGPGGLEDVLENWDISKGKRPHLMYTITIGQNPTSGILSVE RRKEIYSICTKYDVVIIEDDPYWHLQYPSAALSEASARNLPPPAPVTTISSSQKSSGF PFLDSLVPSYLSIDTDGRVVRLDTFSKSIAPGCRLGWVTAQPKLIERLLRITEGTTQN PSGFVQAMVAQVIMGPQSNDRNSGPTGWKVDGWVRWLEGLRGNYERRMQIMSAVLEEG KFTIQQKRMANDEDAEWAVVKKAEMYNFCWPRGGMFLWLRYNFDSHPLVGQVDGPRLA KALWVWLTRKPYLALVMPGEIFDAVPGSTTSEGWRFCRICFAAVEEEDVQKVSIGFAR GVESFWHLRKVKDIEGIEAWAASEEREQQGVTDLGAGWAC VE01_06092 MADSPTTDKITDKIAALRQDASYFSLEFFPPKTAMGSTNLRARL DRMSRALRPLFVTVTWGAGGSTASRSMELAELCQRDLGLTTCLHLTCTNMSKALVDDA LKAAKEAGIQNILALRGDEPRGEYKDALEEGKEGEDEFVWAIDLVKYIRKKYGDYFCV GVAAYPEGHADGSHPTEQSLEHDLPYLVDKVQAGADFIMTQLFYDVDAYDNFEKVLRD HSSGAFKSIPIIPGLMPIQSYQILRRTTKLSNAKLPESIIDRLDAVRTDDEMVKKVGV DILAEIVESVKATKQRTKGPKGFHFYTLNLEKAVAFILERVNLIPSFTPVLTSDAFAV DDITDTIPLPQLLINGERKNRRQSSVGSGPHNFVIIDREEASGFETTGAEAAVPKDGV NTRANTLAISEGEGALGREATWDDFPNGRWGDARSPAYGEIDGYGPTLHTSPAEALAL WNHPTSRPDITSIFVQYLNGTLSALPWSDEPIAAEANLIKDELVKLNQKGWWTIASQP AVNGARSQDEVVGWGPKGGWVFQKAFVELFVPTSDWARLKPLLEGNGEVSFLAQSASG EFLKSKLDLGPGEEGSETNAVTWGVFPGKEIVSPTIVEEVSFRAWAEEAWQMWEEWAA VFGKSGEESRRFLDGVAKDGWLVNVIWHDFVGMCKAKEGRSEEEGYKGLWDVLIEA VE01_06093 MPNWKTYESSVRLLSAILAAHPDLKLNYAEIAKAFGGDCTKWAI DNRFRSLKTDAKRIGDALASGLDPISLDIPSEIAAYYGDCTPYALDHRFRKIKQSAKQ MRDEKGIAPIADKYEEGVTAKAVSTRFERLKKEPSWLGNSTNGDVPRSAAQKAPRTPK KKAVKKNVSDEDNEDEEEPEISPSKFTPKESLNKTKGGRVSKARTPRKAAAAIPTYVE SDAEEDEDDDDNADEYTEEKVSSIVVKSESNEYGAVTPNHGQESQNFAAGDHAGNSFG HHSFSSGFANGNSNGHSNGHSNGQLGGYDMEDEDEFHEARNNQFGIGYDDDAV VE01_06094 MGAVLSFVTGFVAPVFIIVSPITSYADQIAAIYRSKSSAGFSLD IPLIMLAASILRVFYYPGAQYDMSLLIQATIMIIVQVILLHVALENRPSPSSKGGEAS QPFAGIKDGESGNARPYNFWQWRSPKPYWQFLLYLIITLMACELMFAPFGFAYDFYSS TIGYLGLAIEATLPIPQILANNRTRSCKGFRFSVLVSWIAGDAMKMLWFFTATTEIPW AFKFCGMFQACCDSFLGVQYFMYGTGEAVPSHAHSGISIPRSPRAVHIPMLEKDDRLD VE01_06095 MEYLTLLGEDAYTSSSARKIQDLIAKTSSAKVDEVSAVYIHYAR LKQATNDVAKETDAKLRQLLPNPRPDFFREVSGPSAANFKVYYVTPRNISPWSSKATS IAAVCGVKSLERIERGRAILVKFAEPFEGSDDSFKHVLFDRMTENISSSEPDVKGMFA EGQRIPLEVVDIFAEGANPIDILKGYNKERGLALDEPEMEYLIQAYSKIGRSPYDVEL FMFAQVNSEHCRHKQFNANWTIDDVPMGKSLFEMIKNTHKNNPKWTVSAYSDNAAVLE GNFASFWAPDYNTGSWKATKEMVHYIIKVETHNHPTAISPFAGAATGSGGEIRDEGAV GRGSQPKAGLCGFWVSDLLIPDFEQPWEIDFGKPAHYASSLDIMLEAPIGSARFNNEF GRPCLTGCFRTLLTDTGSNEAEDYRGYHKPIMIAGGVGTVRPQFAIKRQGDVKPGAHV IVLGGPAMLIGLGGGAASSNASGEGSAELDFDSVQRGNPEMERRAQMVINACCALNDA NPIAMIHDVGAGGLSNALPELVKDAGYGGRFELRQIESADSSMSPLQIWCCEAQERYV LCVNEEGLNKFVNICNRERCGFSDVGSVTGTIGGNESTLVVTDREGNEYPKPIDLPMT TLFPRDRKLERNVKTKKNNLKAFDSFQSLCSLKTTKSCRGSAEFLHRAMEIVLKVPAV GSKAFLITIGDRTVGGMTTRDQMVGPWQTPVADVAVTITSLATGGIQTGEAMAVGEKP ALALISPAASSRMAVAESLLNLAAADIQGGLDRVRLSANWMAAVNHEGEGSALYEAVQ AIGMDMCPKLGISIPVGKDSTSMKASWKDSSNGESKSVTAPVTVVISAFAPVQRVSHT WTPALRRLEDVGETVLMFVDLAQGKQAMGGSAFAQACGQLGNESPDVHDVDLIADYFD AVTQLHESGIVLAYHDRSDGGLFTTIVEMMFAGRCGAEIMLDGVAKSDETGDVLDALF NEELGAVFQVKKSDEINFIRCFATCGPPPGLVKKIGRIPAATKQELSIRYKAETVTHL DRSTMQEWWSSTSYQMQRLRDNPASADSEFSLIKDNKDPGLSYNLTFDPKESILPMTT LLSSPFIKAPRVAILREQGVNGYAEMAFAFKAAGFDAIDVHMTDIIGGRSLADFVGIA ACGGFSYGDVLGAGQGWAKSILMHEENARPEFKKFFERKDTFALGVCNGCQMLSRLSE LIPGAENWPIFVDNESQQFEGRVSMLQIKDNSENPSVFFHGMDGSSLPIVVSHGEGRA QFKNNTDLEALTAAGLVPIRYTDNYGKVTEKYPLNPNGSAQGIAGVTSRDGRVLAMMP HPERSIMASVGSYIPDGMTEEWGEYGPWVRMFKSARRWVG VE01_06096 MEKFLRDWRQDALNKHQYDSAIFIGDKLLALTNNDKDAFWLAQV HFSTGNYTRAQSFLAKQDLVSRNPSCRYLAGHCLIKQGRFEEALNILGENNPTHLIGT AGNNRRKMQHTGGHTRTNSVHSKTSKSSKRDEAAQEEDANIRFEAAMCFLRGLCYAKQ NAFDRAKECYKDAVGIDVQCFEAFEQLMKNCLMSPDEEWQFLESLDFDAISADDPSSQ EAAEFTKMLYTTRLSKYKNPVAFTTATETLSTHYNLASNPDLLLSKADLLFTQCRFKE ALRITSSIIQGDKYNFAIYPLHLACLYELNEKNALFLVSHDLADNHPDEPCTWLAVGI YYLATSKIAEARRYFSKASMMDPHFGPAWIGFAHTFAAEGEHDQAISAYSTAARLFMG THLPQLFLGMQNLLLNNMTLADEFLKTAYGLCKTDPLLLNEMGVVFYHQDHLDNAVTM FKTALTIAVEIDSEPSAWISTQANLGHAYRRLGRWDEALESFEEVLRMGGKDPQVFCA KGLVLMEQGKAFEAVGVLHEALGISPQDSIATELLNRALEETAEGGMGDILGEVDDEA WERELEERKREAKVREMGKGKERYRSAMRGKGRGSSRGVEVDSLMELSSDD VE01_06097 MLIQRLQPPLRASLTSIARSGASNSHTGLHEAFAALRLCQKETQ GGNLNFVRYATHKAQGAVNKAKDGPGKRLGCKKSGEQYVIPGNIIFRQRGTHWFPGDN CAMGRDHTIYATESGYVKYYKDPERHPKRQYIGVVFERNQVLPLPRNSMRRRRLGLAA SQMEFSTVAETPEVVEESAELQGEEGAVQTQVSAPAPAKKVRERKGEEGRVLTLRPGY MYREANWEIGRAAERAKIQVRAFKPRDRWTAWKKATVRKVANAGKRGLRGGGKQGKK VE01_06098 MFGRVTLTSVLLVGALAATVNAQFGNMFEQFMGGQQQRQHHQAP QNVASDSAWYQQTYGNAHCSNYLCPGTLSCVSVPHHCPCQFPAVEDKFELGDGSAICV SKGGFKANEAARKVELARKGLL VE01_06099 MNSDEVATLVSALSAPDYKAVEPHLLKLDKYFTLRTYFDGYRIS DADVKLWVTLRSNKVTNAFLKKGSLANLTRWFVFVEESHPEIQSEIKVADDAVKAKKA ALSKAGASYNIALQDADQGVVTRFPPEPSGYLHIGHAKAALLNDYFAHDLYKGTLLLR FDDTNPSKEKQEFEDSIIEDLALMGIKPDRTSYTSDYLQVLYEHTIRLITEGHAYADD TEQEKMRDERWKGIASERRERTVEENLRIFEEMKVASEEGQKNCIRAKMSVDNPNKAM RDPVIYRCNLLPHHRTGTTWKMYPTYDLAVPIVDALEGVTHALRTTEYADRNDQYQWF IDILGLRQVHIWDFARMNFIRTFLSKRKLTKLIDTGKVWGWDDPRMPTIRGVRRRGMT IPALRDFILKQGPSRNIVNMDWTNFWATNKKVIDAVAPRFTAIDKENTVRATIIGGPD KPYTEEKPKHNKNPEVGTKKVTFSSELILEQADVKLMKEGEEITLMAWGNAIVRKIVG SDPITSVELELHLEGDVKKTEKKVTWASFEGQTLIPVELVDFDYLITKDKLEEDDEME KFLTPVTEFRSAALCDQNVQDLKVDDIIQFERKGYYRVDKAYKDGEPAVLFNIPTGKE AKK VE01_06100 MASTRPLLAQLNRLCISSGPRPMVYRPAALIHNSIQQRFAAKKA GPNKYAEKRKAQKLAEKKKRKPRTSYIQYNLNDADQFALCDAMRYIRAAEVGRPATQV KYEVALKVKSVKNGPVMRNRLRLPYPVKTDLRICVICPPDSKYAEAARAAGASLVGEE EIFDAIKDGRIEFDRCIAQSESLPKMNKAALGRILGPRGLMPSSKTGTVVKDPAVAVR EMVGGAEYRERLGVVRMAIGQLGFTPEMVQDNIKVFVESVKKDMAQLSEKVNKEIAEV VLSSTNGPGMTLSGDFRSPTSTISPKDLTVL VE01_06101 MNGFYFGGDSASASSADDEDNLPYPEALPRSDFLVPNFDASDYL STLADRHQTLEDLRTDLRERSQALSKELLDLVNVNYEQFLSLGSDLRGGEEKVEDVRV GLLGLKRGFEEVRGKIKAKGQDVDGLLSEKSQINKEISAARTMLELDATLEELEENLM MGSVDRQTRDEVWSDSEDDEDEGGLTPDMATGGANIRKLQRLVKDYCHVVRMARAAGH ENAFVATQQQRIGRVRGVLLLDLNTALKEANGSDDSSRARLVKVMGIYREMDEAAEAV KVLNGSEFRG VE01_06102 MSTPSSTSSTANLAPAPPTLAIKPVSLAPNAMGSPMNSCDSPVS LGPRREWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQLKETE EERLKREAQTKAELDEARSHVSKLEADMQRVLNEVIEWKQKYHRAEDLLEAERAEKAA LNTELIYLRKGARAIGTDAVVLPPRRNRSHLKPESAQLHNPAPAANSDPLGCGNCTAT SGCACVEKVMAMATSTCGRCSIDSHCECLEETLKGPDSAMEVEVKRSRDSSPFTNSKR TRMSIEDNTPQEIDFTAMFSSKPIYTPEPPPRDYGTANTASRPPPGESCGFCDDSTYC ACAEAAEVAARELEHENRLPPLMSEVTPPPSDSDVNAMESYKLPSLYPNRHIQSLEPP PKSSSNPSNSCANGPGTCQQCQDDPKSGLFCRSLAAIRAADPSFSGCCGQGGPGGCCK DTPSQPPPRPEPRKARPVLPGPSLSCAETYKTLASHRNFEAASDEISKWLPKLATAPP RYPGREATDIDAASVMSVIKYFDVRFGRE VE01_06103 MQRSTSLPGPAHASPSLPTSEPLFNSKNAFNQTSRPPSSNPRHA PERQSPYASFLKPADQGIRDTNAQEDATKTPSAEPSKSTSPTLHKPLLEDVSQYTTID MRDAAIEANSTTLEELAHLVRLSTYQERKRRQTRVRLQRSLVSTALSARLARCGELAH RTLVDSFRSDDKKTFATLNNAIHDVSNSCDATRRFAALEPDPDIGQPGHGRPDEPGTY WTFMHEIPTQTRDTLLNFLTQIRTNPEYLATRICALTPSELTALTVFHQGLEPVDSVL PFHTRPRGQAASLNRPSAHAPSPVERLLSFQRHDPLSALIHTCFANSAGPDSSEHLRR TEIWATVCARLISTENKIAIDPFICSVLNIWTAMREWSGRSNLEWYLMKILEDGAFIV EKSEGQSGIRAHGEPRNVKDSIAAEEFFDSAIKGLFEIVDDPGAEGIPESVLELGNAI LRKLDPRKHGATKRFLVSKWLFLDFLLNAIVHPESHGMMTEYHISEYARQRILKEVAL RAGRLVVDLTWKQSPATPPDIKVHIENIMGRFRTSKVYRSNPKLLPARSITSLRETAE VRPYLVVSPSDLVTMVNALFPERRPTSGSAASESHFGGFPSSASSISGFSVISRPPSM APTSRGFDTASIVSESESSIFSDVTSREPLLDDNSVATHRFSSTSISSMSQGPKFDDE GYRLRQAIREMSHTLGQDVISGSCHPCAEQWTVLFMELDGTHLSTQMLHDEDEMEDEE ETSSSDSDADTQRSRPDLDKDYHQLRDSILKLVGEYEIPRSIDDTNDSRTFSNRANIL EGRKANRLYRKKNSRGLEAQSRNPYRAHTLASPTIPDDIREDQQDDKTRHNEANDANS SVLLDMLEAAEKQCQAQSDFVNAHLYWKTSQQLHSLSSSSLRQNGFVSLLNIFSRGPR DSIRRSAAAIEEYDAWLVWLKQLQERHDTMIEAMMRSYRALRDKMWYVTDVRNSAAYD GTRNVALALRAMARPKNNKRPISATSRARNINRPSTTNNFLVRTETQVLDILAASDEH GGPNKLSDEQSDKTSKWLSQFGIENFCKGEERIHRFCLEIDSCISKLVGDSLMDGPVL WSSELFYRDKRYLDTGRQKGDLVLNGFGGLGISGDGTDPDSSQRGSSRGIDINATARA SPRDLRSMSMRNGSQQSFDSSKWGSSRMSVSGDIMDSQDYFGHASPVLAIDTATTFWS PFNQQVRSPTPSVRSFRPGTSSTTNDTVMDAGAETINAPTKQTFLMELKQTLTALLIS DLGSLVFSRGSETDSWFSGDLGQECMDRKARKDRKAKRKSKRGLEKKRSFRDLRAAHS KETQTSESSEVPEKIATRDSSRLSHGSSTPSHHSRTNSSATLDASTGVSMRQQTRENT PTDFPYKAAFRKLLTMFSVHPNPYSKLNALYELEHLVIASLTTIPRRKAMKHGSYMAS PRSSTFPTDYSREPSFVTPRASNVGEAIDNCKERRSHAIYEAEPAPPARKAESRSMTS PAPATTDMIVDVLQDLFREAGMRPKTLFRDLQYIAAFIPASVLDKTDRGKAFWDAGLA ALGLKQDVCRTMIDIADEIVQHYTSTRQPVPPPMAPGQSQNSSRGSVDSNSEPEIMQY GMQDAARMWTITAKEGDPAAERELAIFYLTHPELVERTTLPLSRPRETFKAQVMEMHA GGGEGDRERSDPATMCAAYHWMELSSQGGDELAKKYLRQREELNALP VE01_06104 MDDLNGLEWSASSNSSGGASKVPPRGTGSYFGSISTQQPTPPLG GSRTSTPISAQRSGAANPNPTSKPATDSFANLVSFGPAKTATLTLQQQQEKLLAEKAK KEEEKRKQYDKEFGNTSFWDGQSGKAGFGRSPTGSIAPSTTSRSPAPPTPSARVNTNP FSAANRQNIGKTNGEEEEDLFAAFNADTEVDKSSYYPPPSASPETSAPTQDNQLDLSN PAAWKQSAGTDMLEAFQDDDDPFGLGQMPQRGKSPAVTVSKADDDDDFLGDLGKPVEE VRRRERVPTPQQAPPSPIESERPVSDDPWDKAVNELVDMGFTAENSRRALTESGSGLN IQAAVGWLLNDAHRVAKEKAQGRSGSRPSEPSRESSSNGARAAAETSGKEAIPSWMRQ ERGQSQSRREDSRSPASDSDVAAKAAAVGSNLFKTANSLWKTSQKRVQKAVAEYQQDV DPSQPKWMRDTGAAEGQRPPEKAPLPIDPRERGRRGEPSSSSVTDEALMLEIGSHPPP KRNVRAEPSDRAPSSASSSRGPSPAVSNATGGRSTPLPRRQQVAPTSAMDAKSRLTKQ ALEEQSAQAYVSPSRRKKATPSPKPAEEPDLLFGDSNGKQTSLPSRPEPPKTNVPATR TPQARQSKPSAPIPVRPKAPERTIPPVSPSALAMSHQHRLAGTAHFKRGDYAAANDSY SQSLSPLPQGHPITIILLCNRSLTAIKTGDPKTAVSDADTALSLIGPSRGSNEVIDLQ DQSTTDSKKSMTEFYGKALMRKAEALEHMERWADAGAVWRQAVEGGAGGAIAIQGRLR CEKALAPKPKAPTPRPRATPPSRPTAVVKDSEAVTRMRAANAAADKADDEKFELSDSV DARIAAWRDGRRDNLRALLGGLDNVLWEGSGWKKVGMHDLIMNGKVKINYMKAIAKVH PDKLSQGASTEVKMISSAVFSTLNEAWDKFKAENGM VE01_06105 MAWQDQATAVSSIGLRLAAYVFLRWIPTPVCPPIIYTLFALYIP SFIASYLGRSQYDVIADEVDVKVHNTQANGQPQSYADAVAGSSPDNDDGGHSTTAQED FDVEETIILEKKGPKVLKTLLTGLPSPTSRFISIATFLINLGLVLAATDLIYRAKVQY PSNDLSFARLGYVSDSEAKLLVREPDRANLPIYVSVRNLDPHSGVADSGWRSYGPITN LSNDTDYTSAISIPLPRTIGRAGRNKYEYVTSNNHKGFITSAPRIGYTSEPDGKFTFL TSSCIIPRFPYNPFKHPLSIPGFKHLAKVLPSLDAQFMLFLGDFIYIDVPKRFGYHVE DYRRDYRQVYASPDWPAVSQNLSWIHVIDDHEIANDFDLNTEDHETSLYQTAIEPWNN YQGAVNPPVARKSSIYNRDRTDATYFEFTQGPATFFMMDTRRYRDLNAGLPANETGKS MLGNEQLHDLLAFLARPVPRGVRWKFVVSSVPFTKNWKVNNLDTWAGFLDERQKILEA MWDVGLKGGVGVVVLSGDRHEFASTAFPPPLGGKWPLSSTVHEFSTSPLSQFYLPIPS YRQTDDDDVLVKYIAEGNSKFGSVTIESPEASDQSLLKFRLFIDGEEAWNSILLSPPM LKGKGRSKDALWG VE01_06106 MSTQADLGAKALAAKDYASAITHYTNALKTANSPLWFTNRSTAY HRAGQYDLALQDAEAGVLAARQRARRELITAAQMRRAIALYSLGRLGDSRLVLTWVRK LNEKEKSLGVWQLKVANEYEKLPEDSEGRKVTVTEVPDEAKLPEVGKTVVAEEKGKAV TQVPQTSTTPAQTPKEKIRHEWYQSSNKVTITIFAKGIPKEKAEVTIAEDSVEVNFPI GANSSYNYSLDNLYERISPSESTFSITPNKLEITLHKTSGTKWPALESATRVPALATT DDIKDSTPQTPASTAEKAPSYPTSSKHGPKNWDALAISALAAESTGDNKLGGDDDDEA DPLHGFFKKLYKDADPDTKRAMMKSYTESNGTALSTNWADVKKKPVETNPPEGVEAKS WGK VE01_06107 MSDDAAGSQYQVLEELGSGSFGVVYKAIERATGEIVAIKHIDLE SSEDDIQEIQQEISVLSTCASPFVTQYKESFLRGHKLWIVMEYLGGGSCLDLLKPGPV HEAHIAIICRELLLGLDYLHQEGKIHRDIKAANILLSSTGKVKLADFGVAAQLTNIKS QRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAMELVNGEPPNASTHPMKVLFLIP KAPAPRLEGNNHSREFKDFVAQCLVKECSKRPSAKELLKHKFIRSAGRVEALQELVER KQEWDGGRNRPSHPRFYEEVLTSMTPKDDSDAWVFDTVKAKTIHKSTSKRRKLSVIPG NIQNGQTGAEEALRRLDLKDAPLTMSSPSPGTVRRRTVQKQPSIMQLPLTPTKSSKNT SPKKPLQPDMNFGNSGSTVRLFRRVSDNSTAGVVDSSIEIRDENRPPTVETISKEAQL GRRAYNKAVDPSFQEVHAQTSSQKKREALSRLADAWSAFDAIDPDGEVQLLKLMLEKV QADPKLRFLASASPSKDQTPVCTPRKSSQAQVSPGPKLVMAQNNPHLRSHRQRRESMQ VADREWNEKMHNLPGQVTPGMEHTKQLADVLYGRWADGLRNRWGAL VE01_06108 MALEPPGLPSRFPCWCRAVYSWGGESKRDLGFIEGDLIECLNAG DGSWWMGRLRRDKRVMGLFPSNFVQVLDDSFQPASRATSPMPDRSPSPNPYNPQAPKA AKAKPFRKPFQAYAAPDLAAQKRAELQRQETIAKPKPPPPKPSPSKSIHDRIGYVPPP KQIGGYGARASPQNPQPTQESRYRAPSPYAQPTHEPYSRAPSPYAQPAHESHPRAPSP YAQPAHQSYSRAPSPCPPMNHQEPPRQTSPYAPSTYETHSRGPSPRPQSAYQAYSRQP SPCPPADPYDNYRAPSPFPDNSYQPNQPEMPSNSNRPRRDSSPPPPPPPHRHTYVPHT TDSQTYQNQSNAYHTPRATSPCPPSPGATGLTPSPLRNAMDDVMSSLEGMGLSRENET PEPPLDPWSPEAFDETYSRASRRNGPHRSAASMDDYSANQQFDGQFQDPQQQDNEAPQ LSNYVQRMESRLRQRHPRNSDESSINSSMGPPTVPPKFSSVSRPQSSMADLAQFQDRP KSSMAGAFGEQPTLRHKASSFGTQEARKLRHRKSAYEVGKQMLGRTFTTKSTSTNSSS GVQSTTTNESASTQLTDRSIMSGHSAGAFSATSAGSLARKKDSFHVRTRSALGMRGND FAAIQNGFEQESRPHTPISGVTYHSSHASGPPRAQSQMGYNADSSSALGGLMAPKPKK SGFFKKIIESAKTGAASSRKTIVTGEASRPLSSRSMLPNGITAIAGGLGSGQGNNGLD GDGSGADWVQVRRDVNRSNSLSRIERLERKERCQMMDYPAISPVDELYDTTQGDEAAD GTAVMEPTNYQTVNLSLVDKNSRFISNLPQTMNPSSLATGYICRPYRSDVQRLRAIFT WVAEKISWEEDFDGEDPVNPRRVIQSKRGSPEEVSVLVMEMCSAVGIHCEVVRGYLKS PLEASEVGLVPRSNHWWNTVLVDNEWRIMDCSLASSTNPLRSLYSSTGPTLAESWYFL ARPMEICWTHVPEHHQHQHLSPPVAHDVLLALPCACPPFFKNNMQLQDFNTSLLRLEN LELAQVRFTVPADVECVAEVETRAFKRDIDGDVFESGEIVKKRALAQAEWVRGSKQYT IKALLPGDEGSGNLKIYAGKRGLMHSIKDIPHPIALSLPINHTGSNPAYEFLVRHPTP HAQRHDLYVAQPQCQLLAINNTFVFAIRQHPSSIVASQGAMSPVPYSRPESAMSVTAS SASGSNPSTYASKKPAKLAIQSPSGKILRLMRKEDRGGGIGTGGSTEIGDGGVWETII KCGEKGVWRGLVLADRSARWCVFAEWTCA VE01_06109 MATSTAHRRLLQEYRALTNNPPEGITAGPVSEDDLLHWEALIQG PEGTPFEGGVFPAELKFPKDYPLAPPSMKFIGEVWHPNVYPSGLVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKQWREHRAEYEQRVRDGC RRMLGL VE01_06110 MSSPLRIGFVPEHFSTPLHFAQKHFGLDATLIPFPSGTGHMITA LRAGEIDVGIGLTEGWVAGLGKGDMEGDGGYRIVGTYVDSPLCWAVSTGAQRNDIQSI ADLKDSKIGVSRIGSGSYVMGTVLADQQGWLTPGTPPFTFTPLQTFAKLREGVNDKTV DFFMWEHFTSKRYYDNGEIKRVGEIYTPWSSWLIVASTSIGETDSRLKELFESLDKGV KHFLENEEEAVKYIYTSLDYSEEDAREWLKTVKFTNGIRGANEALVGKVRDTLLKAEV VEESGLGAEQMVAIKR VE01_06111 MHNVGFTPRPRLRGRPSFYDLIKSSAATTPRPDLAADSFPVVNP RLHKELHDLIASTIPLPTSPTHQRHRSLPQSTSSIPEEVAPLPEPVTLPHTDITMGPK KSEKKPEGAKDVEAEEQYGSIYSVSGPVVVAENMIGVAMYELVKVGHDNLVGEVIRIE ADRATIQVYEETAGVTVGDPAIRTGKPLSVELGPGLMETIYDGIQRPLKAIAEQSNSI YIPRGISAPALDRKKLWEFKPLMKVGDHITGGDIFGTVYENSLLDDHKILLPPRARGT ITRIAEAGKYTVDAKILEIEFNGKKSEHMMMHTWPVRVPRPTTEKLAADKPFIVGQRV LDALFPSVQGGTVAIPGAFGCGKTVISQSVSKFSNSDIIIYVGCGERGNEMAEVLMDF PELSIDINGRKEPIMKRTTLIANTSNMPVAAREASIYTGITVAEYFRDQGKDVAMMAD STSRWAEALRELSGRLGEMPADQGFPAYLGAKLASFYERAGKVQALGNPAREGSVSIV GAVSPPGGDFTDPVTSSTLGIVQVFWGLDKKLAQRKHFPSINTSASYSRYTTVLDKYY EKDYPDFPRLRDRIKTLLSDSEELDQVVQLVGKSALSDTNKITLDVAQLLKEDFLQQN GYSDYDQFCPIWKTEWMMKAMMGFHDEAQKVISQGQSWNKVREATSDLQSQLRSMKFE VPSDGEEVIVAKYEKLISDMNEKFASVVDE VE01_06112 MCIPWSLTKRRAVVTVGGYLIKQPTWHIPRTATHSGLLLLDLDK RSYTNTHPRVGAHDLNRALIRSYSRITCGTPAIVVLADDNSPTPLLPPPSTSDDPTTS TPSQPTSSTESSAQPSDTARNPIVDNRTSSTPNLLSSENPDPVRTNSPQLPRGTQSSR RRRREPSPANNREAQDHRSFESLGPPGETTNEPLPKRRRRDPMMRLEGDSNNTNGGPR SFTNGSTAESPVSKSLNGLTKTVPAINGSTTSNGLAEKAVTQESAYFGHDREEVSRLL IQALSDLGYNASAEKLVQESGYDLESPTVAAFRNAVLRGEWADAEELLFGHATPSVTG GTGISNGLVLAEGADRDEMRFWLRQQKFLELLEARDTGRALMVLRTELTPVYHNVHKL HFLSSLLMCPSDELMSKAEWDGAHGQSRHILLSELSKCLSPSLMVPEHRLAVLLDQVK QSRIANCLYHNTEISSSLYSDHRCDRDNFPLEVAAELHQHSSEVWFVAFSHDGTRLVS CGAEGKALIWDMQSLQVVHTLPDHTQGVCFASWSPDDKMIITCSKDRYARLWDTETGQ LLRTISRFEEPVSSCAWAPDGKSFITGCLYKERNLCQWNLNGDLLYDWNRPHRIEALA LSQDGSLLVATDTECHLHVYNFVSRELEYEMDLKVKLTSVSVSRDSKHVLISKVDGEA LLFSVEDRKSIRTFAGQKAGKFVIRSDFGGADESFVISGSEDGYVFIWHKENGSIVEK LEAHRPGCCNSVSWNPKNPRMFATAGDDQSVRIWCNQSNSGPLLQRGHLG VE01_06113 MAPQRVITVIGSINADLVTVTDRVPQGGETLTSKSFGTGAGGKG ANQGVAAARTSRSNPKNSSSAEALQSDVDVRFIGAVGSDPFGPSITAGMKADGLDVTR IKVVEGQSTGVAVILVEEATGENRILFNPGANYTLRPEQFTNIKDLGTPKPDLVILQL EIPLDTVLTIIELCKAANVAVLLNPAPAVPLPDTVFVGLEHLVVNETEAAIISGRSVD SVNAENFDWDVVTDDFLNKGVKNVVVTLGGKGSYSSSAIGKGSLLRANKVKVVDTTCA GDTFVGAYGSLYVKALEKEGSWDLKEAVRKSSKASELTVQRQGAQEAIPWSDEINWD VE01_06114 MTSNQGGISDPGLITLVNKLQDVFTTVGVQNPIDLPQIVVVGSQ SSGKSSVLENIVGRDFLPRGTGIVTRRPLVLQLINRQPPETTTNGVKGEDEVSNTTDK EANVDEWGEFLHIPGQKFHDFNKIREEIVKETEAKTGRNAGISPAPINLRIYSPNVLT LTLVDLPGLTKVPVGDQPRDIERQIREMVIKQISKPNAIILAVTGANTDLANSDGLKL AREVDPEGQRTIGVLTKVDLMDDGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDNKKA ITAALENEKNFFDNHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISASLQ KYSTELQGLGDSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSVELSGGARISFVF HELYANGVKAVDPFDQVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEEPS LKCVSLVYDELVRILSQLLGKQLFRRYPQLKEKFHAVVIAFFKKAMDPANKLVRDLVS MEACYVNTGHPDFLNGHRAMAIVNERHHQAKPVQVDPKTGKPLTAAQAAAAPQRAASP SLDGSTDANGGFFGSFFASKSNKKKAAAMEAPPPSLKASGTLSERETVEVEVIKLLIN SYYNIVKRTVIDMVPKAIMLNLVQYTKDEMQRELLENMYRTDTLDELLKESDYTVRRR KECQQMVESLSRANEIVSQVG VE01_06115 MSASPPLAGIRVLEFAGLAPGPFAGLLLADNGASVIRIDRAVPG STHSDKLPPPTTDALTRHKASIAVDLKDPSGVEFVKALVKNSDIVIDPFRPGVLEKLG LGPSDLKAVNPRIILGRMTGFRRDGKYKDMAGHDINYIAVSGALSLLGRKGEKPFGPV NILGDFAGGGATLFQGLLLALLAREKTGKGQVVEANMVDGSAYLATFPRLARKTPLMN GPRGDNMLDSGSPFYDTYETKDGKYMAVGALEPQFFHELVKGLGLESSGIEETRYDRS TWPEMRELFTRLFKEKTRTEWEGIFDGTDACVTPVLDLDELETDPSREGDVRPSVTLT GTPGLAIKRDNPSTRDPATGQGEGVRGDGWTGFNLRPSQGGEKVLQEWLGWSRSNQYE VQNGGLVLKGKAKI VE01_06116 MSGNGPDNTDASSIKPVSSLLSHFEQLTTPAPIGNSIRSRSQSP NPITHQTLRSSPASPTGLHTQELAPNNWQNGSGRLQPTAAEVGRQRPVSTGPRFGSQY SPAVTIEPPKSPPKSSNLSLTIPGSRGSLAPETPIATSAPGIASPRHFRIPSRPQTPL VESRKPPLLSPSPASQPPEPPPPRRSGELRREPPAKPLPRAAPIPNRLEKPKIPAKRS SVYGQTTDREAMTPVDTQPTAYREFPASMPTSRGVSPNRPFMVAPAAPSRPLVHDTEL YSPAPINLAAAKRREFEPISRSVAVESSDEQKPSLPARPRPPPSKPFINRSMSNVMPD TRANNQQDLQPYAQNPHIVDRSVSLSRTPERNISASRSHPPTPPRSYPRSMSVDQTGM RKLTDSQHAPRTTYEAPEASIPRSSQAQPPTAETSPSSVEYPDPSRSNRRPPIISQSV REIPIKFDARIFDVIGEYACSSGTFTRAWNLVTGDQLLNLNHGETVKIMSISFKAFSA PEEEGQRLWLGNNVGDLVEVDIASQSVVATKSAAHSRHEVIKIYRHKDEMWTLDDVGT LHIWVPNRAATQSFDALAQTFRVPKGHTFSLVAGNELWYATGKDLRVFDPTASEGAPF QLLQRPLCQSSAGEIVSGATINSQPDRVYFGHTDGKVTIYSRDRFTCLDIINVSLYKI NSLVGVGQHLWAGFNTGLICVYDTTQVPWVMKKDWRAHENPVIGILADRNSSRRLDRL QVISLGADNLLKQWDGLLREDWLENEMRARDAEFCTFQNIRTLVMTWNAGASTPSSLR NSDQDASFVRNLLNSSGSPDILIFGFQELVDLEDKKATAMSFFKSKKKDAHSDQERMS HQYRDWRDYLIRCLDDFMPKNELYHLLQSSTLVGLFTCIFVKSHLRDRIKNLSASEVK RGMGGLHGNKGALIVRFVVDDTSMCFVNCHLAAGQAHTKLRNHDISAILESNVLPVER DSSARLDSYIGGGDGSMILDHEVCIINGDLNYRIDTMGRDAVVNAVKAGNLAKLLERD QLLVSKRKNPGFRLRAFQEMPIAFNPTYKYDVGTDTYDTSEKKRSPAWCDRILYRDGH VVNRIKQFDYRRHEVRVSDHRPVSALFEMTIKSVLPRERASTWDRTVQGFEKVKKRLL TN VE01_06117 MNTVKSFWVGWGSLCVAGGAAYYFAKKSINADRQTRFQEAEAKR RRLASLEHPGYGQGGGSSQSGKGGGSNMSPSQEASSDPAPTRHDPGSESQRVIEKSKY EASQPYQTKKGDRFS VE01_06118 MASFQCHSVYNANYSSREISSRALSLIFRRRENDLIYHTKIRDR DTSVADNFLPLLANLFSSATSFKNSPKHLRKVENLLDIWAEKHYYSANDINKIQQAVE AAQRSNSTTNEPADSDSRAISNIATKSAKDVPFTMPAMHGDQNLPWYDLPAGNLMQHI IPNSTRPIDPTLVKPMRFVPGQVDEELVASVKAFLEEVETIYDPVACHDENEPPTWDV DEVGRRIYRDDLGDVVAGDEGYYGWSTALCEKMKKRMNKTKSDGPSGTERSRALSRNS SRSRSSSRDSHHAKKRRYAGSHGGSRNRSRSSSRSRSPSQSRFRSRNIPFEGAKPEIP TPHSAAPPYHQQHQQHFPPPPPQSTPHHADHGQQYKPWQQPPPPPQFPDASQWQQQQP THGYKATPGGWAPQYPPPGRDSGQQPGAHGGTGHGHNNSHPGYRGY VE01_06119 MTAHISFIDGNFSLIHIPLSLYSSFLQPILCVLLPHDIVVPKSA EARDHMPDPSPIHGKQGFINISITPIECSIVCRTDYAERVFQPAIELLPKEAREQVTI SRDPYLVFSISSAGMEASQRVMDLTAPLAMAGISIFFITTYYTDFILVPAKDHKTVVQ ALLEQKFEFSESDAAYVAPSGISHSRDTSSEAAKPSTPPPSSVVELQERTFRLLSQRN VVPFTKDGLYLAQCSGKELDSNSNYSSRPISNDSNDAPAHSTWLQKVEPNLYLSLVAA LAIQPRFLSVTLTKDDAPSLLIDKALLYLFGNFIAGDTDCDLVPIFLDLSNLPLESTG IVCGVAGRLVDQTRSFDTDGGELTYLSTARAGVVILPSERSEAALTALVPPLEAT VE01_06120 MLVDPVMPQYTKLSSLLPTATAHPSVAPIPTVIPDLPVYQELGH AGHVALWVGFIIMLLSTLAFISMAWRVPVEKRVFHIIATFIAAFATISYFGMATGGGN SFVHTIASKYHKHTDPVHHIDVFRQLFWARYVDWLLTTPLILLSLALLAGLNGADILV TLVADAAMILTGLFAALGETKGQRWGFYTIAWLAYLIVVYQLVVGGRRNASRRGTGTA RLFGAVGGFILILWTIYPIIWALGAGKGKLSVDCEIIWYVVLDVLAKPVFAIWLLSTH ARTAPTIEGFWSHGLNNEGALRLDDDEAA VE01_06121 MPFRFAHICDLLERLDQVYSRYPPYLPKDATQKSRDAVLYWFKK HGQKIRHDANGLALLSTLFPERTQRVHELDSKNLEKIICRALSLPSSRVTDLSRWREP GAGVGDLGACVERVVNQDVKEETAVQSRASGVTIEDIEQVLVSFASQTPLSPPPARPL ALDGTCGARTASLGRLYQRLPARESKWLTRLILKSYSPIIVPDHLVYMLYHPFLPDLL EVEPDFSAALFLLRGMNIPALVH VE01_06122 MDTTKQQQASSSKLAASPTILSANDMPPAIPEATPAPGEESSML PPPVPEPRSRPLSLVSKKNNRLSISFPVQPSETMSPGRQTPTQNSAFPSPLVANQSAL PSPQDSAGFMQALAGQERKVFELREELNRAEVELKKLKTQWAIHEADKKRAEIRLVRR LEPMQLEDTIQGQATPLGDEDIARRSAELDRRKAILAANVTKVSRRKIITGGHTRALS LLSPDRSPNGQYPSFDTVERSRDSFDVGPGLPAIPRKLTMPDTSQGLSRVTSDRARHS YQGSTTIGVKQIAEDLKAGLWTFMEDLRQATVGEDALERSPIGDAEDPRTVAGTGGTK KANHGLITKKSTSRDRGTASTSKSVPVSRAKNTGQQSLLQTQPAQPSTPPPNKTTMST STVDDDWSNWDSPSSKDASPRWSNSTMPSSDDASEAGKPCAESSELKQSLITDTPPVE EESPWAAFNNFTPVNLKRTASNFIREFENSLTPPPQSPSNGRDNSEMQLSKDKIISDN EARLLLNNLP VE01_06123 MPTWRDTSTTATLKGQPQRRHPDIEMNGPMFGGFGGGGQQINGG DADAMAAVKNMQMIMESCPAKTVLSGTMGFALGGAFGLFMASMSYDTPLTPEGRAMGN LPLKEQLRKGFKDMGQRSLSSAKNFGKVGAIFAGTECCIEGYRAKNVLSNGIIAGCIT GGVLAAPAGPQAAALGCGGFALFSAAIDSYMRQPKED VE01_06124 MASLSSSLFKALPLPKYTGEEETISQHAQQRGPRIVGAEALNES QIVLKKSGPPPYGRRAGWRPRGADDFGDGGAFPEIPVAQYPMDMGRKGQATSNALAVQ VDAEGKVKYDAIARRGHSDNRIVHASFKDLIPLRQRADAGDINLSRPSEEEVAASTEK TKNALAVLVSGAVAAQKPKNVNVGARKDPTYVRYTPANQMGDNTRKNDRIMKIVERQQ DPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTVP LDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVKQRAIMQQRLAEKEKEQKE DHLRMLAQKAREERTNAGAGRRGSRDSRSRSRSYSGSESDYSGSDEDQEVREREKARQ ERHREEERKLRQSRMGAERRVQMMAREQNRDISEKVALGLAKPTQSTESMWDSRLFNQ TSGFDTGFNEDQAYDKPLFAAQDAISSIYRPRQNMDDGEDEEAAGNEMSRIQKSSRFE VLGRGGFKGAEDAEEREGPVQFEKDTGEDPFNVAELISEVEKGGSGKRYGIQADEPRA SKRARMDDDDEA VE01_06125 MRLLVWACGVLASLTPIVSATALTYKLNANEKACFFSSVEHSGA KIAFYFAVQAGGSFDVDYEVVGPNEKIMMDGQKERQGDFVFTATEVGEYRFCFNNEMS TFAEKFVDFEITVENEERTSLPSKQGTSPEQTSALEESIFKLSGQLSTITRNQKYFRT RENRNFSTVRSTERRIFNLSIIESLMMIAMAALQVFIVRFFFQVSSTVDYQSVKKLML SPQGARKGYV VE01_06126 MSSSSECSIAYSCDRDQALDGETLSPTGMQVDGDEYVVEPQEGE PDDVAIINTDEDGEQTAALPTADNYEAMKAVVLPRLIEDPEILADAVHTWNIERWTEL GRKEHGPVFEAGGNPWRVLMFPSGNNVEHCSFYLEQGFEEGKVPDDWYCCAQFSLVLW NPNDPSLYTSHTAHHRFTKEEGDWGFTRFVELRKLFNVEWDSSGRPLVENEAANMTAY VRVVKDETGVLWHTFNNYDSKKETGYVGLKNQGATCYLNSLLQSLYFTNAFRKAVYQI PTEDEENLANSAYTLQRLFYQLQTSPTAVSTNELTKSFGWETRHIFEQQDVQELSRKL MERMEEKMKGTEAENVLPRLFCMKVKTYISCINVDYESSRVEDFWDIQLNVIGNKDIE ESFKDYIGVEKMDGENQYFAGEVFKLQDANKGVIFQSFPEVLHLQLKRFEYDMERDAT MKTNDRYEFPETFDASPYLAEDADKSEPYIYQLHSVLVHSGDLNAGHYYAFIKPTKDG CFYRCDDDKVIRATMREVLEDNFGGEVDYVNGQVKPAFQKPPVIRQNSAYMLVYIRRS RLDQVLLPVTKEDTPEHLQKKLDEENALREARRKEREEQHLYLNARVMTDRTFKEHSS TDLTTFDVNEREPGCAKSFRVLRSSTIKDLATRVGADIGQDPRRIRFWFMVNRQNKTV RPDQPITDVNQTVEQAHQKLSGTKTQEIRLWAEEAEEVDAAGEPIWPGLPSPQANGSQ KSDSILLFLKWFDIDSQALRCIGHVYIGKERKVEDLVPLILHKMGWPDKLPSGDRTQL KLYEEIKPQMIDPMKGKQTLKAAELQDGDIICFQKALGAKAAHELEKDAKNNRSLTSL SSSVDFLSNANRSPSHPSAPRPSDLIEDAQQFYDYLLYRKIVHFLPYSKTAVDRQEVL DIELSSKYSYDQIAAKVGEKINVDPTHLRFHTVNATTGAPKAPIKRSLNHTLHTILTP PYTTFGNNNQRVDELYFEVLEMSLSELDTKKSLRIIWLSEGITKDETFDVLVPKSGNV TDLISGLIKKAKLDDEETAGPIRVYGIHNNKVYKEMSPEYSVASISEYITLVAERIPE EDVNVDPGHFIQAFHFQGEPNKPHGFPFKFSIQRDEKFSETRKRLEKRTGIKGKNFDK IKFAVVKRSSYSKPTYLEDDDILWDVATNDDDLLGLDHVDRTRLARNGAVDLFLK VE01_06127 MLRSCTRHGGKTSWLMRSLARHQGSRELPAGARLAAATLVCSKQ GYTHAAPPWRPASVLDEWVAKEARPISLRQLMVFGRSLSEARLLSSANYVRTELPVRL AHRIRDMQTLPYVVVSNHHISEVYELYYQAFENLRRIPEIKTLEENERFCKTINQTLQ EHLIVIPKLAMGILECRDLMKPEDMDKFMNTILRARISRRVIAEQHLALTETYNSPWH FPDAAKNTTDSEFIGEVFLKCNAKEVVERCGKEVQKLARSAYGPDVILPDIKIAGHQD VTFPYILSHLEYIIGELLRNSIQAVVEHQGQTPGGAKPPPIEVTISEAPQHVFIRVSD QGGGIVREILPYLWSFSKGPRSEARLENLHHVPKMAATLQELRDPGDPSRPIQASHNN SLSSLSSRPPNLRLGMGLPLSRIYAEYWAGTLEIQSLHGYGVDALLQISKLGNKNEQL TTRASMDAV VE01_06128 MAPAVESASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHAKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWMPIIEFLDDQHESYMLQEQQPRRVDKIDLRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVVAKADTLSPADLARFKQRIRAVIEAQGIKIYTPPIEEDDEPAAQ HARSLMAAMPFAVIGSEKDVKTGDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEEAHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEESLRKRFTEQVKIE EHRFRQWEAKLISERDRLNKDLESTHAAIKSLEQDLEQMQGSAVRSHGRR VE01_06129 MKTEFKFSNLLGTVYSQGNLLFSPDGTCLLSPVGNRVTVFDLVN NKSHTLPFAHRKNISRLGLNPRGNLLLSVDEDGHAILTNLPRRIALHHFSFKSPVSAL EFAPSGRHFAVGVGRHIEVWHTPSTPDSNSSGELEFAPFVRHRVYTGHYDTVQNIKWS SDSRFFLSSAKDLTARIWSLDPEVGFQPTTLAGHRETVCGAWFSKDQEIIYTISKDGA VFQWEYMRNPNAPESEAVDEDGNEIIDANSMQWRIAQRHYFMQNNAKVNCVEYHPESN LLVAGFSNGVFGLYEMPDFNMIHTLSISQNDIDFVTINKTGEWLAFGASKLGQLLVWE WQSESYILKQQGHFDSMNDLVYSPDGQKVITTADDGKIKVWDVNTGFCIVTFTEHTSG VTACEFSKRGNVLFTSSLDGSIRAWDLIRYRNFRTFTAPTRLSFSCLAVDPSGEVVCA GSMDSFDIHIWSVQTGQLLDQLSGHEGPVSSLAFAPNGGVVVSGSWDHTVRIWSVFNR TQTSEPLQLQADVLDVAFRPDSLQLAVSTLDGQLTFWSVSEAEQQAGVDGRRDVSGGR KITDRRTAANAAGNKSFNTIKYSADGSCVLAGGNSKYICLYSVSSLVLLKRFTVSVNL SLSGTQEFLNSKLMTEAGPLGLIDDQGEASDLEDRIDRSMPGSTRGGDTASRKKNPEV RVTGVAFSPTGRSFCAASTEGLLIYSLDTTPLFDPFDLDISVTPASTLHVLNKEKDYL KALVMAFRLNEAPLIRQVYQGIPHSNIALTVAELPAVYIPRLIRFVALQTEESPHLEF CLLWIKAILDCHGQWVSDNRGSVEAEMRTVSRAVGRIRDELRKLADENVYALDYLLSQ PVVSSGGDKGALEGQKVIGDGDEDMEEDDDDAEWIGLD VE01_06130 MRRLLADNGWNRGGALDSTQARASDGKLWRNHPKKPPAATRVTR HIGSKQQCVHLIPPFGPKGTSPGVALAIFVFDTRCDRRTPADESQRFLAEASVVGIRP VE01_06131 MSQMYAQPPAITSIFERSYAHDQIKINSLKLGELNSGRMYPTSL PEQREDRSSWNAPRPEPLSLSEQHKRGPYTIPPPDRALGDESARPEISPRTTNGERLP SLSSLFSSAQAPLPARSPYSNPSPTFKPRSPESAARKASANHLDQLWDPSYRRPRSEP SGQYPYNQSPHSVSSDRLPPPPRSVLDHRKPESPGYDAVSEYREAQYGRSSASSVRFS PGSEASYRGYFPPMPKDATSPYGHPRDQKASPHIQLHEPDMRHSYAGSPQSHAMRNPY HQTPPNALSSGPTSPKDTLGPKIWTGTHFLPRFVRQAEVPGEGICYFYDDGTHCKTVI DGEPVNAHWGVTKAGKPRKRLAIACITCREKKIKCDPDYPRCVQCDKFGRVCKFKNAP RGGQGSPETPPADPEDAVTRSVSQLSELDGNKAEKRDLHYAPYQQGQRSPGSEIDENA PKRHRSAYDAYMPVKADRAEGHFNPVYSHQQPSPSLNYRSLDWCTRQATENPFETNEA LSFDLLDNLFLNTSCTTYRFLPEPHFRAWVADRSIRKSPQDIMLLYGLLALATVFSTA EGAKQRGEEFAAVCRSAAEGSEFNLHLVQSRLLLSLYYVAINKYRESLDFCGSAVRAA TWLGLNVELGESEKGSNTTIFNLDRVAYAECRRRTFWSCYIMDHFSTFCNGHLNTINP NNIFLRYPTTTKNCEALTDASAPFFVPSGALAQSSLKDVGITAYMINILAIWGDIRDY NYRASKSPNVAVTEPFSAFYATTIARLEGWRASLLPSAENTLENLDAAVKDGSVGSFV SLHSLYLVSYANLHRYGRFDSATTPTLKTSLRITFEHANAILDLAQNMASRTVRLPTT PAASTKFSSPYFGFIIVNAMDILSAKGRLADITTFHARIEGPSKIVDELASFWHSARL QQDQVKARLEALLSLPLLTNGWNAANSSAADAGVRGVGEAVVDAERGVFEMRRSINSL EGRGARDNVYAATFEVWEDAVKL VE01_06132 MTTTTPFPSTPIASLPGTPYPIHALAYSAAPAAYILTGSGDRAI RLYNPFPASGGPRGAVQPGKLVQTFEAHGYEVLDLCVASDNARFASCGGDRGVFLWDV ATAKTTRRFGGQHGHTARINTVAFAGVDESVLVSGSFDASVRLWDAKASSMKPIQVLS EARDAVQVVLVSPARPAEVLAGSVDGRVRCYDIRMGRCVTDVIGEPVTSLCATGDGEG VLVGSLGGVRLMDRGNGGCLMKYEGAVGGEFRVRSCFGGRGERWVVSGSEADGEVVAW DTMTGGEVARVKVPKGVESGKKRLDAFGKERERRNVVSCVAWRSWKGRNQWCCAGTDG AVTVFGDAES VE01_06134 MAAIQHPESRVLIIMTGGTICMKESPQGLVPATGFMDEAMRPRP SFNDGSICEPLPVIINDKTTEYRPSLRTPISKYSRHVRYALYEFPVLLDSSSISSAGW SQIASCIQSNYALYDGFVILHGTDSLAYTASALSFMISALGKPVILTGSQASIFALQS DAVDNLLGALIIAGTFLIPEVCLFFHRTLYRGNRTTKISATAFDAFGSPNCEALARVT GIGIDVNWALIHRATALKRFNVQFALDTAHVACLRIFPGITPALLDSVLKVPGLHGLI LETFGAGNAPSGPDGAFIDVIKAAIGRGIVIVSVSQCRSGTVSPLYEPATILGNAGVV FGHDLTTEAALTKLFFLLALPGLSYDEIVRQMSIPLRGEMTALPSKHQFAHLPLLDLG ASLSTEQVRFTELGYAIAAGELDKIKSMLDSESHVLLLARDYAENTALHLAAVSGEVE VVRELLRRGASVHERNAAGNTPLGLADKAGRLQNAALLKEAGALLHIEEKERAELDGE V VE01_06135 MSPPSAMTIEPVSPVRTFQKPNVNSIPLRSANSKTLDEMAGKWE TDFTFAPIRESQVSRAMTRRYFKDLDTYAESDIVIVGAGSCGLSAAYMLGKARPDLKI AIIEASVSPGGGAWLGGQLFSAMVMRKPADAFLTDLGVEFEDEGDFVVVKHAALFTST LLSKVLQFPNIKLFNATCVEDLITRNAGDPADPTAVQIAGVVTNWTLVTMHHDDQSCM DPNTINAPIIISTTGHDGPFGAFCVKRLVSMGQLEKLNGMRGLDMNTAEDAIVKGTRE IVPGLIVGGMELSEIDGSNRMGPTFGAMVLSGCKAAEAALEAFDQRKAECSA VE01_06136 MVFQPFVNVWHQVKAMKPSFLSRKPHFNFITVHYFWIVGMAFLG SFLVIAGGKIDYIDALFFSTGSCTQSGLNPIDFNNLNTFQQIVLYLLPMMTNPITNNT FVVFLRLYWFEKRFQHIAKEAKRARRSMSKTRSQMKGERDTAGIEHGVNGRTIRVIHD TTRMGTAGHPATEEDTAENTNANASRAPDAPNLDDYAITFDKSESDSISLSPKHEAPP LKTAPTIKFADQVKRSDGVDSEYLRVPSTREPETHIEFLERQRRLTGGALRIPGPRDA DRGVAPETIDEAHIMSGGMVRSDSDVEPLPQGLNGPNAEPESSQSPTTDSGTSDRSSA SENRPETADYGPREYTSITEHASESTRAAAHSLGVLRFRKPRLLSGDKVHGEHEKTER SRSRAMSLTRLKSHFTKDKEQSDPMPYISFQPTIGRNSAFVDLSEAEREELGGIEYRS LKTLAIVLVSYFWFGTIFTTISLVPWIVNNARYGPIVDAAGMSRTWWGFFTAMSSFND LGFSLVPDSMVSFQTAIWPLFVMSFMIIFGNTGFPVLLRFIIYCTSKLVPKTSGIWEE LRFLLDHPRRCFTLLFPSTATWWLFWVLVLLNGIDLIFFIILDFGNPVVTDLPPNIRV LDGWFQATATRTAGFAVVSIADLHPAIQISYMIMMYISVFPIAISVRRTNVYEEQSLG IWGGGTDDAESKSAEPSYVGSHIRRQLSFDLWFVTLGWFIMAIAEAHRIQDPLQPAFN LFSCLFEIISAYGTVGLSLGYPGTNASFSGQFNVVGKLVIIAMQVRGRHRGLPYELDR AILLPSESLHQREMEDATARHRRSSFGTTVGGGEELDTATTNASGRDEDPKGFRGRAR QGSNFLSSMLHPGPSMVPRHHRPPMTKRESSTGGWRAGSGNGNGGGGGGGGDGGGDGA SIVEPVTRSNTHVPQPTRREHGSARPIKKRMSI VE01_06137 MTQVGLSLTIFKIVQALQKLFLLTTTSRVASTDPKMPPKRKASS SVRGPPKTGRDSATSTPGPATPRSIDSSDDNFSGEEASETEEENIDNAVNKFSAESYK TKKQVRPAQRDSASHHFGNNDFSYLSLKPDHDNRPLWIDPHKARIILESFSPLAAQAQ DFLTTIAEPLSRPEYLHEYALTPHSLYAAVSVGLDPNDIISTLDRLSKIPIPDNIREY ITSCTQSFGKVKLVLKNTKRFVESSDPEMLQRLLKDEVIGPLRVQGSGDITKSSAPTM QGLVIPGTKNAAGVQQAGTQQVALREGEEPPKQDDIYATLNEDDDDDDDAEAVHSFEI QDNSVETVQKRCLELALPILEEYDFRNDQANANLEIDLKPSAQIRSYQEKSLSKMFGN GRAKSGIIVLPCGAGKTLVGITAACTIRKGVIILCTSSMSVVQWRQEFLKWSNINPND IAIFTSDHKEKFTRNTGIIVSTYSMVTQTRQRSYDAGKMMEFLQNREWGLMILDEVHV VPANIFRKVTSSIKTHSKLGLTATLLREDDKINDLNFLIGPKLYEANWMELAEQGHIA KVQCAEVWCPMTTEFYSEYLRETHRKRGLLYIMNPRKFQACQFLIDYHEKRGDKIIVF SDNVYALKMYAEKLGRAYIYGETPQAERMRILDNFQHNENINTLFLSKIGDTSLDLPE ATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQA FLVDQGYAFKVITHLQGIENLPGLAFSTPAERRELLQNVMIQNEGAFDSEKIADDLFS VAGAGGNRNAAFRKKGVRRTAGTLAELSGGQDMAYIEQNRSKNKELKKDKKPSNPFFK KLQRDAQKRKLGI VE01_06138 MLYGYPNTRLAQSTRQLLNYSTGRKWFDCAECHHETEDHELLQK MDMTFACKKCKKAFRKDAAVFEESDEYCPHCDNHFVLEAKTPKPMLKFEGEDARVDNR MLIDHRTRQEEARTIFDVKDAPNKLG VE01_06139 MSGYDRALSVFSPDGHVFQVEYALEAVKRGTCAVGVKGKDIVVL GCEKRSAMKLQDTRITPSKIGLVDTHVCLAFAGLNADARILVDKARLEAQSHRLTVED AVSIEYITKYVAGVQQRYTQSGGVRPFGISTLIVGFDPNDSTPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLERNHKDDMDREETIRLTIKSLLEVVQTGAKNIEIAIMAPGKTV EMLPVEDIESYVKNIETEKQEEAAKKKTGRTPGTGQAAILTKGPDDADK VE01_06140 MACRSRIVPTLKRTRLSPRYAVSAQQLRAPLQCRWQTTAAPDAK AQEGTKIIKFTTDSYPEIKRDSRFAEITPEHVEYFKGILGKESALIDGVTKDATDDME PFNADWMRKYRGHTKLVLKPGSTEEVSKILKYCNDNMLAVVPQGGNTGLVGGSVPVFD EIVIQMGRMNAIRSFDEVSGTLVADAGCILETTDRYLADRGHIFPLDLGAKGSCHIGG NLATNAGGLRLLRYGSLHGTVLGVEAVLPDGTIVDELSKLRKNNTGYDLKQLFIGAEG TIGIITGVAIQCPQRSKAVNVAFFGLESFEKVQKAFIEAKGQLGEILSAFELMDRNSQ RMVKEYTNNKSPLEDEHPFYCLIETSGSNSEHDTEKLEKFLEDVMEKEIVLDGVVAQD ETQIKALWSWREGVPEAIGGFGGAYKYDVSIPLAEMYTLVEDTRVRMEEAGLIGDSDS HPVKDVVGYGHMGDSNLHLNVSVRRYDKAVEKALEPWVYEWIEKRSGSISAEHGLGLA KKKYIGYSRSETMIGLMKQVKNLYDPNGIMNPYKYI VE01_06141 MPAQPDLPLAAPELLDSMLTRKFGPEVQNYFSGSPLNRLSFLRT DHAFLAAALTHHSTAFVAVDDLAPAARDAANLAYVSHADLSAVIGENPFAKTEAEMIE AYNSKVASPLILFLGINDKRHEGFEYKAYKGVPYFAVDITPKGSHEEAAKGVIETLKA KNLIFLQGRSAMTLNASDAAIFAQARALLDWNARNPFCSGCGQPTLSVHAGTKRVCPT ADLAPGASARGPCATRGVVSNLSFPRTDPTVIMAVISHDGTKVLLGRQKRWPTDWYST LAGFCEPAESVEEAVRREVWEESGVRVGRVVVHSSQPWPYPANLMIGAIGQAVKGGEE INLEHDPELEQAKWFDFETVRVALKTGTSGLDEAPGEGRKEGDLRLPGHTAIANQLLQ AVVLGGFLGGETKI VE01_06142 MVPPSQSLNLDAEAISGILGSISIACWVTVFTPQILENFRRASA ESLSLEFIVIWSLGDLFNVFGGILQGVLPTMLILAVYYVLADVVLIWQCLYYRGVPSQ EDSPTPPSESQPQAEPNGRRPSGPSRRSSVVDATHLSPATPLAEPTKPSRRRSTPLQT ALLNLLAVFMVAGAGVFGWWVSTGSQRGPQNHDHGHGPAPPHREKGELPLDPLGQAFG YGCMVMYLGSRVPQLILNWRRQSTDGLSGMFFLFACLGNVTYFLSILAYEPACVREEA GCEEGEASRVYGRYLLVNLSWLIGSIGCLVFDFGILLQFIRYSEANELVTASEGERRP LLDGTDPREER VE01_06143 MVGVPGKFKGCNTCRTRRVKCDNARPKCQKCVASGRECGGYERQ MIFIVGTTNERGRCSSHPHRSILTAKQKMEKEKERERERERELSRPQVDWQSHSEGEH SSTLPPTPTPINGTPTPEISCAFLHSPSLSLNPSFEAEGEAFSLPGLTHSLPEFSDPD STREFSLHAYSFVREPEATHRSRPADGDVNPRARKKAHLEVDTYSTSRNGHAEPVTTL LFVYNSTGAPAGEAGPFHCLHGGTSSEIKSRDPSSFQKFPAHQFFANLYRPFACFDAM SRRQATFLARPEWCAAPWEDIPKTAMDRLLDIQVMLPGIFERARRTEAFPPSAYRRLK AKDLLDNCTRLDKSFEEWYSDLKSQSDGPLYWTVDAAHSFNPKHRNELNALFPTALEF IDINTALLHLNYWAALCLFYQTIQQVQQNCMEVAPSPPARSSYTPPVPAHSTYSPPST RAFAEAGGYMGSSPSYSTPSPHASVSPAADLYNPAPYEQSTYAAQQAYPTYQRNGAYQ AAQTYTTTSSLSSTYPATAHPNLGYTLPTPTPLYPTNPYDLSEPFLFTTTPPDVYQNP SSLASLNPSVAAGSFPFYLAPHSLSSPQQHPPHQTHQRVPSPTPPPLDQKFSAQATGE LARRIGMGILYVLRRGGAGEGVAWPLRCVGEVFGGEGWVEGVRWCGEVGRVVRGMGLE RGVGGLERERWRVVGGEEEGEE VE01_06144 MADSKETYEAATDAKVADATPTYEEHAVVDNDGLGALPGDRPAG WMYRERKIGPVVVTWYASPMFQLLMVSFVCFTCPGMFNALTGLGGGGRDDHELASNMN VALYSTFAVVGFFAGSFVNRLGIKATLSFGGIGYCIYAVALLASLHAGPKINGFCYFA GALLGVCAGLLWTAQGTIMMSYPLESEKGRAFAWFWTIFNLGGVVGSLIPLGLNVNNP HGVGVQDGTYIAFIVLMFCGAVLALFLCNANNVIRSDGSKVVLMKNPSWSSEFVGLYK TIISDPYILLLFPMFWSSNWFTTYQTNSVNGAFFNIRTRSLNSLLYWFSQMIGAIVFG NCLDIERFQRTTRAKASLVVLFALTFAIWGGGYKWQTGFTRENLPATGDWTDKGYLGP MFLYMFYGFYDAAWQCCVYWYMGALTNNGRKMANYVGFYKGIQSAGAAVMWSLDAHNM SFMDELISNWVLLAGSLLVAAPVIFMRITDHVTVEEDLKFSDGTLADVLPEGHAEKSV GA VE01_06145 MVFSIDDESTWRVTAETPVREVNGMDWERCAALHNLILRLGWAA GGKPETEMPRETWWQTHITDPALEAEWSARLSPTLKQFLQAAFEEAPNQSFFYYVRGI SCPENLFETCHEDEGTMCLYPLADPNMGSDPDGLNFDQEMSRAIFHADVSNYSITNNG RTVWDPLEVVFTAWLGMIDSGKIVARPSPVRGPGEADPWELQPYSQMDLEAAVSYFDN LILKIESLVENPSLQPKDNLEDQAKLVALATAKFDAPRSQNEMGLISKEVLDRAGLKQ GFVREFLTSVRRPKANIKYIAPGLRLPTEPDFSPLPLQNVDIPQLFPNPVLPIPLFVT GTKSTSPIFEHYPLQDLSNLPYGLWTTYVNRDGDHVFEDGCRLILPFNIGARGFARRT DDTLIGENLESAKVRPSGRRNELYQTGYNHFIPLHEPQLADVLGQWQAIVEAGLWEVD EEGVVGGIEKFKEADTEDGSYMYQLHMKW VE01_06410 MASDSPPPSGLVEFQPTMPNYVYSPLSSAEAEIRLLAVEFNAKH VLRRINAPLLVGSLKKYNLPVPTLSRTQRMIRSARLPTFFALSYVWGDPTRTHDIIID DKRLRITENLYTALRELQPSSLGFIWIWADAICINQDDLAERSAQVLLMREIYHSAAD VKIWLGPSNENWMRCLRFISNLTEGSFISDVDSLPNAPTMDNNVEEMIMKAVMIPSGA LARGVIGFGQSIIEVLDILDPPGLDEKAEMVQEEDGELSLHQETIEKFVKWKPPTRYL KRVENESFVEVADLIDRVFIQNCTWFERMWVVQELGVADNATIIASGGKSVSWSNLIR CVCYLHYTLHAPVKSIRNVIGMEKIRQGWNGRKRQPLRDLIRECRYRRATDPRDKIFS LLGLMGDKLNVYLKPDYSKSVREVYANAALHFIAQSESLDPLCSWQTLGRQNELPSWV PDYSLDQNLAPCPLVAIDGGSSIYNSSGHHHRSKYSRMDVAVTHESWSHLRTVGLCID LVAVLSDPLQHSEPFGYAERLWNSTIRAAEEYLGGLTNEVKACLEGISSAVEKYSEYW TSLGDSSKDLDATDHLSKYTQSLDRDSKESIVTDGKALYLESIEPNLSPHDNYIVDAY AQTLLCGRKSARERISKEDIRIFMNLGEATNSRRAEILTTASNAFEAGMRQRSIAITR NGYIGAVPHNTHSGDFICVLFGCTVPVVVRKRGQEYLFIGESYLHGFMDAEALVMEMK GELNEQDFVLT VE01_06411 MEKGELDTASQIVTESKGEFEDSTLITAAEEKALVRKIDRNLMP LLLISYMLQFFDKTTLGYTAILGIQADTHLKGTDYSWVSSIFYFGYLIASYPASLAFV KFPLGKFLAVCVLLWAVILICHGAAMNFGGLMALRFLLGVFESTISPGFSLITGLWYK PSEHASRHGLWFAGNTTASIFGGLIAYGISHINSSISAWRWLFIIFGLITFVWGIVLF IFLPDSPLNARFLTPDQRTYAHRRPQQEAHSFKTTEWKKDQFLEALRDPKTWLLFFYT ICSNIPNGGFTSFSGIIVKGFGFDTFTTLLLGMPGSAFGLFYVLSSTYLAHRFKYSRC ILIAVLQLIALAGCAMVFALPTPNKWARLGGIWLFPAYAGGMPLSFSIIASDVAGYTK KTTVLAIMFIGYCVGNIVGPQLFFAHEAPRYGSAFEGILVCLALSAAFILALRQYMDW DNKRRDREQGVHIDPEVKGSERSTEEHLVETGLDETDWMNRKFRYHL VE01_06412 MPAERILAANGTTGIEEFITYSGTNTAAALDDWYQELGFPSDFL DIGNFTAQANLDESPSESSSGPLFPLQVDANGESSLGAYEEHRATVLSAPWLATLTSP LNIFSPGLSTQNIVLSPSFAQTAAETQALHYYKTHFPTIMVTKNVRWSTHMVMLRHGS QTPMVMHLLIAASLMNLGASQHYDADICSAARGHSRAGVYLLIEAMNSSAEPDYLNVL TAFFFLYKYMAEQKNTNADAMIQFSHAVCEYVKRYNLDTLCTKSLLSCISSTEVTARV CLPRDKQECLARLIVWIFYEDVAASIRGLGGLLASHLCAEPEQTNEIYQCSTTNLESA WGPDYPESEIVDDIENGPILKFLYEVMMLYTEVNEVCRSSILVGDSDTIEAKLMKLEE VSNIQTSCIMRLIAMLAF VE01_06413 MKLVDSPIIEGTVGLRQSTVLSKDSSTITDTIINTINGYDFELR AINKKIFDNPELGYKEFQAHNNIVALLHSLGFEVTPHAFGVATSFSCEVGTGGRVVVY NAEYDALPGIGHACGHNLIATSSIASFLGLAAALKASNLPGRVRLYGTPAEEGGGGKL KLIDAGAYKDVDACLMVHPGPPRPAPKSHDGHGCCVPTGLSYGTSLANKKFNVTYTGR PAHAAMAPYQGRNALDAVVLSYNGVSMLRQQTRPYDRIHSVILDGGKVPNVITSFTKS EYYVRSATLKEATALEKRVKACLDGAATATGCEIEYEVENEYADLRPNRTICTLYAEA MSLPSINSPVTCDFSNTEPGPGSTDQGNVSYVVPSFHGGFAIPCPPGAYNHTPGFTAC AGTDEAHDLAVVTSKGMAIAGWKVLSEEAVAEKIWEDFEKDRREVDVEL VE01_06414 MTTPLPRSTSFSQAAVGMSPLSTPQFAENQPLQILSIPKRIPLA DRQTHGMRNILTPAPVGWGGHNRAVSMPSFAAGFSEPLPAGFFAPTRNTLRDEISSLI DAEFGPAPREDRIKDKTSPWDDGLAPPAAEKATSNVSMSGMTNTTSSTEQFRRALEQD YVPTSPSFSGLDLPAAEHPSREPSPMSIAHRSNSVDDGSNTAGPYEGTSVVDFADEEY DARDTESADNARDARFQDAYNRAISAAREACRLAKRTLNLDVAERRMDDLNRGLTDII NEEYESINELRLLIDA VE01_06415 MRLSILLFGLAQAKYIVPGGRWHDTDGNLINAHAGGVTVDKEGK FWWFGEYKPQNQVEGGGVSVYSSDDLATWEHHGLALQPIPDHPFISPKNIIQRPKVIY SEELDKYEMWWHADNSSYGLLLQGLATSDTIGGPYTFVDATAPLGNWSQDFGIFTDYK DGRSYSLYSNGDRKEGRDVYITSINETGTGLDEVIHRFDKFDLEAPTIIQTDNSYYAL MSHKTGYRPNNVVAFRADSLSGPWSQPFTVAPLNTRTYNSQSGFSLKIKGKKATTYLY LGDQWDSNSLWESRYIWLPMDINDKKKTLDVVWHDVYDLDVKSGEYKAIKGKEYRGIN AKTTGNAFKQEANFASDNVILAGISGNDSTVTFEGIEGSGKPQWVSFYYQNTDDMGFG DQPGGSPDRIGGAWQLRRISSVVVNGDTKNVQTLYQRDTHKGIILSTPLQLTLPKGKN NKITVGGLWNGFDIKGADLDRIVVYPAEK VE01_06416 MSTKEDRRRVGLYPDNRVAPLLKTVGGRSTARNATQKATTTSAR AATAGSDSKRRKTLQPVDDSDSDDSNNGDIRSQFDRGRHKSENSTLNTRTEAEPAYIP GSYKGTAFRGTTRKIGQARGSREGPETQRPKKSSSKPTPELKPKFKTYAPIAANPPPK KPAFNDYGAGKKPTPPSPVQKPTFKTYAPSTAIESLPKPAFKDYGLRVKPGKPEKPRK PEKPQKPKKPEKPEKPVFNTYGGALKPHSRDSDSDEQIFLSSQLRDLLDADEVTPPPT HNPDETKCPMCGTYVPLSLLLDFAASFPSVDPFAMRIQVQSRFCSHHRRHTAASSANY PPIAWTELPSRVRAHLPSIRVFLDNPDSTPSHYRDLLAEDIATGRNRTLMQSIMSGAG GRVRVPGYYGPRGARVIQEEILDVLSGELREAAVRDVVVSARGVGVYVTSVLVLEIGL LLVMDDLGVGKEDARGVIEKSAAWGTLVNEELDEEVPEDAEESDEFEM VE01_06417 MAPVKTKRGGTNTKWSAEFDTLRREELFRNPPQDHSAYPALQAA IEPHINSFNAVFEKDGLLAQSLKDIGAKTYLDGDERLGPAGKNKLTVRIKEVFVEKAM LPASNKFSTRNREIMPAECRERHVTYRGKMSARLEYRINGGDPVEFIRELGQIPLMLK SNKCHLENNSPALLVDRKEESEELGGYFVVNGIEKLIRLLIVNRRNFPMAIIRPSFEG RGPTYTKFATLIRSVRPDQTSQTNVLHYLDDGNVTFRFSWRKNEFLVPVMMIMKALVE TNDREIFEDLVGGAGSKGANNTFLTDRVELLLRTYKAYGLYTKSKTRAYLGEKFRIVL QVPDNMSDYDVGTEFLRKIVLPHLGNVDVTEAQDADKFEMLLFMIRKLYSLVAGDCSL DNPDAVQNQEILLGGFLYGMIIKERLDDWLSTALRLGLREYTRRNPANNFASPEFLKD FPTKIFGRTNEAVGQALEYFMSTGNLISPTGLDLQQTSGFTVVAEKINFLRFISHFRM VHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLSHKCKILTHGVDAGA LPSLAVDLGVVGFSSASTDESVVVMLDGKILGWCTPKQSLRIADTLRFWKVEGTNNVP VELEIAYVPASHGGQYPGVYLYSGPSRMIRPVKYLPLDKEDWVGPLEQPFMSIAVTEQ EIESGETTHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQTMGTPGTALRYRT DNKSYRLQTGQTPIVRAPLHNDYGFDNFPNGFNAVVAVISYTGYDMDDAMIINKSAHE RGFAHGTIYKVKKYELDEGQKSRSAKKVSKLFGFAPGGLVKSEWKALIDEDGLPFVGR LVKEGDPVCAWHTVTTDANGKLVNRDGITHFEKYKEGEMTFVEEVRVIGSENGNEPAQ MISVKFRVPRSPTIGDKFSSRHGQKGVCSQKWPAGDMPFSESGMQPDIIINPHAFPSR MTIGMFVESLAGKAGALHGLAQDSTPFRFDEENTAGNYFGHQLMKAGYNYHGNEPMYS GITGEELHADIYVGLVYYQRLRHMVNDKFQVRTTGPITALTGQPIKGRKKGGGIRVGE MERDSLLAHGTAFLLQDRLLNCSDYARSWVCKGCGTFLSVQPTVSAFAPRKKGTGVVR CRRCAVKVDGFVADGEVWEDGEGQRWVGGEETTVVAVPGVLKYLDVELAAMGIKLKYN IEP VE01_06418 MAGLFKRLYDYLLRLFWATEMDITMIGLQNAGKTSLLRVLAGGE FTIDSIPTVGFNMKRVQKGHVTLKCWDLGGQPRFRSMWERYCRGVNAIVFIVDSVDSA ALPVAKEELHNLLQKPVLDGIPLLVLGNKSDLEGSISVDELIEALDLTAISHREVSCY RISAKEETNLDAVLQWLVARSSK VE01_06419 MAPAISPALLDSVLDKRQYYGYGYNNDSNWNRWGRWVALVVIVG FFLVLAFACSCVNSRRRRRQGLAPRYGTGWLAGKQNGGQQHYQNNYYQGQQSGYNYGG GAAPAPPYSPPQQQYGQQQQYGGNTDYYGGQQSGIELQQPQSSYNPQIGREQTYEPPT GPPPGKQGDGIIR VE01_06420 MPTIDVAMARSVSREMLLGLQNRDLAESANNVKTSFSSWDNCMK ATYCKWPIIAAIIVGGLIVLSVVWCIVRCCCCGMSCCCSCFNCLKCCGNCCGCCDSPD KKHKHLDEPYFPPAFAPHSGYKAPDPMMSGGGAPGTPFSPAPSYARFETGPNGMAIDP PKPVNDDALPPMPSWDSAAKRRISIEDEPGAVELGELDPVTGQRMPLMTGAAGAGAIS RTNSPGIGTASAGASPYGHPNGGAGGYMSPGPNGRPNDQFSRNNSNNGYGGDQGLSPI GPPGQAYGGRGYSPQNQNQFIGQAQGGPDEQYGNHAIGNAYGDDRQSPYPGDNGGPQG GAFGVMPAPGFGQHQRNDSGQRPFTADRAHVPAGPLGGGGYSGSDESYANAPRMGSPP ILSNTSGYGPRGGSPPQGGYRGATPVDSSGGYRGGMGMPMPMPQRVDSPQGGYRGPPQ RVDSPQGFRGPPQGFGGPPPQQRMGSPGPGRGGFGQGPERRGSPGPGPGGFGQGPERR GSPGPGPGGFGPPRRTNTGGMGSPAPPSYASRSPGGGNGGGGGGYGDRW VE01_06421 MKKRALESDVRAMAAGNAERQDAAALQNPTNAQLSSDSDLEVTL SLNDAKQMPFTGTTIDNGTKLFASVTTTSEKIDIAPTLYIAGIELVDMNAPTEAPQHL LRNSADCIYNPGLAKCNINTVLQYSRDNKAFPLELFLTNAKITLGHRYTVNLRYSDKP TPLPGDLESATAQNGAGGDLILSADGKPPTADDPNAASTTSSSTTTSSGLINGGIPKP TGTLTESPDSATATSTTVPSSAPHSTPSSKGLSTGAKAGIGVGVAAAVLIVIALLVAW WVRRTRRASTRNGRGAGEKESVLAAAAPGGAGEYRDADEAGGAVVGGAVGGTPVTRKP VGVPAVTNEAALADAVSPASTTVGVVGAQHRGAGGEGAAQESMLNAEERERWEEEERR LDEDIAEAERRRLGA VE01_06422 MAKSEPPSPPAMAELLSPDAAATEPPTTPDTLGVPEINIQAATP PMACAETFADWGRLAAGQLLLKPHPVYDPKVRKGLRRSPSSLTLSELAGVDGRPEVSD SNDDIIISITPPQQQKPTPQNSPAPREKANLPGPSEVPDPSEDSEIVQSLEGLSSLGN LSRTFSLSFTNLFSAAKDADKPGLLSRKMGPRPQTATTELEVPAPTFDPAQKPRKLAK ANSMGINRDPSPSPHSMPPPPTPPFAASSQSRFLSSRDSSPGSRPQTRDGSPMQYPPL FDTGHRVPSASSMSTMAPPSYMSDSEGSDRSKLRSRLTFMPRSRDSSRPTSSAGSAPW VLGGPNKVDYNLAPLLSGERVTELWDDTADVNVYLFPTGNKPSFKIPSRVIETSAMLM NIAFSQSPTSPISIASPVSFNMPTSPTIVNPEPTILEDAVMNAPDEAMKRLDFNFDLP QRRPSADLSAYLSADGFAQGDLMAKPNQTPREGHLYFPITRLTIPQNLQDAGSDTDRL LAARNLIAFLLHKPLIASMRFPTEISVFLGIASHLADVDFLDESSGDFGRVITTAFSF YNDIYNLQDVRGSFQKMLQGVIMGEAMRHAQLYREGFIHCVGAYGCKGIREHKLFAMV SDATKSKIEREALDLEHRQKSIKTRLQDFDFPHIFNGTAASKVTAESKTVRFTAWRSH FVAFRSFVLSYYKDLHGSWPPKSGKRSGFQISGLNRLALKILYDDLAALYDILVDREA LTTRTLDVEDVKGEDDMTQGQLELLALRKIMSEHDRSSIPVTPPIPFDLPKLPTPTTL DAGHPKRSAKAQVTAETRRLRPFEKLLVLAKAHNVSVGLQTPFLRKYAEFEDEQASGS SIRDLRDQRFGYWIFLYAVLQCLPPLVMDAPGIRYTGGVEYFLCKPPLGHLPWQDGRT MRDYPFDAAENTAEAIYTRSHCWMAGADWLPPLQARAALEGGPVLANSPYLAPVDVST RDGSGSPVSPAGSPATFEFPAPPLRPSRLSSATPPPDLLRHDSMATVVRRPPSRQQPG REEWQPAEFFGDAGAPPGVGLGAELLSVSRPTSRHMSSRPVSRATSAFGGAGSVSGVS SAGVAPGNGPSTFDDILGGMKEEEARGREKEREEKKKAKKGRISLWGN VE01_06423 MSRGGKLAPEVNRALFVKNLSYNVTPEELFDLFGKFGPIRQVRQ GIANNTKGTAFVVFEDVMDAKQACDKLNGFNFQSRYLVVLYHQPEKMKSKEDLEARKE NLEQLKRQHGIE VE01_06424 MALPTTTPTSLLATLASSTAVATAMSVTKKGECELLGPFALLVQ LALGGLALLALVFKRWRERPQRPVKVWAFDVSKQVVGSVLLHAANLVMSMLSSGRLSM KVEDVIIEARDESGSASGDPNPCSFYFLNLLIDTTIGIPILIFFLHLLTRLFLLTSLG EPPQSIESGNYGSPPRAKWWAKQSLIYFLGLLSMKFIVLLIFIFLPWISHVGDWALRW TEGNEKLQVFFVMLFFPVVMNATQYYIIDTFIKGRLEGVEGGRVVEDPVDSDDEGGNE ADGLLAAEVEVVKKKRKTSNDVADSGSGGSGRSEAVQAKLLTESEEGGEDADERVWLT QDQRDERR VE01_06425 MAPPSAIDIRGLTDTEALIYPNPLTVNEVTERRAKAGKLIAGVA AGTSSDLFKSKSNGKLAKRFDHLISEESKSRGTSSLKAAAKFLSTPGIISLGGGLPCS EYFPIQDLSMTVPVAPDFSEEGTRKSGVVVTTGKYDTTQGDGTYDLSICLNYGQGTGS AQLLRFVVEHTEMAHSPPYKDWQCALSVGSTMSLEQAYRIFTERGDYILSEEYTFASA VETALPLGCKFIGIKMDSEGLMPTDMDDILSNWDVKARGARKPHLLYTVPTGQNPSGA TQSVERRKELYKVCQKHDVFIIEDEPYYFLQMQPYTGADAPPAAPPASNEEFLRLLVP SLLSLDTDGRVMRLDSFSKVIAPGTRTGWVTASAQIVERFIRHNESSAQNPSGLSAIT LYKLLDETWGHDGYLQWLRHLRVEYTARRDALLLACEKFLPKDIITWTPPAAGMFLWL EVDLANHPHAATKSVLEIEDEMFLACVEKGVLLSKGSWFLGDKTKEPTQLFLRATFAA ATAEKMEQAIERVGVAVRDAFGVKA VE01_06426 MAYNRSFNPDALPAFAEPERKRTPTAPAQSPPPLNIQKQYSHPP PRHSPSHHYDKPAPPLPRDDRDYRPSGSSGSFSGSGSGSSSSRPIPSPRDDRHQFSGG GGGGGGGSMGPVSQPTGNFTYGQSPPQDRRDGRDARDARDARGHRGPASPAMTGEDPN LLPLFRAVDKDSSGHLSESELSAALVNGDWTAFDPHTVALMIRMFDTSRSGTIEFGEF CGLWSFLASWRTLFDRFDADRSGNISLDEFRDALVAFGYRLSEGFVGFLFRAFDKGRK GSLSFDLFVQACITLKRMTDAFKRYDDDRDGFVTLSFEQFLEEVLRQR VE01_06427 MATEQTTPEASEAFWLFGYGSLIWKPPPHFDQRLTGYITNYVRR FWQESHDHRGTPSHPGRVVTLLTHAHWSTLVDVHGAPEKVWGAAYHIPASKAAEVRDY LDIREINGYSIDYVSFYPSEVGGGKEEEVVQGEEGEERAKTIKALLYIGTPDNPQFTG AQDVAELAARIAVCEGPSGRNAEYLFNLEEALGGLGGDEHVCDLARRVRGVLGVGVEE EPRGKGVGRGEHDEEEEVER VE01_06428 MSKLFIGGLAWHTDEAALRTKFEEFGAVEEAVVVKDRDTGRSRG FGFVRYGQEADADAAIAAMNNIEFDGRTIRVDKASERGAGGGGGGFGGGRGGGGGYQS RGGGGGGYGGGQGGYGGREGGGGYNGGGGGYGGGQGGGYNGGGGGQGGYGGGGQGGYG GQDQQGGGGGGRW VE01_06429 MDDHRSRKRRRHGRQAESTPRYAAERWKPADPADIGCDSPARRG GRDAAETRVTSHIKAPENGYVYDWLADVATEGLPQTQSPAYCPPIVLPQTRGVRYASL ERFEETVADERTHREKRRDSSDSSLIQPAYEREELPPREKTIGQVTSEDQNRHFSKKA KKHRQPVSSTTDDSQPESDQPQHKETFEKRGRHKTKEDRYESKKARKHADEDDRPAKK KTIKVKRGYATKASKKAGEDLINGFRPKNVSQDRLTIRPGTGIFKNGRASSPSRNRGL PDLAFSEMQFLKQSNRKPPESENEVIISKSGQKTKRERERARNEISNYFVPVRQALQE ANINHRRDSSILPSDAGKTEATSIVRGHHSHPHVSPDKDQRNEMVLYDGFTTIRPSPQ KFTLPKPKLDQIFVPRNTTASSKSTSYCTWSESVRSPIVRAKAMLADASRDKRGMSPT SRQRIPVRADMYKEVGLQAELDSDVDSPYNPALRRMHRDDDDIQVVMSRQIKPAKDLS VRDFNDQNHTECQDEDRIHQFQADRAVLHDCEPHRGSKRPHKDDLGGHVESLHTRIPV DPNNDADHAKQIVIEQCPQTDKDAVGRTDGSVLPALPDADLDVQYIMSRAVRAQQAYI KRRSTPKVAVEETRGTSDVRAPAADVPNTEDSGALKGNAESLEVGLADPNRENEAGLM LSGLDDDRQNEIANQPGQGQLCGTEPESNLPVHGQTQSEYLYTAGYQPNVPDDDRTGE HDSHQTENIATSGQIVRPQLMIPTRGFSTRAVHVPPVQSRTISPLETMEPIYARQLQN QAFDAQEGQHELYATEEQGQGGIFLYEGGQWEREPVDAEAQEYNPTEIEDGQVNYGDM YEGQQDGIDLIDESMYYEEELPFGSEAQIHNAADDMLFYTPGSRPGAEYQWMPRQRTY FSAVANQAPGLGSWERMQQTAAVPRELTMQFWRPWKGY VE01_06430 MESSTQPGQLSWRLSAHPITLLWFLGFRISSLLVYLFGLLFTSN FVLIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVDVSTGDSQWVFESADPATKVVN ATDSRFFWLAMYAQPCLWVGLAILAVVRFEFIWLTLVVIALVLTITNTLAFSRCDKFS HASNLAGSALYSGGLARNLAGGMMSRFLGGRS VE01_06431 MSASEAHVATSSCLEAFGALRSHLQAAKPEFAAQVPDADIEDEF GRFRLWAANIGALSRGHSSLDYRLRDAPLVLEGALKLLNELQQELHQMCLVLSGARLP YEAQISSRAGHVDDSDTSSLSSDSESSSDSSSDSDDEKLAPSELRRRVSALSNINDNL YRLSRSIRAPASHSRSLKALSYKLIDKETGVDVVQQFAPVDLAFTKDLFSQLRRNAQD QYANCPTLDDGDLAMIKRLAQGITRRRQQFLYWKHHRKKLGFHAEKEILKIPKPAPTV HLKGDEMRESVAGPRASLPVIGKAQTESSRPKTTLTETTATLFITPANVPDGNQSVTS YATTARGLDGSRIEFPKLPKDIKKGKDFECPYCLMICPPHYQMPRAWRTHLLRDLRPY MCTHKHCQQPDELFATKQEWLNHERTHQKAWQCPEHASTHFLTQDDLKRHLLAENHES LQRMGLDDLLAICETVRPDSRTICPICFVDAGSSYGLENHLANHLERFAAFALPRDVE GNENEESPENSNISDHAIARSDQSEVSDISYAFASEDKKAEIETLVKMTQGISSTVFS DAYFPGVDKLHRQMISIVNLPTEILDKLNVDLQFKAVFKTIPRLLTELKEFVASDDGD DFQECASELIFQMERLDSILQEYAVDFALDSSHPRLGMDAGFSNQGLEDGRERNQADG ELSPSRDSSPDSVVNRTGPRLSTMDLDRSRTSDSAEEATSPIRDSSPDSIVNRTSSIL STMDLNKFETSKPAEDGVSPTRDSAPFLIATLTSLLTKAQPIQQRLQDIATEGKVKSN ALVILKRIFPGICVSITRLIAMLREGVLDPSIVLFIEQIDTRCQRLFEDASTFLDRYK KSTRLGLVSQKTLGWGSKKQYREDKLRLVSSGQSVEEEINHTLELHARGRLRKNGRDQ DELISPLSIESHTLTADNARSSSGLSSDDEQEQRPESPKNSQDTNNIQDIGLEDGSKV GHETSELSKLIASQRDSADTTRYTPINGPVIIDERPIKGILKTGRNKFPEDPSPTREG VAFLRDELNDGMYVRPSRAEGAPPPDARWTKINRLLVNPEALDDGRERYEARDDFVIV LRVLSKEEIQAYADATAKIRAARGVEDDARDERRIKRIPPPSPEPEEDVSDGDDEGNN SEFERSYKRKLAQLRQTQQESHDLQKEKIPGDARGTKIDPLILSPEAITPASEPFEER GGFSPRVGSLKSAQLLPHGFMGALEKRMTGVLTMKERLPGYSDPAVKRSFAEAYTAFT EAAFRRRMEKDRRVEDLVLIFYSNAVKSLQKGKLQGDDSWKNLLYPHVALFLRLLSST MKDHGYDKDRPELMSRLARAEKKLLTDDQSWSSIMNFLHEDEVEVGSSAALLASRADI MEEGGDVRERREGKGAPMLEQPRIPTRHEINLEQTLAFIELLKVVADGIQQKWDALHS FIDPPEAFLEEAKRLESVLGFLKTSEVKEHELDDATLKALKQRLISCLEIARKVDKIL KEEEPTIDEDGKLSRPRNMVVPRFKNRLPAEEFVQGVIRDVRFISTNMHVGFIAKEQP ANITDAIKEMGGTPLPNPEPHTIAGSSTEDRKKDEADDERGWRVPDIGLYKADNITMR EPRDEDEPGTEDVPGDPLRPSSATSSVFDDDVVLNPHTIPRSSMDTSPATERNTNASH QSAKIDNKRLPRNNYSARPPLSSSAYFNPHSSRTTSTAPITTTTFPCTFAFAGCSSVF TLKDYWKRHVANNHMGFVYWKCPLKPCPRPLFDTDDLLEGHLKWVHEVPGDHVAALAK RGEQPGRSLIQEIRCPAPGCIEEWNWMGSVAWDERMEHVAKHWDAVAKGEEEGGWTEE GGGLLEWAIKEGAVRELGGELFYEAGMPFKPRDNSIDEEKPTYDDAPGPSASSITPSH RNNVVLDPSTVPQNMGHTSHDDVKKRVDDDGAEARRKTYEAWSKMQDRKATVARDLEA ESKGEEAQNPNDGKKPVDDSGFEVPPPTTDPTTHRTTNHGEAKASVRFAPEHEAESPR PPSAKSMTSDDDSDADSLDIDTGLVEYLASPQRPEFIGSGLNYDALETTHRDEGRKPM DDADVEARLEADDALNRRSLARASAKLDVAIAESRLRDAALVNQLENQNSPIDDTLLK AKNTAARRALARAKAKLEAVVAENQQWDAARAAKQLESQTSPHDHTTMHDVGPAPSSP PVPSRSPLDPDNDRSDVSTIEFGTSSTPSDRDDEDKDDGSPDQSIRPETLSFLDAMHS LVQETKHQNEVEKPTDRDVTVINHNSRLFDASEPRSGESRETKGLVRSMSERLSSHVS RPRKIRVTQESTPRNYEWNPISDSTIDTPPQTTNPTTRPTLPRPEPRIGDRLAAARRA AAKRPISGTDAPRHRTEHDVAPGPSPFDTPDPPSPVPLWDPEATPDHDDDEVPSHPPY PGLGPQIPIDASITLDEIETWDLPPAGLKLASPFSRTLDDEDAPATSPPAVSEAEEDS EDEGPMDEKKISRLKRVFSMRNWTTEKGRGEQKGKGKEKDMEDDEGGGGSRSRRRRSG LGFN VE01_06432 MSGVEVAGIILAVLPLFISALENYENGLDPVRAFFEFDSEWPNQ IRKLRDQHINYNLTMKGLLLSVADGEDVDEMISDPGGACWKNIGMQIRLEERLDEAYQ AYLDAIKHIEEIMKTIAKDLKIDHGDRTTRADLQSLLMKAPRKDKHFEFARRVKFGMK LKKFKRLLDDLESEIRKLDQFNDKTERFEPYRSAKPTSIFNPPLNDIRQYAKNLYGVL CVGAGCSQSKHQAKLQLEKRLYPKRTKGSWKQSKEINQNTRPCFRVSMYASRWQDVEV QILEAESSADEQRSNQPKHASQPQGGGLPRPNSSTGPVALSAVTGNKGLQSIEETHIL VEKQSTIHGISTKMLISSKKGVGYSMSMSASVGPLLNQSASKVQSSIMNGKSVKPGSK AVGWAMSEAPKITLHELPIRNSKPNSLLEVIDICSAIRRCKTRKICLGLCLDHGGTLR RALSIPLPEKQSEEKPAEEVPENEATSLNKLLMPDPDHYGHTLSRKDRMSLALILASS FVQLEATQWIQESWGKEDIVFDRAPDAPKDGSVDLSKPYILHSIEKRTADNKTRPIEK SRNYSLLSLGILLLELSTGQSFEQHLSRIKGKSAASLDPPEESIERLMKLLEVKKWLL AVQDDLSSGFHGAIWHCVKSYFDEESGKTEDVYRQGVLDQVVLPLQEDLQSFLGART VE01_06433 MGSDIGGHRRAGSQYAGEDTRLTSKRELAGWYAYGFAAEVFVIC GMGSFVPITLEQLARENGVLLSDRTTPCVATSTHPNSTGNAASLFSRGNHVPEPDQCV IYFLGMEINTASFAMYSFSMSVLFQFLVVISISCAADHGNYRKRLLLIFAWTGAVATM LFLPVVPSVYLIGALLGIIANTCLGASFVLLNSFLPLLVRHHPEVQDEDLNSDEQYTE DEESIAEDEPPLSLTNSTSALLNPTPLAVPATSTALKLSTQISSLGIGISYSAALFVQ ILSVLILFGLGSSTFSLRLVLFIIGLWWFVFTIPAALWLRPRPGPPLPTNMATSGLTY IKHSWASLYRTIRLTPALPDVLLFLASWFLLSDAIATVSGVSILFAKTSLGMPPLALA GISVLVTIAGLIGAFTWPHISRYLKLTPLHTILACIFLFSLIPLYGLLNYIPIFSTLT RPWEMYPLGLLYGFVLGGLSSYCRSLYGELIPPGYEAAFYALYAITDKGSSVFGPAIV GMIVDRTGGIRPSFWFLLCLIVTPGFIFVWIDVDRGRKDGQKIGVLIKAADGIEGAED DDAEEALHLAYDSEEDFESHPGR VE01_06434 MENGYGTGAHTNHDRDGAPNRPNGAVQASSRPAEKTGMPVHQQP PNQSVQLNGANGAPMTQSAGHPAGSVSKDANIQTKSTPPEINHITEGFESLPNLLARL AQVTHNQLSGKLLELAGMNIQQSPDNSNAVSFVSGPDDNSAENIKKKVNLLKFAEGVH SNWTKALVITQWSRIGEEVSRVIDLKAHLDEQKLYYDIAVHELSEVKRSLVHARLPNP DLRTAVEVLSTGKASWMPDLGYIEPPPLTAKEILQSLQNLNTLLSIRLNLHDYDKIPY HFRSYTIKSGRVTFRVEGEFEVDLTIADEDPEKQFWFIDFRFLFSPSPSALTDQMRFS LEAKVNTVLEKDGLAGCYKVLHDLTLTHKISELRRQAYEIGRSRWIDSLMVEPLRRSL SIQYWVDRYGKDGPKSWIIIGVVSGKKKGAYPDEKETSRIGIRWFRDSKEVRDVEIPL ELTTLSVEGLLRSVITMHVSHILESMYKSLRTKPLYENRDLVLSFKKPTAAEQEPELH VQLTSQYKINVIVEYITGKFAISPSSRLTSQAEYRLNNQTVDPASNGHEYIENLHCVL ISEDTVNRAYTVGWLPVRNPRLPQDELKPFLPRDTLQLSWFKKPGWDPNWYLALSSGM SGERWWLIEVTNVPDGPRPAADSIVLEGPTVRSHIQLPLKSVSPAPTYAFLSSLHLLS TSMISYYTNMRALHSRRAKFMLRNPSKSSLSSRQSQPALYVMLSTLLPSKNRSPRTGK PWAKDVLKVSFQGIETLEQKEAATSPAGGSSQSPSNPSTAPSGPIAPRQSEPEEGAIM IAEGHMETAIPAGLLVKQHVDKDIAFHPSTSAFAFRLQAKVGEPSITPLIERLQRVER LVDFVQVINAHPDSLHCESVSLSRLIFSYGCVQSPSPNQPKTESPRYQAIIDFSSQTT KLELQLEAGNPHIRILDHLTKILNSPLGLHGLATILPLTLPVLRALDAAEDSWEDIPS SELQILCRAADWYAVRYILTPPSEGDNKAPPPPKRIVFEIRLHRRGNVPWWCMRRDQK HNAATVDPLDLALKEKVWTGSEKGVWMGMQCAAIAQTGGAEAMVLRVDSAMRDLAKDG TVFANAAPGVTGVKGEGGAAEMTDVLGSQSGQMQAQVVNAPNKAQPQRPQTKSPVLQK QVQKKAQVPPTTQQQRTQAALNEAQHRAQYQAQQQARQKEMHRQAQIQARQGQGQQRP NPNNANGGNGNSGKQDAIPVQSPVHVIVAGINPSDPDSIDIPEDNVPSTGNIPVELES TDIPRGDFPNTDNPVEPEVNVPNMDNIPVEPKSTDIPGVNAINTENIPVEPERSILQP LTLATTTIDVLAGTQVPTIDIIFNSAGIMNIQEYTHRRGRIEMYFSITNIGYFLFACL IAPHLIKPAGHTPNEPMLVTNDRRPFRKRSTIVWADLRYGILGKEEDGWSPIRRFGVF KKMSRRIPDEELIFSDCVTELQRAWTWYCFIPSLMFVLPRAIIYMLDLLVFVCLSILV VAGVTRFIALVQEFYRDINWEAL VE01_06435 MAPQLDAVKHTLCSVRAVQRIRLKQFEMPIQRAKRAGRRSCITP AMDKALCDLILEQPYLYRSEMADFLYQQ VE01_06436 MAYPNNPLFELLRALDPQQANQQTAAEQPSAAQPQAQGAEATGA APEQPQHPFAAFAPFFPFPQQAHPHPHHGGGGRRGGPGRRCGPRGECPAMNPEARGPL DAEAEGHGPHHGRHGHGGGRHGRGGWGREPPAYAAFGGQGMPFDISAFVNSLASHPIA EALRTCVDEAARAGGAAADPNTAPAEGEARGAADESDAFAPAVDTFSTPTEYVLHVAL PGARKEDVGVNWDAEKGELNIAGVVYRAGDEEFIASLKTGERRVGVFERSVKLPLEGD EKVEVDGEEISAKLEDGVLVVRVGKVVREEAWTDLKRVEVL VE01_06437 MAAPTPTTTMDPAASIALIKSNLAEVLNPELIDDVILTQKRALK VYWGTATTGRPHCAYFVPIIKIAELLHAGCHVKILLADIHGYLDNMKAPLELVEFRAA YYSRLIKALLRAVGVDLSLLEFVLGSSYQLGKDYTMDRFKLEGVTRISVAQKAGAEVV KQTDDPTLGGLIYPLMQALDEQYLDVDAQFGGVDQRKIFTFAMENLPRIGYKVRAHLM NTMIPGLGEAAKMSASDPDSKIDLLDDAKGVEKKLKKAVCVPRKVEGNGLIAFVEHVI FRAVALKSGGTAKYVVERREGEPLVYEDVEALKRDYEADILTPQLLKSSLTSALNSLL DPIRAEFAADKEWQEIEAKAYPIIKVEKIKTKVKKDKGDPAKRAAAAAARKGGVAVVA QEDGSVEVNNEAVKEEVVLGTGTGVVEEVAEKLESAKVE VE01_06438 MSDDESQESLDYLQSNFEPSTLTVPRLRSILVSHDIPYPSGAKK PQLIEIFTDKVLPQSRKILSARARARRTSKGITNADRYDAGALEEDDQPILPPPSASR TKSARKPSARIREDTLDSEEPRARTRSRSPVKQTPRVTSAKHARAATEDSSEPDTIRR TVRKTRKSEAPVVKSEDLDEDFPRKGAAFSHDNPFQSGSSPISSSRSSSGERRRKTLH ETPAKRKSSATTPRRRTADIPQTRADDGIIPPSSATFELAHVPMDHSIDFDDNAVETS EEFTPEEQLQLDTERAAGRASAPVARRPKKKASGGGFNAKGPLWVVFLTMLGGYAAWY RQEKLAVGYCGVGRPAAPIVSSKLEHLPDWALALAEPECEACPQHAFCYERLETACEP DFVLRPHPLALGGVVPLPPTCEPDGEKVRRIKVVADRAVAELRQRRAKFECGAKEEGG SGEVVRKPEIGEEELKKRVSDKRRRGMGQAEFEELWAGAIGEIMGREEVEVVRDEPTT PGHPRLSSSSLSALPLSCALRRSLRLAVARHRLQIAILALVATILLYARSKYSSYLAI SAKIPELISLTLDRLAEQAARHSIDPAACPEAYIPISQLRDDVLRDEHSLTKRDAIWQ KVRNVVEMNANVRSAQRQDRNGEIARVWEWIGAVAGQVAERERRKSGRVSWGEFGEAS SPVSGTDGGPEVVQQRWQEGRPIY VE01_06439 MQFSHLAAVLAAASISLLSTPATAQTTANCDPLHTTCPPDPALG RAISVDFTQGAVDSFTSQGNPTYESDGVHLSVAASGNAPQLNSRFYIMFGKVSVTMKA APGAGIVSSVVLVSDTSDEIDFEWLGATPNEAQSNYFGKGQVTTYNRGAFHQTAENQN QFLTYDIEWTATQIIWSISGSVVRVLNAVDADTNQYPQSPMQVKFGSWSGGDPANAPG TIAWARGPTDFSLGPFSMVVSSIAITDYSTGTSYEYKGNSGNMADIVSNGGEIGANKG ATATTNEAPAVTSLSPSVPAGLGSSSDEASQTIVVEGVPSGWVVASNGKIVPIGAGAV SRPSIVGVAAGVVFAVAAAWLSC VE01_06440 MTRREGSSSARTSSTASSRSIDTIHAPVPTQPVNASLFRSPSRT RTPIQLKIARKEKLENESQISPTQLTGPLSGDSTTPSSNAPAAASFTASTSPPPSKEH RRLSNDFANYRRDLSVLDTSGGRIPSIQHNPPSAVSPRQYSAPWMSPTTQNGATMPTS AFGTSFYDDSSDNHSMNSQLSPSMRPGTSRTFSDPEVEVGPDDERRPSLASVATSGSN GSRGSVVRTGIHKKLQGFFGEEYGSDVSLPAQQQAGKEQRTNSYSRHRERKPSSTAEA RDSSPVPSRPRTPVPSSDVVPFLYQDSVDISRYGEAPVRTNLSGVDRERYIAEEPPQP PPKPSTARAQASAKVHRVVHGHRHNKSDEAAKAARPSTAREDMAYSGRERQNTGTGSG SGPSRLQPQPQKRAPSPTPSYTSQNTIGQTSTGSTITIPGQTKKPGILARFRRPKDKE EPASGILKHLPGSVRSFAGPSGEAQPQAPYEQPPMDAYGRGDGSLGYAAPQEPPLQAS GNGSGNGAPSSGRPPSSRQGARQAAFGKLPFTKKAKRNRIQEEREQAEAQRERKRTEM NSNLFNFDSDLTNMEGILQKPPSHEPLESAVQGSEQEEVAVPESGGGLLGWNAPDSWA VKKVSDLNMTGPEADETDMPRKFEKSQHPYCIRIFKADGTFATLSVDLSATVADVITQ FGRKTHITDSLDNYQIIMKKHALQRILSAGERPVIIQKRLLEQAGYQEKDDIEDLGRE DQTYLCRFLFIPQRDSGYAAISQDLGLNKSQKFSHVDLSGRNLITIPIVLYSKATEII SLNLSRNLSLGLPKDFIQSCINLRDIKYVNNEAHKLPQSLGRASRLTILDVSNNRLEQ LEHAELDRLAGLISLKLANNRLTHLPPYFAIFKQLRTLNVSSNFLESFPEFLCDLPGL VDLDMSFNAVDKLPNAIGRLTNLERFVITNNRLSGSLPDTFSQLCDLKEVDVRYNALS SIDVIAALPMVEQISADHNSVSVFEGSFDKIRILRLNSNPVTRFEIKSPVPTLTTLVL SNAKLAHIADGSFERMPNLVKLVLDKNHFVSLPRHIGKLRNLEHFSIARNALSSLPPE IGCLTELRIFDVSQNNLKKLPQEIWWASKLETLNISANVLAHFPKPSSRPPQIPTDAP SASDRQGSFSQASTQPASRNPSREEIGPLEGFGQRRPSQTLGGLLAVGSSPVPSPGGG IRNDSIISIYGKGGRQAAVVSRTGSLSTQETATASSSTPTRKESSLSAKLANTFAVTL KNLYLADNQLDDDVFDELTLLGELRVLNLSYNDLSDLPRRTLRSWPQLVELYLSGNDL TSLPSDDFEDYSLLQVLHINGNKFQTLPAELGKAHRLTVLDVGSNSLKYNVSNWPYDW NWNCNPNLKYLNLSGNKRLEIKPNITYGSRGEDRDEMSSTDFSALVNLRILGLMDVTL TIPTIPDETEDRRVRTSGTLAGSMAYGMADTLGRNEHLSIIDLVVPRFNSNESETLLG MFDGQAATSGGSKVAKYLHENFVRIFSDEIKMLNPAIHDTPVDALRRAFLSLNKELAS GATQYVEDRSLLSHRGSSPPAVLTQADLNSGGVATVMFLEQMELYVANVGDAQAMLIQ SDGSHRILTRKHDPAEPAERQRIRDAGGWVSRQGKLNDIIGVSRAFGYVQLLPAVQAA PHITQITLKEQDEMVMIASSELWEYLSPELVVDVARSERNDLVRAAQRLRDLAMAFGA TGKIMVMMMGVSDQKRRDRIRMNRGPSMTLGPTSVLDDVYLPNKRIKRTKEAVEDSML RRLEAEVQAPVGEISIVFTDIKGSTALWENNQSAMRSAIRLHNEVMRRQLRIIGGYEV KTEGDAFMVSFPTATSALLWCFSVQSQLLEIQWPSEILNHTIGKEVIDADSNLIFRGL SVRMGVHFGTPVCEHDPVTRRMDYFGPMVNKTSRISSTADGGQIAVSADFISEIQRCL ENYSETEREGAAGAGGGVDEEMEDPAAESIRRELRSLSSQGFEVKPMGERKLKGLENP EYIYLMYPHSLAGRIVQQPLLGCDLAEAAATKMKEEAGRGAEEGNLRLTFEPKGDLSI DPESIWGLWRASLRLEMVCDGFEDGVSKPLYGAQTELVEKLATRGRDMTDELLVAFMR NLILRIEACITNVSLRRGVAATKDHVAAEAVSDFKKYIRPLDEVLNTVAEQQRLVERY RERFGELEVEEVGEGEGGKGKRVKGVVEEVVEEVE VE01_06441 MRLPFPLIRRPRCLPLTRPHNRRRTLLTLAIETSCDDTSVAILE KQKAKSTLHFHDKVTSDNRAFQGVHPLIALESHQKSLALLVNRALRSLPEREAGSATW GNAVQIRGAKGEADTLREKPDFITVTRGPGMQSNLMTGLDMAKGLAVAWQVPVLGVNH MQAHALTPRLVSSLNASSESAESHPRFPFLSLLVSGGNTMLVHSSDIVSHSILAEKTD IPVGNVLDKCARDILPKALLENGKSVMYGPMLEAFAFPNGAKDYDYTPPETQRGLNTM KTTQFGWAIRPPLSEDKSSSMEFSYSGLGAIIRRIVESNPEMSDPERRLLAQETMRVA FEHLASRVLLALGTPEMKDISTLVVSGGVASNQFLKYMLRSLLDKRGFKGVEVVFPPM SLCTDNAAMIAWTGMEMWEAGWRSGLEVRSLKKWAIDPEAGDGGIMGAEGWIRADDTQ L VE01_06442 MFGHRKSWSTSSSSEFKLFPLNRAALSIRSGGNKNQGGSSQGGS RRGFTFSSLRGAGQPELSKRLFRLIKTQNNLIGAYEAAGKERLSVGTQLSDWGEQTGD DAISELSDKVGVLLSELGEQEDVYAHNLDDARSVLKTIRNTEKSVQPSRDNRQKIQDE IHKLKMKEPENTRLVVLEQELVRAEAENLVAEAQLTNITRQKLKEAYAAEFAATIERA EKQIILARHGRRMLNLLDDTPVIPGDTRPQFEHVDQARQILNDAEEDLRDWRLEAEDV PVSNQIDSNLMPTSVRADTSYEGEETLQTIPSVDESRTQGGTYLPHGTTLVGGGSSSG ATNGQGGASKPRATFVEDVDTPNVTRERAEVV VE01_06443 MDSLVARYSRPMFANEGYAKEQQEELELVQEVPALSLKFAMPPV AQPSSWLRAATDDYSNPNCPIKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVK KVIEINSSLLGTMAGGAADCQYWLAYLGMQCRLHELRQKRRITVAAASKILANIVYSY KGMGLSMGTMCAGVTPSEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDL TEEEALELGRRSILAATHRDAYSGGYINLYHVKEEGWVKHGFNDTNPIFWKTKLEKGE FSNVTSELV VE01_06444 MALIASSMVFSFSHIFLFGVLILFILYTLRSSVSFQIAVPNYST SRANHYPSEETLRSRSLTEEQCRTAFPGLLKEVDDAVARGKFVQDTYDPENSLGPVRG RIKDGKLYIIFAQRENDMSKDAVRYRFAVLSQLYRAILTSPTPLPPTTFSLTVSDTPR TGSWSFARPAITPSSPAQNHWPMPHFSHWTWPNPLVGPFDAVLDRIAGIEREARWREK IDKAVWRGTVWFSPIGNKDLRKNLVKVAKGKEWADIEAGRAEVKNATTGVVVEKGNEI RIEEFCRYKYIIYTDGVTYSGRLAFHQACASVLITPPPTYLQPTTHLLRPLYSPTLPS PPTSHPEWPSYPPSEANIIFVKPDWSDLEDTIIWLRANPEVAEGIARRGREEVLLGGM GGQAAEACYWRGLVRGWAGVAGGWEGEDEVEGVRWEEWSVRGVGNGGGRRGG VE01_06447 MARQRSKKSSGDQKSVEKQAQSEFSQQNGEQSDNESVEMMDAGE DEDELARLVLGDDTGFMAQLGQETMGDLDLEADNEDNESQLDVEGEEGENLEDVDDAE LFFMDAGPSEVNDRDLIARPGSDDEQSDGDEPAWQDSDDERLTISLAGNPRLRKLRVS EAEDMVTGPEYVKRLRRQFERLNPVPDWASTSEPPSKRRRRSSASSSSSLSDDDMDMD ESLSAQPLAQLLRSSNSLITASADSKRGKLRPEVINIQRTRDIPMVQPSAISSLQFHP IHPVLLSSGPAATIYLHHIAPDALPTPNPLLTSVHIKSTPLHTTAFLGPTGDKIFFSG RRRYFHSWNLETGDIAKVTSVYGQKDEQRSMERFKLSPCGRYMGLIGSSKKGGGIVNI LDAHTCQWIAAARIEGRNGVADFAWWRDGEGLTIAGKGGEVGEWSVESKSFIALWQDE GAVGTSVLTLGGRSGQKELGGDRWVVIGSSSGIVNIYDRRAWTNKGEVKIPNRPEPTR VFKQLTTPTSCLEISPDGQLLVISSKWKRDALRLIHLPSCTVYRNWPTGQTPLGRVSA VAFNQSSSLLAIANEQGKIKLWEIRV VE01_06448 MSDVGSEAWSRQRQIAENREPNDGAGFYIEFLVYFHVYVSERRR TRLQYSTATQYIDRESRPKPVDNSAPGALHDERTLRQIFDSKDFWKEFSSSSKAGAKG HNIGLFQNRYLVNAKGFERFADANLQKAKRIVSKVLNASSKHEYQSIARDLDRLSDML CRVIDLSDFVRATHPDERIQYAASQAHSMMFEFMNVLNTTTGLNEQLGIAMADPEITA TWTEEEMVVAQALKKDFTKSAIALPKAIREKFVRLSQEISEIGHDFVESMEPAQRELQ FSSGKLKGMDPLLVRSVTRWGSAVVPTHSDISIAAIRSVQDESVRRDLFLANRTSSPA QIRRLDDLMRKRAQLAKLTQYESYGHLALGDKMAKSPESVNQFLSALSEGNKPKAKQE VDQLQQLKDRHTNGTSARLQPWDKDFYMSQLRSSMRSKSRNPDFLSAYFSLGTVMQGL SRLFTRLYGIRFAPRETVHGEAWNNDVRRLDVISETDGHVAVLYCDLFSRPGKSPNPA HFTLRCSREIAEEEVQEAAVSHDRIFASAEEAANDGMATSRASGSLRQLPTIALICDF RTSAKASLLSFSEVQTLFHEMGHAIHSILGRTSLQEVSGTRCATDFAELPSVLMEHFA ADPTVLSLFARHYETDQPLPYRLVEEKLAVDKQFSGVETESQIILAMLDQAYHSEAPL DKSFDSTQVFHEIQRQHAMLPQDPEGTSWQGFFGHLSGYGSTYYSYLFDQVLADRIWK VVFQGGQDGASIDRERGERMKESVLRWGGGRDPWRCLSDVLGDSRVRDGGKEAMAVVG SWKKAS VE01_06449 MSFSPLLRSRAIAPAATALVGAAAILYPKTTLHAEEVRSEDSKR KSIYDDEPTAPASTGAESSSLVTATRQAQKSRQSPTDQLAVQIGKTRLFIHAHVAAAE DKINSAMDSLLALENNFTSTIASLAPSRASGERIMPGAVYVLVAAMAGSIATRNRSIL LRGSAPLAIGVAAGWVVLPVTMRNVSDLLWKYEQKFPALANGHLKAKSGIERAIYMTR VHTEQAVRVVDEKVGEGREAVEGWVSKGK VE01_06450 MEAQQGQARVEQARLEPAWWEPVRQELVRRELDRLEQACQEERL IMLPTLPNNEPDSHRTLHHYHHAPSKYIHGSPHPLYPEGQYQNPRYSIPDTDSPWTGA EDSLLLEQIPYATPPGIRARYGFEILAFWPRISRQMTKESRAKNISNREYTPDSCEAR YCVILSQIENPRIGNRAPDGDGGVSQIGKSDSGNGGPVNDEAVSQTEKPDNGDEGTVG NEAVSKIENPDNGNSRPDGDGGVSQIEKPEHRNRGPDSHRGVNPYGYLIPAAYQLDMP PYIGLDSQLTPTDYHSERKEANLLGKLVNVQRIRTAIGVGQLLNPEQGIGPPVPPLIA HDEP VE01_06451 MNNQQFRKLVLDTPARQSLNGDNKATLGATPRRDGGATALGSRM RSSIPMTPRSVATSGGNDFARQLAERQREASGQQPTKKFRSVAAPKGAKLASGYVDRT QMRESEVEDEKAERVKALEEMMKLQQIDQATFEKLRDEIIGGDVNSVHLMKGLDYKLL ERVRRGEDVLQGKSNESAETEEDEVDVDDEFEKLEEKEIVPVAREKVAKHGEMARASL VPGKKRNRDQILAELKAARAEAKAKAGPSLGTKFKKVGASRAEPRIERDERGREVLIT VDEHGNEKRKVRRVQVEQPKEEKHALLMPDKDAAPLGMEVPDIPTPTIEEDEDFDIFD DAGDDYDPLAGLEDDVDSEVEAEDGEIAKAPSKDVPSPSQTPEEEKASLDKLSMPPPP RPKAVVKARNYFGETATTSKTSAKPALGDATILAALKKASQIGGQVKEAKSEEDAARE TKLQKMLQSQDRDADDIDMGFGSSRFADEEDFGDEKIKLSNWGGDDDGDEGGRDGKAK RKRGPKKRKGDANSAADVLKVMERRKMEDKS VE01_06452 MASTPEELHILTLNCWGLKYISTLQHERLLEIGKRIVTAEPTPQ IVGLQELWTHEDYVAIRKETRHILPYGKLYYGGIFGAGLAILSKWPIEESSMVPYRLN GRPTAFFRGDWYVGKGVACARIRIGSGPKDIVEVFTTHLHAPYEVEPHDSYICHRTAQ AWEIAKLIRGAADRGHLAIALGDFNMIPLSLAHRLVTSHAPVQDVWRALHPDSSLGAA IDKSEKARMRGVPTAAMNLSENGITCDSVLNTWRWSKEKQQKLGPGKPAITIPLSTSD PKAKRLDYIFAGDGKRHGEAGAWVVESAYVGMTEPHPTFQCSLSDHFSVGATLRWSGI AGQESNVALSSPPVTHGHTESGSEKYRSLSVSYLPPSTYDEILEMIYSYTLREGKQRR WRLYHFIGSLVISIGCLIAIWWSPRNFVSFLLMLLSTLGLSAGVIDGLIGGLFVGSEL RALKEFEWEIKNARGAAGGVEHDDRHEDAFAILLAAHHPAADLLGISTVHGNAALVNT TANAGSVLTAIGKPDIPVYRGASKPLVRPAVHADAIHGESGLAGTDLLPTPARPADLS IEAVDAMAKAILATPPNTCWLVATGTLTNVARLVMKYPAVVGHLKGLSIMGGAIGGGF TAAPMGKVGSTERYGNWTPYAEFNIVVDPEAAATIFDLPELAAKTTLIPLDVSHQVLA NKDVIKLLHYGKKGPSDSTPSVLRTMLVELLCFFAETYDKVFGLSEGPPLHDPIAVAA MFEGTEYAIALYDYEEGQQGRRERFNVKVITEGTHAEALEGKTETGRTIATLLPPGQE GVKIPRSLDVQNFWRVIEDCLEKADAINAVGKN VE01_06453 MAAIGMSTQERQLCEFFRDLPRVKKNRYDESASNDLLYNLFWSL AGGQDKYMRLFFPEGRQPAPNEKWELRGAQGAVDGAEYTEAARGKACGHIFKSGEATY RCKTCSADDTCVLCSRCYDSSDHTGHMVYISISPGNSGCCDCGDPEAWKRNVHCTIHS ESEHEAKRSGKSKEASGLPDELIESIRMTIGRAFDYVCDVISCSPEHLRLPKTVDSIK LDERMSRLTSPYYRGDIVEEPIEYALILWNDEKHTVEEVSLQVSRACKVRVAEGLQRA YETDDMGRAIVKYSFDINELLAVAEVIEHIKVTVSIRSARDTFREQMCGTIIQWLGDI SGCSVGGDHNILRHVVCEEMLKSWRTGSEAVNAEIGKSGIDDLEIEDQAKEKETRMML LGPRNIQIIRLEAAMAEAERAGVESSEDEDEDGDGGELSEGEDVDEEELQTIMDVMLV EAQAGGDQDGDVEMTDDASAQEADEATMAGYPPPPPPPPPPPPGLDTQRVLMDRETTP SDSDMAEPLITSSIYAKANMDIPKTPGTKHARPEKVGRPPRYWLETPEAFNDRESIPL HEDLWQRVRLDWMILFDLRMWKKVRVDLRDLYISTVVTIPEFKRVLGLRFAGLYTLLA QLYLIADREPDYSIINISLQMLTTPSITSEIVERGNFLTHLMSILFTFLTARQVAPPI EINPNAVLKFETGSVTNRRMYHFFMDLRYLFNAPHVHERLRTDERYMMQFLDLVKLHQ GICPNVRAVGDHLEYETDAWISASLVTREINRLCRQFSESFKWKLGEDMSAISRAIRF SANTVIINSLGLERRRFEGSEIKEEVKFKTVADYEFDTSETGEHSVIKFVVEDQPISF HHALHYTLSWLIECGKSMSRDELSNLLTFTQDGLMQKPKLMGQRLMPSNEYNSEDLLM AAFDYPLRVCAWLAQMKASMWVRNGMSLRHQAGTYRGVTQRDVTHHRDLFLLQTAMVI CSPSRVLASMIDRYGLEMWMKGIYEQNSDVLDHQQQLDVAEDMIHLLIVLLCDRTSLV PIETEPNPHTVALRRDIVHVLCFKPLSFSEICSKLPDKFQEQEECQDLLDEMTIFKAP EGLSDVGTFELQEQFLKEIDPYIAHYNKNQREESETAYRNWVSKKTGRPAADVVFESK LRPIESGIFTDLAAFTKTGIFAQIIYYSLLYPLKSQELTPTVPATRVEAFLHVVLHLV LVSIAEDNTEEDDMSEEALQSFVYIALTRNARSNFMNDAPHAKTIVSILEIMSTREAF KSCHPKISLVLKRMRQKRPRGFESAFAKLGASVDRVSTASPAIANPDEERDKKKKAAL ERQAKVMAQFQQQQKNFLSNQGDADWAQEDLSDEDMDVQAEERKNNWQYPSGNCILCQ EDTNDNKPYGTFAMMEESGILRQTDFSDQDFVKEVASVPANLDRSAEAIRPFGVSGQN RHVVHKIATNGQEVLSERQTIGKGFPSKSMKLGPVSVGCGHIMHYKCFELYYEASSRR QSHQIARHHPERLDLNEFVCPLCKAQGNAFLPIIWRGKEESYPGLVNTSAPYDEWLDS LLPSAVKRQEKSAAPLGDGEFPGSRYRDMFLNYIQENVVQSLSSKMPNLDEAWEAVPS SPISLPDNALNILQANRAPMRRLPGAFPPDAALELPNVTPPQTSTADHGLIELVSIFR RLSDTIVRNKLISREIPEPRPEGADAFYAGDTVAQALGFSISAVEILQRGVDSESGST FIEKVPQQSLTHLRILSETAASYVAIGGLKNDGNNPTAAAFTNAYDRQLEQLFCGYPQ ALRSHSEERDHYPLFNCDIFNFLTETSLCLAPVLDLDIMHILRLCYVAEIVKVVLAMA RNTPAAKWLSWAGLLNDQEAQEDNSFQRACSYITKLDMRHRVMLGLGNAQPFEESDDK LEGFDQECFSGIDDCKEFVKKYALVFLRKASLLLYIRYGTDFNSFFPHNSDDELGRLS EALRLPSFDEICDLIGQKSTAKIDDIIDNDDNLSYDDISTTQHIIWGWIHAHFAWQTK NTPEEGKSDQKAKDISVSHPTIFELIGLPKNYDTLMEETMKGRCPTTGKDLTDPMLCL FCGTIFCGQAMCCLKEEPKTRGKPSAKIGGAQQHMRNAQSFTYIITLAAGWWHRTLTN SARLIQVSAMDDSFTSIRSVMMHY VE01_06454 MAEHLHDNSFYSLSCPHNQLNATLQTSQCRLLFVRRNYVFLGTV FAGAFAFEMTFDSVTDSLWDKINKGRQWKDIRAKYVEAGDEE VE01_06455 MPSVPTPSKAGIIEDASGDRHIPSSTRADGSKRKEIKIRPGYKP PEDVEVYKNQTAAGFRARGQGGVPGAAALKKEEPESAAASNKNSKRREARRKAAATAT SSTNPETEARTETNWRQPATNQPEAAAEETVDPEAEREKKARNLKKKLKQAKDLKEKK EGGAALLPEQFAKVIKINELIRELDALGFGPEGEPKEVEAKAEK VE01_06456 MSDDDSYATTPMDTDRSVKRRRLSNDGTATPRYSSPDELAANVD EHHQAARRDSRLNTNKGNTSRRKAAAESSHDRSPSHDELAHTFYADEEDIESNHDHQS QSESVSPSQTPSSTEIHDNNEEADLKNREERLPQVRYRLKYELDGHERGISQVAFSPD GQWIASASADATIKIWEASTGNLVHELIGHLAGISTVSWSPDSQTIASGSDDKTIRLW DVTTGKPHPKPWKGHHNYVYSIAFSPKGNVLVSGSFDEAVFLWDVRAGRQMRSLPAHS DPVAGVDCIRDGTLVVSCAGDGLIRIWDTASGQCLRTIVHEDNAGVVSVKFSPNGKHV LAWTLDGCIRLWDYVTGLCKKTYQGHVNKRYSISGAFGVYGSEAFIVSGSEDGKIVFW DAKSKEILQKLDAHDGVVLSVDTHPASGRIVSCGLDRKIKVWINEDDEETSNSLLNGL DKQHGSRSEDSPTGRGGFQDEGAARGGSKEGGGGTNGLVDVDAGERITAGESMDVEVN MEDDTLQELPSRPKDELL VE01_06457 MDIDDILREADPEYYSTPGDDGDLEALTRAWVAERSAPELLDWP SNGLIERANEKIQRQIEKVEVMTGDMDPKTNFGLIIIQTELERWKYLVRGFLRARLAK IDKHTLHYLSSPSLRSRLSPSEISFATRHQALLHEHYLSSFLQSFPPQLRNLDDTAGG ISMVDAPELDTAVFIRALKDCLVGTQGGNAEDMVDALAGEVLIARWADVKPLVLSGDA ELV VE01_06458 MAEPAKTFTASFGVLIASLGLKKGEQAYNKCRAKFFMDAMKVVN DKVASGDPTAKRLEAEYATLVETRGSQNRTPKMDIANSNGQPQATEDLESKFQVLANA AGILPGQPCYKRLLRKFLTAEYATNAQEYCFNARNVKDKNKTFKFEALALVCGLKYDS PSYNKSYNDFFQKKGILLIRAIKSTAWPERSSSTKNMSQEPASSRPDDESATMKSLRN KLAAARLDGVVSESAAQTLEAEARFEELTLSLGLIKGRNSYTNYRCRFFEYESSRDHI LKLGDDIAMEKLEIFEESCAARGLQQGSKEFGTFKKHFDIENKNDTETSTDSSFSMCG FTSADDDSQYALQFKGRSQTSNGNNLHYGQPEGRVVGDRQASDRKQSRHGNRALKDGA PNNGPPKNGARQGGPQKGSATKDDVPKGGPQKGGPNKSRAEKAKEEFNAYFPDTSKLE NWQKLCRDLGINPVPNSIRQCKMETKKIYVNIYQFLHQIRTGFPATRFPSQKALANYC NGGNKRKFPREIAKERGALAGLLHYLY VE01_06459 MALSSDEVVTIDNFESKLAKFSADGCGLFGLVDMGSNGIRFSIS DLTPPNSRLLPCIYRERAGISLYDALHESKPGSVPFVFSPGIIDKVSKTLARFAYICK QYKVPDNQISVFATEAMRTAKNQDEMVGAIHAASGLGVQILSPAMESMFGAMGARSAF EHVDGLFMDLGGGSVQMTYLDSKSNGYETLAAQNAKSMPYGAAKLTATLRVEEEGTTQ KQLRTQMRDTFEGMKKQFAGLRAQAESKEGISIYFCGGGFRGYGSMLRHTHEIQPYPI PGIGGFSVSGASFENWEAMLKENDKDGKIFGMSKRRREQFPAIAMVVGALVEAVPKIK QVTFCSGGNRDGVLYMKLSPSLRQQDPLPLLLGSSESVTDASVSAAVKLVRSALPKDT PSIFTSGLLDYVVRNTWTHLGNSDDQNATRSLHTTISGSIASLPGLTHEVQAIIALTL CARWGNDLGRADSAILENLRLLVGKNGTFFCDYVGAILRFLVAVSPAYPTDPLSLKEL IKFNPTHSTTLGKKGKKSGIKLRITPNPLGRLWIETGKLLDLFDGLGKGLGSGLRVEA ELTD VE01_06460 MASKIIVVGAVQGQLRSAFSKIATLHAKNTFTFAIISGDLFAED DDEVTDLLDGKIIVPLPTYFTVGLSQFPQRIVDKLTKDDELCENLHYLGKRSTTKTSE GVRIVALGGQLDDTIIGGLSKEQYLPFHTVDDAKALRGANTADILLTASWPSSIRTGS KVPIPEAGIEPTGNDHISQLSAELKPRYHFSSSPTFYYEREPFFHTPTEDAPDFRPLT RFISLAAHGNPNKQKSISAFNLRATVDVTAPLPLGVTASPFSTKAPEGRKRAALDPAP YSRFSTDDGNHHRHKRGRRERQPPPGPDTCFFCLSNPNLATHLVTSIGEDAYTTTAKG PLTTSSTNAANGLDFPAHVLIIPLSHEPTLARIDQEGRQKTYMEMNNYKKSLQQMVAA RSDDKLGSVTFEISRGNGVHTHWQFIPVPAELVSKGLVEAAFKVEAENMKYPSFQNRD PGLGEGEGDFFRVWIWSPSEENGAQGQSKTITMPFDDTMRFDLQFGRKVLAKLLGLEK RLQWRDCEQTVDEEKRDVEAFKAAFRIFDFTLEDEAKKE VE01_06461 MPSTSSGRIVKTSKGKKGTAHSKNHRWESFTAKVSKLNSLDPLR RVRRHDLDSEDLGATTSYFKAGLERWQELNMSERFIQFSYDVSPMCDSLPQILHFEDK IMDLLVSFLEAKERESIEPLLSLLTDFAHDLGLRFEKFYARALSLVTDIAGTKQDVEV IEWSFTCLAFLFKYLSKLLVMDLRPTYDLMAPLLGKERQQPHIARFAAEAMSFLIKKA SAPAIREKALPVIICHAKTDLEKTIGTREYGLYYHGIMTMFAESMKGNGLSIHSSAPA TLDSMFAQYSYSEETEETYWAWGDVICGVLTSLVHHTSSDTLGEIIDTVLAHSEGVVK SFEKSRTPESFKQLMLSSKAIGVIGGVRKGSRVANWKPFLSSISDILQALSRTTGASF YDDHDRIIWESVILTTSMALQYSPMDALIPYAPRFLDSLAREPMARWFLMFCSYFTKV DVERFRSIIMPYFQKFIVTHWSDKENEDTLCVLVPKMVSSGVFISSTGGKDGFNIPQS WQDQMVSKFERLEVSPFPEQASPTSYGSGTKTWHDRCLPRYYALLSIMDCTTAHPSTN ARIAEILQRKLKLALRPSSAIEPEESRFIAGRGFEAFSRMTKAAGEVDISLEPLLRAA APRYARLPGFLHALLSYLTSLKQSPSYKPAADTWSTNGSEDADSLIEALILNLSTNSH DLRLLSLRLLDHLYTASTNVASDAISTMILIEQTPLDLQTARSASMNIRRLAALHRTH VSDRILYRAIPHFCFGMLTVKFAQLWEDACAALSEIAQTAKEEDVIAEIVFSWLEAPS ALWDGSARNVEHAQNSGLTDFECSNLINLRKLASEVETEAKDARDVMIRQFEESQELV APQPPMARAQALRVLASVTSIAERRSRQFIPMFLSWANKEGEPYQSDEDPINISNWTR KDQKSQLDLLQKFHNPRVLFRATEVFDALLGLLTNGDLEIQKSALKAILTWKIPSVRP YEENLLNLLDEARFKDELTILLQGDSRIQFEHRQELMPILLRILYGRAISKKGAASGR QGMLATRLTILRNLSVEDIGSFLQIALGELKDIDVISQSRDELFDKEILSARKQLGFM NMMEGTLKELGTKMSPYTSQLLSAVLYCLVYSSRQLQRSPDSIDDEEDFTSQTSLLKS VRQTGFKCLVLMFTNDSTFDWSLYMDLIMSELISPRLAKLPIETAQSISGVLQLLYTW SLSPKTVLFLGEDDQTLVTVAECLAQPKSKDQVRLFALSIIDNVVGIAKSDLPENEDL TGRVKTSLLSKNMDSFLVPIGDVLRRQQDISKGLLEACVETISGLAQFVTQSTQAHNL VDITTFLLDQPTRRVNPKTKSGLLLVLEHFVPLYDLQDDSELKDRVYNTVTSLFGFFR DRSSREVLSRVLIVYSRKDPVLEEVAELCVSLNSFAEGRLDEPDYDRRLKAFNLISAP RENQFTAHQWRPLLYNFLYYIRHDEEFGVLSSNSSDAICNFLDSASKATDATESSTFY DMLSSILVPAIFTGAREASEIIRREYVKVMAHVVKTYPDWTEVNDMHTLLRGDDELEG SFFNNILAAGKGRQSNALTQLSTASKDGLISSKNTAHFFIPLLEHFIFDRAEGNDAHN LAAEATTCVGILAESLDWPQYRAILRRFTGYIATKPTLEKQIIRLLGKVIESLAVAAD SKHSIVDAEANDTAMEVDDAPTKPSLSTLAKSLPKEQKFYDDLTGNILPPLIDYLHHK DETTVSLRTPVAVIAVRVLKLLPHDQMAEKLPAVLTDICQILRSKAQEARDMTRQTLT DICVLLGPSCFGFVLKELRGALARGSQLHVLSYTMHSILVATIPLYSPGDLDYCLPSI VAIIMDDIFGITGQEKDAEEYVSKMKEVRSSKSQDSMELIAKTATLSRLTDLVRPIQV LLKEKLNLKMVRKIDELLNRISSGLLKNSAAKSRDSLIFCYEVIQDVYNSNKPQAKVK EDYRLKKYLIQKGAKRGSNQGSTTVYTYKLVRFAFDVLRAVLKKHDNLRVASNLTGFV PIIGDAIVQGEEEIKISAFRLLTTIVKVQMKATHDGSNLYKVAAAEALRSISASSSTT SDVSQAGLKLVSVILRDRNDIPIKDSAVDGLLSRLREDMTDPERRHVTFNFLRAVLDS KLESAVVYDTMDYVGTVMVTNDDKDTRDLARGAYFQFLREYPQKKNRWQKQLAFIVAN LNYEREGGRLSILEVLHLLLSKSSNDFIQEVSATCFVPLVFVLANDDSEKCRMAAGEI IKEIFRKADSEHITTFLNLLRSWIRQEDNTAVQRLAFQSYGFYYEAQDSPPDNDVNEL QTCIQHSLKNSNGTESDWELLYSALQLATTLCDKFPARLLAAGAVDMWASIRTCLTYP HAWVKLSSAKLMGVYFTDFARANMESGLSELPLVGSKGLSLDADDIRDLIRRTASMFK TPGLTELLANEIVKNLNFLGRIAASSSLKWKKPQADDDVSDDEEEGTVREDGKKLTAL NYIFGRISFILRRESSPPRAAVLVPKTAALKLSQMLCAKLDAETLAPCLATILLPLHN LTDRNIPVPYSTDDLFKSNYENIKTECTELMEQLKIKCGTSIYTEQLLKVRQGVRERR EQRSSKRKIEAVSAPEKFGKDKQKKVERKKERRKEKGMEHRDLRRGF VE01_06462 MLPRQAAFRLLRRIALQDFKSAPRIAVFQKPISRPLHFFATPSL RNAIKPFEIPRKTTEAPADQPAYEMTFTCKPCSTRSTHRVSKQGYHKGSVLISCPGCK NRHVISDHLNIFGDKSMTIEDIIREQGQLVKKGTLSEDGDFEIWADGTVTDRPKPTGE GEGAKVQEVAAPTEKL VE01_06463 MASALDKAVAQALKLSLAGSSHALGGRTDQDDFESIWSAICVAL REIHTRNASQISFEQLYRLAYKIVLQKNGDKLYERVKEFEEQWFAEEVMPKIRSLITR NHTGLTVGGGSSSTATERRISGEKFLKGLKSSWEDHILCMNMTGDVLMYMDRVYCTDN RRPSIFTTCMGLFRDHILRSKLVESDLDLSTFDILNSVLLDMIQMEREGDVIDKNLVR SCMYMLEGLYETDEDDENEKLYLTVFEPKFLNSSRAFYQKECMMLLRESDAGTWLRQT QKRLMEEADRCKTTISPLTAQKIAEVIDTEMIGSHLNEFIQLESSGVKSMIMNDRFDE LALLYQNISRIDPKKAALRDALQGRVMEMGCDINNTIANTDFSEKAPVAEDADKAAKG RVPPPNPAAQQTAAAIRWVDEVLQLKDKFENMWEKCFESDLILQTALTKSFSDFINLF DRSSEYISLFVDVNLKSGIKGRTEAEVDAVLDKATTLLRYVQDKDMFERYYKKHLARR LLHGKSESAEVEKQMISRMKQEVGNYFTTKLEGMFKDMTMSEELTSNYRTHIQGLGER DRKQIDLGINVLTTNHWPMEVMGAAQARSEDGRVQQCVWPPEIKHLQDSFTKFYMKKH NGRQLTWLPFSGSADIRCVFPKIPGKEGILGRERKHELTVPTLGMIVLLLFNDLEEGE SLSFEEIRERSRIESKDLQRILPCLAILPKAKVLNKDPPTKNLKPTDRFSFNAAFTSK SVKIKAPTATGINKVEGTEERKQTESKNDEMRGGVIEAAIVRIMKQRKQLEHQQLLTE VITQLTSRFRPDLNMVKKRIESLIEREYLERVEDVERPTYRYLA VE01_06464 MATSTSPRLSLSDVEKLPASVAHHETYADLPPMDPVALRKLTRK CDLHVVPPLFVLFLLAFLDRTNIGNAKIQGLEAGLGLSGPQYNIALFIFFVPYILFEV PSNILLKKIAPSTWLSSIMFLWGIATMGQGLIKDFGGIVGMRFVLGVFEAGLFPGCIY LISMYYERYELQWRLSLFFSASIIAGAFGGLLAYGLVKMDGLGGYEGFRWIFIIEGLA TAVIGALSKFWIVDWPETAKFLTDEERAMLIRKLAVDVGDARMDRLDSKSIKRTLLDW KIWCGTLMYMGALVTGYATSFFIPTIIKQMHYTAAQSQLRAIPIFVVAAAACLMVAVA ADRLKHRYSFIIAGCLIGIVGYAILLNLDNVNVATRYMAAFFITTGGFMAQPVTLAWL ANQMGGHYKRSIGSAVQIGFGNLGGIVASNIFLKKEEPHYPVGFGTALGFLGLTIITS TVFYIGLKLENRKREAGGRDYRYQEEASELTNMGDDHPSFRFTY VE01_06465 MPAHKGWLPREGFSSEVLGSLIKKTLLNPALTLPLVLLARYTKQ GQNLSILHETAFSRLQIALALGLIRYANNYLSQKALDNFKSDKYIWSQEVVLVTGGAG GIGGAIVRMLAEKGIKVVVLDVIPMTYEATANVHYFKCDITDSATVASVAAEIRRTVG NPTILVLNAGVARGKSILEATEKDVRFTFDVNSIAHYILAKEFLPSLIARDHGMVVTV ASIAAFITVPRMVDYSASKAAAMCFHEGLGAELATLYNAPKVRTVIVNQGYTKTPLFQ GYTNSSSFMVPSLEVDTVAEGIVNQILSGHSGQLIMPGFTNMLTYLRGFPHWFQRRTR HDGVSIMAKWHGRQVIDVEKEYGVKKDISASTVLVDKED VE01_06466 MLQAEIAAATGTARSKWWDAHSDATQATVKNLKLQWKFSAKYPQ FPNGDSYDQVSMLPRTHEYWNDLATQNCIAALQKGHLVAVAWEPDLAKEHHSDASSSA YKTASSTSSRNGAENDRSNYRPRSDTPATVETIESPAKGYRDRIMSRHYQRVMERLRT LIKNATGYSFRSKQNTHLLYDNPSGKGAYKWNFSDKFRRFPQNAPSKWTTAHCRLVEE ALHRNWLSVTPLPDTRDSDSPTPSLNNRPLRATTTAQRPTPSQPTPLISTAPNPDDGQ TEDPIPALLAALNRDLTSAYDRTVTSLTTTLLASATSHHTFLSSSLARISTALASQRS TDHSSEVARLKQQIATAQSDDAREAELAQARTEGDVAGQQRAIREIGDVQDGLLRSHL QELMRVRREARMEGYEAGFRAGVEQGRERDGMGESGLMGEGLGESYEEGEEGNLESEV GILGGLGVGRGGGAVGSGENRGPVSERGGGGYIPESEFHLQPHPPGVIGGRRPDSSDL KHPFYDDGELYNATPPRATKALKIERPDSSMGIQGEVRGNTENRLILHKGSSEGLAQS FSGGLFMSSDEEIDEGTRDVFGKDGDIGFSYF VE01_06467 MEQPTGQDGRATRPSAFQAPRKKRNHRGGKKKRSTKQPFALPAS EEEDVSAVLETSEDRKTKQSTARSPLQRSTLHRLQGRNSSNTSLESEALLDHREQKFM RPRRSSTLQHNAFDQSIYESPGSIRPQYTNPFASQESGRSRQLKRGYTMPNAEENMDE EADERTPMLATSMPRSGYGGQSMGSGPKPSRYGRNGSSSSDIRKGVSKSTSREVPAPL PSQSSGAYNVNYPPSVPGSPSMSPAHKPMSFGDMLGRDEFPSDRDHFEEEEEDEYHDR GETTPDRRSVHGSLAERLERRPTVAARAEEDVCFPAEAMSEITEIAEEDVAEQEGSIF HQNVRRRRPKWPDLAVLDEWSRIEKEGRSEEARAKRMVEPQLIGGRLRPTHSRNWHRV EEDAPYRFTYFNEEFPSTIHSQTISELEQPGLSFKELFIPDRPELCDSSDDEEDDQYP GLLPSEQYQIRTHSNGNNNMESRATTRQSSYMDLSPCSTVPDDRHSEKASTPAQRGKL SSANTMSIPELSLPPPQDPHAAAAAKEATTEQTSAGTKSPEAPRERPKRYGDRPTWWL DVFSPSEAEMKIIAKTFGIHPLTAEDIMVQEAREKVELFRNYYFVNYRTFEQDMNNED FLEPVNMYVVVFREGIISFHFSLTPHPANVRRRIRQLKDYLILSSDWISYAIIDDITD VFAPLIQTIEDEVDDIDDAILMLHSPPSGDSTDEKRSEAGGKTVENGADMLRRVGDCR KKVMGLYRLLGNKADVIKGFAKRCNEHWDVAPRSEIGLYLGDIQDHIVTMTGNLSHYE KILARSHGNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIITGLWGMNVWVPGQ NAENSLTWFWCITVGLLVFGLSCFLMARTLYRIV VE01_06468 MPPPSPLAIATSSLQRLVKEEASYYKELEKQEARLKKIEESTEE DENREYTLKQERAAIEETKAVFPTLQQRIGDNLEKLRDQVEKALENPGEKTEEEVVKA KSAIENAQKALKDASAKA VE01_06469 MASFQLLGQREEDELHKTRILNIEEKPFKRITKRLLAPGSLIST PLAPLTPPPDTLTTPEAATEQEATHQKQLDERRQFHEDVLLDFAAFDSSIARFQFLRN SNERERERYAAEKLRILEAAQDVKDNTAELRVKLESARATLDQRKGFDKLAEKITSNR MLRTREEQAANLQKLEEECRELEKEREQYGETWAERREQFGRIVEEGVRLRRLIRDEK EEVERREGMGDAGEEDGEVGEQTPKHDSGEATPRPDGALTPRATRGASTEADNVYKSS NLKPASNGYSRSNSRASSRAGSRGGSRPGSRAPSPTGSERRRQMAEEGDAVMAEAGVD SPMADADSAVEEGEEVEVEIPKVTVHEPSSSSSGEDKMDTT VE01_06470 MASKEEENSAHRDPFSLQRMCERAAIRNIKDIYDVGGMSYKTVK PILARIKIPEQLRQIELASPQIIGETVELWERFIQRDVENWREKNYRPSNPADWPAVY RKYMDEQKAKIDYDKEKLRQALAGIKKEQTNNLSKKVEARYMPKIPRDSRMRANDGGV PIVGRGGRSGNRIGVPGRRLPSVSAIGYAATGVKKRVNIVEKARREAAMAIAARRQGQ AHVPDFRSQIRKAPAGMVNEYRKAAEVEKKKLSPRNSPVATGIPVPNAAMKDREARLR AIKASGARKPPVQEQVPYLAPAQVLDFNTPSEDTHASSANDDDDIEKLFGEYHERQLS ATAKRKLHGNDYADPFTYRPSPVKPPTKRTTDIPPEEWEARLQGRKSASPPARSRQSS PSGVTEQPKNASPMKGPPKKIFRRPPTDPFMTPKRPRRA VE01_06471 MFKRVTRRLSRSSQDLEEQAPRDINKSIMTNKPLLVIAASSDTF DHAIIHRWKREGFDVHYEHVHGDTRSSTYAVEAHGDNLEPGENYAIIAYGEAASVLLP KMFQAPGKLCAIVAFYPYTIPGPGSTPPEELRVQVHLAGNQKFAPKYPHFWYQGTHAG FDEHGRDTYDHIASGLAWSRALDCVRRGFGIDVDLEDIWERHLAHEFVTRNATETIGT MTSHPSVVHMPTLTGGVGKGELHRFYDEFFIPSNPANMRTTLLSRTVGSDKIIDEMLI SFTHTQEIPWLLPGVPATGKYIEVVLVSVVKIVGGKLESERLHWDQASVLVQAGLLDA TLGAVGLGQGGVSRLPIVGAEAPRITMEGTGGSVALNELIPGW VE01_06472 MSLPPNARDAWSQLTKMAQNAQKKGGAPGPKGAGAGLGLLVLLG GGAMLANNALFNVDGGHRAIKYTRMGGVKQEIYAEGTHIKIPWFETPIDFDVRAKPRN IGSLTGTKDLQMVNITCRVLSRPRVEALPQIYRTLGTDYDERVLPSIVNEVLKSVVAQ FNASQLITQRENVARLVRENLSIRAAKFNIMLDDVSLTHLAFSPEFTAAVEAKQVAQQ EAQRAAFVVDKARQEKQAMVVRAQGEARSAELIGDAIKKSRSYVDLKRIENARAIATI LQEAGGKNKVYLDSEGLGLNVTESYEDKTKK VE01_06473 MSTKPPSKSVFVGNIPYGLTEEQIIDIFSSAGKVVNFRLVYDRE TGRPKGFGFAEYPDSDSAASAVRNLNDYEIMNRKLRVDFSNDGAEDETSAPTGYQHPP LPLNGVPVPPPGMAPPNGASTLPPLPQGIDLPPGLTCPDSISRTLNTLPPSQLLDVLS QMKSLVTTDQGKAIELLRQAPQLSYAIFQALLLMGLVSTDSLASVVDAAGPPPQTAGA APTQAPPPQGQYPSASGPGYAPPSGYPGPGQMGTPPTHGMPYPPPPQQSYQPQAPAAA AQPDADALIQQVLSMPQELIDQLAPAERNQLLALRAQFMPR VE01_06474 MGSRLEKNSKATHKRIAEHTFNTEEGEEYTGSDFGGFSEYFRRK KIKLQNLDADLRAQSPDKPPIFRGIVAHVNGYTQPSLNDLHKLIVTHGGGFVQYLDGK TSATHIIAANLTPKKAIEFRNYRIVKPAWIVDSVANGKVLPWQSYKVLDEGVGQKTLG FEKGRVVNKVEARQKGYMDQMKTSWYNSQVQNIADEIDDEEEVLDVKSTRRTSDGKTT YTHSQGSHHHSQRLAHTKPNDDEITDEPDNELKLSNTESFDLTSSLEDALNAADYNVV TSESTKMESAKQSPSVDAMEQVYDETTEVRESTTALSSPQGRKRSHSVETTLASKGEK PVTAEEHNALLLADPKIRQSSTANPDFLKQFYSESRLHHLSTWKAELKSKFQQMASEQ TLSQKNRLKRKPVSRRYVMHVDFDSFFCAVSLLAAPEYVDKPAVVAHGSGSGSEIASC NYPARDFGVKNGMWMKQAQKLCPDIKVLPYDFPAYEDASKKFYDAILSIGGVVQSVSI DEALIDISSLCLTAGEFGGLGGSEESIRLEQKKADEIGQSVRNKIKDATGCAVSVGIG SNILLAKVALRKAKPAGQYQIKPEEVLDFIGELTVQNLPGVAHSIGGKLEEIGIKFVK DVRNSTKDRLMTVLGPKTGEKIWDYSRGIDHTEVGELITRKSVSAEVNWGIRFINQPE AEEFVKNLCGELHKRLMIEAVKGRQLTVKIMRKSADSPLDPAKHLGHGKCDVFNKSVS LGVATNAVEILAKEAISLLRTFGFSPGELRGLGVQMTKLEPIKHSVGGRPDGSQKTLS FPKPPVPRPPRHEILDPIDDPETPRKSKPTPNRPYADIEDIDPDSPLKPRATPVHPAA AITRANAADPSAVKPLNLTGTQFILPTQVDPAVLAELPLDIRSRLLAQSKVTTPSLAS PWSNLSRAASESRSKAPTPEPNSPSANLVPPAAPLPSQLDPEVFESLPEDVKAEVLAS YGSIRGNLPQQRVASLSPRKTGKSNLLKKPTTPTKKHTSLSVKSRHSKSNSNSSLVQS NFFTGRKAESSTRPGDIANDADAIDPDFLAAIPEDMRDEIIAEHKRVRLATRSGLVAP SKTKKRPPELPQGQRKLQLPPRPPKPTFTTADISVLPDLRQTISQWYTEFRNEGPHED DVKAMERYLRRVVLDEKDMAKAVSVVKWMVWLIYDSGGDGFQTEIGEKGWRDALDGIK EHIQQAVSERGVGSIDFNF VE01_06475 MPSLTPVAFYGLEVPCGDEIIPAVPDFPATFRITMAAIDPSAPI DPEAPKGSVARATLKLIREPIGPMDDEDDSEGDDDDEYMRALLSGPDSEEDESEEDEE AGPSDPAKSKKARKQAALEELLASIDKDDSDEDMEDEPKKVKGKAKAAAEDDDEENSD EDDEEDMEIEEFVICTLDAEKNFQQAIDITIGEDERVFFKVTGTHSIHLTGNYLIADE DEGHNHHHRVYDSEDEDDEDDEDYDLSPDEDELLDDDEESDELDTLDDPRVLELEDDE EVPALVTKADKKGKNKRAAEEPAETLDEIMEKTSTNGEKLSKKQQKKLKNNKGEAIAS EAAATDKDAKKVQFSKNLELGPTGSEAPKPAAKGKAELGVRTVKGVKVDDKKIGSGPA AKKGDRVGMRYIGKLTDGKVFDANKKGKPFSFKLGAGEVIQGWDFGIAGMQVGGERRL TVPANLAYGSKSLPGIPANSTLVFDVKMLEIK VE01_06476 MKRIRSQGDSPGDFKIYEDEAEEPLDRRSNTLISPSKDSTPRPS KIRRHGSKILSVLRSLTNSASSASNLESSSPISPQVGNVELARELVKKVSMSFNNMRP SVQPPTVVIRSPKSRPSLSLEKGVLELTPEVSPSTSGEASGNSAGKQSAPARTNSTGM TSLDSKFSAGKMLSGHSSNTENKKAAVTKQQVAEETIVNNSLMPISEVAQEVIIAPVP VPTVITVEKAAAAKIFFETHYNGLLASGPSPRSLRLREFEGVLYENSAHMTHAEMVEH RRDWLRSETNYLREIRVMKSRNHFRKQKGTDPMVSQYEIVKVLGKGSFGVVRLVREKD DVFSPFSFFEPKKKEVYAMKVIRKSDMLRNSQEGHLRAERDFLVASEGSRWVVPLIAS FQDANNLYLIMDYMPGGDFLGLLIRDNILSEAVTKWYIAEMILCIEEAHTLRWIHRDI KPDNFLISASGHLKISDFGLAFDGHWSHDQSFYNNHRYSLLTKLGINVEGDSIDKKDS RTVAVAMQLAHVVMGGKDKHEKKSSGSDEGILNWRNRNGNRTLARSVVGTSQYMAPEV VRGELYDARCDWWSVAVILYECLYGHTPFLADEGGRAQTKLNILSHRTTFSFPSKPAV SSRCQDLIKRMIQEKGERLCSRRYKARDLVSSNNRDYAGRYVYPDDAEDIKSHRWFKD ILWDRIHIMTPPFVPAIKSIDDTHYFDEEEPISDFSTSVDDAVNLTPPTDDELDAALR CFNREIQILARGYVGSAYDSTKLRRIEREIEGFVMGEEQKEYLRGFVRAYGRKEKKRP RDRLLRDGEYRQRVLECRKRGAFLGYSWRRIQKNSLRDLSEKKGTGGGMGRNGLGAAK GKAKVWMRGRLSVN VE01_06477 MAELIGFGLSASDKLIDKHFDKLPDKLISPMGKDIPYITEKLHT QTGTNTEHNPHRRFRSPDSDSDSPYHSADAHTRRSQRDDRRSKHEDTRGGDNHAERSR RQRDAGMREDSSPKPRHVRVSSPPPQRPGFMTRRSQSQRAPRRASRRGDDRRGSRDRR GSRSRSSSSEGIAERFMDDPVARGAMGVAVGGVLAKQAVKAGDKWKDRGKGKGQHKGK GHTDDEILVTLAGMALGGVGLLFAGDKYKEKKQREEREERHSREKARRERVEVRKEEK ERGRNTRNWVEISRDGEVERYMREEKIAEEGRGGGFYDRRDTYDDVWRRYGYDDRRRE R VE01_06478 MSSATTTGAVHGGDSQYISAEPYISITAYGIMVANTKTTKDEQL EMREAELQKWLSSPDQPYTTLRNKKPRRDKK VE01_06479 MISPATSVFGDASQRTASVPAQSDGRWPYSSQRSSIELIEDESR KTGAEQQTQPDYNVQQLAKNMPRCSSNTGLTVDVNLFQPPQNSALDPNGSAFEARAWT KAVLALRSKHPGKYAERTLGVAFRDLNAYGFGVPTGYQQTVGNVWLGALGLVRKHLGT GKRKIEILRGLDGIVEAGEMLVVLGPPGSGCTTFLKAISGETHGFTVDERSHLNYQGI SAKQMQNEFRGEAIYTAENDVHFPKLTVGQTLYFAARARAPREIPGGVSKDVYAKHMR DVVMATFGILHTMDTNVGNDFVRGVSGGERKRVTIAEAILLQAPLQCWDNSTRGLDAA NAIEFAKTLRMNTELLGATACVSIYQASQTTYDLFDKVLLLYEGRQIFFGKTTEAKQY FLDMGFDCPARQTDADFLTSMTNPQERLVRAGYEHVVPQTPDNFADRWYNSSNYYQLK QDLDAHEKRFAIGGKHLDEFAASRKAQQASSQRRKSPFTLAYLEQISLCLWRGFTRLR ADPSITLVAAFFNILMALVVSSVFYNLQPTTGSFFQRGALLFFAVLLNAFASALEIIV LYAQRPIVEKHDRYALYHPSAEAFASMITDLPYKIINSICFNLVLYFMANLRREPGSL FFFLLVSFIVTLVMSMMFRTIASVTRTLEQALAPAALIITALVIYAGFVIPTTYMVGW ARWINFINPVAYGFEAIMANEFHNRNFTCSTYVPFGGLYDDVPAVNRACSAIGSVVGQ ATVDGDVYLASAFGYHNSHKWRNVSIMAAFLVFFLITYVWSAETVRAKKSQGEVLLFQ RRKLEREIGMQQRSDTERGESGIPMQAIMDQLTPREQLHLQKQTATFHWSDITYDIKA KGGSRRLLNMIDGWVKPGTLTALMGESGAGKTTLLDVLASRKTVGVIGGDMLVNDLAK DASFQRKTGYVQQQDLHLQTSTVREALTFSALLRQPAYIKRADRLAYVDEVIELLDMQ PFADAVVGVPGEGLNVEQRKRVTIGVELAARPQLLLFLDEPTSGLDSQTSWSICDLLD KLTRNGQAILCTIHQPSAILFQRFDRLLFLAKGGNPVYFGPIGNNASILTGYFEQNGA APCGVTQNPAEWMLEVIGAAPGSSTTIDWPHVWRASKEYQDVKSELGTLQCQRDIDDS SSEITTDAKQAFEEFAQPFFIQSCEVTKRIFQQTWRDPGYVYSKLALCLFSSLFIGFS FFKATNSQTGLQNQMFGIFLLGSILPNLVEQTHPRFVTQRDLYEARERPSKSYSWQSF MLANILVELIWSLPMAVVIFFCWYYPMGLYRNAEATDSVTIRGFQMFLFVLQFMIYAS TFGSATIAGVGSAEVGGALTNVLFSLALVFCGVLATKDQLPGFWVFLYRLSPLTYLVA GMLTTAVADTDLVCATNELLRFDAIPGQNCSVYLAPYLKTLGGYLQPSTEGSAHCEFC PFGNTNQYLALLDMHYDQSWRNFGILWVFILFNVSFAFFVYWLARVPKKWNLKGKDKR VE01_06480 MHTDPQPRLHTSGVTFVQPAKHIKPIQFDCSAVENLCARVHHSV ALFFPIRSSANVEQIFYDLKQGLSLTMNEHPIITGTLRQGDRDEFSVEIPPAPHAGAY FHFSDTSNDAGFPSFGELQECGFPYVDGDLDGLKEFRPDPFPTSEDGQPTFVTKICHV RGGIVWSGSISHLISDLGLGTAVMISWAKYTKKVTEAAGKPLAIPRQLPWPDRKRLLP DVESLLQIEEIGALNKNLKPYTVLDPTDPDKMVSDMGNVFVKAHVTEANPDQLEYFLE PTSGIWRFTPSKLQALTDAVRRVDPGVKLSNFDIVTAFIWQRFSMAKRGNSPGNEPQT AQIVTAIDVRRKLSPPLPATYLGACVDLVRVCADREVLVPHQDPWKGISGIAKRVREF GTAWNETDYMEMLKVSLRTPMCPGLIPRGPIDMLVTEHSMFGLGLRADWGGELGRSVA FREPYISRETPQGEVIILPTQPNGDLDVIVSAEEIVLERLMADVEMSMVCKNVCVRHN VLESFASMATKKAKL VE01_06481 MDAITTVVKPASSWVGQVVDLGPIDQIAPRCYMTAFFCLRLAPD VTTASIIKRLQRMILCAVQEIPQLATTVVPRNNSREELELRYLEDSGACLTFKDYTAE HLKHEWTHGSFEDLAKADFPYKKLERRTLIDGINPNEKNKPLVALRVQANLIPGGLLL VSALHHTVCDGLGLYLCNATLAKHCRNIVEPESSTLPIVFADRATIITPDIHASLEDL PDWRLVSPGEQFLNPTNYDAASLSDLKCAIYYISEERINRLRDAIAVPNLRPSGSEAI SSLLWLHVVRARNVDLNKYPEAKLSITVNARARMSNPIVPKYFWGNMCEPNAVARMPT AEFSSKDFLPSATQRVRSAIQNVNALAVQRLAGMLAQLPKTTSITWNVDRWPGPDMLI VCLQSIPYTALDFGAGFGGCCEAFRLQIGDIEGKPDGRCIMFPPRKGDGKGLEVMLQY ETSTLARLDADEGFTRWFERRT VE01_06482 MAAPPTKTLDDLDGIWTLNKRLSDPFDEVLALQGIGWILRKAIG MASVTEQISQSSDEHGVEHITINQTITGGIKTTPEHRVHNDTWGEEYSDPIFGQSRSR HRRVRISSLREDDPVEGSLKRGWTQDVIEGDELIDGIVESLNHDWVARLACGFEEIKG ERRFAAPWVTNPGPQITGGSEAFSPRSSIGFLANGRSIPK VE01_06483 MATTPGGTADAIIRLLCERGTSDYIGESISQLEHCLQCAHSAAE AGSDDETVLAALLHDIGQFLPIEDAKDVRMSMGEYSVGRVGHERVGEEYLKGLGFGDK VSKLVGSHVAAKRYLTAVDLSYYDALSEASKQSLKFQGGPFEGKDLDTFEQDPLRDEM VSLRRWDDEAKIAGIEDVTPRAELYRGMIQRHLERA VE01_06484 MAYSISSDQRAFFEKNGYLILKDVLSSDETIKLQKWAQEVHDLP RTEDTPWMPYEEVNKSGKSVLCRTENYANYHADFNGLLRGDKILGILCQLAGEDMLLF KEKINYKLAGSGGFAPHIDSTAYTHVKNIKHLTILVSVDESNMSNGGLEVVEGSHLMD VPIAKADNCIEPSWAGDHTWLPVELEAGQILIFGSYLAHRSGANKSSSDRKAIYATYN CKSEGDLHDDYYADRAKLWPATHKRQGGMKYEEGALRYGFGSPMLTVDSGKQIEF VE01_06485 MAQTEKALEAESSPDTSPDLSASFQDEKYISQEASSLQLPTAAI ANTAKVSSVLTVFVAGVALFSDGYNAQIIGYMQPLFADLYPNGISSAIKTRLSNSYLI GEIFGMLFFGVLIDKVGRRTGIFFATIFLIIGIILATASHGKDQLGLFWMMIVGRGIA GFGAGGEYPVCATTAAEASDETSHVRRRRGFLVAMSTDFAVDLGFVFAGIVALIVLAC YNQKSSEGVWRVCFGLGIVLPIAVVFYRLRMANSTQFRKHAIKTNIPYIHALKLYWKP MLGTSLAWFFYDFVTYPFGLFSSTIISQLNPNNTVVQNIGYGTVINCFYLPGCIIGGL LMDKIGRKQTMTLGFFLWAILGFVLGGALGPIQSVFPLFVVLYGIFNALGEMGPGVAT FLCAAESFPTPLRGHYLGFAAAVGKAGAAIGTEVFTPIQDSFANTEKGQQGVFLIGAA FAVVGGLIAWFFIPDKERDLEAEDARFKAYLEENGFDTSCYGEVQVR VE01_06486 MATTAIIWFTIYEPFSINPQLSVTIVLFTLSTRAFVLCRLPDIA SYGVPPTLVLIILSPILLDCSPFQGRKVAAAIPLFVCAALFCTMELARGAKALDRLAD RWAQGYIHIDDPRARDLTVDGVGDGMTDVESRMSGETLNEPPRMPKTDPERVALDTVH AGQEGSFHYKQHGLGSSESLPLSTSSQSPRTSVFEFLGRIDGNLADGTITSPPRERGP FDGYRLHNYTLQMDPGGHQLHAHQRQTVVY VE01_06487 MTGITKLTGSNYRVWSLRAKRLLQSRGLWDVVEPLPRADKAGEQ PQAPGGDVPAAAPPPAADFEVRDAQASCLIMELCDPISLNLIVSTECAQMQWVRLRRF YGPSLGQYQGLREEFGEFAAKAGGKGWAEIAGELEGLQQQIGEVPGGVKPGEEEMLGV LNEVARGKGGEYGKLAGYLRLKGEYTYQNFLMSLVSLEWQEARSSGKKNKGGGWKKGG GGQ VE01_06488 MVLIQDHCSKEIRSRILNCKDAAEAWEELKSTYGPQLSRPPDML GEFVSYVPQAGMRVSDMAEDLAKLQHDIAEVCREERPSDMMKTAVLLRAADDVVRAAG DKGWAVLWAMRGREFLEVLRALVTLEEEVTKRKRVEEGNRGGRKRGRRRQ VE01_06489 MSNTPPWIQKTSFNCAENIESYLQEDGHRTWGFVIYRCTYVSDV DWNKFMELLRRHIRRSFEFYNRLDIMDSLSLTIIQDQSIFDDASTSFVREHFKQWAAT APEEEQGEGIGPGLSQRYRYCIQVDDYALESIMEDENDGYVNLIKREWDPQSQGDRGP VEDPIEDCTLHDVGWMMVDYRDVMVGFHYQLRGYNNWYQEYRRPPKVAHG VE01_06490 MTTNGTLHNIHYRRSGGMHGVRAVPDTSSNTDVLRARQSDDTAN NNGFIIAYYWEDNSNEYDWSNWDQVHDDVPVANSIARFIVSSDSALICADLEDSDGAI NAGVMGTDNDNQGDVYTDTYLQQALDFCAAGDGLKKL VE01_06491 MNYTPPPFQSIHFVPANEEVDIRSRPVKAIHVVTHDPLPDGANH WCFYLQLSSGASICVNMVLTYSSPSTILPGGSKGNIILSALPSIYPSSATKTICLRVC ANLTVGRVLDLLVQSGRDKYDFNSEGRGCRMWTTDQIALHRATAQTPSQQGTRHH VE01_06492 MSKVSKIFVVGATGAQGIPVVRGLVKDKAYFVRALTRNAQSQRA KDLVALGNVELVEGTFASEDDLRNGFRGCDGAFVNIDGFNCGEKTETIWAIRSYEIAL EEGVKFFVYGNLDFVYKKAGYNPIFRTGHYDGKGRIGEWILQQNKDNGPRMAAALFTT GPYIEMCISSQTIMTPTVEDGVVTWRVPLGDGAVVHVALDDCEHYVRWLFDNPERANG LDLEVAIDHIPYSELARAFEKVTGHPARYIDTDIGTYWTDGPMAAVASMSSGYNSDLN DPAAMTFRQNFTGFWTMWTHSRDNKGVIKRDYGLLNEILPDRIKTAEEWFRKEDAKGR KLGLGSLWERVQPDRLKPILKIGEDGRRGKL VE01_06493 MLKSACLALIALTTSVVGVPAINSFEIPDNLPANETELSPPYTY VLPTDYAPKSHGIPYTILNQSAKPWEHLNQPPARQATKLTSRACTPLTANNPSSWWYE SITHNGQSSFMSSSYKSNYKVFRNVVTDYGADRTGATSANVAIQNAIQAGASNGPNRA SNSMGTTGQPAVIYIPSGTYLLTGSLQLYVGTVLVGDPINPPVLKAAANFPSDHIIYG KDPNHDGTINFYIGLKNVIIDSTAVSPSQNIALLDWTVSQATQLANVVFNMPNYSTGH VGVTSQYGYNSNVILNDLTFNGGAYGLKLSGQQWILKNIKTSGTTTGIQVGGFNLVCL ACSFTNAATGIDATGVSASLVVIDSSGTSIGTFVSGTNNGGAGNSIILENIQNSGTTV KLSGVTVLSGNVADTWVYGNMYARGSNSPQHVNGQSVTTPRAAALLSNGKYFTMAPPT YQKYSVDQVLNIKNVAGLPVYGDGATDETNNINAILSQYAGCKIIYFPAGTYIVTNTI NVPAGSRIYGDAFGTAISALGGNFYNPAAPATMVKVGNAGDVGVAQITDMLFTVADVL QGCKLVEVNIAGSNPGDVGFFNTHFRIGGAAGSKVETNCGGTPDQCKAAWGLIHLTST SSAYIENMWGWTADHDLDGGNGATISSGRGLLVEATKATWLVGTAMEHHTLYQYNYNN AKNVFSAFQQSETPYWQGPGNDLAPAPWASNLIASDPDFSNCAAADSTCRMAFFERIS GSSDLFLYNGMVWAFFNNGGGCNGDCQKNAVEITSSSRLYIYGQNVKAVTNIFLEGTT VVATESANSGGWGGVVAAYLRDT VE01_06494 MKILLNKNVLPLVALLPFALGDCISSGDQNNINNALAAGGSNTI VQLCASAFIQVTGQITFTAANQEISTAGYPTGSTRATLQIAPGSTVSTIIAGGNHNGV RILNIQIDGNRANTGFDHTGSANIELGGSGSGQVVSHVASRNPRGWSCLHVIGSGNAA APCTNATIVNNDIGPCGQSGTDSAGNGLWADGISLDCTKSLVQDNTITGSTDGGIVIF GSPGSTITGNTIISSATYLGFGAINMVDGQYSGSYAGVTVSNNKIVGQKMFNLGIGIG SNVWSFNNRYMLQGPVSITGNTISGSVSFPIAINGWTNGITVSGNTVSGVTSPKSSFA DASHCSQAIQTLFNENADLIYYPPGVTGTQSLQSGFVAASSNVTNFLCSTLPLPNSVS YTKNSLNIVSDSAPFANLHGVVMQYQGDNNVVVYTTINGQTVVWASGHTLSSGCGSPS LCHMSFQGDGNLVTYYNNVPKWSSGTSGTGNTMVCLNKAPWIQILDTSGNVIWDTTKS I VE01_06495 MRFSVTLWLLAATISWAAPPSNIDNLQGTQSADRLVFCHFMIGI VGDRTSTADYDDDMLRAKSLGIDAFALNIGVDSYTDAQLNLAYQSAANNDMKVFISFD FNWYSPTSGASAVGSKIAQYANHPAQLMVDGKVFASSFAGDALDVASMKSAAGVPVFW APNFHPGESDFSKIDGALNWMAWPNNGNNKAPTPGANVTVQAGDEAYISALAGKPYIA PVSPWFSTHFGPEVSYSKNWIFPSDLLWFNRWNEVLTLGSRFLEIITWNDYGESHYIG PLKSLHYDDGNSKWVNDMPHNGWLDMAKPFIAAYHAGAESVDSYITTDQLIYWYRPTL RGLDCDATDTTMVPASNSSGNYFEGRPDGWEDMQDSVFVVSLLTAPGTVTIISGENHQ TFDAPAGASSFQVDMQVGIQSFALTRGPNVVLSGSSLKEVSSICICGIYNFNAFVGTL PPGPSDPLQHDGLASLTAGLHPSSAPKASGAPSPDLPLDSADCDAYLDLEADSVFGAP LPRLGLSTGPNYRTWRKEVELVLRGEGVWGVVCPPEGEVVEEVGGEEAVGGEGRGESR RSARASVIIFEYCDKASLSRILHCKDALARWEEFYTRTKSEGGGGGR VE01_06496 MSSPDIAPRNIILDAEGKAWLIDLGCEDQFKEFCDEVCERISSY PEVEMQRLSIAYGLTTAALA VE01_06497 MGDIFGFRPFVDPSSKDPTIPFPLDNIDLSKVPDEAILALFSTA PILHRFGGTQIVRLSRSLVLKGGSTAFPAEAHAITLAREKTQIRLPAVHRIIPGKPDD GFFGERCYIVMDYIDGVGLDVSWEGLDADKRADVASQVAAMIGEMGNLTLPLVPWPIG GVRRWMGPWFSDYGRCELRDGGGDGGVV VE01_06498 MALSRAFTTRKGSKSQNTAPVPSRSLTTKHSFSAGTIRNKISGP MELLSTTNMISYNAPDLYPISTASPTSSGDESDLPSMSHSTTTTPDSSVRGSGSSSST PISPSPNHLSSYFDNANNKQPTHSISASTDSTAPSIPQRALSHTKKSHEILSRQRSTR QSSQNSSHSSISTSTRKPSISHPRTSADFFSPAPAFDTTANPFTNELAQVRELAEEYS GGATRRAMMSEEEQDLYSRGLCKFSAEDYVMEIQDLYCEMVGKPVPAQQQSSTMMWI VE01_06499 MSMVTATAWVPRGFAAPFPTKYEFDEAEFDRIAKLAKLQLDDAQ EDLEEAEEAARAGGEGESDSDSDIEDGTGGAKVKSKGDEDDDLAEYDLEHYDSDVAKD TEGEGMGMFGNVKSLAYHESNADDPYITMKENDEDDEDREELQILATDNMVLAAKVED EVAHLEVYVYEDSADNLYVHHDIMLPAIPLCLEWLDLPVGKTSAARDARANFVAVGTF EPDIEIWDLDTVDCMYPNAILGQGGLDDTGAPPADGTQKKKKRKKSNKPNADYHVDAV LSLAANRHHRNLLASSSADKTVKLWDLYTTSCAKSYAHHTDKVCTLAWHPKESTILLS GSYDRTVVAADMRAPDATVPRWGVESDVETVRWDPHDGNYFYISTEAGVIHYHDVRAA PTDPSKTKPVWTLHAHDESVSAFDINPVIPGFMATGSTDRTVKLWNIQASGPTMVVSR NLEVGKVFSTVFAPDEEVGFRLAVAGSKGSVHIWDTSTNAAVRKAFAHRMAPVEGEVK ERLVGVNADEEESSDEEEEEGEGGKKDGEQGWESMDED VE01_06500 MAGEDGFQSPKRRKIDFNPLRNDDRFAIPSRPTSRSQSLAPPRS QSQAPSRSQSRFGPSSSRASTPRGQSQYPGTPKQHEFDGPEPAVDIEDSNALDRDWYA GDESGHVFGDEMHNPFGSYDTSWEERQKEAALVEKKTAKRVTARAAQKQRDVDAWETN RMLTSGVAQRRDFGDDFEDDEEQTRVHLLIHDLRPPFLDGRTVFTKQVDPVPAIRDNQ SDMAVFSRKGSRVVKERRQQKERQKQAQEATNMAGTALGNLMGVKEDEGDSAAPVPGE EGGGKGDSKFAEHMAKKSEGASNFSQSKTLREQREYLPAFAVREDLLRVIRDNQVVIV VGETGSGKTTQLTQFLYEDGYGKRGMIGCTQPRRVAAMSVAKRVSEEMECKLGSTVGY AIRFEDCTSKETVIKYMTDGVLLRESLNEPDLDRYSCVIMDEAHERALNTDVLMGLFK KVLARRRDLKLIVTSATMNSKRFSDFYGGAPEFFIPGRTFPVDVMFHRSPVEDYVDQA VQQVLAIHVSMGAGDILVFMTGQEDIECTCELIQDRLNALNDPPKLSILPIYSQMPAD LQAKIFDRAAPGVRKVIVATNIAETSLTVDGIMYVVDAGYSKLKVYNPRMGMDTLQIT PISQANASQRSGRAGRTGPGKAFRLFTEAAYKDELYIQTIPEIQRTNLSNTVLLLKSL GVKDLLDFDFMDPPPQDTITTSLFDLWALGALNNIGDLTDVGLKMTPFPMDPSLAKLL ITSEEYGCSEEMLTIVSMLSVPSIFYRPKERQEESDAAREKFFVPESDHLTYLHVYSQ WKSNGYSDAWCTRHFLHPKSLRRAKEIREQIGDIMKMQKMTMVSCGTDWDVIRKCICS GYYHQAAKVKGIGEYVNLRTSVAIQLHPTSALYGLGYLPDYVVYHELILTSKEYMSTV TSVDPKWLAELGGVFYSVKEKGYSAREKRVTEVEFNRKMEIETQMAEDKKNEEERLER EKGRGEAQKEGVVVKKVAQRGAVRRPVVKRSGRGF VE01_06501 MFGSLLFLLVASTAVTVALPAPNLPHGSSDDALFHILKGRGILG PISTGSPAGISGGQVASGAPPLSSFFAGLKAPYPTNTWWASYAASPGNGTAAGPFPYE SALHNNGVVYGISGNREFDGTSIKQPTQIDWCTSFSEHQGDFANHKATGFDTQAVTVQ YFQDAATMTAYLVPGSPYMTFEYFGATPLLTSMNGGIKSFNGQTLEVGGSASITDTEF SVLDSGGSTYLIYALSSITLKATAVSSSSGTIQASGAFSGVLRIVKLADPNHKDLLDQ HYQVYPTAVTLDYTLTDTSGTLIFDWTTVGDGSNLLMLTYPHHRIKLQDPNFPDTSAL GYLTTKGWMYPAVGSKWSMLYDLTSITWDAPRALDSSCSDAVLQGLEYEVGQLDVSKA PIPGDFYYWGGTLAAQGRLALIAENLDRSDLVTPVIDYLKASFAYWFDSSSSTVPAYE TAWGGVINKAGATNVYVDFGNGYYNDHHFHYGYFLSVAAIIAKFDGSWLNEHKEFINW FARDIINPSPEDPFFPVTRCRDWFAGHSWASGIANGAGSRDQESTGEAVNGYYGALLW ASVALSTDYINYAKLLLATEQHGAQVYWHLYPQDDPAGRDNPYPEADLRALTTIGNVE DWQSGAWLFWGDQKTEIAAIQILPVIPVNEVLYDTQWVENMWSYTMPELVDPTIGDEW KAVIINAYSNAHPQVAAEWSANLTAWGSGQTFTNELYFIGTRPNPSNAPICGSLPQNP YGSFQIQLDSNGNYVAASSVDTNLKASATSTSSAATFSSAYVPNSGTLQLVSTSQFVT AGQTGDAALAAGAAVASTWERFTIRQKNGAASGVYSIKATSNGLYITVGADGALINNG ANEASSAGFKFVPV VE01_06502 MGFSKTFAAVAALATVASAASSARSSVAAYWGQSGGSLRDYCDT ADTDYIPIGFINYFPAQANGWPGSNYASSCWAEYYNAPGYQGVDDDSKNLLLSYCPGM AQDMQYCQSKGKKILLSLGGGYDTYHLSGKVDGEAFADFLWGAYGPNTTAWAGPRPFD PIPGSVGDGIATVVDGYDFDIEFPDTDNSVGYIAMINKLRGYFPAGSNYLITGAPQCV VNDANMDLMIQGAQFDIIWVQFYNTWGCSVRDWVTNNPNYASTGIESPVDPNNKLGGF SFEKWYNRLQAPNSKSKNAKLAIGVLGQATETGVVDFRVSADQLKPLIDAYFCHANFG GFMIWDLVASSNNLKNGVTFQAQIKNLLNAKEAKGCPTVTTTSSVPTPTTTQPPNTTI IDGTAYPNPPAPTVLGSTPKCKKWYVVQNGDTCAAIASKNDITRTDINLWNTYITSTC TNIWATYAICVSSPLAEDYWSSVGCLTDSQSARALANKITLTDAKSIMTPKACSDACA AAGYRLSGLEYGFECYCDNAIRNGHGLTQSGCTTPCPGAPSITCGGPDRINLYRLDKY KDLGCYTDIATSRTLEKQIIITNQNTILTREICQTACEKAGYIYSGVEYAHQCWCGNT IRGSPASSGCSSACPGNTAQTCGGSDRINVMMRPQSRSLGCYSDDVNKRTLRYQLTIT NAATLMTVELCRTTCLTKGFIYSGVEYGHQCFCDDELYGTGVPSTGCAMACPGGGSAV CGGSSRINIYSL VE01_06504 MKLFISLELLCQMAFALATTGSHNNEFAIHEKRVPHAELWTRSS TLDLDLILPLKIALTQSNLDKAEDLLLNISHPASSNFSQHWTPKDVARMFAPSPEAIS DVAAWVRDSGIPEARIFRSHCGGWLQINATVQEAEKLLNARYYIYQNIRTGESRAACD EYSVSKSIQRHIDFIMPTIQFELQVQQQRRATRSIAPLKDDQSKFSKAASFAASLKST ITTCAQQTTPECVRALYNIPAGDTSNSNNSLGLVEFAWGSYFQDDLNSFFQLFMPEAV GKEPVFESIDGGFLQNFSKQFAFNGEVSLDLEYSMALVHPQNVTLYSVGDLWMQGDMN NFLAALDASYCGALDSTYDPIYPDPIISPIPGWPGGYNSSDCGNHSPTKVISVSFAWR EAAYSPAYLQRQCFEYLKLGLQGVSIIFSSGDYGVAGQDGVCLDPNNGNITNETVGLF NPSFPSTCPWVTSVGGTQLPINGTVTDDEVAIYHRFPNTTLAQVVTSGGGFSNVFQRP SYQSHHIDRYFSRQKSHLHNVSHLFNSSGFARGYPDVSANAANYIIAVDQLLYGAYGT SCSTPVLASIITKINDRRLSAGKKSVGFLNPVFYENEWAFNDVVEGFNYGCDVEAFHA DIGWDPVTGLGTPNFEKLLKLYMALP VE01_06505 MVFALKSIVLVTMLAAAQALPSPAPASSELEKRAAIPVCLAACT LACLSLPPPADLACEVSCLAFCLGSVETGGSIGSVDSAKGVIVAGNGDVIFTASST VE01_06506 MADPQAPADFTVAMNYVKELKEHRPDLWPQFEEYITEYRTPPRD LDRFHAQVKELLKDEPDLYARFEEFFPKKSGGQETEGQGENNAT VE01_06507 MSVAILNESITAALNDAIQPKLAEIGWSTGGTDDSALAEYIILM LANGKTQDQIAAELSGDLLNLGPDDPGAKEFAHWLFEQVAHLTQQQSGGAVHQNGAPQ AEAAEGGNDEVMGDASEAGDPNVPTGPKSMRTGGAGMNRGRDRRMLGQLQKNLDGKDS VLHRVRAHGGNERIGGRGAPTGPRGNMQQRGGRFGAMGGGMQGGPAGGNMITPAQQME MFRMMQQMFTPEQHASMMAGNGGQMPMGGMPSYQQQQQGRNTGRSLFDRVQPGRGQRQ QQFNNRPPFQQHPQQQHQQHQQQQQASPISPMDMVLTPAHEPASPDTTCKFNLSCTNS SCKFAHQSPAAPPGTAIDITDTCAFGAACKNRKCTARHPSPAQKVAHNAEQDCKFFPN CTNARCPFRHPTAPLCRNGADCKDEGCTFTHVKTMCKFNPCLNPQCAFRHEEGQRRGK FEDKVWVAGEEGKHVSERKFVDESGQVEELIVPGNTGGDGELAA VE01_06508 MIEDILNDLTKTNIAVGVFCLWVLWNIVTRIDEERRIAAYGKHA PAVRCWLPYNLDMVVRAIYNSLHDNNFEGWRSLFAKNGRGRYTVEARPASQRVILTSD PENIKAILATQFSDFGKGKPFHNDWKAFLGDSIFTTDGDAWHASRQLIRPQFIKDRVS DLHTFEKHVQTLMTAIANGGVEGMPGVATDGVAQGRVVDVSDLFFRYTLDSSTDFLLG HSVDSLRTPIQEFAEAFGEVQRVQSIIARAGLLNWLVPRRSFNAGLKVMNTFIDQYID RTLAFTPAELDSKSTSSSYNFLHALGGFTRDRKMLRDQLTAVLLAGRDTTASTLSWTF YELARHPAAFKKLRQEIIDAIGETDAPTYEDLKNMKYLQHTMNEVLRLYPVVPFNMRM SLKDTTLPFGGGPDGKSPIGVLKDTPIGYSTLVMQRRKDLYPINDDPNMGVEFFNPDR WFGWQPKPWTYIPFNGGPRLCIGQQFALTNMGYTIVRMLQRFDRVDSFMPEGPMGVPV MKADIVLQPGAGVATAFYEAGREMV VE01_06509 MDHRNMKAILIATLLGLSTAQSTIDPYAVDPTAFSSTERAGLCK DQNSMCERLCDLATNSNLCNSTTLDFDCTCKSNSSAPGLEYYKDSLPSMICAQNYADC IGVNSNNASTPQIDCVSSIKDKCGTLDSTAYTPVTVLPSPTSTPSSDTSSTPDPTSPP PSDPNPPSDSSGGLSTGAKAGIGAGCAVIGILLIGSVVFYFYRAGKLGGGSGGGGGGE GGGGGDGHKTEEGPAEKVADGEAKGDEEVKDDQKVKGDEGKVFGAELEDTQRVEIGGT EVPGELDAGTVAGPGPGVGEGPVEIGMSEREESELRKVFGGK VE01_06510 MPIAVTISYLNGPLKRRNLSMQEWSTMDSPALPPALPSPRNPTP DMEGSDLRPLFHHTFDISVILWRHRGSPLTPGTSHNPRVWLFTCRQIYAEARPLVNIH PPGLHFCFATSMNKYLNRALSSSLGNPSIPDPRELRSLSLCLFVGVKQASVVGSTSGG RYALAMSDDTGWEGGHGDEFCDKGCMYCSAVRGIPTDLGTCFPELRTLKLSISFKCVE ERVMRWGSYKTMEENRMEDKSMYENRMYEKSKEEESMGEERIEEKSIEEKSTAKKSMK ERLSKNPLLGRILGNTSADGVKKSAGEFVDDDERVEWIVEWICKQLPLWGFVGKRFES VEVIFKAGIVHDLTKMLPPVDGCWCAGREIDEEDEIRGRLSMAIKRTLMKGKLRDDPT IKPQGYPF VE01_06511 MSSTEAVKGSSTRYISDRPYQSTSGIGINIANNTTTKDEKLKRY QAQVEEWFSSPDHPLASYTARTYIERSTTTK VE01_06512 MLLHAPEARLVMLLRQCYRSPRCLSTRRGKRLYTTMRVPSTSAP RPRAGQSSCKTGNSARSLTSTTGGAPEHVAVLGGGITGLVAAAMAARLNPDTKVTVYE SSDRLGGYVHSEMVQSSDGPVVFELGPRSLRPATARGYHGLSMAQNLGLEDNMVFTSK DSPAGSNRFIYYPDKLVRVPSPNDGRLRVFYKLLTDPLFEGVAGALWAESQVPRRPDS LVDESIGGFITRRTGGNPKLVDNIFSAVIHGIFAGDINKLSVRSLMPRLWEAEGRFGS LSMGMVRNKPEGYGPNGISDLHKWGDQVLFGQALGEKARQASIYTFTNGMQTLPDALG RVIKESKNANIRGGTSVSGISSSSDGKTIRIKTSNNQPAQSYSHVISTLPAHNLCHLI NIPALSLIPYTTVMVVNLYYKNPHLVPQPGFGYLIPQSVPFKQNPEFALGVVFDSHAT PHIDGAPGTKLTVMLGGHYWDGRSADELPTPEEGQRMAELVLARHLKITDKPDQVLAT LHKNCIPQYTVGHKERLQSVDAGIRRMFGNRLSVGGAWVDGVGVNDCVFSGAFQGLSV GKGYTGLELALEDKEKLRTMMPPGLTL VE01_06513 MSATTTSLETREENYRGIELEPMGYPILGQDPKTSQASLNAQAV QVPADEEITEPLTNANGDAPGISKWRATVIVGTVACITLINSMLAGILVVSLPTMAAE LGLSQDLLLWPASVSALACGCTLLLSGSIADVAGGRRIYLLGVFLMAVTTVACGVCKT SIQLILFRAAQGVALSLCLPSSVILITSNIPTGSWRNIAFSCLGAGQPVGFSVGLVIG GVFVEAIGWRYGYYIAAILTAIIFVISIFGVPVDQAAESQSLRTILRRMGTEIDWIGC MLLSTSLGLFSYVLSVLASGASHFLAPVSITLFSIATALIPAFVFYVKRQERLGLKAI IPPSIWSNRVFASLCITVFIIWGTFNAVQFFLTLFFQSVQSLSAIQTSIRFLPMVVTG TGTNFLTGWLVKRVRADILVLASACISALSPLLMAIIDPASSYWTYAFFATACAPICA DVLFTVANLLITSVFPPKTHGLAGGVFNTISNIGNSVGLAITAVVASSVTLSEKGKDE SVAEMLMDGYRATFWLCFGANVVVLAIIGFGLRKIGKVGIKVD VE01_06514 MAKQMGLEDQDGAHGEDDAPPAYEEVVEEVLDATQVIDNGRIDV KLNSRLARTLSKLMVKSEEVSHAPPTYLPQPTKASVSLNIVIQVVGSRGDVQPFVALG NELQRSGHRVRLATHDTFKSFVTEADLEFFPIGGDPAALMAYMVRNPGLLPSMDALRS GEIQKKRAMMEEMMEGCWKACIEPDQDSGNPFVADAIIANPPSFAHVHCAEALGIPVH IMFTMPWTATEAFTHPLANIKTTNYDEKLTNRLSYAIVDCMMWQGLGDIVNSWRYRHH LTPVPFSEGPFLSDLLKIPTTYCWSPALVPKPLDWPDHIDVCGFFFRDAPKYSPPSDI DEFLHAGPAPVYIGFGSIVIDDPEAFTNLILEAVEAAGVRAIISKGWSKLGDTVTRVP KDVLFIGDCPHEWLFQHVAAVIHHGGAGTTACGLANACPTMIVPFFGDQPFWGAMVAT AGVGPDPIPHKLLTAGNLGQGIKFCLSKDAVHAAKEVSARMYNESGVRTAVESFHRHL PTEALSCDLLPQYAAVWQTKLANKSLKLSKIAAEMLIAEHLILAKDLKVYQSKPIHIV TRRWDPITAGSSSTIGVYTGMVSGATGIFSKPFDEYKRSRKDGSSSSGAKVAGNMALA SAKSVGRVNSSLFKGTMIDVPLAITEGLRATPKLYGESVPDHEPITGWKSGAKVAGKE FVEGVGGGLSGFFVKPYEAAKKDGTKGFFVGLGKGTLALHTKLGSSALGLFAYPSQGI YKSIYASTHGGTSKNIAIARREEGSYLLPRHEIDLRRLLEAWERCGGKYAGT VE01_06515 MDANRRITGSPTDLLLTAPIDVEKYEALYKHFHEHPELSNLEEK TAKTVAGELSRLQAFTITTNIGGHGVVGVLKNGPGKTILLRADMDALPIAEATGLPYA SKVNMPDVEGVLRPVMHACGHDMHMTCLLAAAERLVKLKEQWSGTLIVLFQPAEERGS GAKAMVDDGLYDRHKIPVPDFVLGQHVMAMRAGSVGSRMGTIMAGADSMKITMFGIGG HGSQPHRTIDPAVMAAHVVVRLQTITSREINPSDIAVLTVGSLQAGHTENVIADSAEI GIDIRSVSPQTREQLLTSIRRIVKAECEASGSTIAPVFRMTRHLPNTVNDESMIKMLG KGFSEHFGENFDANIPTTTIAEDFSVLATSQGRPCAFWHWGGVEEELWDQRLKEGRID DIPANHTARFAPAIHPTMQTGIDALCVAALAFLDKKSQDSP VE01_06516 MNSYSSSGSANYDGGVESSFSNALTLGGSPAGRSDESTKMANAC AQCHRQKQKCNREQPCSNCVRRSIPHQCVSYTRPERRKSRTARHDPYQGQPASLAESA SISSTPAQQQTISGHFKSRTAKIAKRQTLGRLFKARGAASYHGDFYFGHQSAASMVEA TTQEVPSGIYVGHSRGSRIGAAQPFRNERGPYAQLWELIGSLPRQKATVDHLTARFFK ELNPTFDSVHEGTFMTSYNKFWDRKTGCDDLTNVDIRWLAVLFIILAFGELLDCPQPC SAEAQRESEDSSLHFYWASRKSLVIAPSFYGESTDLTCAGILITRYLVYARRISESWL TISFAIRMAQAQGMHVDGDQLDLPRKATETRRRLWSQLYDLDRSIALALGRPYAINDR HCFMKQVENVWVDNMTDEEAENATALSLDTPTLSVLIRFQHQLAVIIGQIQEQSFTFS TTPLTSSASYDEVLKYDEALLTWKDSLPPYFRLENTDLSLDLLPAYSFIPWHRLYLHT AFHFARITLHRSYLLRPSITDRFQYSRNACMSSACADLKIKLSFRYPDMASRLKSNVA AHQLFNSALILGVIVVRDPQAPQTDAILDDLQAYCAKQNSDPWINEIGLAEIRVVELC ISRARQARNDTAAASPAENVTPDFNPAVTSEGYNSSAVTNTSLSQGLQGSHELSASQQ NAMGETFPYAFQNPTGLQDPWSDFWSNPAYFFPEAMDYQIWEGLVDDLAAVN VE01_06517 MPSATAPDLPIWNRFVRFIGEDGNEYCGEPVDSELDVGLAMEQN EPVMVKLLNGISALDFDVIFTGRVVRAREILTPITPAEAGTIRCIGLNYTDHAAEMKL ALPTNPEVFFKPSTCLNAPSSPIHLPALAASSADLEVELAVVLGADAKDVSATDAMQY VLGYMTANDVTARAIQERGSQWGYCKGFDGFAPVGPVLVSRRVLPDPSVLELRSTLNE RVMQDGRARNMIFTIAEIVAYLSVV VE01_06518 MDVESTPKLAAKDHAQINQLEKASIDDDGTSHASTMYIDPVAQR KLLFKLDMYLSPVMTLIFLTAYLDRANIGNAASAGLITDLGLVGNQFGNAVTLFYVLY VAFELPCSLVLKKFHPRRMIPALMFCWACVIIGNGFVKNAGQLYACRLLLGAFESGMF PCLCLYLSTFYTPGEQAVRISYLFVASALSGAFGGLFAFALLKMDGIAGLAGWRWLFI IEGCASVVVSIVIYFVLPNNFEEARFLTEDDKALMRLRAEAHARYNGKPQFDWAEVKK ALTDPKLYISCWSQFMGDTCSFGLSTFMPIIIKGFGFDTVTTQLLTIPIYLWASGAYI LVSWMSDRYNSRAAFMLPGVIVTAIGYAVQLAVPQANRAPLYFSVFLIAPGIYIMVGL NCTWLLNSHAGYYKRATAIGVNQTLGNCAGLIIGQIFKDSVGGKYVTGLSVSLGAVLL AGVGHSSLWVLLRHQNAKREKLTPEEREDAILNGKGGDFHPDYRYTL VE01_06519 MSDQDNALALHNQARAALGVAPLQWDNNLQAAAQSWANHLAQVN SLDHDPNASAGENIALFSPASDTILGNATGLWLAEKTAYSYSIFDGSQVEAAGHYTQC VWANTTNVGIAAATSSSGTEFVVARYLPQGNVIGQYPYPQGQLPQQGFEGIFLVNATN SSGGQKCGVGWYRNALQAEGQSPDPPLEAAGVGRDWIPWEGNEQSVTFADGNVFAWNI NANAQSEPDYTMVGTSHNNFRNFDVYKDNKRILYSQNGWDYRTIYYYRLNDDTTNFHL LTIR VE01_06520 MIAASWTSLLASGELQRSSHVLSVVRGTGYIFGGELLPRQPRDN HVYRLHSKYHAAQLVLETKGTSASPSPRVGTASATLNGKVYLFSGRGGEAMAPVEEHG AVWMLDPSNMKWTSLLPSDSSKPFPPARSYHCSTSNNRDRIFVHAGCPESGRLADLWS FEPSGCTWTRLANAPGPARGGASIAFYGGLLYRMNGFDGKVEQGGSLDIFDPESNTWS TKYYAADNISGPAPRSVAATLPVVASGKPLLVTLFGESDPSSLGHQGAGKMLGDVWAY DIASGAWSEVDTKAPSTSCRPSPRGWFDADVVDQSAILVSGGLGEENNRLNDAWLLQF VE01_06521 MTSITRTRTSCESCRRRRKKCDEGKPRCAACVRLGIHCEISRPG FEFVNDTTSTFKDETQNRRRFRRKAQPLTSHHALVDDRDNDGGSNISQTSVLVADNEE YVAFLQSPLIKGGKGSEHATRYSIYSAESPTTRRHHALNLTNISTSTDDSPRATPSGV VDGSAPDLTAWFSCFVDFSPSVASTVANDPPTRVEVDDRFHEMQEVLYIHHWHTHLLE TLPVQFKQVESLTDGCTALRPAIIALSACDLAQARTDVSSWTIEHEKRWLFSPNKDHQ NYGRMYYNVAKRELATADYSKQEPTAVLAILMLFVYIESHIGSFRGAAFHHHGIEHLL SSRHDFCDQSALTRDLVRSWTSLRAQNWKHRIPFTVLDFQKSLLDLGLSVEQLLDPSE ARDEAVIVNMLQSWRLSLMVLFERYTGRGDMESISSRCCRDYYERINLLEVTQPWRPK VPIPDEDYEALLREQRTELDRWYAALPPSHLPNESFRSKSNAWDPLQLGQPPLQFASH QAAMNYVYYAAARIFQSRENIEEFLALPPLSPHHLAQLDPPGVNHWLLVLLRTISSLD LGACARHSSYSVGILEILHMCHLRLPRCSQIVQSGVHYMITAYTNNCITHEGSGLILG FQHVFEEIEEQRNLGRDLFYIIPRSSPDSQRQLAYDDANPSVVYGRDRATGKFFCQLL SPRRKVH VE01_06522 MHVPEFLNRAIFSAAELRRKPTLVNLHYVVSRRLNIHGTKWAIA PLGISALRAEHLPISSPAASASRDRKFHVHGILYNASTPLSTQTSPWTERMGENIVVA PSGMETIGLSTINVISNEAPETRRDLQIIRNEKSSTSSATADEPAKPNNRPTIPVAAH HPPSATDDTYHNNDSVLTTGSIDIQELSERPVASSSHPISRQITSRLFISHFLSTWNS RLFEMGAVLFIAAIFPGTLLPMSVYALVRSAAAVMLSPALGSWIDKGDRLKVVRVSIV GQRLAVGASCCIFWILYERKELGTKLRVGLFVVNILLSCIEKLCSVLNLVSVERDWVV VISRDDDTARRILNARMRRIDLFCKLFGPLAISLIDGASTIVAIFVTLAMTCTSVLIE YFTIAAVFRMVPALQRTTTENSLTAAEDDSERLPSANETNRVSLREIIDFAQSAASNL LPLNSIPYYFQHPAFLPSISLSLLYFTVLSFSGQMITFLLAMGYTSYAVGAARVVSTI FELSATWIAPKVQQHIGAVRGGIWFLTWQMIWLAGGLSWFFASGESLTGNKLFVASGL VGAVILSRVGLWSFDLCAQSIIQEEVDEQSRGAFSTVEASFQNLFELLSYVTTIIFSK ADQFQWPAVISVIAVYVAGGTYMVFVRRRRGHLVHMPNCIKPRLEDNC VE01_06523 MEANVMANDVELEQLRRSIVSPPSMSMWTKLHINRLVRVAEDPA ASRLLGDRQDTSAFHVRAAIYLSIYGTILVAALQIYAAVTTLSLSLFVTMAESCCEAV SNIGLNYLHRKSKKLSGSPRWPAGAGRLGNAGNICFAFALMAVSLVLTVESIRALAGN DHELGKFSVAAIAAAVCGFGIKLFLAIYCFIFRKHSSQVEMLWEDNRNDCFEYGFAIF TSAVGAKLNWWVDPAGAMLIAFVIIITWVGTVHSEFLQLCGVGASPSFVQEIVFLTIR HSQLILKVDSIHAYHWGEDLVVEVDIVMAPERCLREVHDISQELQDKLETVEGVSRAF VHVDYETSHMPEHRKTR VE01_06524 MSSISFLLTFLPVWTWHQWFLALHTPYRYGRLIGNLIGKYLYRP YPVLRGPKYSGKDVTVLIPTITDDMEELRPHFLSILATPIHQLILVTTDNRKAKLLAF TEDLADPRIQVYSVPIANKRTQLAAVIPTVQTSICILVDDDVTWTRTILPWLLAPFEH PRNGSVGVGMAAIRIRSGSLFTRCINFLGAVYLERRNFEGAATLTLDGGISCMSGRTN ALRTCILQDEAFLDAFCNEKFNGVPLATGDDKMITRWLVQKGWGMYVQYHPKAQLLTT LESGFKFLYQCQRWAGSSWRGNYKTLFVESNPWAVCKKQPWTFYAKYIAVFTSMGLIT DPLTWYCYYQIRSLLDPTSIWAGNSGWVLMFLLYLFTKTIKLEGLFRAHPKDLGFLPA SILFGLFHGFIKMKAFCKDKGWLSR VE01_06525 MSIVVEANDRPLVTSLYDWETGCIVPAILSDPSMAVSPVDLVIE ENAAPSFDNEPDDTTVEEGLKYTAWATEYAKVLFERAPDYECAIKAGKDARHLWFALR DWRGQDPEGYFGRLGDWAEARAKDLRVD VE01_06526 MRWIPPRSRNPRTPSQPRSSAQREPSDLFPEDSPISSTWENISR SGPNDNGGILQETIPRQCNPPRRWINQPAVGDATLPDPNGLIRAIYAEEKLGWRSGTT SQQAAPQVHLDILDHPSAELLPDYLVSWMQHNQEIHAGEPTRTGIIDTEVSLPAPATM ASDQLSVEPHSDLEEETTSAGTYHDASDIPSHSALRPSWQDGPIAFEDPGLSASGDGA SYLKPSISTPVALPPKKKPRQFPLAIPKPSFNFIPKKSTDTPNQPTRSKSTLPRLRGR TKSDELPKPATTKKLRFSSVVHSSTQPTRRRISLGRKKSDTSMKSSLAGPLSGEISPL TTTEPETSFALPVFAEPTDIPTEPRDAPGSTPKPKHHKPSKFSSLKPKKHTPLTRLSL TAISPVPGQPYEHIPSPEEPDPRKTLRYKKVKSGAQRAVRQWRKFTLRKSVLQIMLGR QLAGPVAANLKVLAGRKTVLEGGPETGL VE01_06527 MATTVDQKLLKATKFPPEFNQKVDMQKVNLEVMRKWIAGKISDI LGNEDDVVIELCFNLIEGSRYPEIKKLQIQLTGFLEKDTPAFCKELWKLCLSAQNSPQ GVPKELLEAKKLELIQEKKYTRSKLRKLLKNQDGGAKSIANVSETWTQSETVNEMSVE GAGMGCVTTIGAAGAEIVTLDVVETIIEEDIEGLAHLVNGAPHPETQTHTFHEEAVGG GTITGEGPHHRHWTNDPYLRHLPAPLPADVGTQQAHPPPRLVAETAQ VE01_06528 MSTLEAEPNINVDESTQTDTPLLDTDDVTELIESIKTASSSDIL LPYGSSVFDHSAMAPASPAAAAALARLRAYVPPPFPIWNNLPVSRRAAVLLLLFADRR GDLRVVVTMRAASLRNYSGHAALPGGKADTLEETPFEIARREAFEEIGLPMDDSKFPK SIRIEHLCQLPFNLAKTELAVSPCVAFLHADGANGETAEEAMIPTLDAKEVAAVFSAP FHNFLRLEDEVPEGEKVPGSKNDWYEGSWVDWHDGYRWRVHNFYVPINNQKVTKPKER EGGLKAIAEQLEEEEEAGMERYKVWGMTARILVDVARVAYGENPEFEASYIAHNDHYG DEQLMETLFKMGRMGEKKNGTPGLTREEIAKEAAKM VE01_06529 MDDPRSNLRMHDIASHLPLMGGLEIGLPPEQQPYMERIPPYFVN KELPPIPKFAPEPVLLPPPTLAPPIPPYNPLRFSRVRSNGFPIRDTVLSPPLGSTSSE ISLSRVPSLSHSRHVKSPKTLRLLGSPTLSIKTPTHGETDKVRQLTGYDLASPVERRH DRKPSTDSASTSSSCYSDPEIHLRSGRESQGPGGYIGLHHSPNVERHYTHNKTYSASA PGTQRRVSKRYSKITLDDLLQRQCARFQHDTLSPTSSRVHSPNYSTAQSLNSTPETPP FSDAPHASEFTLPLRIRPSQSNEEPASRFSDASTPPVSPTTRFSAGIRDSVLSIAAHA PFGHTRAVSRLLERRGDKNASEELFIAEESSGSEDEAMMGGTLQKLVGRKRDADYDAG IEGSGKRWRGGGLMRKISDAVFPRRPIHSPKSDEKSGSGLGIVIPDHRRKVDGPDTPM PAIGNGKGWVEGILSREASMRRRQSVRGAIGRAAESRWVVGGDERRERRRERLKGQIK VIGLMEVQEDEEMAELRI VE01_06530 MATTSPPEPLQTAHVLADLSDLFVADPAAALHMISCLAPIPTPT DRRASRSLSIPDAPAIARAKAAASRRSVSGSTTSAALGSPESTRKPLAGTPASPVASR KALAGPSGSRSGSWGAFAAEDGEDEDPDMRRARMLLRCFDAMRKLAGEGGGTEVLEEA RRLVEGVEERFGLKGGM VE01_06531 MSLIFKRGLATTAPKLTQAVNFAKSPKIVCIGRNYAAHAAELNN AQPKQPFFFLKPASSMLLPGAGPVQRPEGVDLHYEVELALLIGRNVKDLAPDDTKGAM HAIEGYAVSIDMTARNMQDEAKSKGLPWSIAKGFDTFLPLSNTIPKGAIADPHAAEVW LSVNGEEKQKDSTGLMVFRIPRILSDISKVMTLRRGDIVLTGTPKGVGSVKPGDVMTA GIRYGGVEIEEGRIEVKVEEAKGSFTYGET VE01_06532 MKLLALLAPALAAASAIPASVPAPSKTINERATEICGQWDSIQT GTYTLYQDLWGMSAATSGSQCSTYESLSGTTIAWSTKWTWQGGPYNVKSYANVVLKQD TGKKVSAIKSIPSKWTYTYTGTGMVANVAYDLFTSSSAGGNSDGSSDYELMIWLAALG GAGPISATGSPIASVTVAGYKWNLWYGLNGNMKVYSFVAASQITSFSGDLKAFYTYLV ASQGFSSSQYVTSIGAGTEPFVGTNAVMKTSGYSVALNV VE01_06533 MSQIETEAPPAYSPYRGDDTAGQAGGVSDISNLTDSIDTTITLA RDTYRSCIKYIEAFDLVAHDVVALFALLEVIGESVEDHGVNHAMVESATKLLSASKDS VSNLQTLVTKYEDLPVESQRVWLRIMGGAEELVGLRGQLRKNIDSSSALNKNITSSSP KGVLEMVQKFMSEIRTGQRKESIVSRSTSLNVEDATTRIQIQQELEDVGITSLLFNQF HVLILQTLITERDLPQQAVAKAPPKESRVTKALQNLKINRDPSGKSHSPGPSNPPTAG TEKSSPSGPSKTPTPVTTQSGTPPGTMNDLITAISHDDLSLVEQFLDRGFDINSRDES GRTPLMVAVGYNKVDIAELLLLRGIDVNAKTFHSEETALISACASGRDKIIMLILELG KPALDLDARDRNGRTALMRASAQGYDREVKLLVKAGADLEAKDKNGRTALNEASRFGH DQNARLLIKLGAKRW VE01_06534 MNKRISFRIHGGIVQGVFFRKHTQTRAQEFGVTGWIQNIAGEKI EGEAQGNEEQLQKMVKEIKTGPPNAVVVKVETEDIPVVEGEKEFVVKRTVR VE01_06535 MDNPTEPDQPPLFRPSKKRKIYRQRATSPPTTIENLATEDTTTS LSAAVPPQPLTSGAAADDEDTAPSTAAIRRLLASRRQRGGGVEFRASNPRGTDDAEDV DGENAIVVVRRESHDDGHAEEGGLAMGGKRFVTQTGMSAEGVDRHMMAYIDSELAKRH HHQPTSTSTSTHATTTTAAEDSAAAASSQSQRQRQPSPPYQTASRGKLMEIELPPSSS TTAPPVAKAIRKPRLGRDGKPIRGKKRRTEEDIRRDALVEAVMQENSLGIYESPAPPT TSGAGGDGEGDEEIAERFRREFLEGVEERRRGGGGGGGGQAKKVVGKGGKEEEGLKGP KLGGSRSARAGVREALLKAERGKR VE01_06536 MATKETDPSGDVSLLSSSPSPLLSPTGASSFSPPSHNYNSTPST STTSSTRSASVGPSPTTTDSSRKSMPIYPSRSSSASYTSRQSMGGLERPKRRGYVRPQ GTNFAASAQSRESVMNLGSIAHLQYYFARTGLLDGKGGQLAKKKDPNGLDLSSLEGGL LSPGRRTSHHSDKDDSYSSMRSSPDLTPRRRHSGLGSPGGPISAEPDSYDYESEYDSD ASHAEMLPPTVSTYNHRTKPVPRPPTLAELKSDLESSLRAATQALAEATSPPSPSGTT PAKKIPHLRTPSSLSLSGSRRRSESDATALLITTPAPTSNPSNSNPADPTTPTTRDPD PNQGWHELQGLHILDILTLAIRAARRYYTSHAHPSRLSSIRSERRIRADLLGVMDVLR RMATRNFAHGLRDEEARTMRDWVEGVWDMLAREEAIEREEMEERRAWTWLDDRLWAGE GKLDVVREIAFLRAMAPAVILPDFAPSEFPGEGGGEVKLGPFWEELRTGKVLVELHNA VVSRSKRPFGAIPVWHSDTAKPYRCAENLRFWIKAAELRWEVNLTVDVRGVVAGTEEG AWRGFEGAVWEWVGRVRGELGGEIRG VE01_06537 MTIGSVVLPLLRRHGSSSELKPTHATTVAAATSTSTSTTNLDST TSTHERPPNPPPPIRQTRRPSPGLAARLKALGFTSDSKQRSPSSTDAPGSSSSDYIGR IPEDHIRSIDSLHRANSTSSLVPRRGRTWSSNSSSRQHAPQITGSAETGFTVLDPAAD RRASDATQDLTPPAIIPAATVAAPIPPRAATALSVSEAAVRADEVARRDPSPVPKVEI SDMSTYDAPFDSEDVQKYRLPEHVNGNGTKATLATKVAHLERMDDPPPPMPLPKDLPD LPAGEDDFSGDVQSYFNPFGLQRAGSIYTLSRVSFANQLAQLTSLQLPDAGSLASKVS AIPTSHAAARALMGAAEQIKSWISKASEVLDGLDADDDVEWAAAGGREGLEDVDNAIV RFEQLISVYVTAIEELQNRTDISNVPASELTMVVTQVETILSEWEKIRRTLTEVKGQV GVAIEWEELWNVVLGDIGYEMDILSTLVFEMEEKRHKSLLAEASEEGVDLKDLETIVE ETPPTKVIHNKNRFSVAPAPFPLTPNSPSTPTTAQDDSSLLALFARMQPLRASLDFLP MRLSTFHSRAEDTFPTACDELEGRRDGLESSWAALEKDAASLRRELGEDRWVLVFRGA GRQANKMYESVGRSLSKLQEALDNGTQAQNASTLVQKIENYETKKTHYGPAIERVLGI IEKGVQDRLTVNGEIVRLHSEMQGKWEDLKSQMSELDKAVEAAQAGRREQQLRDYVSS LMSNDRSSTVGSAADTPGSSPASSVIMSNLAHGTDPTTPGKAGKPRAPTTGLPQPGSR HTPLSGNYPRRNLSAPRSSGYGKPTYTPTPASREASATPTGRIPRLSFSSASMAGRPR WNSSVKTEDGLTGHNFKPLSAGTPSPYARGSTPTGSRNASFAERAHERSGSASKIPLR RSMGGEESLGSPGPPPPAEGASPRLPQVTTPLPNRTKNLASFKDRMASPSPGPYAQQP MSSPGGLKASRRLSVQPSPGARSVSRRASMQPLSSEGGSERSTSTSPLAVAKRPGSSL AGTAGGRRTSLLPQPRGRETTGRDSRAGGRESPAVVGARVAMRREGSVVGSASGGDKD NKPKWRG VE01_06538 MGDELMQEIIHGDYLIVYVQSIGGRRPPNEIHPTSERMVRILPV GGNGGASASGPQSFIRFNNHFRNIRDLVFYPTRKNERVTLDNLKGPSPQIASPEDANK SPMAAEAGVRMATGDRSCTIFNDFDVDPLPLAHDDRMEHLFAHTDNIRQRGSVISFGM VVNDDKKTGYEFCQLEFAAAEGDRILIVAIKIPDEKKKFSSLKLKKQILNVDLEELVI QNFIGVDKGFFAIKEYGKQDGDETSAPLGPKSHEFYNLDLEKSMEKDLFENYSHEVGR VCLEANQFNAGLFEEWREMIPSQTMDEHLKNIYELYTQEALSIVEQLKTPPMDGSDGG HQDLTALTGGNDQLRRKLHIVRDAQTYVMSRRKDLEFERVQEYNFGKKIGPGLPRPRR TTTVIRRPTGGYMAPRPHIPDLSYSSGSTTPRARTPTQGHIRGNMTNVTPRPRAPPLS YSSSHMGGDTTNVRPRPRTPPLSYSSGNMTNTTPRPHTPSLSYSSGSTTPTNSPVRRA QMVKLDKGKGKEVLATGNETLKEILERLMKNPSFIRMKDKPKGKYTAGELVRLRRQAE ENMWNIKIPSLGRSLVSDSGRGGMLHLTVDRSHSLGRSLVPDSGGSGLLHLTVDRSHS LGRSLVPDSRGGGLLHPTVGHPLGRNRVSDSGGGGILQPTVDDHRGRRNRVSDSGGGG LLSPTVGHPLRRNRVSDYSSSGIIHPTVDDRPWRRNRVSDSGGGGLLRPSVGHSVGRN LVSDSGGGGLVRPNAGAGRNAGAEEGAKAGARANTSARPGSRVHLTVEYDADTQTGAN SRADAGGDARTNTVAGSNAAANADAGGKGAQAGARFNIGPQTGSKAHVAVKYDADTQT GADTRVDAGGDARANTVAGSSVAANTLATMDTGATVGANTGTALNTDNDEDWESSDDD EEGGVSLTPEPVNTKQELPPLATLSKPRTLDGQSDSVSSRSTQESMARKRSQRPLSSD EDSPVKRSQNGGGGVSIRSVSTEDNSSSNDDRKIESGGGTPDKAPSPKKRKLSDDNHA APGGGRSDITKLT VE01_06539 MAQPAVGAEKAQTAIATDADPTRGMPYHDKLTGELKQAIMKRRV LERNIMALDETIYKKEGEYLEDTPHGNVLTGFDNYIKSITSNAVGRRKQGVSENDRVF SRSSVRLADFMDSPGGLSTQTTPSGAPTPISAGFGREGSGNATPTSATARAGKKKRGK GEGDDSEMEEREGKKARAGARK VE01_06540 MASTDKGLEEIPEGQIESNYDETVDSFDTMDLKPELLRGVYAYG FERPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKLDPNIKQCQALILAPT RELAQQIQKVVVAIGDFMNIECHACIGGTSVRDDMKALQEGPQIVVGTPGRVHDMIQR RFLKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTK FMRDPVRILVKKAELTLEGIKQFYIAVEKEDWKLDTLSDLYETVTITQAVIFCNTRRK VDWLTDKLTARDFTVSAMHGDMDQGQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVS LVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPM NVADLI VE01_06541 MPRILDLPDPNLPFSIIYNYFFERYNKELKAELTVLTEADKKRE VEIQTLREKVEETLPAQLQQLVESSVKSAEKLRVDIDMFKEETRALLVTQAQEFSRTF KESDEKHKVEKQNLIDELQAMKRKCDEMSQRVEALEKAMQDMESVGANARARKRSRPT LTAQKSMHTPGDEAELSTTNNVPIAQADKEAPQPKAHQQAAEPETPAPYMDSSITMIA ATPTASVSAPDIPRFHNGHIRQGSLSLDDYAAIFARHLASIRNADQSDGEVGPAQREA VGKFVLGVKKASDRIRLVQDLEKKGLATTDQQTRSVELFCGWQAVKEVLEGW VE01_06542 MEGLGAAAAAEQLVRDAFKLVQLIRSIRDKYQGAPKELEAWRQE VEDLLGLIKNIEALPTLDGYEIDATIESCRTICRGLIDIFSGLDFTASDSRLHKTWLA IKGIDQEPVVIKHFEELQRFKGTLLIKINISQFTQGYQTAQDVQFIKAAIQPGTEEER CLKSLFITNPTDHRDAIITAKGVRVEGTCEWITSTDEYKAWIMSPPSLLWLSGGPGKG KTFISIFLTKRLSESAHVIYFFCDNKDASRNTAVAIIRGLLYQLIDKYPRLLHHVFTP WKIQQDALFNSNSFESLWRIFQTMLEDLDLGTDLICVLDGLDECADDSLPNLLQKIKI LFTESVDKHRLSLIILSRRHPECLERMLGSFPRIDLDSDQISNQPDINSYITSRVAQL AERKGISTSLVKHIEETFREKSQDTFLWVSFMADDLEKQTVPGIEKALQTLPKGLDEI YERILLSIKPDNIAIIATMLKWISLAMFPLTVPQLAEAMRINASGYLSKEELCESYIE SCGHLLQLSRLSDADEEESQDASSSISIRVTFVHQSVKDFLFGLARHHAISAFHVNKA QGDLDIACQLLSSMQNEWLKCVEDQRPWQGYKDINGYPLAQYAQSNWDLHLKRLQDKG LWQLIDRNPLFFSDMSAIRDKWRNASSKELSSTEAINLLQFSCEFGLVALATKLLKRR KLTSPFTFQRYVNRLAGHSDYGLSGRSPLCLAIDSGNTELIQLLLSYKANIESRCTSW NSESALQYATKRGDLEIFQILAGTKIGQRIIDEDIKSIKKGVKRDSLLHLAAGSGNGR MCSYLLKRYNYDIEAEGAGGQTPICDAIRGNSVALARIFVNQWGASTAAADKILKAAA KSRKYFAVQGSGEELEFVISELKIDINARDECGQTILHYAFERMPQLWYSSETCYAFA VRCLVLGADPSLRSTTGQAPFHLYRWFGHPRIAPFRPVVLLLRDGRLGVNEQDNRGMT LLHSLVNDAFGRYGDFKRNDLFNGLSWLLDVGADRTLVSSYGMTALQLAKNICDDSTD VERGPIIEAYEDIADVLENYATVSNQPQRLDPLDWSIEILEEDDV VE01_06543 MIPGPLNLPKWLEENSHLLQPPVNNYCVYDEDVTVMIVGGPNAR TDYHINTTPEWFYQYRGTLLLKIIDNGIPRTLPITEGSMFLLPPNVPHNPIRFANTVG IVIEQRRPEGSVDKMRWYCPNVTCGKVVHEVEFHCVDLGTQIKEQVQAYSGEKGGRDC SDCGTFAPARPAEGEIEDPNLEGKGK VE01_06544 MGHGDHDASAARCNMNMLFTWSTQDLCIIFRSWHITGPITLTIS LLAIVALVAGFEALRATTARYDAALLKRRDELPHEEPAETTTLLPGQQQTSRDVRRAK VARSALYGLQTFYAFMIMLLFMTYNGQVMIAVGIGAFVGHLAFGGATTATRETACH VE01_06545 METPPGNHPAIPNQRPRRPPSLPHALPTPPASPRPASVAIPIPP VSVLSIPATIARLLTYLDPTHQDYYRVEQHPNIRKAISMYESGELDGLRQVAIMRGGV MKREEAWEESFRRGRGAGCCCWIEARGEFLRFVEET VE01_05861 MAQMTLATAISGFATLSVTVLTLTVLYSLSLVVYRLYLSPLAQF PGPKLAAATNWYHKYFDLLAKRHGGQFPLEIKRMHEKYGPIVRIAPDELHIDDPEYWQ EVYCNSSAARPIDKQEKLQDRFGLPDAIFSTPGAELHRSRRQAMAGFFSRQRLRETQD RVNQLVDRVSQRLSKEYAGCNRVLDIGDMFSSLAVDIVTELCFRRCTNCSEAPDFKAP LVNTVINNIWLSHWNAHFRFLIKWIERLPDAAIATLVPLIKPILDLKVSIGQQVGEIL SEMDKTSIGTKDFRGSDHDTIFDEMLASKLPSNELSSDRLTQEAMALTTAGVETVKAT ITFAIFHALDNPSIGARLKAELTEAIPDPAIIPRWVELERLPYLTAVINESLRLSYGT TQRSPRINRLHPMQYGQWVIPPGTAVGMDSYHMHINADVFPDPFVFRPERWLDNPKGP NGRQPLTNYLTTFGAGSRICVAMHLAYLELYVALAVMFRRHELHLFETDRSDVDFALD MVAPMPRRDSRGVRVTVSK VE01_05862 METDTASIPGYAIYRQPADTKCCSSIPSTSTTKPNHPLDQLTVT EIPVVTKLIRNHANPRQIKFNSITLREPPKKEYAAFRSAGGPRPDRKAIAIVFETGSS NCAEVIVNLTHNSVEDWKQMTDVMPTLTLEDLDFTESLTRSDPRVIEACREIGITDMS KVYVDAWSIGHEERWGFDTRLQQGLAYYRSSEHDNQYAHPLDFSVVVDTEKKEILSVD IRRVNGERTAVPMDEHNYLPEFVSNAYKPERLKPINVTQPEGVSFSIDGNELSWCGFS MHVGFNYREGIVLSDIRVDDPYENRERTLFNRISIVEMVVPYGCPEPPHHRKHAFDVG EYGTGFMTNSLKLGCDCKGAIHYLDGVMAISTGEAAVVKNAICIHEEDNGLLYKHTDF RDGRVISARDRKLIISQIITAANYEYGFYHIFHLDGTYQLEVKLTGMLNTYPLHPSEE AAPYGTEVARRVTAHNHQHIFSLRIDSEIDGPNNTVIQCDAVAADDAVGSPGNYYGNA FYSKKTPLRTSKEGAAQYCHETSRTWDIVNPNVLHPVAKKPVSYKIVSRESAPLLAKP GSIVWKRAGFARKTLWVTPYRDYELFPAGDYVSQSQGTIGHPQNETVVDWAERDEPIE NTDIVCFLQFGITHFPRTEDFPIMPAEPVSIMMRASNFFLKNPALWVPPTTVDADTLS KLADPLSKLAFSEDDKK VE01_05863 MGAETHHHIENTTKALDDVDENTQDALDLAAMGHEQSLIRKFNI WSMLALAFSVLGTWAVFAQDLAIGLANGGSITILWGLCLVTFCNLCVAVSLGEMCSAM PTALGQAYWIHRLLNTPRGRFISYMCAWINTFGWWALNASQIAFMTNFVLAIKTIFDS DWEGGTKGWLQFVVYVGITILFTVVNAVACRRDAVLPWFNNIVAIQFGGLFIVISLAM LISVGTRMDLHFQSGAFVFGTWVNQTGWPDGITWFTGLIQAAYGLTAFDSAIHMVEEL PSPRTNAPRVMYLAVISGAVSGGLFMVVCLFCIQDLDSILNSDLPFIQLVTGVVGQGG GAALIILFVINGLGQGVSVMTTASRLTWGFARDGGLPWSQYFSNVNKTWKVPIRVLWA NGVIAALIGTLYLFSNTVLEAIVSVSTIALTISYAMPILTLVIVGRDKLPPGKFMLGR WGYLINWISIVYCCITTFFFFFPGAPNPAPADMNYAIAVFGVMLIISVLFWFFGGKRT YLRTDGAMREVFLATQLENGRDKVAKD VE01_05864 MDIIPIPPTDQQKPITSIRTRTFFVLNDHLDEEILRNSLDTLIR DHWQKLGARFAPRRDDGQLEYHLPLTFDDNYALFNWTSKQYDHSIEKVPSFPRPTAPE KGIALLPHIRTIEEWFRPADWPLDCERDELDKPLIYAQVSLFVDATVIALSVPHVFGD QLGLANIIKAWMGIIGGVSPPPMVGVKNDIFANMKDYADYLPQDVKRKGRVAVRRWGE YPLVILGLIPELIRDRVEDAYTVFIPVPLVESLRERYGKELAEKYGENPGITNGDIVS GILLKFTRMGYELPQMLSFSQTVNIRGRLPQLDQNRDAFVHNALAYSTARFPMSKSTP LSEIAYHTRKAIIEATDPRDIGISIAVMQEMVRRGQGSHIIRPFEKSYFVTNWSAAWR ELDFSPAVKEDERERDAGAPVKAFVFGDSGTASRPQRFNSTVMCKTDEGYWCNFSMSR KASKLVEEYIVKDPMLAGF VE01_05865 MTVRVDVGEHAYSATLWEWIVLLSKATAITFRLMLKAAKAGRRD QKNGLTFHQYIAYQGMRDYQCGLDAVAIQNLLPSTSKTCEGFASRHRIANSTIRLPCG TTAQWLGPRNALKVVVLFHGGGYMSPALSEHVSLAFGMSDPSRRDAAVVVLSYNLACE SANRYPRQLQQAVALLGHLLHVEKVLPSSITLLGDSAGAHLLLSLVLHLSHPNPLVTP LKFSGRLSGAVLVSPWVTMDTSAASMQLNKDKDVLSASSLEYWAQNFLGGADYDSWNT PTMAPEEWWGDLLVDDILVLYGHDELLRDDTLIFCERLRAGHAKTTVLDFPGEAHVHM LMNRFLRINKPCKSEETLVNWMDSHLGDGDCV VE01_05866 MARQPIQWRRISCQTIALVQKNFLIFYTSPTSTVIRSLIFPLII TLVLCYLKYLKRIASSAEDYGIATSSTPIKDLADAMNGVSKQKLVFVLNGTTSEDVEP VLNGILALPGMQNMNIEIINDGNSLYDACKQTLKGQSECYGGVIFSVFNETNVEYSIV LDASFGQEWGFMNWRNGENTLTNRVLPLQWALSSVIGEYPLVAKPSTQAWAGYFGPNK YDFGSSTFGVDSSNNSEESAFWLAIVASFAAPLFFLILIGVVYHLATFVAIERETSMA ELMAAQGVSIAPRILSTFLSFFSLYFPGFLLSSIIMTQVLFTRTSDILMIFLSLLAGV SLIMGSHFLGSFFGKANLAGLYSSTLSFALALITLAATLTVREPTAQITALALLFPPA TWATLIEDVALREAGLRAFSLNRMPKDPENLTKKVQYLDGYLYIVFFIVQIVAFSFGT YLVERNLWGVTRNFERIEASSDVAVRCTKLSKTYLGKRRWYWPFSRKGKTVVAVDKFD LEVRKGSVTFLLGPNGGGKTTTLKCIAGMVSMDTGSQLELNEAGVSFGVCPQANVFWQ GLTVQQHIKIWRKLKTAADEDTAADDDDVVAECDLLEKVNAPARTLSGGQMRKLQLAI SFVGGSKVCCIDEASSGLDPLSRRNIWNIIQKGHSRRTVIVTTHFLDEADILADHIAI IYKGKLVCEGPATSLKASFGNGYVIRSSNASDDNSMVYQMSNSAESTTKLLELEGMDN DNTYDVVFPTLEQVFLKVTSDTAIHSHGGDGFVGDEETSTVIGEKIYALENREAIDIN LEVAQTIGFVRQVQALFMKRYTLLQQKAGWISYGINLIIVIIITAALAKFHYDFEPLQ TCQESRDMFRNPDGIHIKDNEYDVYYPVLRPPILTALYSYSGQAVALLAPPTAWSGST QDELYKSVIGPLVVQGSSQIQGSYVDGQYQEGRLNDTEINDLISSSRQLFVGLDDIVT QITNYSGHSYSGFGIYSPTPETATLLYLSEGSIYSLKQYSEIFNLITNRISNSSTSAG TARDITASLRNMRSPSNKVNSLSLPISALIILAFICATSISAIYPVYERVNNVRALHY GNGVSPAALWLGYLLFDAQFIFVQSVFAWGLLFAGPLSKLYFESGYIFGIFILFGIAT YLGSYLISLFVKKAAFAVAAGIHIFLFVIYFVGYIVNQAAGNRWTMHETYSALQYGLG LSSPAANLARALWIASNTFEILCGKYGDQEGSSSPGSYERYGSPYVNLIIQILFLITT IGIYEYGSADWLRRKVKPSRLHYIVDSGDGPVTAPSEVEKNGRVATAASPELLNVSRV SKFFGKISAVENASFNISSNETLALLGGNGAGKTTVINMIRGELRPSFGDIYIDGVSV LRNPHKARRQIGVCPQDDAVDNLTVRQTLNFYATVKGLKDVSGNVEKVLSALNISSFE TTTVTALSGGTRRKLSVAIALLGNPRVLLLDEPSTGQDAGAKRILWKALQEISNNRAI LLTTHSMEEAEALATNVAIMGTRMLATGTLASLQESHGGAYSIRAMREQGTDVAEVEE TIKKSFDGMVSNFIESCGQIRFNLPHDRSALGSIMRIMEGLKGNVVEDQEQATRTHVG EGSSTEYNQSSRKLLSDYTITGPTLEEVFMNVAKESRVSGDV VE01_05867 MAMANIALYIYSAVAAAVLYLVQYVIRDKIRMSKYKLPPLIPGG LPVVGNTFQVPPTQQGPWAKDLAEKYGEMFTLKIGGSTWVFLNSSRVVTDLMERRAAI YCSRPPFPMTQDIMSGGGRIVLMPYNNDWRRLRKIMHQILSSRQEEVYKPYQDLESRH LCWDYLHNADKWFSANGRYANSVIMSVVFGTRSDLDNPDVVELFETIELFLENQQPGA NIVDGFPGLAKIIPDRLQWWRPKGERIFKKTTDVYRRALDRVIRNTANGTQKDCFAME FLKISQKENFTETQNLFCLGTLMEAGSDTSRVSIGQIIAGAATYPDWVARARAELDAV CGHNAERLPGWEDRDKLPYIAAVVKEGFRWRPNTAEIGAPTSLIQDDEYEGYKFPKGT VFTWNAWAIALSDKEYPQAERFWPERFLNADLNNPLKGHWAFGPGRRVCVGWKVGEMN VWIAIARLLYCFDFTEDPNHKIDTMTIPQITHNTAPFHVKVTPRSAAHAALVERECAE AVTTKY VE01_05868 MATNITWHESLRRSERETLRGQRGYTIWFTGLSASGKSTIATAL EQHLLHLGVGAYRLDGDNVRFGLNKDLGFSEADRNENIRRIAEVAKLFADASTATLTS FISPYKADRKIARDLHATVADGDEPIPFIEVYVDIPIEVAEQRDPKGLYKKARAGIIK DFTGISAPYEAPEAPEIHIRSDKVSVEDAVVQIVEYLKSKNLLPAAALKN VE01_05869 MANTTKYLLLSLPTSIAPSNDQADALSALRSTVGDNGAVAPFKV PHFKIGTLDALVQQADDLGKLESACEGVVAKMGDTLRTILDGDDAKIGQQKTVNDKPA DQYLGNFTWNTVKYRSDKPLRELIDSLQKDLLSVDNDVKSKYTQYNSIKTTLTTLQRK QTGNLATKSLTPVVDPKLLIQDSEFLETHLIVVPNLAKKDFLRSYETISQMVVPRSAV EVAQDEELTLYTVTVFKKFAAEFVQKCREQRWTPRDYKYVEGGREEEKKEIERVSKDE RKVWGEVLRLARMGWSESVMIWIHVLCLRVFVETVLRYGLPLEFVSALVKTNPKLAKK ARTNLDSTYSYLGGNAFGRDKKGRVTKDDSTLSSEMSALGVGGHGEGNEYTAYVCYEF EVI VE01_05870 MLFTRVATLLAVLGVAAAAPPTGNPTKPYRLKTTVVSGDATKNN LYVQSYHTGAGLNDVALVSEGGSAAFLNGTYQQFDLSGSTFPSGLKLAYNQYYTRWLD TQINAGYGDEGFSFNGSGLISSNPQFQGWLVCDWYHGVPQLFWQYYYADIELPSSCAK VELHRANLA VE01_05871 MAAYTPSNDIPSYADHRASGSFDPNVPPKPPPKPRSEDVSRQST PVLSQFPHQPSPLGAKPSPPTPERPLYAEQVGPQGAPQAIPDPGPNWLPKILEDKSIQ DLEEILRKPELLSATCHSRDTAHPSTSASDESLQAALRENIALASHLNDVEARLSHLQ ASTQAQLLSAHALERQWRQKQSDMDRALSPFSPSSLYQRLGSGVQDQEMVCQALEESF LEGDGAVATEREITEWVRRYRDARRLYYSRRERKERWDEGRVGGWR VE01_05872 MDPSHITEFASPRYFEKLNSLHDAVDNASNPQAADAAAGTSQTS TFSLPIRNPRPPGARRRRSGDTDRSGDGKRRLLVPEVTSKAPRKPSFTSSLTNFRFKV SHKGTSHQVGHEFIFEEGPVKQQLTLGDRFLGLPNELQLQIMNTLPMPTVLNLRLVSR SFNSFISMNESPISRHHLTHSVPHYALRLYPVPDSKLFTLHYLCGLWHRLHVASKLAD LIAEHTTQEIFLRDTEAKRREFEPQHKRMRLRLMPLLFTMFHFFEKYRELHLKHLESN GIPLRHQPFTLNPIERQVMNMYDDQTLLQLHQVFPLVLSSFSRRLRPPSYAGRLERSI KGYLKDKPADEIYATIILIGGLRQAERFWEMKGYNSRRAAVDSWYSSVTREPMEAPPK SRLAILGLGRKKSVTSHPDVAAMEGLSLHDPGSCNEWDCVQPACMDARAHRTPSHTIF HTSLAAGPPMSPLSRDQLRPLLLDQQPLTNIWLHTAEALILERGIVECSLKIKRNTQV LLELIKEDGGVDEEWAPGLSQASRHALHHPIGAGGAYLGAHEM VE01_05873 MTLPARPDKGPHLKLTLLHTATRHGASLSRAHAHNFEMATMSGL QPSGIVSSNTSSFGQFRLTTFVDAHPNPASTNTGGVVANAPDNSAAASLPPQSSAMAA NTPSSDAPTQLLRPSSNPSPNPSASPLAPTTSTPSSTTHAAPSPARRAPGFGVLDLTA PSTERSGKLGAHRDGRVRNPVPRKLKGKTDQRNGNFAVMHMDMSGSSGGGRMVPRGEA AQRASENTAKAARSMLQDSYRMKRRRVEGGEPAVVQQQQAYVVPSEPAPVVQQPVHRT QPMSAEETKYEQARLLTLLRSISPLAVVDQMCKALAFFGGIPGAPAPEDEAFPASEDA NGSGALFVGWLSEIFPELERKVWRSSVGGGGARGKRPRGRPKGSKASKVRKDKGIKKG PKDGGREGEGGAGAEVRAVSVVDEDEDADADGADDEWVDIGESESILHDKGSGQTGAN ASGAFVPVNSTGTGIIELEPEESNEPEPLDPPATANIGKRRPGRPRGSRNRPKEKDAE GNEVPAVEVFSLNQSSAASTSSPVMTMQSANSQTPTSQPKRKAGRPPGSKTKPKETPI LPTSVSPTLARSNRAAVSQTATPTNNIAAAVPQGLSAEERAVLEAYRKSKTSETPVPA PTAKPVEKRKRGPRKSSNGFTVEDAVTVPAPPILPPQSTITPPVAPQPNEPSRANSQQ ATPKSASAGPAAKRHRKSKDLTTATSKTSVAQDTTILKSTPTPTPASAPVARAIPVTS SQRPVSVPSTTVFSSLPVASEQIITRAPAQGLQAHYNHFTSIQQQQQQQAQAQAQKQQ QQQQHQQQQQQQQPQQLDPRHDPHLLTRTSPLQTPFYQPHHPQPQPQARHIPTPSPSH FTHYQPQQAARTYHSGTNQSAMDPYRAAAAAGQQQQQQQHATFSPRQQNPNQQQQQFA HYTEASFIDLPALEEGGTGEGGYGAGGRANGQVQGQGGFGGGGMGKWV VE01_05874 MTIGLLFDLIPSTDSSLYSYKNTALASEHHAKWKQQVTDTVTQL HAHDLVWGDVHPGNIVIDTSFNAWVVDFGGGSIVEFVPRKKAGTKEGDWQGVGKIFDE WIFKSDD VE01_05875 MTSPTPSPRAPFPPSPDGAAAPELDHAPALSSANARARFEFEAG RGAEGSKVLMVEWSTPLRASGSEEEEKEGKEEEEEVWEVSWEGKARGVVYGSGSATVD ESEAAAGEVTGKVNTHRLYFLLPPQTPVPRLVNISRRAAPASEALHTNPLPAIFPPEL GASARTAGRKGVLHTRWAKRRVAVLEKEIEKEGEGGEGVGWEMAVRERVWIEENFGVG KGAGKGEGGDGGGSGNGNVSGKMPGSPLGRVAGRMGGLKLGTSPGELDVHAPEPLNPL SPAPSDIAIAAPAFALFHSPAPSSTPQSSQTSQTTLPPQTRAAPAIVSQLPPASIIAQ QASTGDGRLMSSLDALAGGDTASAGGRWTSVESSAVGGGAGAGAGAGEDEEGGDGEGK DELFAIPPRERGPEMARSPFSLVSEEVVPWR VE01_05876 MSAEEFLADAEGGKLPVDCYDRVLQIAFIYMDEGLWKGNGVFDV VEKLHARGWSFGEGELKFNRTLDIFYLAQLVAAIYRSSSQLNGDFPSPSDFPAFYATH RALLYPSAWRSYYSATFLTQRTSARFYRLPDLQDLPDSSSPLGQPRQRPPLTAAGAHA TKLPRWAHSVARTRRRQPSLPLPTFTRLALSTLEATTARLRAVHPSVLPYSETQARFW LEHMKLGAPRPSGSAKEAWKPNGFGVLVAQGAFDVCAWEGKYSAQLWEASGEGREVAE PDLDGVWESEVQWCGLPDGGIGVQAWWRGWEGEVGSEEEVEFLAAVAVEETVGVEVGE LDFAVRSHVFLGVMRGAVEGVQEREVFLEELKRGMVAKGRIAEERAGRWLREALAVVE PYVRTWEGAWPGAEEREKVLQRILVENGQLFARWKVSPLLNEFNFELGARE VE01_05877 MLRATLTERRPSARRPARVARLATRPVRRHRRAARRTSPEISLG TGATIRSRVPAEAARGAAVTEHRPCARRASGGAGGAASAVCRHRWTAPTGIAGPEVAL APRAAVPARVAAVAVLQAAVAEGRAGAECSAVVSTAKAVPVVEASFEASTISVVYVDF EVSSTVVVKISV VE01_05878 MFDRRALCSTIQVISGDTCEALAAECGISPYDFTVYNPGSTLCS TLIVGQHVCCSAGTMPDFRPKPNPDGTCAAHYVETDENCSSLGAANSLTNVEIESFNK NTWGWQGCVNVQAFQFICLSTGAPPMPAPIANAVCGPQKPGTEQPGPGTTLASLNPCL LNACCNKHGQCSINKDFCTESESLTGAPGTSAPGENGCISNCGTSIVIGSAPAEYISI GYFEGYNLDRPCLNMKITAMDLTPYTHIHLAFGHVSSSYAVDLSGFKKILSLGGWSFS AEPPTYHIFRDAVRPGNQDTFVANIVSFVTEHDLDGIDIDWEYPAAPDIPDIPPGTAE DAANYLTFFTKLRAAMPSSKLVSFCAPASFWYVRGYHIDEMAALADYIVYMTYNLHGQ WDYANQHAIDGCPAGNCLRSQTNITETLLALSMITKAGVPSSKIVVGITSYGRSFQMT TPGCTGPMCTYTGRDSGAYPGPCTGTAALQPITSYSTYFDTDSQSNVAVYESTQWVGY IDSDVKADQKALYKLLNIGGVVDWAIDLEGFGGDTIASGPSTNIVYPPPSIWSSSDRW TGCNPPCIVVFPPYPLSAPHAVTSWPALTTTLLSSDVAGSGVYVKTTTIPVPTFTITD VSLHPVTLQSTDTANYKVNPVQSITPVSFVWTVPPNHATFPVTSPTLATSTDTDVPLL IIPPVTFHPTPVPVTIQPQPTYSVIHPDPPIPAAPVTIKPNPNPTPPGCTGSGCGKRD CGIFGCTTGCGLFGCGGGCGIFGCIPSCPLGSCGGVGCLIPGGYGNTQGSNGGDSGND CDVPATASACTYLVTSYSAWYLASSTTTTETNCVTSTACNGQDTTVRTTPGSPQCSID PDVSRALSAEGVADQTIINGKQIPLAFAPTNPAGYDGSTFADKQFGLTETITVIKTST VINTPTKTVTRWNERTSTRYAHAYFQLPFFMKSGCVERAIVSAGGPKLSCSFEGYDFV FGKRSAGMDPPPLPLRQRQLISDTASLPSRSPVT VE01_05879 MATTTAAALSTSHPQHDSKSASSGQLTTNPAADNGSSTTDTEKT PVVVGLAPLAALPVPDVAPDGGYGWVVVGCVFMINAHTWGINSSYGVFLSYYLQHGFF PGASPLEYAFVGGLSIAMSQVVSPLATYLIRRWGIYIPLLAGIVLQTSALLGASFATS IWHLFLSQGVCFGLGMGLIFNATVGLVPQWFEKRRSFANSIGTAGSGIGGLIYSLATQ AMIESLGLGWAFRILAICSFTVVSIATLLIRDRNIAVGAIYNAFDLRLLRRPQFLLTL AWASFSMIGYVNLLFSLPNYAVSIGLTATQASVVGAILNLGQGIGRPLIGYFSDSLGR INMCLFCTLGCGVLCFALWIPAESYALLLVFALLGGGVAGTLWTTVAPVTAEVVGLSI LPSALSLVWVSLVLPSTFAEVISLELRRDQKPIYRDAQVFTGMMYVGAAMMLWFVRAW KVRDVERERVEKEGGAGNREGEGEGLQRTRSVARSVRSVAVASRGLWVWTKV VE01_05880 MSKSWLSEAANLQLPSAAQSTKQYISQWIHNKRFLRLVAASFTF LVIGLLYYNTLTGTVPALVPSSSIITPPAPAASAAPAAPPVPAGPKYAFATLLSPNYL KDVDQTDIASDEYFLSTRVLNYQLRTSNHTKYADKNVPFLVMCPPNVAQNKRDILAAE GATIVPVPHIMADWIKVPLPTWVEMLDKLLLWSYTDYEKILYLDADVYLVESLNGIFE DAAAQDHEVSVEKTHENDVGKLPKKYTLAGVVDGGSGSRENPMSANYMNAGFFLISPD KMLYDHLMAFVDRPDSFSVSMMEQNLINDVFKQDGPMPWQKMDPKWDTSCPEPEDVKK GYKTIHSKLWKVKASPCDIDPVIGRMWYKTLGHMESHYAQIPLR VE01_05881 MYPGLEQRRRCVTLALILFISFFLCASYWLWSGGEHVRLVPSFQ DGGAVQDGSEVKDAAPKDVVTKPSLVPAQEEYTPKTGTGELRPDEIVLSEYDETPIRE MCANTSWADSRNVVVNCAARVGGVGNVRQEFLVCVRQAIEIGASLIRPDIMLRSEGLI EYQNGPVHNMSYLFNLELFDARLRSACPHMPIYNDLAEVERVGEIAKVDRPWDLPKEQ GVQLSFTAWAALNRQARDKITVITMPRITGQTPVCADPPPFVANFGRLVEFRPDTQHL ASSILTSLLTRFSLPPLPHHGVSNSSFIGLHFRTEIDAVNVGYTSFEEQTASYLAFIA EGPIRTIYAASGNTTSLDLFAIEAAKLSPPATVVAKGDLLSGADKAALEALTWDQQAL VDYLVLEKAARFAGVSDSSFTWGIAYARQVKVASIGDGGWLPQISDQPSSLPAPELAP VQWPSDANSDP VE01_05882 MRFLGASLAVLAYAGPALSQTAITVNTATQLQQMDGFGVSQAFK RAAEFQAMSSGPRKQGLDYLFSTTTGAGLTIIRNRIGSGGAGDSILPTSPGSPGGTPK YQFDGNDEGQVWFSQQAMAYGVSTIYADAWSAPGFMKTNGNENGGGYLCGSSGHACSS GDWRQAFANMLVQYVKYYAGVGVPITHLGFLNEPDYVPTYSGMQSDGTNAASFIPTLS AAVKAAGLSVKLTCCDAMGWESQKTMTSQLVSAGMEQYLSVITSHAYTSDPNSAMSTT LKKWQTEACDLKSAWSATWYSNGGAAEGLTWATKIHNGVVNAGLSAYLYWEGVEVNQF QASSYLVASDGSTVTPSGRLWAFAMWSRFVRPGAYRVATTGTVSSVGIAAFKNTDGGV AVVMTNTGGSAQSVKISVSGLDVTAAKAYLTDNNHSVAETASTLSGGALTVSVPAHAM VSVVLTGGGGATTPPANTDVPTSTVVTPPVTTTQPPATGTVEHWGQCGGSGWTGGTVC VSPFTCQVSNQWYSQCL VE01_05883 MFTRGRSKDRTSRKVTPPSASYMSNDQFANYLAELRDNRIARPG GARPGPAPSNNRMSLDQTNFKTYSPAPAAPSPVNEAPAPGRAESIISHRRARSSMSTS SRTGRALVQPPAPAGPPLSANVVVPSATYMVRGQRWMEREEMTSLRDALEDMDTNEEL EAEIKLHAAAQQEASDLVWKHQNPQPTPEPDAPYRYRDHMRKNSYQHARAQSVGPYTG PDAVPVLGFPTRSFSNSSNVSTQRDRVSSGSSTGSDAHPAQRPGQRVSFDEPRGRDPV SRKGAKSYGSLAHTIGHAPSRRRSSGKRNISGEFRSSFTTDQIWEEPGASDDEAAKAA AMKGSADAPAPLRVKPRNPLNRVQFEADPAAPRAASTPPLELKPRERFEIYKNPPSQS RNAGYTANPAPATAPADTTPADALQPPAASSPTKDGREIRSDDIRTATTKSLRDRSPL LPTPSAVSDAPGRPIVSFDQTWTPPSAAAKIKTEADVTPAADYRRPRVESKFEATRPV RKIGGPREAAGRAYGGDKEVVGTVGRGRGGVEAFVEVKGGRVEEERGEVRGGNKGGVR GGVRGAREPLVSTQSSPDVMVRAAPSASVPAPPTISVEEAPTISISSAPSISVSEPPS ISVSSSPAISVSAPPAIAVSAPPPPSISVSAPPAISISEPPAISVSRPPAISVNSAPT ISVSTPQVPSIVEPSTSSRPAARALPDPKVLARNKDPFARPNRISTSHWSPAPAPAGA RATATCHYCRHPIAGRVIALAGHAERWHPGCFSCHCCRTQLADFEIKPEPEAERAARL DRIARRQGGEVVEGDDEGGARAQDDGDERLRFYCHLDWHELFAPRCRHCKTPIVGSHV VAMGAHFHTEHFFCAECGDPFPPGSAHVELEGYAWCTECVAVRTERRAPKCGLCKKPV VGRVVGALGREFHEDCFRCGECGGGFGDGEIFLRGDVGVACRGCMERGLKA VE01_05884 MPLSIFILKNSNGLKKMFDHTPNQEARFNKIPQNDDCETLLSQS STDDYTKKPKRPLWHYGISSLFLLYSVIIFLAGIWIGSHRLFDADSFCGGHVSHYSPL VKERIGLHYNLQRFNGSFMKLNAFRQPAGPEVDAAWGSLGTDYRAFVVSPSDGPRSGL TPKTHVHISPQYGGGYPANVEGLHHLHCLNLLRQALYYNYDYYHALGQGAFSNADHVV RFHVTHCLDILRQQLMCAVDVGVLGQVWTFREDPSAFVDFNTEHKCRNYDAVRKWAEK RQLPEEVPPDFLVPLGAEDVVYEEIP VE01_05885 MKFHINVVALVALISSWVVVAFPTEARNEDIAIADSATPETTQE TPVQTDNADEDEDEDEDEIAAVSSYKYYGKYANYGTYHYNKYATYRRYRRDATPEPET SQGTPVEADNADEDEDEDEIAAVSSYKDYGKYANYGKYPPPGYDQYGTYVKYPSKRSS FRSTKYGSYDDYDPPNNGKYTDYHSYKPDGLPEQGNDGGKSNKYGSYNGYARPNYGNY THYPNSRRDKVADTTIDFAKYTKYGKPPGGYDKYPGYVNYERYKESVANTADDTPPTR WNYRRDLAPQGESSADAAGTVVSGVLSYGTIGPFGVKRREMAPESDTQDRKYAKYGGK ILP VE01_05886 MRLRTLVPALSALLLAPPSTTASHLRASPFSAAYLSTSTFDSLI KRQSCPADYNSCASLSAPNSASNCCAASSLCALDNAGNIACCPLGSYCTGIISIAPSP TTSHTGPITSAPTETQTAAPATGYVPNTFYPFPIIFASGTNFGDKAQCEAAYESCAGY YQQCTQRLEGGGGGFGVTVAGPGGGITVAGGAGVSVGIESATKICSSLSGEACPGTAA TCTAAGDGTDNGAGVATTTPSQTGFVVGDPGAGAAPGIEVGRWGLGMVVIVGLVTGWG I VE01_05887 MHCSFSTTVIAAFSMLSVVCAGPIASRKVCGAAPVGTVAQTPLL QPTGITTASACATQCKGNTACLSFLFGLVDGVDKCILYSVPASSLPPQTNLVAYDIAC TSIPSVVPTAANPGGLRTRQTQGTHANPLNAAPAGAPAPIDTPKVNNLAACLASCKGN PSCIAYTFKSGVCKLFGPTKAKRAEGVATGAGKAGAGKAAQDHASSSDGAVLQTPVAT HEAPLNAIPAGAPAPIDTPKVNDLAACLASCKGNPSCIAYTFESGACKLFN VE01_05888 MLTSDATPGTGNAPRPRLILHRQAEFESDADSDSDSQLERETYP TFSELRSRSIKPYPTLAAVRIVWSFDSGSLETSLSVMAAAYNIDVLEPYFQQSVGGGS NTPWHVVSKSPATHPPVSEIIVNVNDLNIWESDWLEFHENHSDPDSYTESDILKYGTL PDYDPENDRDPPHLLKCCGTDRPRGKEGGVLVTASPSGPGFVTVHEYVTTVHPWLMRN RGDILKAMNRWEQMPDLEDKDLVVDLIQPECLRIEEKSEWVRELSMRRPNWMSIHDRE TSDISYPV VE01_05889 MKANFLFCCSLLGAALAVTCPGDTGVNGYASVEGGTTGGGTVTA ITVTTLADLKANAGASGSRVIIVKGTIDTGSAINVASDKTIRGYDTCATIIGGFSMNG VSNIIFQNLNIKGGDAVDTIASRNSHHVWYDHLSLSDASDGLLDVTKASDYQTVSWCK FFYTDTTNDHRLASLVSAGGGTQPDDEGKLHLTYHHNWWSSNVDQRRPRVMYGDAHIY NNQYRAGGNSCCIGFGSYASVLIENNYFQEVKSPHEFIKDNVYFGSTNAEGQEDFTAG PFTPPYSYKLDDASATCPGLRGASLDNDICVRVGK VE01_05890 MDYDHDRCPSYASFFGAMGCAAAIVFTCFGASYGMAKSSIGIMA SSILRPDLIVRNLMPPILAGILSIYGLVVAVIISGDLKERLPIYSAFLQLGAGISVGL CGLAAGFAIGIIGDGGVRGVAQQPKLFVAMILILIFAEVLGLYGMIVALMLVTQSKIN VGEC VE01_05891 MAESERAPKFAPFLGMAGAAFAMIFGCMGAAYGTFRSGAAVAAV GVERPDLVMKSLLPTVMAGIISVYALVVSLLIVGDMSPPPKQNYSLYSGNMHLAAGIS VGMTGLAAGYGIGVIGEHGIRAYIKQSRIFVPLVLLLIFAEVLGLYGLIVALILNTRT KG VE01_05892 MSGGVPQGALGLSVIVLLYSFLCLFLGLLLFIVCWRHGAKSTYV SLIAVTASVACVASIAQQIHFATDWVGIKQAQYAAKERNPTNPILVVTGSAQGADLGL FWIQFTSYNINSLLVLFWSGSLFQGTWKLKPLVKLQSRSTHLETVAKLFAILFPAPLL GIAHAPSISKNAAPYLILCNASLVISLLIGGVLLILTLYKFVTLKNKFSSIRGSSGNS RQETLRLRRRDKWIIIRFSIAFSILGVFEIAIVCFEVLQYNANHAAIRATPTTAAELP AILTEIAQFVPGVTPPLIAFLIWGTTDTYWQELKSMFTCYISRRKSSPSVVSRKDASS LTFERLSSKSNLKVFSPTELKDMRNVDRSRGEQRC VE01_05893 MPLTDDQLWTLQVVERVASGFSLLGITTIIVTFCLSEHYRNPIH RLILINSFFNLFDAIATMISLSGPRAGNGSALCQFQGFLMQMFPPADVLWTTAMAFDV FLIVFHRYDAEALRKLEWKYFSVITTLVSIPAIAFLFVNTQEKGPMYGSVTLWCAINP NWVLFRLIFYYGPIWFLILIAMVLYGLIGVNILKRKQAFKAISNDSFPLETISHNDGD SDDANAQDGESTITLYRRPPPSRSTLSFRQYVLMPLMIFIVLLAIWVAPTTNRVASFT NPGYLSDPLLLAVGATGSLRGFWNGVVFITIGMKARKGKTGAG VE01_05894 MERIQGVGFPAASKELSEESLENIFTQLKDMLEELRALQPPPDI GVESCVGGSLYDSRILRKVSRFGPFKTIQEFHLYLREYCDPSTIQNVEIAQDLEDVKD MAAKQDGPWPPPVFTHGDLNPYNILVREGQVVGLIDWEFSGWYPHYWEYTSAWCGNIL RTGWRDQLHKFLDPFPEEVKMETTRQRWWGEI VE01_05895 MVSNKNRLYIALYPSGATGDVTPEERQYRWGFLVGPKAEKSKEV PGTRYHVKNSIVTGWNYEELSLRDVQNTTTLLARLLIAKIEDDERLKEVFRTTPFVQN DPNWRCRTWVEQVLARIISDGGIVGTSQLDWRAIEQTGRDLEHA VE01_05896 MAMFDDLVAEGYSLAQRDWRLTIVVASLLPFALTYLITSLRAFL AANNKSDSRSAPIAPYAVPFVGNLISFLYDNERAVRNALSRHARDVPVRFRLANRKLT LISGTANVLAMFRGSRYLDSTEIIIITVDNAFGGAGAGRESYALDDTGSRKEPLPGSR PLNMSDRIWYLQHKTVHTNLVGPPLVEIAERFVQYMGEELDRAIPESETDWVDVPDFY GLIQSTMFAASTNAIYGPHLIGLNPDINKDFWEYDHHIPTLFKLVPRLFAPKAYAVRD RLLASIKKWQAHARANLDFNDPKLEGVVWEEFYGSKMMRDRAVDYTGVKGMTDDVRPT FDIGLLWAANANIVPTVGWCLIDILTRPALAATARAEIAALSPGGGIDMQALLASPLL QSIYAEELRLRNAIFIQRTPIVDTFKIGPWKFPKGDLIIASSWQEARNRETWNQHGRD GEKHDVEEFWAERFLVYKDHPLSGPRLIDPAKLKGGEEAEKAEGGEAVPPKFAPEKVA GQFIPYGGGENICPGRFYAKQEAMAGMALFLSKFEIELQLEPGRVLEPNKKQFGFGVM GPKGEIKARMRRRKAE VE01_05897 MTSQLDNSRATLRLFLNNHPEIKYVSPTDPEFSSLKIIYNQSVS VAPMAIVRPQTANDVAALVYFCVGSSIQFTIRSGGNNIYGLSCVQDALMIDLRDINYI EVDQTKSSVTVGGGVIVSNLLRELSKDGLVTPTGALGFLGIVGWSTYGGYGPIMSRYG LGVDNILAAKVVNAKGEVVVANKELLKGIRGAGGALGVIVELTVKVYPLGQVLAGMII FDSQDITSTYKNFNAGYQALLEDGSVPLELGIYQFIMNAPHGRVFALALIAALGPVMM NTVEPKTIADHIDFIGTQVPQRAYGTCQTVSIRKLNEDATEILGRAFEKMPSSFGTSF GVHELRGLSAAPNSDSVFSSREPHFMLELISLVAKEEEVNESIEWATGLKNELLQMDP KNLLSGTYISVTQPGDVPLSKIYGPGSNFEALLGLKRRYDPQNAFSLAVPRLVEQ VE01_05898 MGFPPYTKTFHTSPYSGIDPSRPELSTAGKTIVVTGGGSGIGPR ITQAFATSGATKIVILGRTVSSLEKTKKEVEAAHTNVTVYTSVADITDESAVSKAFEG IEKALGKVDILVSNAGYLPDTKPIAECNVEEWFRGMTVNVKGNLILSKAFLKHAAENP IFVHVSTGGCHIPPMPANSAYAVSKMAAARMMEYLAFENPQIRVHIIHPGVIQTEMYK KSSEGGLDFAFDDIELPASFAVWIVSPEAEFLQGKFVWSNWDIEELKAKKEHLLSTDD LTLGLQGWP VE01_05899 MHFFAFAASCLATYLVSGATASPSTRSLSKRCTNSAEDRSCWGN YDLSTNYYDEVPDTGDTVEVYLELVNTTASLDGVERNVLLVNGTFPGPTIIANWGDTV VVHLYNGLSDNGTSLHFHGLHQNFTNQNDGVASITQCPLAPGESITYTWRATQYGSSW YHSHFALQAWEGVLGGIIINGPATANYDEDAGILFLNDWTHETADALYSAAETTGPPT LDNGLINGTNVYDTGGSRFEMDFVSGTSYRLRLVNAAIDTMFKFMIDGHTIEVIAMDF VPIVPYSTTYLNIGIGQRYDIIVTADQATADYWMRAIPQTTCSDNDSADNIKGIVRYD STSTSDPTTTAYTYTDSCDDESMSDLVPYLALDASDPDISDDFAVTVGRSGNVFKWFM AATTFVAEWDNPTLLQISEGNDTYTTASHVIELPTAGEWVYFIIETTNAVPHPIHLHG HDFSILAQGTGTYATVAPTLQLTNPPRRDVAMLPGGGYLVIGFQTDNPGAWLMHCHIG WHTSEGFALQLVERVSEITALIDETVLSDTCTAWNSYVSSSGVVSSDSGV VE01_05900 MLFNSKFIAALLAIPGATSSNAADPIDPSNLSLYAYGRNISGLT VFYGDGLAYIGSTPLNGVSVASNITFSTTDPASETATWNVTSTNAAFSTTPLLYIVPT DSAFTQVGFYGSSNNSSLPTGGVTTGFVLFGNYLSYIASDGTMQQQFWAQATDTIGTW KLMWNAGGEGGVIADGYTITPVSIKIRAPLVIDDSATK VE01_05901 MDFHQTALSSLNSLCTPEVADSGELQPILDQAFDVISDILYYDL KKEQWARRPRTYALLSMIHAVKEMDAFISLGHTDISLPYETKTSLPRDLQSPEMFSKF CQFQHYVLSTAYDLEKGGHCTVKDGNSFFKSNIEKIGKGGSGTVEKVQSKLTGIIYAR KRMRRIKKGNGREVFKRFEKELHTLRKLSHDHLVAVKGSYTDLNYVALLITPVADCNL KKYLQQPLPECDKSVFRTYYGCLTNAVRYLKDSQIRHNDIKPENILLHNQHIYIADFG TALDWSGTEFSNSVTKGNITSVTPRYQSPEVNSGAPRRSSNDIWSLGVVFLEMTTVLR GKTLKNLEDHFHRNGTANPFVFHNLDAAISWFRVLQPMPGLSDNTPLQWIKEMISKDP INRRTAVDLFEDIGEADGGIWCGRCCKETESESESMSDTDEDMDNTIRPDLPMPVQNK EVMEPSHLSPLLQPARKADEYTLQDSKIVIEAEEVEKNISQASKPNFEYAVPSPYTYV NYPQQASIEYDTQLLPGAFPETPDEELPLIITVEPCTYNPAPVLEKDKDITFESEPSI LPQNVITAPVKDSIEIVSIVEENRDIPIQPDALNVLQQATTAPVQHTSDLAPVVEDNG DLPTQTNALNIPQKATAAPVQDNEDLAMVVWFPPIVEENKGLPIQCDDSTLSLLPTEA PIQNHIQLTPFAQLIWTNSDDIHTSPSYILKTRIRRRRSYDNLNHISIALLQNMSSVD NDRLRRSTSYESLQDDYENLVPDTFMRIKEELLSFRLSPLWVGKLLKIQRASNPPEPD KGESPKYQPKSIPLEENGIKKWLSNMRAPSSSPQNSPIVLQGPSINKKEPQPTATRMS PEVSLPLTAGNLDDLSHKPKVKEKIKPVNTNIYMKRMGPEVSLPLTAGNLDNLIHKPM AKEKIKPVNASIYMKKIWDDAASSAPTSVMSSRTAKRFSSAGVFLPWQDKTLNFLGQY TKEGSVSAVRLLLKSGCNPGKKGEPRWEPIFNAVRGASDRHVKCVRELINYGVDVNAK NKVSRRTPLQYAIEHEAWSGYSNLIYILLAAGADPNIKDAMGDVPLLQILYGGNEPLP KHRRDALALLLAPNYSTDINVSPPGTMNGPLHLAVRRKDPWAVGMLLEKNAPVDGENG AGLTPLQLAMGSWTATTNHDQLEILRLLLEKKANVNVKNTTDGRTPLHIAISLEIIDA VRMLLQHGAKRSIKDGSAKSARQLYQEQAKAHKNCKKCPEVKTLMEDNSIGNL VE01_05902 MSGFDVAAGVVGVVSLSVTIFQGCIQGFVLLSTAQNFGRDADIV RCMIEWEQYRLCEWAEKVGLETKPNRKINWSLVSQHLHQLKAILTDVNKIKKDYELRI EVTDDDLKLEDIAATRKGLQGRLAMLKPKLQNQTAQRLHKSSTPWKRLRWAAFDKERL QILIQDVKYFNDRLYDLLENSDREFFKQALEALLRNVISQTESSSDLDSIKMLLSPDI SKEGLESAVSAAATLKQRRLALDFTEYDTNRTSRSNIASTMSHRPYPSRGRIPINNSR GPRKLHLASLSGFSPPSAEKRRELAVYEGQRVLLEWKTIKKADESKLKHRVEALATLL GNITHPSFHSLKCKGSLKVSSGEFAYIFEAPPLQSVDFCSLLQLLRMECRPSLSDRVS LGAALAETVLQLHTSGWLHKGIRSDNVIFCREKDHNWKADNLCPVYLGGYEYARADNP LDITEAPSSQKETDIYRHPELTGGSHNSYKKQYDLYALGCVLLEIGLWCSLQNILLLA LRRKTEDFRVRHGLQLEFGGQVEVAELSEKRRMLVTDIRDGAIIKELEFMAGKKYASV VMACLTAGDEVQSNNEKEEEGDSGEEEGDFATLLDLQEWVVMELKSSIV VE01_05903 MGGISRAHFIHGRLWQHLEHLISRVIFGQEKISTAKTRTLGTIE SLLLMTEWHPRALHFPPESDGWDFDLLANPDMPEGTGMADAEDSSALYRWREEVFEPA KRSDRMSWMLVGAANSLAHELGIFNDWDASAGISTPFRDILFLEYHYIRMYVNSLSIQ AIVERTVSQSNNSATAETAPYERSASQLPSDDLLVTSISASDYNLISEVIDASVQILK KSISLSQLGQLIHAPMRTYVRIVSASIFLLKAISLGARNTDIQTSLDVLDEFIQALQI STTDEVHLGSRYATLLERHVRRFRRNFVGLFKASKAGLHRPSTLNVPPYAQMTSSSLN HLSPRQNDHTANSDGPLNGEQGLGEMAGQARTLDFDGMQFTGDGGLNVAGYLGDDWLA QPFDPNIAPFGVGGSQSMGVIQDVTVTLKNVRGRIPSLQASRLRTMMLEAHNDPSKIL AHVCSYDGMSSRLVEESGQPMVFLAGYPVASGYGLPDTGYIAMQEMCDKIQDSVRQVS IPVMADGDTGYGSPMNVRRTVESYALAGAAGVMIEDQTWPKRCGHTKGKAVVSRGEAY ARIQAACDARDQGLDIFVLARTDALIHGWEEAMARVQEFRRIGVDAVFVEALPDREAM KQCVKESGIPTFANIIEGGKTENLSAKDLAELGFCAVAYPWTLVAANLKSIRETLEAC KKSMTIGAPPVILSFDEVCLGVGFNKYWKGEERYKYDENNLVTPVEKVVNGQS VE01_05904 MSNTVTDTSVNKDFDAEVSHAEMVSELKAEATHVLVDANRQIYF VKKYDRHMLWIVCVLYVLSYLDRGNIGNAKTAGAQADLGLSSAQWTWVLNGFYICYTV FEWATVLWKIFPAHIYVSVICLSWGIAAMSAGATHNMAGLVAARCVLGVLEAGFGAGA PYYLSLFYQRKELGLRVALLTGMSPLANCFAASLAYGITHIKGSLEPWQGAPTIAFAP VVFFLLPDSPSTAKFLTEDEQTGAVERMHTRDTTAKTKMNWRQVTAGLSDYQNYVHAL IHFCCNYSFAGLSNFLPTIVENLNYTSIQAQGLTAPPYLAAFLLCVLVAFYSDKYGNR GFVVAGFSFMGAVGYLLLATLEDAKYNGARYFGIWLACCGVFPALSINITWLLNNQGG ESKRGAGLAILAIFGQTSSFLSSAVFPKEDGPYFVKGCAIGCAFTGLICVLSLGLHFK LAHENKKRDTATGPIDPTEALDLTQLSDKHPNFRYFT VE01_05905 MTFLKASALLSVARLAACAAPNLPALGSDVTILSNNDLYSSHTT RTTGALLVNTAFCQKDAAARCTSFAETLWDPSAEDFNLGLDRSLAYQVYQGNYGKSQL FWVASDRKACQAIDAKGKTKLVSCSQKLPALCTQSAPIPNATFTDTSARFQVAQKVGK QTLTGYRDFYNWRFFGVRFAPQPERFSYSTVYDGEGSAESLESGTECVQAPGVGSEDC LFLNVWTPYLPSQKTAPATKKLKAVMFYMVGGGFVGGSASVDDLGNMASRGDVVVISI NYRMANLGFLALDDGVTNGNYGIQDAITALEWVKRNVKAFGGDPSRITVFGSSAGASL VRALLASPKAKGLFEAGIMQSNPAGALANGPYSELQSIQQVSSTVGAAVLNETSCSEA ADKLACLRAYDPIELNNLAAVANYPVVDGTYLTTPRLEVTGGGYAAHVPVMNGGNRDE LAVLGLYLPITDPTEAILGLGAALGFNTTAAAAPGIFPLPPGANTAGNIFNITSRILT DVALRCGEQAMVASAVKHGVFPKAYAFTFNRTYQPVGFGGDECRAPLTTEHPAGDANA EYYKCHAGELQFVFGNILHDGLVDRDGGDVPFAQLVVDYWTSFARTQDPNPDAGYLAA RG VE01_05906 MATENALPRDTPVLSTIEAAKRAAAFKAVEDHFDPAYRYIGIGS GSTVVYVVEAIAAQGRAITNNMIFVPTGDQSKQIIIEAGLPLGSIDSLPPVHENPPAI SGASSQLLAVGEQDLALKGKRQSLDVAFDGADEIDEELNCIKGGGACLFQEKLVATSA KKFVCVADYRKLQPRLLTAWKAIPIEIAPMSAPVIKRMLITLGSPDPRIRQGGSAKAG PVVTDNGMWIIDAPFPALKLTKDLAEGDNGDGTKGTWEVHALGRRLKRIVGVLDVGLF HGRNGIQVANSGEEGGGQKPVAAYFGMADGGVEVRVAQEIEGVKSKP VE01_05907 MQSEPTDISNTITHTIPERFTQSSQHGFSDPDIAALAASSYNEQ PQSVVGASAIVPLQSVDGAEGGVVGELPLNGVLEGDNLPHPSFNATAGGEIDLGPESP KNRRSGPGFKVTKGRTSSNDGVQLEQFPNEVLTHILSHLPATSLSTVSLVSRRFYNLV TTPHAWRIAFSRFFPGQDATDEGYAARNNRRSSDQETRDRQRAEQRFFARLTALASWR SEYILRTRLLRSLARGKPQQIAKGHGASSRTNSAANNANAAVTYNSQLFTTVNHIHAV FDNGRKSPRFIHGSDETGTACTSDPNIGKVDTWGLSDPQALPQFADLFPGDQPYGLGD GSVIGAPNVMDVSQPFGMVCGEGFPGGQAYFRSSEEMRGRFLARTADYEVHPPEVPKV PSRFESVSSVWIAKSIAVPSMTDGLVGIMTGSSCGIITTYSFGVDNLDGHRLAKGEIT ARWAISPGVPIIAISVDDSYNAKRKDSERIWAMALNALGEVFYLTQSIHRAPIEKNAK LDEESLATMAWETGRSVAWKLAEPTRRVAREDPYQDAEFDGSYSPRSSPHSSGLTKKQ LLAENRELETFFAFRPAIFRKVCNGWDMRRRLQVDFAGDDGRGAGECAVVIQCGAPES EPAHITRFTRMRAEQASLDRFPIPKTPPVQEKATTVASLFGGGKPASLKVKAAEQAIS MSPVKRNGASTFVEEWRTTALAWDGAQTIEFTANAVDMSTFAILWVPEDPILTMNGGN TASAIFATPEHSEGNSLHNIPGHRGRFLTVGTKTGAVYIWDMRGPQSRNASITNTLQP LRTIQTDSPQISCLALSALYLVHGGNDGLVQAWDPLASITEPLRTLNSRFSSRARRRL VQAEASVQGVGINLYAAGALALDPDPTALRGMVTLGTHLRYWAYSSNGADSLASKKRR LRRSSERGHNGATDRYTNTGRGALMDYIVNEQQELKREAIAQEKEQLHLQGRFGVGLA GLSEEEALRYAELMSQEAFMQEEERRLTSASGDRGQGTPEPSQSAVSSTFGSPAPSVA GYNASSPNMKSEMELEREVEEAIRLSLLESEASSPPGSGSRSYDVPFVVKTKKSRRSA STSPSTSQASKTRRRKQKEAEIAMEDLDYALQLSLAEEESRAIAAEVSEEFPALDGGG KGKGRAM VE01_05908 MAWLKAPLLGLTPLLALSGVVNAYPSTLLALRDREVNAEQLQAS YDYVIVGGGQSGLVIANRLSEDPSKSVLVVEYGYFDNNPAQLDPSSAVNYRPINMFNV SSVPQPGLGGRVSGAFAASVVGGGSTVNGMMFDRGAADDYDNWEKLGNPGWGFSGLLP YFKKSTNFTAPRADLAEEYGITWDAKKAYGTNGPIQATFPDWLWPTIKVQWKAWAELG VPIQKEAAAGDAYGAFWVPTNNDQTYRRSYARSGYYDPVASRKNLKIITGYRTNEVLF SAKKHAESITIQERGTPNGAPTISVKAKQEIVLCAGWLHTPQVLQRSGLGPKDLLDEA GIKLIVDLPGVGANLQDHAVTSIGYSYATDLSPNPSSLYTNATFAAWAAEQWLDRKGP LSVGVGNSLSVPSLPQMSPNYKSIIAKAQVQNAAKLLPSTYTSVNVKGFVAQRDLLLK TFSKRNNGVVEITFSGGGTSSLSNEKPLSRGTVRLNTTDHYAEPIVDFNTLINPTDTD IMVESLKFARKWFATEAMKQLSPSETSPGVNITSDADLAAYVVRSMGSTTAHSSGSAA MAPRHLAGVVSPELLVYGVTGLSIGDISLIPMIPSTHTCATMYAVAEKAADLIKKRSR K VE01_05909 MSFHPHAFFSSPSQSASSFTPLFRLLDDFDTYSRHTPRQCARAE KTFVPKFDVKELPAHFELHGELAGINQRDVTIEFTDPQTLSIRGRSERSYTAGTPPAA IEADTPTPAISEDGATTPKSDHQPTVEDEDTPTTTVAAPEAVQPEKPQKKEPEERFWV SERSIGSFARSFTFPGRIDQDGVTASMKDGILSVVVPKAKKHESRKIEIM VE01_05910 MFPAMMDPKSGNVFATWHLFTRSEKKNMMIYIAGIMVYKFGLEV FVGSFISLASNRYDYAARVGGYTPVTFGRIGLLQGLNQAFQCFGAILVAPLVKRWETR IVLSVAILVFALFTTVILIVDAATGGTFKPAGTPAGDFSYYGDFNTDGMIPVYCVTGV VYGMVELIRRVIPRDIVRSDVQKLRRLDATVHIFYEVTGTAGAFTTALVLIPHLGNNM AIIVSPFCFAGAACIWSCISTAEHPTTAPKLRTVNSPSYLSLLSQSTQLFFVSISTGA RILFTSRKFIWLLPSYSLALYAHRYLENNIAPLLAQRYLHNSAWAQLIVGGSNFGELL GALFVFLFTNLVTTPMPWLRLDACGLLIVWYLAFWHPTPGQVGQAWMVAATFIPISFG WAAGDISLSAYLQAMLHRQEHERKDVSALVTVMAFLYSTYIVTYSICSPLLGTYIDKV SAANGGDVHEAVKYVGGVQFTIIACTVFAATFIPRGSFAFNPDMLEGEDLEAYRARDM EERPPPVKQEKVVKTKEEKREQTESMKGLKVGDDDWFMDL VE01_05911 MTTTTTSPPPSTNTTIPRTLLALTTPFILPPSCTNIFTTTSTIT SYHWNNFTATTLIVVYSDPANARFTQCQPPGWDQIAPSSRFHFSPAVCPDQWTAYAVR DLDVDIDTSSLSSLVTTAYCCASGYTLGNPGVTLQSLDNAAACVSAIGVTSPSTTSTS STLSTGQTPHATPYPYGIQIHNAYQITWASSDKPTLSPTPPDFSSGCSATLSKWVPGE PVGAMECNNRSDGGGAGRAGWMVFIMVGVPVIVVVFVASWCVYCYRSSKKKWRAEDEA RRRRDGVGAGVGREAVVDELGERGGDIKT VE01_05912 MHMVASMSEQAQEQLALSFRHISEGTPHGFQASPDVNNIPNQPP AGCSVDMAAFTSRHGSRYPDPGSYNGWVALQTKIQSAPKFQAQGSLKFISTWKPVLRN PELELSLVSLGGYKELYDMGYTYRTRYPQLYSENQTFLAWANMYQANQPRVVDSARLF VRGYVGPDSTTRGSVYVLNNTDPRGVANSLAPSDLCPMYKDTSGGINATTWNDIYLPK VTARLNKLIGPHSALNFTTSEVSQFPVLCGFESQITGTRSPFCDVFTKDELRDFEYAQ DIRYYYGAGPGAVKNSTFMLPFLSAVVSRFVDGPDKEYTSSKSSAPYKLPPLIATFSN DGQINQLASNIGVFDDQAPLPPTHIPRDQKYIASNFVTMRGTITFERLTCGGKGDPYV RILLNDAVYPVVGCDSGPGRSCPLKQYEKIVAKKQKDSGSFVENCFGAKASGAEQSLA AEGLQAKTTFLTDINLPWEYVVQP VE01_05913 MLQLMRNLTDKRDWNIDVFNDEIVAKWREEAFKAQDGAEIALVS DSLRLALKNGIAQMIKKLHSDQDQTLLNPSLFPLVFGKTLALTEG VE01_05914 MADRLSTPEPCYGILPTGREVNTTVFCRDRNCSSANHRIPRPDY KTQLSSSSDITQSPYNSPSEAEFQKLKVDPEDPQSYGTNRRLSKSSLPAGSARWNPRH LITQARAGKLKRKQCILFILAPITIILVIIIAVVTFVTVRAKKHPNLTVDLGYTQYKG FRTPDGIDKWFGMRYAAPPLGDLRFRAPQDPLAASKQDAFQQGKLCHGTPSTYLNPTK SEDCLFINVYSPTNRTALHPVYFFIQGGGFNDLANPYLTGDELIKAAGNDIVVVTSNY RVGPWGFLASKEIKEDGDLNVGLLDQRKALAWVQKYIHLFGGDPNHVTIDGASAGAAS VDLHLTAYGGRDDKLFHAAVGQSNSFGAQLTVNESQYQYDGLVNRTECRKSTDTLKCL RGLAVEVIASNNMIMRTPGAKGTPLFMYSNVIEGPGGFTEDYTYNMYADGKFIKVPVI FGSVANEGTLFTPSKTDNVTDMTNFLKNNFGKLTTDQLDHIVSLYPHDETKYPGRGAW WRTAADAYGELRYNCPGQFMSRMFENHTDGTSNWHYSWDVSPPINTKSGMGAMHAATM YSIWDIATGIGAELNPTIQAYWTSFIRTKNPNHYRLPGTVEWGTFGTGMERLDFPNDP QNVSMEAVGASEKARCQYYSTIGNLIGN VE01_05915 MNFLGLPKGINFPLTWRILRMVSAHPYWDLLRLLWPFPWYLPHP LPLPRPQAFTHDHTLFDTRNPLIPQIRLVPLFRARDSPIASFYRIYEAMCARDGPAIG SETQYFWRRPEAHWALEGIPDPRDPDPVRYAVLASLMEAMVDAFNWRLELGLRRGGKR WVEREDDGTPAPFVPEVMPAWAGGVPALEDELIIAEGGTGPRFAARNIIAFEGDLRTV VE01_05916 MSFFREKPSPSGGGSKQPNAPPEKQQLNAQNFQEGLELLDAKLD ESELLRVVAPIRIICTGGFLAVSYLKSRVSTVDLDYCLDPELYDNEDVKEDIRIAAES VAIQLTYPDDWFNDEMTIFTKRSTRPKLFQESLDQGVVLWQGKRLIIYAIKFEWALET KLRRLSYASAPRNYSIDMSDAVAILNVLVERNGGQPLDREFIRGLNWNGFDVLPTEGT LDAIARTYQQTYNKDGLNRE VE01_05917 MGQSRQQTYEQTTSASSYPSVPSAPGSTSSSLVQSHNGSPATTE HVQALLNRVQTLEKKLLDASRNESNGESSNAPQTQPNPPTVSPKVCKRYKGKKKSNYE KMKFRGQNHWMKYIKQFDFMGRLKGATGEEEKAEMRAIVRKCKRLANAAQLRYLAPQS VPLDFRDHVPPRESSDILIQGYFRTFESVFRIIHIPSFMNEYADFWANPKAARDLYVI KLLLVMAIGTCFHQETPNSDPSLASLRAGATQWIHTVEAWINTPYQKPKATLANLEIR CLLLVAKQVNIIDGDSVWVSAGSLLRIAMQMGLHRDPSQLFQMTTLHAEHRRRLWATV VELLLQSSMDSGGPLLLSADDFDCEPPSNVNDSQIDEQSSAPVLPKPDTIFTESSIQI ALAKTFPVRIAIARLINGFRSSDSYSETLRLAGDLTAACQQHSTAIHFWQSGSSSNTM PAEPSPFQSKLLEIFTKRFLLSLHLPYAMKAKTDQTYFFSRQATLETSLSLLAPVIPD PQDHDDYTALQLRGGGLFRNIPIHATVAVAFELTTRLSAPPSPFPSATEDATRTEAHG AIQRFIDMCGARLETEERSVKAYVFGRCLLAQIICMEDGTSIDQEIPRTLKESTEFCV EALERRAGGSEGGSMVDMVDESPLGGFDWDASVSFTRSNALREWN VE01_05918 MGSQGEINGPSGSNSKLDDVPLSHSATTLAAVDSEAGVKEGSFN VTDNDKGATPAIAFPEGGARGWATAAGAAGVLFCTFGYINTFGFYQEYYQRHQLSGET PSAISWIGSVQGFFIFSGSLVGGPLFDRYGEKVLWPPAFVCVLSVFMTSISTKYWHFI LAQGVLGGISMGMTMAPAMAAVPQYFNKKRSAAMGATVAGSSVGGIILPIMLGKMLNG NGLSFGWAVRVVAFLMFAILSVSCLCIKARLPPRNGTFLLPSAFKDLQYVAVVAAGFL MIFGFFGPFFYLPVYAVQQGMSKLLASYVLSILNAASFFGRVVPGIMADRVGRYNTLF VAAIASGVLIFCWPLITTNAGIIVFAALFGFFSGTIISGIMVCLASCAGDPRAIGTYI GMGLTLGGVAVLIGPPINGALLTEYSGFHEISWLMGTVTMAGAMSVVLAKWLSGGGHF CKAVSEFLVGYM VE01_05919 MDENPSLVQEARNRRASSIPVVLIHDGGGTAFGYYILGELHPAR ELWAIRNPDYNRSKPYSMTMEEMARNYIRMIESSGIRGPIYLGGWSLGGLLSVVMANE ISKSTTPVSFSLAGILLIESPFYSPKSECPPVRTVDFSHLPEHIRNCLSSCMVMLEEW SMPTTGPEGWLPPAVLIRSTQRMVAGPGESNELPARVDLRRDDKILGWGLIGQEFIIG TLDVESNHYNIFDMNKIEEVTWAINRALQMLDASRGIGILT VE01_05920 MSLVGRVVPEGYIEGFVCTLATCDVHQWGFVKYIPSLGGNLFFL ILICAFIFAQIWLGIKYRTSSVCMCMLAGLVLESAGYIGRILLHSNPFYRPYFLLYLV CLTLGPVFFAAAIYLCLGRIVVIVGEEISWLKPRTYTFVFVACDVLSLLIQAVGGVIA SIFPLTNPTMIDLGTHIMVAGLAIQVASLTAFTIIGVEFALRVYKNRNHLNPTHADVY NSQRFKLFLGGLTLATVCVFTRSVFRAAELSGGFAGHLANNEVSFMILDGAMIMIACG AFTLLHPGYCFTKAGWAAATYPFFNKNEEKIARNKARDERREVKQQAIIDAKEKRFNR GPKVVPLARAESGENTGHGSDGSLGASEKIPETVGDIQKAPHAQ VE01_05921 MADPDAPVSSTTTPLIGSKTHAGSLPILQRLRYLVTLHLVKLLF DLVLGLLSIPGICDRSIQPTFKKRYSCHRSLTNRIYIPRSYKPGGSSLPVYIHMHGGG FSWTNPVADDGFCSNFSNNNNILVVSLDYPKSPSYRFPTAVQALTDLVNAVLDDDSLP IDKSKVAIGGFSAGGNLACAVSQNKTLQGRIGGLVAFYPPANFLPTTTDELRENPNGA GTTLPQDQLWMFGWGYVKAGQDLRDPMLSPVFAPRESLPPKICIFGCELDLLCRQAEI MAEKMANCGPGQRVGSDLAWERNGVKWEKIPGEDHAFDQWVAFGAAKVRMRKRGREMY ENAAGWLQREVYAPEISQSE VE01_05922 MSLRTTISIVSVLLAMQSGVMAALNPNCAPGGNFDMKPWKLQLP IGNPGQPQTISSSQLQGCGGYQDPGHKYFFTESGDGALVMKVPGSPDSAGCVTTPNSK HCRTELREANPSDGSAASWSPNAAKNRLYASLSVAYADESSHGSVIGQIHIDDSISTK PVCELFYNSKGDISMGVEQTRAGNNLLFTPIGNIPVGQQFSYEIRYESNVLSVGINGG AQKVLDTYQLNAPNSYFKVGNYNQGNNPSDMHFLAIVVTH VE01_05923 MEVDYNHDCHQSGSVASTRQDIDMGISSRGSSRLPSSPRLPAGP ALLPYLDSYLSNVHPICLNNVLHPGVLCEAFEKSPRLLLLAICGVSAKFLEDPESKAK GRSWVAEAKSMVMESLDQISTLPILALQTLAMHDIHEADITSAWNLTGSIPPLVILGA LPLKFADHIDVGISIRMALQLKLNHPTAQQPDSPMSDSGTFLKDECSRRLMWSVFGAD ILLASDEMHVSDEAVCNLGLPCNLWNFTQGTPCTTLLLHDESNDAAAYHATNPNGYFI RILALKRRITNFIRDQREYNMEPPWMETSQFYRVVKELEDWRKKLPPNMTFEERHMYT FRTSRHLDMFLMVHVWYHQCGCDLFGSWIQDRGATSDDDPNAPALAEFFQKCRDRCLY HAQQISRLLEKVLRVEPDHLFRDPWLSFCILDSVTIQLANETIQQQPTSAESCREMSQ LLKINIKALANTKETIILADKVHQECCDLIQQAGLWELVCGNDDGNQRTDGSVARETP TNHTIDLLCRYPFLISPPPSETESWNNLFNATSVSTPATRHPSPESGQPPPSVTRRLS NLAASSSQERQNQNGTGDMGYMGDTSMQLFPPWQPGDFQWWQSAGNNMQSTTVGMNQP PVSNTSGSTDNDQGLLEFFANSIAPASTWQVEQGFDSRFLRPNIATETPL VE01_05924 MGTALGNFRATYVAVLCCVGSFLFAYDTGIVGGVLTLAAFQKDF NYTKAQSKSINANAVSILQAGAFFGCFVIWPITARLGRRWSMVISSAVFIVGGILQVV NTGSIACFYAGRVISGFGVGAATVLVPMYSAEMAPKNIRGQLGSGFQLFFAIGVCVSY WVNYAVQKHVEPSTKQWQIPIGLQLLPGGILGLGMILVKESPRWLVKRGRNEQALENL IWVRGGDSEEVQDEFAEIVAGIEEESRISEGVTYKELLLPSNRYRLFIAITMQLSAQL TGNTSLAYYAPQIFTSVGAGNSTALITGFFGVVKVVAVSTFCLFVVGRIGRKTAFMGG AAAMGTFMLIIAIIVAKFPPGKPGDSISHSAIAAVLMVYCESASYNLSWGPVAWIYLG EIFPTRIREIGIAVGAASQWLFNFALSQITPYAVSNIGWRTFLMFAIFNYAIIVYSFF VLRETAGKSLEEMEEVFFRGDKTLKGDNVDIDTQSSNEPGRDITKN VE01_05925 MSRIPTKKPNFLIILADDLGFSDPGCFGGEIRTPNIDRLAKDGV RFTNFHAAAACSPTRAMIMTGTDHHIAGLGNLIEWSKASAQAAGKGKVVSTAPQRGMP GYEGYLNEKVVALPEILKEGGYETFMAGKWHLGSTKERSPTTRGFEKSVGMLAGCCNH YAYQPPGSENDMPGFMQTSHIAVHLEDNEYLKELPSDWYSSDYYADRMVTYLKDRDTE RPFFGYLPFTAPHWPLQAPPELVANYRDVYKDGPEALRQSRLAKMIELGLMDPKTVPH PVIADENEEWEEMSDESKAKSSRAMEAFSGMVESMDINIGKVLDELEKQGELDNTFVM FLSDNGAEGAAYEAYPMIRGPLLEHLDKYYDNSLENIGNANSFVWYGPRWAQASTAPS RLYKAYPTEGGVRVPCVARYPGFKNGETVDAFATVMDIAPTILEMAGLSHPAPEWLGR EVVPMRGASMSAWGTGTAERIHEEEFVQGWELLGRGAIRRGDWKAVFIPKPKGTEKWQ LYNLKTDPGEVNDLADENPKLLQELLVLWDNYVLENGVIPLQPELGEYIEALDEQMTE KGWMEYEFWQPGAIQNPDKFITNPPRFPRVDRKATVAATFASQLAT VE01_05926 MQTIRALLLLLAASVVTAAQNPHEKAAKYVQKRSAEPMVSKHAS RAANSAHFLNKKTAKFAVNGSALPEVDFNIGESYAGTLPISSKKDDENRLWFWFFPSS NPAAKKEITIWLNGGPGCSSLDGLFQENGPFLWQPGTYAPFANPYSWVNLTNMIYIDQ PVSTGFSPGSILVDDENDVAEQFMGFWKNFIDTFSMQGYKIYITGESYAGQYIPYIAS GMLDTKDEKYFNVKGVQINDPSINTDDVLLHAPIVPALNYFNNVINLNESFIANITAR ADSCGYTDFFNKWTTEFPPSSKIPAAPSWKEPGCDIFDEVYNAAYYENPCFNIYHLTD YCPYQWNLLGFPSMAGGPNNYFNRTDVQKAINAPPTNYMVCGGGDNLFPHGDKSIESS LGPLPSVIERTNNTIIGHGMLDFLLFANGSLISIQNMTWNGAQGFQTSPFKEQNFYVP YHQTNGEILQYANGIETHVFTDTAGGGFQGVTHTERGLTFVTVNLAGHMIPQYVPGAA YRQLEFLLGRVSSLEQRGDFTTGPQGHYTGNT VE01_05927 MSKISEEWVSSAPGASGRKLIQVPLPVLEALANGDLESANSLSP HHLTPYLISSECRGVWGMRRDQVTADPGDGVWVTRLLVDMATGAVVGRAGFHGPPDQV GMVEIGYAIDHLCRRQGHARAALEILLDIAAGDSRVNVVRASVRPDNLPSRALIDQYG FTVVGEQWDDEDGLEVVLELLL VE01_05928 MRLFSIVASTSLVLIQTTANPTAAKSANHGNILSYSTPESVGMD PLPLQQMIANLTAYTTPADYGRFSFDAINPIEPGGTVLVGHKNTIVSAFAFGKKNLYA DANGTLLPPHLQEAADLDTIYDLASLTKMYITVATLREIDSGTLQLNETVTRYVPEFA VNGKSNITILMLLTHTSGFASDPVPSLFSEIYPTYEEKIKAIVTQGLTNPPGSKFRYS DLNFMSLMVILERITNRPLDQLVAEFTSLLGMQNTFFNRGNVEGPKNPFYWQSAPQEF QIEVLGDGEPQRPQPVRGSVHDENAWPLNGQAIDRTSDPR VE01_05929 MPQPETIVVVGAGVIGLSTALCIQQHLTPLQSVVIVARDFPNTT SINYATPWAGAHYRPVPGQTPQIVQEAAWAKRTYDVFQHIAAEEPAASGVQFLPGEEY LQKPPPEYLDAVADVSKSAYGHLQGGFERLSLDEIQNSAVRLGIRYWTYCVNSPVYAA YLLRKFVLKGGRTRGYTLAALDEAFLLEPNVTTVVNCSGMGFGDPKSFIIRGQTCLVR NSCSKTITHQNSDGSWSFAIPRPLEGGTIIGGTKQPHDWNPNPMPEIRQTLLSNAAKW FPFASSTPQTFDVVRDIVGRRPAREGGFRLEAETIGVVGADGCNRTRNVIHAYGIGGR GVELSWGIAEDVAGLLLGKGIITEKALL VE01_05930 MATKDIDQFVDEKGTSSSGDVQVFDVTIDEHGDIPTEEEKATLR RVPGSLPVAAYFLCAIEFAERASYYGISSLISNFINRPMPVSGNGYGAPPRGTQQTAG ALGLGTVKANAVNQSFSMLAYGLPLIFGYISDVYTGRFKLICWGILVFGIAHVLMVGS TAPSLLASGGAKIPFFISVYILSIGTAMFKPNIAPLLLDQNPHTVPKIKVLKSGERVI IDPEATTERTMTWFYLMINIGGMMAVATAYSEKYFWIRKRLILFPPGGSDLPNVFRVL GICLRHGGIMKIGRRGFWESAKPSVIATRGLNIPTRWNDEFVDDVRRTFQAAGIFSFF PIQYLNDNGIGQAASFLSTMLTTNGVPNDVISNFNSLSIILFAPIINYGLYPLLRRQN IHYGPVARITTGLAMSTIGGMGYTLLNYYAYKLGPCKEFGTSNTCVDANGVSLVAPIT IWWIALPYAIGGISELFINIPAYGIAYSRAPKNMRGLVMALNLFNTSIAYIIGLACSS VIKDPYLTWDFGGPCIAGGILTVVFYFTFRHIDKEEYTLSKSGDYHLTTEVSGNIVTD TVLEKSKGSLLAVTEDEKLGISPKI VE01_05931 MINIIFALALVNHVVTALPSIVLERATAAKQVGYISVSVATLWT TSSKPRPLVDAPALTNPADIDGWLSSMNVSQYLDLTDKSRTQTQALYGAQVGIISEQD GWYEIEAIGQPTPKDPIGYPGWVPTAQVSLDSSYGKLQSKNPFAAINKVATAPLYRDA LMKEKYLEISYDTRLPVIAHIGRLIQVAVPGGGSAYLSANHATVYGSVSNIPYPTGND LVKAGKLFLGRPYLWGGASGFAFDCSGLTHTLYDAHGITIARDAGSQADFTGHGTNVA RSDLQAGDLMYYASNITSSSSIYHVTMYIGNNEMIEAHGAGIPVKISPVRFGDDYWGA QRFLTH VE01_05932 MASPRCIPGYAHEDAFDDGFLDVSSIHTLYYSQYGKKDGKPVLY LHGGPGGQTGKANTAYFDPAVYRVVLFDQRGAGKSTPNAELRENTTEFILSDIEVLRK HVGIPKWHSVFGGSWGSTLSLLYAQEHPELVSSLIVQGIFTVRKEELDWSRRAGAARI FPDLFDKFIDHLPEEDRDTPYTGYYKLLTASDRETQIAAARSWNTWDMSIGTIEVNQA SLENINDDNWSLSHALLECHYFINGAWLEDGHILKKSNLDKIRHIPMTIIQGRYDIIC PPQTAYDLHQGLPESRLCWVPDAGHSASEPGIHRKLIEICDEYAQI VE01_05933 MKKRLRASCDPCRVQKLACDHVQPVCSRCERKGNAAACFYRENP FKRSRPSVASQSPRSQVLSPPTVPLSSPAQARLYPNPGFLGSSSFEAVVHELNDENQQ CITAPLGSENTSLAREVARSTAQFTQDLQRIQNGADVLTLALNLRKLNATKILFSEWG GHSSDQFLGSTCATLFQESFSADMAEIEQSTDQVNGVLSMSRRLFDNSTKPFDISDSM SVKEFYSLYTASNLRWETIGIIITFIGMIAIENRHWQSIDSTEVERQRLISKLVEASD TCLLFCETFDNLNDMHITLLHLNFLLHSMFDGDQSFKTWRRLHDAISALFALGLHEEL VEGPKTPFFVVQLRKRIFARIYGADISFATFLGRPPRISKRFCCIHVPLDLEEEVYEY GREEIITEMQQIDSNGWNTKGEIRKHAGRRWSMILATITEDILEVLLGRHPTNVPDTI SRIRVECEAAWDSLPAMLKSPRESLWHSGYSPKQLDTLILVKLFYLHTLFLAERALSR HTREISLGSLSTAYEMLCWVNDAMIRRDRLSTFAHTSLAWRVASFALPAAGVLALNLL RHASNSFLQRHEAVSRMQIIEGLSVLTAHLDVLFEPGDGNYQLFCEAKRVLQSILEIA ITPAAQRQVDGPFSDSAVGFSEADWESFDQWGFDSDFWSNLGDHPLLASEMYDG VE01_05934 MILLPESDVLDEKCRVVVGGEEKGGSEMAIQRLPILRLAVGHPP IQDIPASSPTNSPTSTLSALSISKWSIRGPDATCAALTTDESTITADIEPPPDGGYGW VCVLSCFLINAFSWGVVSSYGVYLAYYLTHPLFPIATPTTYALLGGLNFGTATLCAPL LTILTRRYGPRRLILLGAFLQTLSLILASFATETWHLHLTQGVLLGLAVGCAYIPSVA ILPQWFEKRRSIAAGIAAAGSGVGGVIFSFATQPLIDHFSLAWSLRAIAVISGSMLLV AALLLRTRNAAIQPRQHGFAIRLLARYEVVLLLGWSFASLVGYIVLLFSLSDYAQSIG IPPSRAASITGLVNVGTAVGRPFVGALSDRWGRIEVAGVVTAVCGVSVFAVWVPAERG GEAVVMGFAVLAGATLGTFWVAIGPLCVEVVGLVELPALLSLAWVVVVGPCTVSEVIA LKLRTPGTSHPYIGPQVLAGTAYLVAAGIMLELWRVHRGKSGRAAVGVDVEEGGEAGG VRVRGEELMEEVVVVSGDHKNEVNRQQVQEEKS VE01_05935 MGLLPLTPSTLRTIDVVLASDPPTPSPAPKPITSYTTYDDIRGH ILLTSSIPQPFSAVEISLLGTIRTTHPDHIAPIIAAPGTQHVFLKLVMPLPPSAYGAN TSPGGEGGEDIHHLTPGQPLKIPFHFVVPARLLPVACKHDLESPAIQDLHLQLPPSMG NFLLPRDDLAPEMASVTYGIHAKLMSVPPSATSYPQTLASTLRKIHVVPASPEAPPLS AGKDSRYILSKTKSLRRGVFKGKLGTLTLSTTQPRAFCLPPPPTDHHGPTPSTLATLT LRFDPADASCLPPRLGALTAKIRATTVHSIRPARGIPDEETRSSPYEIHSGAYSTHVS LASHEVTGVAAPWTYVIPAPAYERRDSGYSTAESDGDINLANSGGKEGTGPYYTATIP LPLSLPANKTWIPTFHSCLVSRFYTLMIELMVHPPGKSAVPSCLALKVPVQVMGEGRE GREEAFEAGGRAVVEGAALGWEGEGGGDLEGMEGVEGLQGVAVTEAGDELPGYVTPAR RLRGR VE01_05936 MAEQPTSAASPKSLPPNTPTLRWGMYAVVLERAVREFNLTTRGE HFLQYMSKALFGMETNYDEYTVQANAKAYKSRALHPGRDRLRQAKHVVKAIVEKSFVA TAGAVSVRAVTAAMVAMRK VE01_05937 MKLLKCFFAPKTESSKQALEPSAPDPGSSKEALGISVVTTVANA QVDIIFIHGLTGHRERTWTHEDENEPWFKSLLPKDLPTARIIAYGYDADVVNFTKVAS QNTIRDHAVNLMNDLAGHRRWAPGRPIIFVAHSLGGLVCQKALILCTNPTEDAQKDIL SSTRGIAFLGTPHAGSDLARFATAVANFVRFSLGKKSNTKLLEVLTKNSEVLADISNG FFTMVRRRESTQMPIALHAFVEELDVDFLGRRVVEPDSARIVGYNSDTIHANHMEMTK FRSATDPGYVKVLSRLKLWIDDDDNTIVLRYANYFHLMFDTLLPGVKLAKSEPPASTM KELCKSSSIRSSVKWLIDSSEYRVWKSASSARLWLHGEHGDGKTVIMSYIFRSLSRYA LYPDGIASVFCSCNDSEEGVVASMALQLLRNKNRAKDWRLTVPLTKFQPEDGGVVLII DGIDKIDFLVRSSFLDIFNKLEKNPRAGIRVLISSEKRDDIQSALRHYPSIDREKERR ECLKTLEFQEWNAREIGVQKVERAGKKVFHHKKYRKWIRSKRSSVLWLEGKPGSGKST LSKFMSDDDKRVDILRFLLDLASPNLNSTCVVKIFIASRPENDINSGLRPVRHHIKLQ EVNEEDIRMVVRGWIERMVSEAKCEEEVLSKVKDYIMEYSDGVFMWVTLVLRDLEQCI DKGGYTKLTLHTRLRSLPKELGGKDGFYRAMVDSLLDEYKEDQEHQELGQRILAWVTF AERPLSVTELRDALAIPSGLDRTDLSTYILEDNRPHKLERAILSFCGGLVEVRDSHDG RTVQLIHQTAREFLLHKDKLAGPYRLEHVQGVMEIAMACCRYLSIVFRPDLPLGEANA VLPEAEIIECHLSGKSLLIYALVNFQTHLDDLGSNDEKVRNEFMDFVKLLIMRSSSFA SLLLGQWIQALKWPSRLHVDETSARLYLHSLLLCAAETGNREVAAVLLSLRSDLLHIV ASIAAANEDAYEDSGGLELLLNMGADFNAKDTVGRTPVSYAAGNGLNRNTSLLLKNKA EPNLKDRAGRTPLSYASENGHEASVRLLLEREDVELNSEDTTGRTPLSYATANGHTAV AELLQSHVTKYQGTI VE01_05938 MAPGHPKPPSRAPLVIAAFGGAAAIFVGLKWRAVFARSEAAKER SGAGGGNVYTVHTGRSGGGI VE01_05939 MTVKEHDYLPDATNSCRLDVIIVGGGMGGLSASIQCALSGHHVT VIEAANELAETGTGIQVTPNSSTLLKRWGLSESFWSSAARPSSMNFRRYSDGTILFRE QNYAESLKKKYGSETLNISRVDVQKGLYDRAKELGVEFHFGTKVIEIDMDDGRIKSAA GQEFKVLLGKQDYPEATGDLVYRIILNAEDVPAGELRDKITNPELNIWFGPDCHAVSY SIRSGKALGLGLVRPDDLPAGVSKKEGSAEEMRELFRNWDPILARFLDHVKVVETWKL MHRQEVETWINDKGNFVLIGDASHPMIPYLAQGANSAMEDGATIGLILGKITSKSQLP QALQMFQTMRKARGEAVARESLKMRTFYHMRDGPEQQERDSLLDKYTDSKRIIEEKFP LSWVCPIFQPWLFGYDAHIELEKAIESSPFV VE01_05940 MSIPIESVSNKVISPISLAHIVFRSANLQRQIDFWTLFLGATVV FQNDTLAFFQYDDEHHRIAFIADASAQPEQGGSKGARMYHVTFTFASLSDLVKAYKQR KALGVFPTWCVNHGMTTSMYYVDPDGNQIETQVDNFENPEEATEFMQGELFRENPLGT GFDPEDLDEKIRTGVEDSVLEKRVEIGPRLSPP VE01_05941 MEDQNIKSLFAQAERKRQEIEQTYDRNSTGYHDSLATAVGSYEE CRVLADRQSLFSPNEILEDITTGDLPYLLIDYHLGDLYTRTSNPDRQTALTRARDAYE RFLNRLDSYAVLTGSSKKLNDEYNENPTTFSTISTTDFTARRAAKIENFKLEKDLKQK IDFLSRNPAYLSQDDDAIRDLHLANISLSTHNTFQNLESLNLEMSVLASKPPTPPPGP ESLARDYRERTGARSGPDYSDRIDRPISELLAANNRAGPLLDKSGKPLKVFTLTGGRK QLQDGVFRSGHNLPTMSIDEYLEEERKRGGIIEGGGEASGIIAEPDEDDYEKGEAEIL KARAWDEYVEENPKGAGNTLNRG VE01_05942 MCHTVGTAAPLHGQSGVDGAPTEGNMDLDIEISQVTTLKSPTTP KPPTTPAHQADSPPSIVSLPSAFNMQVPEVMVPALATRSTDAVIEDLRRRGLHPGAPT VDKEPLTVYVEEVSRTQKEDIAIEDEDAGDESESDDESPAHPSENQELSYEKSVRESY IRSRVFQAAKDSTAPETKGDDKQSVKWLIKDSGSQEIISSPREYQIELFEKAKTQNTI AVLDTGSGKTLIAALLLRHVIDEELERRASGGDKRIAFFLVDSVALVFQQYAVLKCNL NHKMEQFCGDMGCDLWSKELWEKHFNENMVIVCTAEVLFQCLHHSFITIKQINLLIFD EAHHAKRNHAYARIIKDFYASEPDQSLLPRIFGMTASPVDARTNIKKGAAELEALLHS QIATASDASLLQYTNKKTREEVAYYDPLPPPTESQLFKRAHQLVGGIKECSKPLEYAV TASSDLGSWVADRVLLISFTGEDVKRLGAQIESNFHKQAQIDPIPLSNLEDRKEKLRS AQQIIMEHVFASPQLSRSQLSSKVLGLASKLRERFERTTFDKCIVFVKQRYTAKLLAD LFASPQIGTPHLRVGSLTGTRNRSGADLESSFRNQVITLRRFRQGEINCLFATSVAEE GLDIPDCNLVIRFDLYSTVIQYIQSRGRARQDNSVYFHFIERGNKVQDALAREVRRNE NILKEFCQALPEDRLLSGNNYNMDYFLAKERNIMRTYTEPSTGAKLTYRMSLSVLANF VASLPHSSESTPHPEYMMTAMGAKYVCEVILPENSPVHGAIGRPANTKQVAKCSAAFE ACLSLRKGKHLDEYLLSTFKDRGPAMRNAQLAITSKANTDYDMRTKPSMWAAGETPSE LFLTILSLKDPVQLDRPSQPLGLLTRGPLPPLPLFQLYFGGGKHTAAVSTAVGSLAVS SETLELINTFTLRIFKDIFSKEYESDPAQMPYFIVPISDTDTTCRARLPVDLISWDIL RIVSDNQWLTWDKSTPESFFEDKYIIDPFDGSRKIWARKVTHAYKPLDPVPPNTAARS ARKNNLNILEYSCSLFKVARSKRTFSETQPVIEGDVIPFRRNFLDDHDDPANSGPTKC YVVPEPLTISALPTLIVAMAYTFPAIIHRIESYLIAVEACSMLHLPISADLALECMTK DSRNTDEHGDEQVEFQRGMGNNYERLEFLGDCFLKMATSISLYTLHPDSNEFDFHVKR MLMICNKNLMDVALQLKLYEFIRSQAFNRRAWYPEGLVLKKGKAAQAPKKHKLGDKTI ADVSEALIGAALLTPSNGHAMDHAVRAVTELVCSTDHAIEKWEDYFKGYTKPKYQITA STASQRNLAEQIEITHDYHFHYPRLLRSAFIHPSYPFVYERIPNYQRLEFLGDSLLDM ACVNFLFHRFPTKDPQWLTEHKMAMVSNQFLGAVCVALGFHTQLLQFNPIIQSSITEY VCEITEAREQAEQDAIRDGKLPSDCARDYWLHAKLPPKCLPDIIEAYIGAIFVDSSFN YEEVERFFDLHIKWYFEDITLYDTFANKHPVTFLHKFLQQNMGCAKYRITASSMPDDS SGTPPKILATVMIHSQILVGVERDSARYAKIAVAQKALEILKAGTLTQFRQTYRCDCK EKGLDVEDCNMEDAAQESMEDHVGIKTLDVESALGADIGVEGSRNGSISSA VE01_05943 MAARTYVPVAARLRGSTEESLVALELQDGVVYQGYSFGAPKSIA GELVFQTGMVGYPESVTDPSYRGQILVITFPLVGNYGVPSRETMDELLKDVPVHFESS EIHIAGLVVASYAGEDYSHYLAASSLGTWLKEQGVPAMYGVDTRALTKRIRDEGSMLG RMLLQKQGLTNGNATRLSEDWRSGFEAVEWSNPNATNLVADVSIKAPKLYSPKPSDAL LHPSGRPIRVLCLDVGLKYNQLRCLVRRGVEVLVCPWDYDFPTLAGKDYDGLFISNGP GDPAMMTKTVNHIKAAVEENRTPIFGICLGHQLLARASGASTLKMKFGNRGHNIPCTN MLTGKCHITSQNHGYAVDTASLPAEWEELFVNANDGSNEGIRHVSKPHFSVQFHPEST PGPRDTEFLFDVFINTIVKTLGDATQLNLPVQFPGGEAAETARLNPRVDVKKVLVLGS GGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVTADF VRKVILYERPDSIYVTFGGQTALQVGIQLKDEFEGLGVKVLGTPIDTIITTEDRELFA RSMESIGEKCAKSASASTVEEAMHVVKDIGFPVIVRAAYALGGLGSGFADNDAELLEL CQKAFAASPQVLIERSMKGWKEIEYEVVRDARDNCITVCNMENFDPLGIHTGDSIVVA PSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPYSQEYCIIEVNARLSRSSAL ASKATGYPLAFIAAKLGLGIPLNEIKNSVTKETSACFEPSLDYVVVKMPRWDLKKFTR VSTELGSSMKSVGEVMSIGRTFEEAIQKAIRSIDFHNLGFSETPALMSIDDELQTPSD QRLFAIANAMHEGYSVDKIHSMTKIDKWFLGKLKGLNDFAKYMNTFDTSSISIDLLRQ AKQLGFCDRQLAKFWSSTELAVRRLRTEAGVTPFVKQIDTVAAEFPAFTNYLYMTYNG SEHDLEFNDNGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRESGHKTVMVNFNPETVST DYDEADRLYFETINLETIIDIYELESSIGILMAMGGQTPQNIALPLHRLNIKVLGTSP EMIDNAENRYKFSRMLDRIEVDQPTWKELTSFEEAKEFCNKVSYPVLVRPSYVLSGAA MNTVYSEPDLEAYLKQASEVSREHPVVITKYIENAKEIEMDAVAKDGVMIGHFISEHV ENAGVHSGDATLILPPQDLEDTTIKRIEEATRKIGMALNITGPYNIQFIAKDNDIKVI ECNVRASRSFPFVSKVMGVDLIELATKAIMDLPFEAYAPTTLVPGTVGVKVPQFSFSR LSGADPVLGVEMASTGEVASFGRDKYEAYLKALVSTGFKLPKRNILLSIGSYKDKNEM LPSVKKLQALGYKLFATAGTADFFMEHGIRTQYLEILAEEDEQKSEYSLTQHLANNMI DLYINLPSSNRYRRPANYMSKGYKTRRMAVDYQTPLVTNVKNAKILIEAIARHYDMEI TTRDYQTSHRTVVLPGLVNIQAFLPGIATSGSNDLQTVTEASIAAGFTMIRVMPVGLD SCITDARTLDIAQANSRQGASCDYNFSVAATSSNSEQISLIAGEVGSLFIPFNHLNDN ISKVAAVTAHFDSWPTYKPIITDAKVTDLASILLLASLHSRNVHVTNVTTKEDIRLIA MSKDKGLTVTCDVSIYSLFVSQKEYPECSFLPTPADQTALWEHLSTIDIFSIGSVPYQ LANAIGEKATPAIGISDALPLLFSAVADGRLTVDDIKTRLHDNPVRIFELHEQVGASV EVEIDRPYAKAAGPVWSPFVGKILRGSVQRVIFNDKTACLDGEPCAGGPLGKDMSSHV LVQVPQSPAVKGIQSPLLSPMVEATDRSKARRTSSLLGQQPGPRAFSRQRALEGSAIA NLPSRIAPTEEYGVPLYPQPSISPSLQSLLARSPFKNQHVISVKQFTRSDLHVLFTVA QEMRLGVQREGVLDILKGRVLCTMFYEPSTRTSTSFDAAMQRLGGRTIAISTEHSSTV KGESLQDSLRTLACYGDAIVLRHPEENSVDIATKYCPVPVINGGNGSKEHPTQAFLDL FTIREELGTVTGLTITFTGDLLHGRTVHSLCKLLQYYDVQVQLVSPPQLALPADIRDM LKASGQLVLEATELTPDIVAKSDVLYCTRVQKERFADLAEYERLKDTFIIDNKTLKAA KPSMVVMHPLPRNAEVAGEVDFDQRAAYFRQMRYGLYCRMALLALVMAP VE01_05944 MEVTRKPDGPLESKLSHEPLPAVRMTWHPKTIDLLSLEKVKQHR SGVHEVLYSGLPAICKIACFGWQIPRIEHETYTYSAVEEYRQPPDPVIAPAFLGHVTE NGRVIGMLLEKLKGDSASTDDLPACTKALGNFHLMNTVHGDVNRYNFIIDRSKEPVHV RLVDYEHAEPYEESKAPEELQSLLLELAETTGRGGSVVIVGSS VE01_05945 MQLDTQSSTHHISHGDPTGKTKETITSFLGRDGQADTTVHEIIN PAVIREHVQRTNHEERQKIIDREVHQDHHHISIQPVRDQEILPETHETNIAPVETHEI KHGNEKHVLERLAAERAQFRNTRDVAETRTTTSTGPTVAGEHIHHHVHETIQPVVERD ILEPHVIHNTFPIHEIHINEAKHHSASSLPEVTLAEFQRQGGTLNGREERVDSFSGAP RSIDDAVTDSKHNNVLGGPGAAHTTSVTGGGIGGTSAGGRTGYNEEYDYGTSGRYEST GAGKTAQRSQYDTTDSTQRGYDTTTTSSTTNRNAAAGAGAVGLGGVGAAAGVGAGSGG GGGLGGSAAQTAANKIPGMNKLTGSRSGESSGARGEESGAHQQGKKPSLIDKLNPMKD SNKDGKAGFMS VE01_05946 MSSEIPVRSYLSGTTDRYSESPSYTGTFTSDQSPYSKRSDGVAS AAAMAAVSRQPSERSNAPTPITVNKYQYRPRKTPLTPPISPTQASQQYVKNPEKQEWP VERYFTSPREPPSPPRHELNGQESESRYHVDSNNIVFPKTLRHQQDTEAPLTESPTKF GFLRRSLTGKRQTSPSPPRHEFNVSEPASTYHTASNNIAFPKTLRRQQEQDVPRAEAP SAQQAESQPSKQGFFRRSLTTKRQQPRAVSRDELHGEEEEPRYHRESNNIFFPKTLRQ PLQEPEKTTEAPQAGGMPPNPPSNMQRVGGMQQQPPRSPETYRTSPSLGRQVGSPAMG RHTGLANKTLRRSRPQQQPQPRPQSSYMPLSGRSLRPEESRGLGPRSMQTAPREIPRP TNMAEITPSAYEQFQGEEGRPRRTVTTDVRTTQLPAVTHEHIKPTAHHINTKEYTREI HNHDVYNRILPVRDTEVRPTRHFAPSPTESGRLVEIPAPDEKRGGAQATVAPISMEMA SNTTCTKDVSARLHDPSDNTIPFPSVTHQSQEEALALSRQHNLEMEQQGRQLVSEKSY TLPDGTMRTESVWRYQPTMKAPVQEITETISRPPGAARPMSPAIADRGGQRAAPSRAP GPSDYTIGKGRQEQFHPSVVSEWDQVSKPSPSPSVKAQAARGYARGIPDETGVQDYRR GKEQYTETEPISQRPARPSPSRASPKPGNQRSLLSAITSTVMPQKTVHRGTPQPPGQF EDLDSGSDYSSVQSEQPTPTAAPEKKRRPSGELLPGGIWRRHSLVIPAGIVRNGNSLM GAGMLMADREREEELAMHRLKKASKAEADRVSALEGGPPSLQNKHEMEKLTRRKTEER QREASLNEDIQKLLVAEATLEQERVGASKLDEGISKLERQADEIIKKEDELEAEIDES RRRRISLLKEAESEHQKAQALQSSKQRGVREPSRVLQAHRRRRSSLLHHADDEQQRTI SLQEKKEDTLAKMDQRNAKARQDLDTTLDEAVLADEGRQEEVQVNKFLSDEVQKQKQK ENLARQGKAPAAKGFTMAPPSSDLQGTTHYDSKYHATEPEAEDSGWTEVVKPRHGHAK EAARGNGTQKLPAQRQNGSTNHNGQAKRGISTVQQKLPSPPNTQLPQPPGSVGTGYGA PGGSSSSQPRSWANIAASRPL VE01_05947 MSSGSLKDVVKSIHNQVSSGILPLSNDLIDHIQAYLDKHLPIED GDSQKLQEELLSIWQKQAQDKPERYSIFIAILRELIPAIRSATQWLQWWEMFVVPVLG NIGDGKGLARETRQLLLDILLFEADDGDSARIEAVRETCTMLSDKVLGVWLNQSRVAT TEADAMAHYLDQQIKSLLLAFGQKRPQILLTAIDKYFIKREHRGQVLSLLSEFIRHGP PHLHLLLQTPLFDNLLRCLQIDTSTTVVALAMTALTMILPHIPVSTGKHLPSLFNIYT RMLFWERERALSPRLRLDSNEQNDNPESSEKGQEEKVPWEKLSYSFQSDDETLPELLH YFTFLYGLYPINFMSYIRKPSRYLRHANFPGADDIDVEPNEIRKRSEPFRELHLLHPN FFSLTIESELTDAHRWMNSAASDVVTFCMSLCVPVYHGSGSAPPMTRFSSLPNRDSNQ DIPTQTLLTQTDSEEALLAQRRSHETPSSGGGPPELSALGRLTSRANPSVSSDVHIAT NQIQDIRSDSPGILNQRGMSPLHDILNSQQSLHRGLHQSLPNESSTTLSSVGGNTDSS ANVDTYLQSLAQLPPRSPSLRPSLGDTTNMAFLRREIMLLRNDLNFERYLKQQHLSHI GQLRRMQVREATVEAETQNLINANKILKGKLEEAKNLTTQTKKESDKSRAQSKKWEQD LSAKLRAIKEEQKKWLLEGELLRRDLQESKENSEELKQLVIKSEAREMESSRKLQAIK IELDDLAKLRSDVDRLTEHLREFQNQESEMEDVKARENIANSKIELLNMQLSAREEEL LKTKRANAAEIQDLKLHMKDVAQNAHSTATQNFKMMLDSALAASKLRLAEVHKAHTHL LRRYTSLQEDYLRLQEQHSTPGPLLGDSDEIESFESRSPTDTRNMPHRQPRRDSEDPQ RLGHRGADDGSRQRSFSPDEQRKMSAATGSVMIPTRTGSSTHSDPRSLFKGGSLDNGA EAEMPKPRPERRAYGRGGVQNSGLKERKEKEKKEKERRESEAESGSQSPTHNKKDKKG GTGGFKSIKGLVSM VE01_05948 MEFMSALQGTFDDHKPSLFELLSEQQLASLIPPSLRYLLTIATH RHPRYLLRVLNSFDELYALCMLIVERHFLKTHGGGFTENFYGLKREKALRGEVPRAQL GAPALVRESLKLSERDIWKNLAVMVGLPYLKAKLDESYEINAPRALLGSAYTQMPQNP TLKQRFMHYYRWFLRNIYPSVNAAYYFSMLAFNLAYLFDNSKFHSPFMWLIGTRMRRL GEADYRAIAALNDPPKRGPGARPGTTSIFNPRTLYPRLLSSMSILLPTSIFALKFLEW WYASDFARQLSKKASESLELPPPIISGINSVALGRKTPATSSSADTKDTEPSDVPENP PIAALSLLPIYTVQLPEGSDLCPICEEDVETPTACQTGFVYCYTCIHKWVNGDHEKQE EFMAGKEGKWESGQGRCAVTGRRVLAGTDGLRRVMV VE01_05949 MATSAARFARPLRLGTKPVFLPNFTITLTRNPPQTPATHASFIV PLNLNKLDLRDYLFNVYSVRVLGVRSYIQQQKVRQDKPGARRPAQRKWYRPRAIKKMI VEMEQPFEWPEETTDLAAWDKVTYDAAKGEQKADQELNNPTFKKQPSRERESIAEQAA KLLDGTDAWKSKDEWEDVGEAEEVEQDVVLPRQ VE01_05950 MAATTMGDDAIPNSNEKKTCILWQNEDHTVTLLDVPCSIEVAQQ FEHGGERKLWSSPPLEQPYPSLEPKSEKAVAALKSQKAIAALVNPDTDINDLILHRIL ALALEEARDAIESNGDGPKAWCLPRSCYDDKQSSLQDGRPEKRHHISHKAGEGSNGAS SPLPPSSVPSCQNGTGQGGATDSLPLSPFPLFKNLMGHTVTLSLPGSDIPAIVPVNST HIQGEIISTLPILIQKAPQFPLIILDPPWPNRSARRAGNYHTSYGLSEISTLLSGIPI RSKLEKNGLVGVWITNKEIFRDLLLGKDGERGLFGEWGIELVEEWVWLKITATGEPMS PIDGTWRKPFEILLVGRKIPRAEISTDPCEVGSKSELDEVFDERKGGEEEEVGKSGEQ PGVQPTPVKRRVIIAAPDMHSRKPNLMALFAPLLPSSLVLPHCLEIFARNLTAHSWAW GNEVLKFQRLEHWTECANTNQEAGNIE VE01_05951 MPPALLSHASLSAPTTLTTTTPPMNRPREIDARGEHGPRGAAVC PTDDEAVTPRARPNKQSLDYLWRSGLAGGLAGCAAKSLVAPLDRVKILFQSSNPQFAR YAGSWAGVFAAMSSIYRDEGIVGLYRGHSATILRIFPYAAIKFLAYEQIRSVIIHNPE HETPIRRLLSGSLAGVTSVFFTYPLEVIRVRLAFETRKDHRNGFTDIVRTIYHEQPAA RRPTPSITSTSAPVATIQAAEKVAVETLHKVAPRGGLINFYRGFSPTMLGMLPYAGMS FLTHDTAGDLLRHHSIAKYTTYARPAGYPEGKPDPLKSWAELFAGGIAGLVSQTSSYP LEVIRRRMQVGGAVGDGRRLRIRETAGIIFKEKGFRGFWVGLSIGYVKVVPMVAVSFF VYERGKTWLGI VE01_05952 MYGRITFVVGLAVANALIPDLHMRQAVGSPSPTSEPTGGSSLGG SSTGSGSGSSSGGSSGGGSPEATGTTSASLPIDTNFSPEPFSFNEAATVNSEALASAA SIAGTPATDIPGATIPVQPLVSPNPETTRGNFTGSATVTGAIAKGALNGTISALPPNP EATVFKANGILQSNQTIPFQPAGGLGTNGTEPVYRVQSDFDYQSLLLGLYQEWIELDL FKDILNRFSEADFAKLNLTASDRFLVEFMAEQENGHATLVSNLLGGPGGSTPQCSYHY PYETLDEAFDFAAKLTQWGESGVWGFQAHLDSRESAQLLDQSIATEGRQSMIFRQLGG LFPMPVWFETGIPQSWAWTYLAPYIASCPSNAKRLAWQNFPELHILNQPSAALVNASQ GASAGGSAGNTTKTSSNETVGWGPAAPGSEAAAGNASCTLTNKTGDSCGGSISQNHSI PLSFPGRQVFLSWDAPGKPVGPNNSYVTSTLAKDPKFVVWVSQLNVTYSPLEDIKLVP NGTGSGTTLQPDISTFEGDPAINGTMFIGLTDTDDPFSMFNLSSINPHVVALGLYQAG VE01_05953 MSSNNVSYPPLDLRVLKSQNTKRISYYYDEEVANHYYRGEHPME PQRIKMAHSLIMHTGIYKKLSILRAKPATADEMKAFHADEYVDFLERVTPETVLPPPE ECFGLGENDCPAFEGVFRLSAISAAGSLEGAAKLGLGNCDIAINWAGGLHHAMKSQAS GFCYINDIVLGILELLRHYPRVLYIDIDVHHGDAVETAFLTTDRVMTASFHQYSPSAG FFPQTGSLSDVGRGKGRNFSVNFPLSKGIDDASYKGVFEPVIEDIMARFCPSVIVLQC GADSLNQDKLGGLNLSMRGHANCIEFVKRLSVPTLVLGGGGYTIRNVARTWAYETGVL VGTDLQPSDLPVNDEYYEYYAPDYKLDVCAAADKKNQNTVRLLEETKIRIFENLRSIA GPPSIQMQDVPRSSLAFWVAPEAENARKDADEDDYPDVRYTPYRRDRQIHNDEELSDS DYEDGITYAMSRLRQKDTPTKSPRNYRNHGKDVQHGTYGRVALRSDTRRPGILEQMMG VEQHANTLSPTKSLTTDTNVGFVADSPTRPSKARPRDPADDPRCECCKVGKTKCDKAR PFCSTCVRRNRRDRCLYRRLDGTIGPDPGAEAAIALGRLVIDI VE01_05954 MSKRAPVDIGDGHDAYLKRQKLSHGLKTVNTQQEIQSGRQLQQL LGFDQDLARGKHGIQSFKAFLDGLLGEEQSNRLPILQEYLQAQITTDEEDKAAVYLSD IMQTWSFAAQSNNDSLLSAVPAVLALLFKIISTKLELVPYGLRLGRTLLQKRQLELIS RGTTTNKSKDFVISPALRLLREVAIFDGGALASQLFRIRDLSLKGLPRNLGIRYSGEG VEDRRKPSVRTNALRLFLSLVKYLPTDAKKELLRQRDIVSGATRDINRDPPHVIVEFL DTTRIHVLQDDALPRDGKSKLLNAVNLGRIAQLYGYAFEDDEASENKKPIDVLVNEWL VLACTSPDMGLLYRQSGFYPKDANADDTGRRAGPEKHVDLGLDSLDWASRYNGKVTVR NSVLSEFIQTLRPWASTNQSNLLLAIFKVAPELVAHYFFNKQSFPFDPKATSTWMGYS AFLFSVLQLPVPKFFGHLERYARTPPPSSIVIESILPQPANQKILTRCLGQKDPLITF FVVRLLTVAFEKLQKILSMYREASEMSPLWNEAAINLKDEFCRRCPAMKDAISSFRSI DDSEVAQKQAASRLLVMYYEVVPQAALESKFDVSTPLSKALQLLESEETSAEDKSQRV MEVENLFRIAHCSPGMRWFNKSEGLSISPFTSMLRLLAEASSDMPLLKMRSIVDSLVK ENGILQSQTVLSSVDALIASIKASIEDEDSGLVFEFVDNCALRCSSTPIKYIDALEQE YAAVSKDDETNIQNLPVSLLHFAVAEQYPFVVKTADTAKIAAVSSFISQYLAASLKIS EDKKILKSITKSLSAAALPYPKIQKVIDRSKKLVDSIDIPECSPIIAPALKKTMSASA VTDTQKLEITATLSAVSLPDLDDRTPLSRWPGKEIEFLIEEGQIASLIHLLSSPTLSA RVEALTALSKINHTLKSSSYPEKEPLWLLLCELLETVKPIVNVKPVPCTITVFAASAL GVLIDPLHVLYAKVNSFLTLGPSWEADKLPLVHAVISSPPTLDDARYTELTWLLSYLL SSLMTTEELAIFHKRRVFEKALGLYSNVYMADNLREKILQIVWRATEIEGGSETLLTR FGVVSWLKAQVALEGKKGLTAQILLERLVDTCSEERLKGWSGQSPQEIIDGLVSQK VE01_05955 MASSSTPPTPLLYACIAHNTTILSEHTTPGASSTSSLASLILPK ISHATPQKLTYTHNDNFVHYIASAPSEYPDSPTAGGLTYLVVAHSSVGRRIPFGFLVE IKNRFLSQFEPSATDFASLPNYGAASFNGELKKLMVDYGTTQSGKQDAISNVHNEIEN VRGIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRVRSKGLRRRMWWKNAKLMALL VLVAIFLIYLFVGFGCGLPGWSKCIG VE01_05956 MVLATQRGGACFFCTFRSAELRFIRPATTNVKRHFSTGRVQSGI LDDLLSPSRNPPPVTRTEKRDTERRLRGKRKGDRHAIYDGSNSRGFAPANKERKREPK NDPVTRIPGRGAHSLFLGPDDVTRSSDRRQIPIRIPQAASHSIFSGSSHRRGYAYIPL ETIHKRILGEFKDLRYEISQAYDPQGPAGSNSDGFTGLATVEEFNKVADDFAASLTRS YVAADPKSQQNPRKNPTGRDKLSKASNAEGSGVNNSDEASLFNELRQVLIIDPYDLPG RLKYLFTKFICDAKVSPQTLRQQQKFVDLRYPIEWFPATRALQRKVHLHVGPTNSGKT YHALQRLEQAESGLYAGPLRLLAHEVYSRLNAKGKPCSLITGEERRFPEGPTPTMSSC TVEMVPLNTEVEVAVIDEIQMISDGYRGWAWTQAFLGVRAREIHICGELRTIPLIQNL CKLMGDELTVHRYERLTPLECMGKSLHGKLDKLKKGDCIILFSRVAIHAMKKEVERAT GKRCAVVYGSLPPETRAQQAALFNDPDNEYDYLVASDAVGMGLNLAIRRVIFETLSKF DGKSHRNIPISEIKQIAGRAGRYKTAAEAIKKKDGPAGEVSRQVERNVGLATTLDAVD HSLLKSAMGTDVEPLKTAGIFPPSTILQKFAAYFPKGTPLSYIMLRLNEFATLNPMFT LCDFNEQLLLADMIEPFNLTLQDQLVFIAAPANVKRREQRPIIEALAAIIANRSATDL LEIPALNLELLDETRTEGKEYLSKLEALHQGITLYLWLSYRFAGVFRSQALAFHIKSL VEEKINESLANVPFEENRRRMLQALKLKALQKQAEKEALMAPAVETETPTPMSYEDED RQEPLIDAADEEAISEEAVADEAEDDDEYVEEPSNIPNAEKTGGDS VE01_05957 MAGSTISGEPGSSPQSSKTPGTTNSNTKSVQDAEEEAMERRKKA AAKALAEQSRLRAKSSQKSTSLAKNSKLPEDSLEPRNISPTKSPRTSLSGFDAVPTRP VDLHAAPSSTSQPSILPTACQGDRALLEGTSSTNNSSQATHTDPTTNSAESTVTVATP APEPQATDVPPKKLSPNNYAKRDARFEVQVLSTKDETIKRDDPSYTSKQMFLEWDGID REALKVVNGDRECILVEFSTIRRISYNPIGQRLQLIFNSTAQDEAQTVVIAMNALPRE EWVQLQQLFRQVERKWRVRCDQEEMSFFDDAIKSMRKKPYRKMETPYVDRSNASGQKI AEKLTQAPRRQSVPKASRRQSVGPTLSTAAKIAKTPKNRVERPKAHLSVEVKNIMAAK VIDQPLQIPRLSTPSKPPSVTSSLLSRTSQCPLKEGAESPRNISRDYGNRDSPTKHGT GTQNRSQVPQSSAILKRDISPHGLSSLFGSDDSEHDFSGFEQPDRTPSQRFKDRMNEA KKISSRQPNESPKISPGLFAQSPASTLRDGSTQEPEKPEHGFEAFMDSIQRSNQIENV LISSSPNIRHKRNRSPSSPKLPQLLNSPPVKLVAKGLQNADSMAESDRPPKKLKESHV ASENETRFYYQCKSGQDFLLPVAVDDTVIRLRTKKEMEESGKQSKYDVFDGPWRVLGI SETSFPLSPDFFDLEEEDSDSTVSRQAKWDKMLTTQIKLNFPEGSEANQWVELSRLLP AIDPSYIVTGTPIPSSLEVSTHASYLGLVDRLRIQPLAPGSHNSFVKKVSSATVGELH VVEIRKGKFAVFVDIDHKAIGDSYEVAKIRQKRLRLYTKAEAKQIEATQYDDDKWVKR LLMQHGDIARNKIIVDEYLCSWAGWAVEDQTWVPRGNFGNDELLRLFDDQNDPFRDIP GDIVFPVENLVHEDDLKKARASLRKTKPPGSSCQSSRASARRTITKRGTARRSTR VE01_05958 MSEGPVHRVISAAEVYSFPQGHLGHLSDLESHALDEFRTLCTEK NLYSGTKKYDFGSHDDTTLLRFLRARRFNVQDAFEQYKDTEEWRAANQLETLYETIDL QHFEETRRLYPQWTGRRDKRGIPVYIFEVKHLDAKAMATYEKSAAQTHSKAKTDGNTP PKLLRLFTLYENLTRYVLPLSTAMTDRPHPTTPITQSNNIVDISGVGLKQFWNLRAHM QSASQLATAHYPETLDRIFIIGAPYFFPTVWGWIKRWFDPITTSKIFILSPSDMKSTL ESFIEPANIPKKYGGELEFNWCDPPVYDPALNDVITWKNGYKTLPTGPMYWRDKGDHI ELEAVGAADGKQRRDIVATVRKTAVVAETEEADKTTEEVLQTLPAATAEAINAASAGQ PKPGSYVVAPEGIATLSLQDDKNYTDVNEKQDAAPDASAPEEVNKA VE01_05959 MPPFLPRKRLRSDSPNRGPELELKPEAPKPKRSRTGNKDRTQTK EAGGKSNAAIAPPRKKTLFDELDTGTQRSSPRTPSELLRSLGGGEEDEEESDSEDIEF EDVVAGKEDEDDDDNVEFEDIPQAGPSNANRPSISAAPAGEEDLDLTLTRETRISLVN PHNKKQGPSKIERAIRVATHQMHVQFLMWHNSVRNRWCCDKALQEILTGELMKRTSFE KDLKRWKRDSGLGGDEVEENEKPKRKGKSKEENDEKEKVKGAKERSQADWSSSAQRAE VGSVNMSHGDPLFALLNRLSSYWKKRFTITAPGLKKIGYMTLQRIDEEIKSNRAEYDF ERHGERIETKDSFKELAKEHEGSRDVGAQLFTALLRGLGLEARMVVSLQPVGFGWSKN EEAVERKEKKIVPEEPALQSSDDSDDEGSTPTLKEKAPVKPKQKASRSKEVRATSHIS SNSTRRKGTRDLPIDISEAEQNDDHGESNGIAVDASPSRPQRKQPSKVYEKDLAPNYW IEVLSPVTNIWVPVNPFAPSDPVATNPELLLGFEPRGAKAEKAKQVMAYVIGFSSDGT AKDVTVRYLKRHTWPGKTKGVRMPVDKVPVYNRHGKVKRHEEYDWFKTVMSGYERREQ QRSIIDDQEEATDLKAVKPEKKEVKEGEETLQSYKQSSEFVLERHLRREEALLPTAKH VKLFTVKGKADAPATQEKVYLRKDVVSCKSVETWHKEGREPKVGEQPLKRVPFRAATT NRKRELAEAELASGGQKMLQGLYSLDQTDWIIPPPIEDGIIPKNGFGNMDCYVPSMVP KGAVHIPLRGTTRICRKLGIDFAEAVTGFEFGARMAIPIISGVVVAEENEEMVIEHWR EYEAERLRKEDDKRTKAALGMWRKFLMGMRIMKRVREEYGEHGGENPDVLNPWTNKNT MDNVRANVEGDMSRQQMEQADEDMAGGFFPEGHEEEEVPQSFFPTRHEESEDEGGGFV IEQEEPDKKPIRNIPNTYPTSLSIQHNRAGESTIDVSDGRESSPSSKPVTQVAPPIKG ATKSTTRPSNRMGPGNTRDPDSDTDTQPKPNQRSSGRHSLRRGGPGKRVPPSDDETTV PGLESDHEARDVHIPEAKTPASRRKGKSTKLPAESPATRAMPKRKATRRSTGTKSRYF EADDEDDFEYTDS VE01_05960 MSKERLSDYQWPSRAPRPDTRPVRFDLDSERENDRRRAERSLRG SRDASGFVDENFTFVPARSRIGRDRNKDRIIEDRERSLSPKFTEEPIASDNSDIIITA GPDSNDDEYGVHAHSYRGRRSSNYSLSRFDERESSHFTRPRHRRRLNRFLGQRRDSLE SSASCSASDSDISDDAYSFTLTRHKRTISGIEIISDAASEGSEGDVGGVEPEKIVTQS LLAPKIQNVFDSRYTGEGSVGGVQNARITVLPTPPQSHGQKKNRPPLFKWIHFEDSAM NFDDFQNGVAAVAGLSDIERQAISRLLARVGKRFDKPFQTSSGMKAKFLMPSLTAENI INQTGSKTSKPRVVTWMSLPHFTLQKYKAGPATARPADHPIRSLMQARFSLVQRGRDM QQAVCHLLDTPDDYCFHIAQTWYLILDDSLLITCAGIRMSSLQGDAIKIAPEPSSKHW PPFILVSSGRCLLWCFKVDECQTWFDFVLLFGEFWPRRLEFKHNGKTIRAADWPRIIA LAKRTNVRLTVDHRAPLRDKFEAPDNFIPVMRDYGKATSASKPTVTLKEQPGANTSST IPGFVIHEYDKPPKQNMTGPPPDKGQQQTAKPVTAEDAPEKSSAPSTEGYFDKPKPKP ATAARPENSLNLPAVQNSPPKPDNIFAGFYVFAWLNSHPTTMLSPPLFASPLERSQSR NRSSISPSGVREETPTPKATPDIQWRVEEQDIRADLGEVDDFLKARSSVSDRIVYQEC PLSSRNSIVEQLTRVKTKLMSSEKVNPEKVKILGTKEAIAAAADQIFQFFLPVTFEGP TVQKYWGAIDSLILPDKSQKVKAQEKTSQYYQGRQYWPKERRKRNFGCRNEDVPYIAE FLGQIARQVQPFKEFYAEARPADRLNIHLPEEFPKAWLYLLISVASTTKDMAVFEDQN SVVHDLLDRGMRKVVQETSKKSLLDSLVFTPFELATLINFQLLEGVTPYSQDIIEPYW QYLRSLEADIAANPVDRNHQDRIALFKQEIYVINDTLNQQRRLLMLASPSASFRNSAA AFQNTHLNKIANDDYRSVVPNVYTQQPTMHAFNNATNHTRYEAANIEPFDVPIVVDNQ GRSRTSQLSPTHPNGVLGILIYDAISLVDHKLRDIREMHDWVSHLQASNLQKIDTNKD RQEAAIYAFTIVTIVFLPLSTVAGIMGMNTVDIRDMEFGQWVFWASAIPLMIIVITLC LIWAGELGNFWKGFRDLWRRRESAGRKKVRKAAVNFAPTMAYTPMDRSSMYNTGASPE RDFDRHSVVIRERNRPEYLRGL VE01_05961 MADSKTPPNDSYSTMDTSDPTLIGSHCQDPYCNQLDFLPFRCES CFGTFCLDHRSETAHKCPKAGDWARRRAAATAASSTSNGHTTLAVDTPKPCASPVCKT VTSAAGRNPGVHCSGCNRTYCLKHRLKEDHDCKNLVPIGARPARFDTTATTEKAKLAF GRLKAWGSARKIEAEKATTRVLPKPKPSSTAARLVAVNTLKKTAKGDEKLAPEKRVYI YVEAEAATTKAKFPKGEFFYSKDWVIGRVLDAAAKSLQVQNVNNQSEDEREKLRVFHV EGGRLLEFGEKVGGALVSGNTIVLLRGVGPAIPNLIEV VE01_05962 MPEEEVEDTYDPECHFCVIGKTAKPTPNPTIAASPLASAYLVLA THSVVGFLEVAPMSRGHIVLLPREHAGKISDLSSGESAVLGFWLPIVSRGVMAGLFGA KWRDHDESWNILQANGKMAGQTIKHVHFHIIPRPRPEMKSVYGETRDPSEMLSYEKRR DNLEEALSSKMQDEKSIEACQLIRAALKKEILRMKLSGKIAEGDDEWDLWSMDDGNKV LQL VE01_05963 MVVMYNIAGRQIASHWLSIATLSITFGGAALAMGGKKAEKTTTP PLNAKNNDEEKFIKDFLADIDSSEKKGQKA VE01_05964 MATTGPSKPDNDVVKINPNPPPPAKKLPKDLQRLVDRADRDETI YDEVRSGTAPESTESSIRYAAYATRIRTALLSAQRYVAYTSDVGESFRPVAHPNLVRA AYGISWAYLAGDVAHEGYKAYCANQRTLHPEGVLLGGGVRADSGEGLGVAAAAAEGGK EGVKTGGGLVTRGVVTPLEDYRTVIVQRALFQGIASMGLPMLTIHSIVRYAGRAMKDV KNPRLRMWGPVGLGLSVVPVLPYLFDKPVEGAVEFLFHEGFKAIGGQEAVGDAPVVGR EKRLEEAGGRGRGKGGKGDKEL VE01_05965 MKALRRSIKGDKNDSKLQHISVTPKSAVAIVPPKKVIRALYDYE AQNAQELSFQKGDFFHVIARENDTDWYEACNPAVPDARGLVPVNFFQALGKTERDSGQ STGSAGSAKAPDHDSGYSDTNTGPAPGPNPQRLSKLGKGSGAMVYGIVMYDFAAERPD ELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFIEIRDMTTGQAVPDSQEAV QRAGVPKVEEWKKMAADYKNSSITLGKFEAANQQQQQQGMEQDMGRMSLQQAQQRQSQ QAGNGQYQQQLRQSQQAKSQQQQNQQYAAQQQQQQAAATSQLFSPVSAHIPRYWFSED KYWFVIEAALEDGRHWELQRYYEDFYDFQIALLTEFPAEAGNTGTQKRSLPYMPGPVN YVTDQITDGRRHNLDAYVKNLLTQPPYISRCTLVKQFFAPREGDFEIDPEAPDGYRDS AGSQQSSTGTPADDRGGSKADLNGSGYGGLTAAPPRQQGPPGGFPAQGGGREVGQQQS QASLQAAGGGAMKVKIYFGDDLFAIRVPAAISYVALHEKIRERLKIPGGEEIAMMWQE GGGRAALESEADLGEALARGGGKLI VE01_05966 MADKDEKPQGQSTTFGKVAIATPRQMSMSHNSWAPLPPPPGTQP SYAAMAASTTAYTPVQVRQSFNYPPTQAPQFVHVAQYPQLPPVAAPAKPPTKKRIEWP TSVRSYVQRAFDPSNLYPDVPRADMEAKLKATISSATETDTLTSVDWDNLPLPQDMVR TERAALAEPSPRWVNGRFISSPESKKRKSQVLDGKDEQDVSSNPPWRTTNTHTGFEDR ITFAPNGGPLNVATKPLPDGLSTKASKSQKNLEKRQRRFDGGYKSTYKESPVLDASSG PVVGRCQTLEKRYFRLTSAPNPDHVRPEPILKQTLDFLKKKWRKESNYSYICDQFKSL RQDLTVQHIRNKFTVEVYEIHARIALEKGDLGEYNQCQTQLRALYAQKLGGNPIEFKA YQKDELAIKHALGVRSALALGNYHRFFRLYLDTPNMGAYLMDMFVERERLAALSNICR AYKPHVKLRFITEELGFESDAEAAQFICTYEGGRALLEEREDGILLLTGKTGGMFDVA KNAAFKKIDIKGQI VE01_05967 MSLKQIDLTTALRPSLLPDEVLLFVQDSVGLYEGKYKIPNHQDG HVYLTSHRIYYVDNEEPRKNSVGIDLKEVERFEFYAGFLKSSPKITLVPKPSKRLSTQ NRVTALNSPSRSATSSPTTRTDSPFHLPPAEPTAASNATWICPICTFSNPVPSNFDPS TATIHTPLPPCLACGIKPPLAHVLKAAIVSAANRPAAPTNILRTPVPIRSRDEGARPL SEARLPEQGRLPQGSVESSSDAASFQCPRCTFLNHPSLLSCELCGAPLISNEAPKGFK QPQEFRPESPGPTLQGFSASAVRTPENLKISFRAGGEKIFHERLKGAMIQRKWLLHGA PPVPKPSPSGEPLPTDRIKTVGIAGLEQRRLDMRRNNEVVIGNAFEDLEALMASAKEI VALAETFARQTNSGSETGSEESTLLAQSATALGLVTTKDMLGGGSSSETLYLSELSRN LAEFLTDDATGVLRKTGGIISLVDLWALFNRARNGIELVSPRDLERAARLWESLKLPV RLREFKSGVLVVQGTDRTDEKTVRAILAWMLEQHTVPPEKDVAWDWRTFGVGISARDV AAQFGWSIGVAVEELEMAEERGALCRAESIEGVTFWENKLVDDDIPDGLARSSGVAV VE01_05968 MAQAADTVGLVIGIFLAVGVVTMFSLFCYFRSHFQAPASMYYTE EGNGTELANAGASTDGSYITGEVNGRQSLQR VE01_05969 MKFHLLLVFLAALLPIANACLSIAVEWNPDTGRVQGMARDNGVV RCTMDQRKYEKQLWFDCQPGFAAYITRDFKLFAWHAHDADYRIDAYHGVDLAGIELRW ANSFGCTCGGWKCLYNKADDKSDS VE01_05970 MASAAVESMPPVDVANTNASDKAAAIDNALAYNAATTSSISEPV EPPADPNHEEFQYLKLIRDILDNGEHRPDRTGTGTLSIFAPTPLKFSLTSPSGSPLLP LLTTKRVFLRAVLAELLWFISGSTSSLPLSEAGIKIWDGNGSRAYLDSIGLTERVEGD LGPVYGFQWRHFGAKYVDAQTDYTGQGVDQLAEVIHKLRHTPYDRRIILSAWNPADLK IMALPPCHMFAQFYVSYPRAKKGQEGEKPKGHLHCQLYQRSCDMGLGVPFNIASYALL THMLAHVCDLVPGTFTHTMGDAHVYLDHVEALEVQLERQPREFPGLEIKREKGGDVDG WTLEDFEVVGYKPHKGIAMKMSV VE01_05971 MNDEKSSQVAPSNAKWNTKNLIPRLATDATAAFASAVTVAPLIT IIDKAIMENASGRAPIKESLRASFRSLILSPKSMFFSKPFGLICMLYGGTYLTANTLD TITSTVTAQDATLVTSGPLKFAASSTANIGLCLIKDRKFAQLFGPSGPPRPVPMPTMI LFAMRDCMTIFASFNIPPLIGPSMSRHMGELEETISAQTIAQFAAPAAIQLVSTPMHL LGLDLYNRPHGEGWRSRASIIWKNWGISAAARVCRIVPAFGVGGVINKNVRSKGMAMW A VE01_05972 MTELNDLIDTVLGYLSQGFENLKHNAEHYASMSLKEWVRIVTIV GAYLLLRPYLSQWAAKLQSAEHDKEIDADELATPATGPKAAISANSLRGQVEVPEDSE SDEDTGEAVEATAQTTSANWGKKARKRQRAMIKRIIEADEKLRAETEAYDDEEDKDIE QYLT VE01_05973 MAPPPRSTLDADDLLLNGFFKTSRSRQTAPSPPPIYGSLPVNLQ APSFYDTTQPSRPRQPPRKGWPPKPHCEDERDSLVREYGEAHAKAFYDPPSKGVIDQL PILYDSELSVSDSMKPEPTKPEPPCRKDDNGERRFVLVPKPDTPSSSENDTAGKSKKV PVKPVVVQEQVKPRHHREGRNSPPEQVRPRHRKESRDSPPPITRRRSRQDLPALTTNL EPHPPPFRRSTSAYAYNPRHDDPGRTVRSPSVIPSANAFLSPESSAGNGGLFDIAAHS LPRKYGGSSPKPSSPMTERKPTLSGSLQPHMLGHDNSRGSVDSRPRSRGDPAERPPRP LPLGDDSSSRRPERPSSRLNQHDSSDRRHSRQSSTQSTRRGYVSSDLDDSDSSNSDRR RRRHHRHPSRHGDSLYPEVEPSRHRRTGSNQSNLDFGTPKKTYSMPLPSPRTGGAGPL AAVGLAAGLLDSQRKDTYPPVFENTAGSRPVSPFSPYGSTPQTPYTAPGRQRDLSPVD IDPLSKDPNATLPMPIPMSGRGRGDVQFPSPYGQGSYQSGTPPTQKDYWQPPPFQPPV ESPHLEVPNMGYVRRFSEDMGGDGSIAPLLPCPRTSPVRGKVDWLTLPKCRGFNICPS CYQSAIEQTPFHTFFVPAPFRSLDDEVSCDFGTQPWYRIAWLLLKKNRAKDLALLHQI AHISATTPPCLGGHEATRKWHSVRDPDSGRLVHNFGVCHACSQVVEALLPATRGLFVP THPEGAPAPKRTCDLRFDSPRFLHYFDSLELLADRAGASGRALDSRPFVGLAKRFVTT QPCRGDKDVFDSRWYSIAQLPELSVCAECFEEVVRPEAERRRAIAAMFALGREVPVGN CGMHSRRMREVFREAVRKDDYVLLARAARERREKEVNRLRRE VE01_05974 MLLRTTPAPIRHTSALHTSAPPPLTPEQTAIVKSTAPVLEQHGT AITSHFYKRILAAVPELRNVFNAAHQESGEQQSALARAVWGYAAHIDDLDKLSSTVSR IGHKHAGLGVAPEHYPIVGEHLLASIQEVLGDGVNATVMEAWAAAYAQLAAIFIGFEK GLYDAAAATPGGWNGWRSFVVEQKTPESGEITSFHLAPQDGLALPPFRPGQFVTIRCF VPGLNLFQPRQYSLSAPPGSSRFRISVKREGGADRPAGHISNLLHDGTPEGAVLDLSM PYGDFTLDAEATTPVVLLSGGVGLTPMLSMLQTITSGPSERKVHFVHAARNRHVHAMR DELAAAVEKNGNVSRAVYYDEVGKEDVKGVDYDFQGRVEFARTEALVEGADYYICGPV PFMAKQRADLERLGVEPGRIHSEVFGAA VE01_05975 MSTSHEPPTRAEGLRERHGLSDEKNTPNVINAGDAADVEELEKE KKTFGRTPDGTIFTVPHTHDMVSQLLDPRQPKNFSDVAVLAVMAGHILLLYILPRFLR VPLFAVVFLFWRACYNVGIGYLLRVQSNHRQMETWAKQWKLFEKPESGKNPRPWLYDL LKRELEAKIPEDYSFEKAPIEYNTWLVFRRVVDLILMCDFSTYCLFAIACGSQPVNES YLMFAARWVTGLILFGFNVWVKLDAHRVVKDYAWYWGDFFYLIDQELTFDGVFEMAPH PMYSVGYAGYYGISMMASSYSVLFISIIAHAAQFAFLVLVENPHIEKIYNPPAPRTRR DTNPTHAEVEEASHEAAVAEGVPEEPVLEPIRPRSPVTPALPSNLHNVMGFDNIDPYR ITDVSVLLLVMYTALLTFFTPSTPIWQALFVLHATAWRLWYSLGLGIILDQQSNMKLW TRHFVKYGESAEEAWRQWKGMYHLSMSMAWISFVAAAWKSYSSPPDWDYGLVLLRHVL GFALVALQLWTAVSIYESLSEFGWFYGDFFFDHAPKLTYSGIYRYLNNPERIIGLAGV WGAVFITNSKAVFFLALLSHMLALGFIQFVEKPHMQKLYGQSLRKEAGLTKTFRNSLP PHFKSWHGSVDKVLGDTGHFVEDFLDAARPKLATGVSTFVRDTTALFQQYPARLTITR LAPDLAGYNPKDYSINIEDSSTTTSSPFKSRTFEYGAPIRVKWTAPANHSHKDWIGLY MVADNASRDVTRIASVGRWIPTSRDEYDSSIADTGILSSNKPYKGGDGESDLVQGEMV FGGDKLFWTHGVFEFRYHHDGKHNVMAISRPFTVRLARFDEEDTTGPVRVAIEEALLP VVRNCFDRDPEVAPDGVEDGFGELVERESKYARRVVFAVKEVFGVEFAPGVVLADGNV RNLAWRICNARKVLAPYSMSSGKGTTTPTLA VE01_05976 MSPSLEEPTASDVLDVIKNPLKAPPQLVAPEPEHCVGPESEQAG TADSCQGCPNQAICASAPKGPDPDIPLITARLASISHKILVLSGKGGVGKSTFTTLLA HAFARNPASTVGIMDTDICGPSIPKMMGVETETIHVSASGWSPVWVADNLAVMSVQFM LPSRDDAVIWRGAKKNGLIKQFLKDVEWGPMDFLLVDTPPGTSDEHLSVNAFLKESGV DGAVVVTTPQEVALLDVRKEIDFCRKAGIKVLGLVENMSGFVCPKCTHESQIFQATTG GGLALAKEMGIPFLGSVPLDPRIGMSCDYGESFFDAYPDSPACAALKDVVRRVGKEVG LDAKDVLPED VE01_05977 MPPKKGVSQGGLGSRTGLRSQGGTNTNPPEPSAGAGAPAFDPNN PYAPGALPPRSFYGPGFQGPTPTPSLSTPSQLQPRPGEDPAAFERRRETRNRLNAMQR ERRARSRAAALAALAAEDGGDGGDDGDDGDGGEAAAEPRKRKGPRKLLVDRINEAATR VTRSAVERDEELGNCLHCSRGPTWPLKCHGGDECIECEVRGIACNRGNKRHFDVNRRR QLEGVEPWRPDVDQCQQCKSRGSKCFMPNMLLPGPCDMCRLSGLECSIEPLPVRRCPN CHWEHKCVECARNHLRCDGKIPCLVCVRRNIECVGLKPDGSCPDIPISTYGTGLPPPP QRMKVRPTVDMRNWPPPSGRLEISGNVAIPGAPREYQVLNVGAGYPGVAGPAPGAYVS PYAGPGPAAEPAAGPTVGIFPPPVAGPSLGPSPGPVPGPSSGPFVVAGQLSDPFVGPT QSPPVAAGPSSQPPGQNPGSNPNNFRNSLYNPFDDDKPPCSHCRADPQHRICDQDTPC WECSQRGILEPEDCRTSRPCELCFMNELPCDAGSPCQNCMFLGFGVDECRPEGLGPQQ YFPDNPEESDDEPYIRLPGQPEFDYSCAFCVAYGFQGCDPRDRPCLPCIQHGRTALQC RFEERCARCIELDVPCDGKMPCSLCANADGLTSMTCLGRGDTTMPGTFINEPPQEGDD IPMGEGGDYPPPDGFGYQFPPQGGNDPFAPPGVPQEYVDPAALVAQGIPGQSILPQEE VPRVPPGGFFFPPAHVRETLLPHYRIRTDVYGNGQFDPQPGAHVNWRPWNENFRCKET LASGYVCNVLPTMDCDGGEEHGDEVWGVCGACRVRAEESTAQLLEEIEEKKNLFCCFT CSQAQMNKFLSGTGFENSETLVDNHCDCDTQMRAWLCYACKTKVIYAVGNRMITTREK LTRDSDNAILCPRCGVEPGNKEAAYTLANGVARCSSCWVWINYAK VE01_05978 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMTFQ QQILRVKDKDYFPITVVGNKCDLEGERQVSKQEGEALAKSFGCKFIETSAKSRINVDN AFFDIVREIRAYNKQMAGNFEGGSGGGQGGNGGPQGKMEMGEGENSRGCCGSCVLM VE01_05979 MFSRVSHIARHFSRSLPNYAHTSAAAGGMSGTRMIRTAACLIIG DEVLGGKTVDTNSAFMAKWCFSHGVALQRIETIPDDADTIAESAKRLSAAYDFVITSG GIGPTHDDITYSSLATAFGVPLVLHEVAYARMRRLAKPHKSQPNFDWTVDSEARRAKE RMVLLPLAGDGGEGKEWKDQVLFPVEELWVPVVCVGGNVHVLPGVPRLFEKLLLGLGE LYGERLGEEIRRVMISTPMSESAVAPYLEKLQARVEEKGVKVGSYPRFGKGSNTVTLV GRDKAFLESLVDEVEKNVEGKRVLVEGEDDVEGVVEQGSQ VE01_05980 MASESRLYTVSTPTKEHLRKFRLSTSRSDKPQAVIYLIDKVTLE IRLDDEGIVYHDLEELGEELPDHAPRFILLSYPLTLSSGRLSVPYVLLYYLPATCNAE ARMLYAGAKELLRGEAGVGRVIEIESAEDLAEIKEKLGGE VE01_05981 MGLLKTLLRSIGLQPRSIGDAGEESGPLVLNDEKKRFQSKESTC SLPPYDEKKRFQETENTGPPPPCNEKKEPQAKSGSEKNPTARELVKQIRDATERTTVA TKRIIILTNRSRAATKRTVHLLELLIARAIKVELNDLQEQIDRDEGITFNIRRGLRAK EEVRITFLPGEKKEPQAKPRVEKDLTQNTQMDILKRAADDAKYWAEAMERRVAYMERS VVANERTAKIVEQIVADN VE01_05982 MSSTNAPVLKPPIWSLQTPSIRWLLSGALLSLAMLQLRPSPPPP DTSPLSQLPPELLLRITTYLPPTSAASFALCTKRLHALLCIPYLRCKNGHAPFSKAEF LRLLERDLPDYIVCYYCEKLHSIKRAGRHVKFTKRCDSKVNEAMRTYIHPRFSYVVFQ MLMKRHRQDPSRDLSSLLNLLSYNEWSWIGPDETKTTARIVDGSLLLRQQHTLLVHPN NDMCFTHDNIMICPHIAQLRCKINCSASGAERYSMCYTGDRVDIDRTPTSVECECCSQ RGKTDEGSWSGLIRCRDCATEFRIDTLGVGKAGKAFVVTRWKDLGEGRDGEDPVWRGH VERRGGGGVGRRAGGRTICKRFEGEGWRGGLESLVSSREMKRLVRYRYGFCEV VE01_05983 MSAPQNIGPEVPLEKKKGFEKYVERFKKAIGKSSSSSAQKRLSL AAAAKPAAVVSSATAAAASSLKEAAKPTPAAETGESSKFPKKVDGDENAPINVVVEKP EKYRLQITRPARTSKQELVLKKPVQRVRRNCHCCGTLFYPPTKTCTECGHIRCTDCPR DPPKAHKFPYGYPNDVFGEKNAYYTCHECEKVFPLDEAKCVNCTHEKCTECARAPPRK IEPQPDPEVLRRVEEKLAALALSSAEAKS VE01_05984 MPSKIQLDENLWFLYICLQKSDYKNIDFNAVGEVTNLKAPAARM RYTRLRRQIESGTLIGTHGTPFQRAAGEKGGGEGGAKRKRGEVGGGGGEGDDDEEEEG GVVGKKGREEVAKRESTSPSDKYDSDNTTLPERESEDEVAPLAKRPARQCAADTASSA IPPPPTTPRTGRRKPNIPISDSGSPSSDVV VE01_05985 MIASPSTPRHSVRPNLPASPAVSSGSNGSQRPELPSAGQPEPVV IDLLDDGVINLDNDEIIQYTPKTQRRRPLRATTSVPRQTKAHKNAVVDVNDENANKLA FKPPVTDRVAVRQEIENTTAAKRRRFFIEKKDYFLPLLPTEHNYIKKLVDMEKESTAE ERAKKGGSIAYEELKVQPRGVKATMKSYQLSGLSFLVYLHRNGLSGILGDEMGLGKTL QTLSLIQYLKENEPKRGQIRPFLVICPLSVLSSWMAESRKWTPGLKVVRFHGPAKERD RIKKIASGEIDFYGNLTTRQKNKLKGRRTASGKPIIDIDSASDHEEEPGVDVVVATYE SYQKEQGWFKRAFVWRYVVLDEGHKIKNDLSLISKALQGLQAEYRLILTGTPVQNNLA ELWALLHWLYPEVFIAKTSELFAESFNLSKGQVKNDVLDASRTLLELIMLRRMKASNG VNLNLPPKTEVVLFVPLSPMQRFWYTRLITRADKGLLDDLFKDAKGKEQAAMKDDGGA ANILTAEQDAALKTLEDDMLVGSDEWKESRAILQETLRKEEAEGADSKDNSSSWRKLM NLLMQLRKVCNHPYQIQNAEPADSVPGDHLILASGKFIVLEKLVKELVIKQKKKILIF SGFTRMLDLVEDFLAIRGGNGSEFRVARLDGSTSRARRNLAIRLFNDLASESRVMLIS TRAGGLGINLTSATEVIFLDQDWNPQITVQAEARAHRIGQTKPVTIYKLVSQGTVEEQ MLGRIQKKLYLSAKVTESLQDIHTKAGKSKKGPGGRVTENVEDEMPQLSTGQLMSLVR RGTSAISRPEIDVNEMMSWDWATTVEKCKDQPADINVKKDTLPDSKVDEEEEARWLGE MERVEAHVFEGKKLARAAGNGKATSSYRDIADEFNRADRRIGKNTTVMVDGFAISKES MNCKDWEAVPTYSGKDVRLAEPKRPKKAPITAQSHCQVCLDGGNLSLCNICPRAFHFN CLNREFQSKTKGWQFNCPQHQCADCDQKTTDAGGMLYRCRWCERAFCEDCLDFEKTSL IGDNTKEYELLGYFEQPNAFYVQCHKCTEHFAESPEDMRIVEALAADIDRDYQRAVDA AALVDRLKAEEKAVASPASRAGSLSDGTTIETPGIATPVTIDVDDEPAVTATGRSKRK SAMSTPVRMEYEDAVLTGTGRSKRKAAIAAVGSIKRLRIEE VE01_05986 MARFTTVSVARSDGQTEFLQNGSLVPNEPPRKDEAPGPDGSIDF YLKLQENDPTALDWKRKLGGTNFILAELPKDYVLWQHRRVKAKNGKTNADSARQDTYL YGHPNGKGRFRSAQEFYPHLLWLACDQENDSFHNCSCKYCFSDRMAGSDAVTKSAQPE ALTKPIDGRAEPSKATLPAPLARKAAIKTEPRTTQILNSKTEEQKLDSNPANDFIYRP GEIVWFNKRTSAWGLSVVLKRDIQDNQHRYLLQPLSHPVSHPAAVVRDRDSIRPWLAW SVPYPTIAQLRELTYDQVPWAKVVTGEFGKGDPEVDGSILAAKAINESYSLFDRVDLP TAPGEMHYKGMFLGGEKIWVGEPVRLMGRTKDEIVILVVNQMIERTVDAASAVTIVGD VYKFIDMPMPAEYQDRQNWPVNEDLPVRVNADLSFRNQVSADAGGNTWCEWRLLEPMA RKGLNDIKGRWYETKLLLPILRGKPTFDLDMQAGTMSDASLFMNSRFEVLGSGPGMRK KNRLATLGGAVPTDTKISRGLDGPLEDNIIPAQAQ VE01_05989 MTEIISGQVPPLILGPSDKEKKYDRQLRLWAANGQQALEDAHIC LINSGSGTTGVETLKNLVLPGIGLFTIVDDKSVEESDLGVNFFLEEASLGRPRAECCT ELLAELNPDATGHWASSFDEHSAFTLVLYTTPIDDELLKTVKNYCHIHKVPLVSINSL GFYSYFNITFNGNFPIADTHPDSTATTDLRLLTPWPELIEFAQELTIDIDNLSAHKHG HVPYVALLLHYLEEWKAENSGSVPQSYADKVKFRKIVAAGARTDSPEGAEENYDEATA AVLKTVSLPGLPSSVREVFEYQPNQDEAKSGFWIITEAIKQFHAKHGALPLPGSVPDM KAESEVYIQLQSIYKKKARQDVDEILEILGTIPNGNEVEKEEVETYCKNAAFIKLVRE SAPDTDRIKQLADSELNAEFELQPTLLPVYLALKGSESAKPEAGAAAASAPQILSEIS KIVPDAASDDRLARVATEVARAQGGELHNIAALTGGMVAQEVIKIITKQYIPIDNTCV FDGIMKSWVAVEAQCSTAKTWEVKKP VE01_05990 MPVLNANGAKFDAMGGSPADNAWGVVLRSRATMTSTGRETLDAL QQSLDECRSILKKLYPNTDVQSLLPLSRHELVSILDHRASAASISQTSPAGSMNSATT QEYRSPNSSGVEEDRSLATLEQIPSQDTEWDEERRNREAIPSESDDVNALSLSVDRQS SYLGASSIKAALMVMVKVSPRLRSYLMPPTAENRRTVQVRQSNNPNPRHNPTPRPSSR IAWSSEGQTLIDAYFNRVQIFIPMLDEPSFRADYLGGQRHDSPWLALLNMVFAMGSIA AMKSDDHNHMNFYERSRDHLNLDCFGEGHLETLQALILTGGYYLHYINRPNMANAITG AALRMACALGLHREHGVDVNGGEREKMLADLRRRTWWSLFCLDTWASMTLGRPSLGRL GPAVTIRPPEGANDANENSTTGQHIRVVPLIENIKFARIATQIQDTMALSPLPRAEER SKLDAQLVEWSDKLPWVLRSTDPCPEALYTARCVLKWRYQNIRIVLHRPVLLNLANRG ANYHPTVEELSAVELCRAMAKQTIDDISREWTQNQMSGWNAVWFMYQASMIPLVSMFW ESGNTSMVREWQRQIEIVLECFADMADWSLAARRSREVVGRMYEASKGPITRQGSPRL QAQRDGQMESGDGSGQAYQHIADSDMSPGTERAHHAQQAQQITEMMMEEGMLLDNQSI WDLDGMLWGNLPDGLDMPFDGMPNGDDEGMQYGQGQYDNSYMMNQG VE01_05991 MECGLRCNTLACRKELSEEAIVTTCSHVFCLDCANRLLLANGSN RIVCPACDQHLANEDDVARAKLHPSEDYKTSVLSGLNPNTIMECAGRAMNFWAYQTSQ EILYQGMQARVLTDRYNKLKGQIDSIVRDANNEMSALRTELDNAMTDLNNAQKKNHEL AQSLHAKSKQQAHTQELYDKLKRRDQMSQVQNAASDAVDQTIQGSATANGYSDHFRKA NDPPFRPPVFPSLQGNSMGPPPVPTSMPLPSVAGRTGLSNGWIGFTSQGNNNQGIFSH PFTQAAMLIIYTGHVVAHTPSSQRQRLIGEPNVGIAVSNMNSRSLSTPMQPRHSPARG LFGGMRSSGSGGNGFAGYGMSAGLKVSHTPPLGVEGMRSMSRTGPMPRVAQRPASANF MGGRSSVYGEGPGLGNQGGFY VE01_05992 MGKHRWGVILDAGSSGTRAHIYKWRHSDYAKEKASSKDLKSLPH LKTHKKWTKKIHPGISTFGERPTDVGEDHLKELLDHALDIVPADQVADTPIFLMATAG MRLLPPIQQAALLREVCTYARANTKFSLPDCDLHIQIIPGETEGLYGWIAANYLVGGF DTPEEHAHGKDHHTYGFLDMGGASAQIAFAPNATEAQKHADDLKLLRMRTLDGVVSEY KVFVASWLGFGVNQARQRYVSALVDSVDSSKSLEIPDPCIVTGLTLSVDGTILDPDAA RHGSKPYLTGQGSFTECLKKTYPLLEKDAACIDEPCLINGQHVPAIDFDVNHFIGVSE YWHTTHEFFQLSHNEESYDFTKFQSRVREFCNLDWDEIERGVDTKKWGKKVDEKAALE ICFKASWLTSILHEGIGIPRLGVDGIPKGVNGTNDAVRNTKETGYLDPFQAVDKIDGT EVSWTLGKMVLYAAGQIEPQGKDLPVGFGSNVPSGISSDFQRAGSTYTPWKGGDDDDD WDDKILHKASKPSTGLFIMIFILGFLFYIFRKKERRSRVYGKISSAIRRSRNKKGGRS FFSASKLFGRKSGYYERVLEEGDGVNDFELADVDSDDSNEASDGSEGSSGARASGLAT PKLNVVNYGDGNFFSPVGTHGFHADGSLTSNHLLPNAMDRSGLVVRTESRERLAPSLT SVGSGRSRSRAGSPTRKSPLMSPVDED VE01_05993 MALPQLFGVIPTGCPIITTPTTAPAPTSLLFNLPARPFSHIVVV LLPGITLPPDTAAAVYLASSPTNEGQAPEFKFLGGIGPGKESAIFKVGGTSSNGNGSN AINGEVDMDAPEGPGERNLILGISVESSESVNAQMAALPSSNATLQQRSAAATPGNAL VRMQDGGAQRTDALVLAQRIIKNAFNFLASFAGNVPVSGSNGTAGVEVVPLKAFENWW TKFEARVKNDPEFLMRDAD VE01_05994 MAASKSNLDLVNDCDCFPYAEKDPKAHAELMSTLFTLTWDDGVN KVPIGLMTENVLNKLVKTPVAVKGELEVRREQREVCVFQEKTEKERSEWVALTTAYWR ENKQFEILEGWRNELYPVYGPNNELLYSVERTAAALLGVVMYGAHMMAYVKSPESSYG IKLWIPRRAANKQTYGGMLDNTVAGGMATGEDPFECIIREADEEASLPDKLVRENAIA HGAVTYIYMRSKLATGEVGLIQPEVQYVFDLELPEDVAPKPNDSEVECFYLWTVEEVQ EHMRKGEFKPNCALLLLDFFIRHGILTPENEPDFDEIKSRLHRPLNFPGPHVALV VE01_05995 MSGMTSDSQLFDEHFTITASDQSKYDRVSRISATSQDSQTTFAL DINTELFPCAVGEPLHMVLASTLSLDGSKDDNKGWRDVGRSGQGGEATLADLFDYVCH GKIYKFEDGDDGNIIKCYVSFGGLLLALEGPYKKLTPLRVDYIYLLIKK VE01_05996 MSFRKRNVVVSSPGAATPPPSAAEKNVVPGVRPSPIDGRPTTST GTRSLDSLLGGHAGLPLGTSLLIEESGATDFSGALLRYYAAEGVLQGHCVHVLGVDDS WARELPGVQASSSLPAGKSGQNAAAEKMKIAWRYERLGEFGKDRQSGPQTSTGSNDLS GQAVFCHDFDLSKRLTLPDPTLLRCVPVHTQAGAIITGDEGIRSPFDGFLRHLVAQIS SLPPGTIHRVVIPTILSPVAYPSAASDPRHVLQFLHAIRALLRRFPNQLTVMTSLPLS LYPRVSGLTRWMEILSDGVLELAPFPSHANLALATSVPSSTILQEEPPQGLLRVYELP ILHEKGGGEHQSGYTDDLAFTLSRRKGLTIKPFSLPPLDSDDSPDSHHHESGHSHGKS TKVDIEF VE01_05997 MEVGEKVGDLNLDHATTIFNLTLWTTKQLKSAANLTQYAPSAKD LLLAGPRMGMRLGSFVFKLPHTIDDAFGARWAQSVTTEALDIGRVEVTSNTATMVGEM AGSAIMDDPVNIAPSLTSKISLESGRSFGNMVAYATSRWSLGCFLMAIIVNRTQVYAS TRRPLALGIRTRLALRALPIILLAIQARWLLQSIQCQTSPDFGMLRWGNATKTSELMF TQNGGPLHTMSTILLFGASDGDSCRAVRMVPPIEEDQADSKALNNPPKLSGSLATLWP LFQSLCVSQFVETLSCAVQGRHVASETGMTLFEHSLAFAEADAAISSSLGWGPFGGTP SKKILDSHTKAFSDTSSNSGTSSRFPEIAISRSMIMQRINTPAEVLLIGFLSSMSHIT SHILGIFNVQGRFRLLSTAFWGLCFMAMITRSIVDFSVDDASNQSLLRFPTVCIIGFI PHVMILTGILLCAAIYGFAILLAALAPPHGVQPQRGSFLQHLAAAHNNMQASVPLGNI RVSLHMDFYSALLKIGFSALTMASQAVYLNESLEVNIKHQTWLEDERLREIEETGAHW LGPNFRQGMGDGSGLVINKDHLSARSGYARERVAQDPGKTKPSDKIVRDGVGATERSG RWIMAFEFLAGIAKLIASWFAVVAIKVLARLGVRGRPLWLHWLVKNKSATQTVASKRD VKGPEPETLDFWLLNNEGELMLPPDDNVDVEFETRRLLRGDNSRWSPRDEQQLDSNLY GWWLKGGWWGGDDNSGQFVPRNSEVEDDMTSMISTSDISTDQEWDSESDEDSGQRTPT QKSLFIDRESTSVPDTPLSSAELVRLLNPQTPDQRAEAQTLAAHLGSDTIMTRSRYRD LHQREKAKVLMSTRLRPPHLHDVERLTPEEESQLLEYLIVSRRRPSGSATVTTSEPSS WAEGASGMGDGGPLCVVCQSTPRSIIVWPCRCLSLCDDCRVTLAMNNFDKCVCCRRDV GSFSRIFVP VE01_05998 MASGDTMIDGPVPISRIAGRYLVFDINVVTYLRRTHHICGVLIG SIPQVPQQNVFLGLPVELLPEEARLLVEKEVAYIVDDASQHRETFSTLVGDDRRKYLD SLRSQGRMSRRAAEEVSQKKIEKGLAKQALLRASKDTLQSTVAKSSTEPTDVTSFSNS SPSEESLFPDHPSLPTVSSPSVPSSAPYAVTTTTTSSVLPLSQGHIQQPDPVVPASYA LFCHLHALDYFMTPGLRFGCDYTVYPGDPLRFHSHFLAVGHDWEEEIPLIDLVGGGRL GTGVKKGFLIGGEGPGTQGNTVRPFCIEWGGM VE01_05999 MADRRRINGPSCGTAPPLFMGSGVSSPETKSRLARTRAPNEIRK IFLKTGVTPSASGSAYLECESQPKSSTPKLSSLSSSGLKISCTVHGPRPLPRSAPFSP HVVLAAHVKYAPFATRKRRGYQRDAGERDLAMHLETALRGVIIGDRWPKSGVDVVVTI LEGEEDQWWEDDNQAESGPAGQWGMMNVLSGCITVASAAISDAGIDCVDMVSGGVAAV VRDRELQVSAKSGTLLHPTLVLDPVPSEHSEILAACVVGYLPSRDEITDLWAKGDFDT EYSEDGHSSYDSLSENAIEAAKRSYRVLDAALTESVALKLRG VE01_06000 MPLITLGLLSSGEDFQLETVRYYDLSNVGGTARGWEREERILLC APLRDAESHLPMFFSHLRNLTYPHHLIDLAFLVSDSKDRTLSVLTELLEGLQAEEDPN QPYGEISIIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSAALRPYHSWVYW RDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQESETALMLAD TLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMEIDGVGGVSILAKAKVFRSGVH FPAFSFEKHAETEGFGKMAKRMKFSVVGLPHYTIWHLYEPSVDDIRHMEEMEQERIVR ENEEKEKAERAKKIKEQFDDPNSQWEKDKTDIQSLAMLEKAKEQQATATPVANP VE01_06001 MSGDEQARELGRGPTELESHMPFQASTGKFTGEMPNQVHQEPSS PYRRPGAFPVSVSVAIDPVALITTECITVASAMRKHARWAHSSVSAILGGSTSSSNSV AEGSRPSTPGRSLSARKGHQVRPSLVEHDSLANRWGLRGKKGKSMQDNPLMAGFGRLR RDLIGCKDIRNFDTPALLHPFLQVIQASATSAPITSLALVAITKFFSYNLISRDSPRL ALAMQSLSAAITHCRFEASDSAADEIVLLRILKLMEGMISGPGGELLSDESVCEMMET GLSMCCQSRLSELLRRSAEMSMIKMCQVIFERLKYLEVAAGEHIEALDESTKEDMDGV KMDPSANGNDAVASSLSAPTESESLPRVNLDTPQSTEASGVPTSNYESEIGAGPSSEA SEDIEIKPYSLPSIRELFRVLVDLLNPQDRQHVDAMRVMALRIIDVALEVAGPSISKH PSLASLAEDRLCRHLFQLVRSDNMAILHESLIVAGTLLSTCREVLKLQQELFLSYMVA CLHPRVEIPREPGIDPSLYSGVPQAPKLVKPPPSQTNSGRSTPVPIKDRQKLGMEGGS RKPDAREAMVESVGALARIPTFMVELYVNYDCDVDRSDLCEDMVGLLSRNAIPDSATW STTSVPPLCLDALLGYVQFISERLDEPPRSGDYPDQTQLREQRRRKKIIIKGTTKFNE SPKAGIAYLTSQGIIDDSSDPDSVATFLMGTSRISKKVLGEFLSKKGNEPILEAFLNR FDFSGKRVDEAVRDLLEAFRLPGESALIERIITYFSEKYCAQSPPDEITDKDAIYVLT YAIIILNTDQHNPNLKSQNRMTLNDFSRNLRGVNGGKDFAPQYLQDIFDSIKSNEIIL PDEHDNQHAFDYAWKELLLKSESAGNLIACDTNIYDADMFEATWKPVIATLSYVFMSA SDDAVFHRVVTGFDQCARIATRYGMTEALDQIVYCLSYISTLATVTPASTALNTEIQV EDTSVMVSEMAVKFGRDFKAQLATVVLFRVVSGSETVIRNSWIHIVRIWINLFVNSLI PPFFSAAPNRMQISPIPLQNPSQVIDRGAKATDAGLFSAFTSYISSYAADDPPEPSEE ELESTLCTVGCVNACYMGDVFANIMDLPAESSQYLIEALLSQLPDDPSSTIIAVKSDN NAATVNGQKTLSDGLIYDPAFVYVLELCTVLSLKDENSVLQSGAQVSEALQSVIRDSA NYHPTLVARAVFYLLSLLHASYEHSFIRVPVVLHTISSFKNGLLDKAAPTILEGIKAC IESPGPLRNEIITSPDFWVILRALSKNQQASSTVFHILEGVTTGKTPPSIMADNYESA VSLLNDFASAGSIGSVLEQKQDTRSRRGQQTKPLKAQSDEVVERGVKAVGMIYHLTPR IPTLMKQSHLESDKAWEAYWSPIFKALTTQCINPCREIRHQAFSSLQRSLLSEELKLG SQHSWTAIFEEVLFPLIFRLLKPEVYSTDPIGMSETRVQAATLLCRIFLHYLPLLSTW EGMLDLWLKILDIMDRLMNSGQGDSLEEAVPESLKNIILVMSTSEYLAPQVEDPSQEK LWTETWKRLDRFLPDLRGQLGLESKEIVVDSHPRPATPENTDIPPSSTEE VE01_05120 MADGRSDRRRHKMKLRSTKPEPVYWLSLPAEIRLMILDFFTRQK SPGWASHAAVCKEWQFFIEKRNFHRLKLQGSCLDEFNRIVIRQRYLVHHICLDIRLPK YSCRSCENTETLLWESRKCSIIRDTIWTLFSILSTWDPASDLTLELKAYSPSDSEHWF KNHSFASNDDGTGAASSTQDGDPGEISSKWHDPKHGWVNGQRVKTPPWLALFRLFQPR PLGFQETLPEVNAVTCLIIPRQFRSWLHPLDMWIVLSGLCRLERLVHEPSRSPWKNRR IIFDDDALFLAQLLLSKAPTSPKSLSVFEDFNAEFATTVANRHRQRPTVLQPGPDRNA DPRVGAAFASRSLHVEQLFVSFLLNAEDFFQACLPTWTWQNLQSLALTSQLLKPTGFH LRPNALLCRAGVVALQMPKLRTLALWNGSCGFAAAFIYQVHRDSVTITWRGTWNQEFN LSVAKAWKSVASTLHSTELRIKKQLIHHKIGCHGDAIHHLDLPCRVVEPASLWQIRRE NSHHTR VE01_05121 MDVGLVRSRIVATLDADADARRRAELDLKAAEEHAGFTDALLDI LQGEQEASVRLSTAVYLKNRVSRAWAVSDDAAVTHKPIPEEEKARFRERLLPVLSTST AAVRAQLVPVLQKILHCDFPARWPGFMDVTLRLLNTNEAASIFAGLQCLLAICRVFRF KSGESRADFDKIVEATFPRLLVIGTGLVNETSEEAGEMLHLALKAYKHATFFDLAASL RQEQTTIGWCSLFIQTIGKEVPQSALSEDLTEREANHWWKAKKWAYFNLNRLFVRYGN PGSLVSGNGDDYVEFAKHFISTIAPEILKAYLAQIEKWVAKTTWLSRRCLSYTLAFLD ECVKPKQMWAHLKPHLDTLVTHFLFPVLCLSEDDIEKFDTDPEDYLHHKLNFYEEVSA PDVAATNFLVTLTKIRRKQTYTILTFVNTIVNEYEATEDSKKNHVAKEGALRMIGTLS SVILAKKSPIATQVEYFLVRYVFPDFNSPQGYLRARACDTVEKFEQLDFKDTNNLLVI YRKILECMGDPELPVRVEAALALQPLIRHDIIRTSMQQNIPQIMQQLLKLANEVDVDA LSNVMEDFVEVFAAELTPFAVALSEQLRDTYLRIVNGLLEKNESRGDDDSYGDYLDDK SITALGVLQTIGTLILTLESTPEVLLHMESILMPVISITLENKLYDLYNEVFEIIDSC TFAAKSISPTMWQAFELIHQTFKAGAELYLEDMLPALDNFVQYGAAHLVQTPAYLDAM FGMVQDMFHDDKVGGVDRICACKLAEGMMLSLRGSIDQYVLAFVEMAMATLTNADVKV KSYKIHLMEMVINAIYYNPVLALHVLEAKGWTNKFFSLWFGSIDAFSRVHDKTLAIAA IVALLTLNPDQVPASVQQGWPRLLQGIVRLFQTLPAAAKNREEALKDDYPLADGAYSD DEEEEGVEWGGEDTAWAEEAEEEEDAEGKDESSAYLDFLNEEAQKFGNLDDESDDELG EESLLETPLDKVEPYGLFRDALMKLQTEQPQLYTSLTNSLSPEERTVVESAVAQADVI ARKTAEEAAAAVAAGASA VE01_05122 MATNNPHKHLAMSALMSPPEATPYDRFPTAELLSSSPSAADSHR KSSIGAKLQFLSPPVSPDTPTTAGPSSSPTTFDSRIRDPILYPPTATESDNSISQPLF APPLQQLQPPQTPTHPHDSPTNRLVDQHISRAHRKNLFRATSPPNRSDYILTIEFHSQ VMRNFTRDPDAYLARERQFLMEAARRRAAAAAAATSRSPAKSSPRSSNNRTYPALAPS PAGVSASRPRAPPKPRAKPRRDRTATPDAPSRSRNTGTSTREDKEFHLLPDLCPAIES RLRPNALKVEWKGAPLDLSADPHRALLDPEEVSLAANLRLDCATYLTSKRRIFVARRE CWAGTWGGKGKKEFRKTDAQQACKIDVNKASKLWMAFEKVGWLDEKWCV VE01_05123 MPPPAETDDLDALFNDYTSVFDRPLSPPPQLPSSPPRTTNNALG LDAPLTLPTHAPRPKLDESRILSSRGIPKLRERARTLRLKGRGHEYGDADRLLGLYQT WLDELYPKAKFGDALRMVESAGHKRDVAVARRGWIEEGRPGREGEGEGDGEVRPQAQE QRQGTERIAPIFTDLASRAAERRETPGADVPDDDPDAIAELYGASPRRGAAAAKPATQ GQTSIFGPGAAVVPLPGAAGEEEEDEDDLAALLAEGGMDVDTVTATAAPTNKTKAPEE DEFDDDMDAMAEMGW VE01_05124 MSARQARFSQSTLIDTTPLPDSIPAVQEVGASSAPLLSASFFIG ARCGKYNDDFMQCKTENNGTAEMDCLKEGRRVTRCAASVIDDINKNCLKEFRRHWSCL DTNNQQLWQCRPAERVLNKCVFETLKLEKVIPDTPKGEVPVHLRERQIYSQN VE01_05125 MAEALAESLQKVHIGSTDPSRDDAWIDSILNQQGPRKRVKQHPD DLKAELDRKFLTPSTSFSTEWLNKLQQRWDCPTDYTNLFKIAPTQTRTITRFTREGLE GRVTGYKEVTVPANSATAKNSTSLLRKPGNRAEFVRGAAGFFPFAPGGLEGVEQAAAL EEQMMRVEGKGVAGAAKLERVINFGAGDGLLEVAPGFARGVNFKPKKGKGEEVEAEEV EKVLDQEPVEDEGLERGEEESPGVAEEEEEEEEDEDIDSILPVEFAAFEPRSALATSA AKRGAREWAHMVDIKRGITNFRELVPDMAREWPFELDTFQKEAVYHLENGDSVFVAAH TSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFRQIFDEVGILTGDVQIAP EASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWEEVIIMLPEHVT LILLSATVPNTYEFASWVGRTKKKDIYVISTAKRPIPLEHYLWANKDIHKIVDSDKKF IEKGWKAANDAMSGRDKIKALPPAEASGRGRGDQRGGRGDRGGRGGNQRGGAQRGGAQ QRGRGGPPRASHNPGHMGRGGRPGGRTSAAQDKTLWVHLVQFLKKESLLPACIFVFSK KRCEENADALSNQDFCTAIEKSAIHMTIEKSIARLKPEDRVLPQIVRLRDLLGRGIAV HHGGLLPIVKEVVEMLFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHSFRNL LPGEYTQMAGRAGRRGLDTIGTVIIVAPGGDEAPPVTELRQMILGEPSKLRSQFRLTY NMILNLLRVEALKIEEMIKRSFSEHATQQLLPEHEKQVKISEADLAKIKREPCDICDV DLDACHQAGQEYMQLTLDLHLGVLGTPVGRRMFAPRKLVVYMKDGVRTPGILLREGAT SGPNPTIHVLEIRTRRDVRDSTDLLPFLPQYREMMTKLPQAKKHISTKTLWVPVTDLL CVTKTTLKGIVPEIFQGGDGYLAAVAELSRLCQSWTLPEWDELDWSKIKDMTTRELLE KRRQVAESAQQGACISCPEFLKHYAMRQDEWVIKENIHQLRQLMSDQNLQLLPDYEQR IQVLRDLSFIDSTSRVELKGKVACEIHSADELVLTELILDNVLAAYEPAEIVALLSAF VFQEKTDTVPTLTPNLKAGMATIIEISEKVNAVQTLHQVILSTEDSNDFVSRPRFGLV EVVYEWARGMSFRNITDLTDVLEGTIVRVITRLDETCREVKNAARIVGDPELFLKMQK CQEMIKRDITAVASLYM VE01_05126 MSKAAEAGLMNAEMVLRFREGDLKKAIEKLTKEQAKETKELLKL MKTGDEDLINGQASLVASLQGTIRDLTRSKQRLHIFAAKIKSARLNQSIGTSMVAVSR ALGRVTKNIELERVEAVMADLGRQYEDIDVMTQVLDSATATGSASTASPEDVEKVKRQ VADAAGVEMGRELEGGRVPTTKVGPTAEEEEASSERLKALRQGA VE01_05127 MSPSIKFELSPAESLIEQFEGSSPNESYPSLFDGMNPSEIDGSE YDYADYERFETQTDNDSVTAAANGGDQTQPEKKVVKKRKSWGQQLPEPKTNLPPRKRA KTEDEKEQRRVERVLRNRRAAQSSRERKRQEVEALESEKVAIERRNRDLELRLAEAEA RNLALEQQLLRLSSTSPYLSSPSQKAVDASSSPQVTFSQPLFPSGDSAPFTNQQPQTV NPASLSPSIRPVSSTGAESSNARAPDSSLFDDILPVADSFALDLAHLDASQAHPSLAN INVNNSHGNADIFPDFHLDDFLVAHHDAFDNSPSFFPEECAPATTAGLQPPFGASTDG CDDGRNAVTV VE01_05128 MTPRPRMSSLSLRPSLLSRASSHSNNMLHARSPAGSPSPGGGIE WSSYFMTSASASSPSAEMAHYGGCTCNPWMNGPGSGYTPTSQLPPQQQQQKSTQQQQG QTQSDPLNRSHRPVTDTRTPTPLSARGGADIGSYFSPWSSECAHTPPLGSRGADGEVI KTREQDGFFAHRTTHTLTHTSPTSASTASTAASSAVPQIHLQHRHTHAHNQTRPHLRP PPPPTTVTFPLTMAVAQGKYHPSNYRNPSPPSSSAHLSSTTTSSPLITTSPPSASPPT TTQTQSPLSPPAQQAPDTRRLLLQYQRDMVEAAARAAQLSISRSPASPRLGPLGSPGP VTPWELEGEGSGGGWLERKGSAAATEAGKEGGERGEA VE01_05129 MPNTFSKWIDKKLSLPLPKWDQEPPLPTLPPTRPRVLTPSPSRE TLTSSAASATSTSAFFQTLPFEIRHKILKEAFGNYTMHMHLSYDLPKPPLSIRQQDPK HRHANVRKVPPLSPPQSKFSIPKRKTWQWWSCICHRASPWTLSQSRPPTTQLDKPCYD GCYTTIGSSWCEFWPGEAPGKCFIGVMGWLLSCRQAYAECITILYSTNTIHMASSIMI RHLPQLLLPQRLSTITSIEMIWTTHPTRYGTDQPNSLDSFGLPATHSLMEVLETSLPN LKRLSLTLSSDLTLGHKDDNPTASTPIDDMVRRMRPRLEECYVYIPWHIYEERRERGE ATGEGSDDGGLGDERFWRQLPGADGGDEAIPVDLRGYWVCCGERNYRAFPLPCWI VE01_05130 MEHQAPLQIFTITQLELGSPLIWEPAVGTVEHDRLVDAYIPGPA NLQQKRATIALDFFDTAAASTMPAPFCRTYLVATSTPEFAAKPYSPAFAPTTSFSPST TSSLSSKSSKRSISSLSSAASASPAKRLPGFSIMTADGVDITNNQSRGPKTKAQREQA AKMRKLGACPACKRSKQKCEPSHHRPAPMSASSSQNASSVSSPWQSSGSASASTSPGS RASISPQTSFGQSFSPASQAPMAAADLAWSFALPAGDFVPGDWGFTSDQLLAENSNVP GLFPSDLLDFDALDEDFFAPAPASADNFFPHVQDRPNVQPRGFEGGAWYDDFVLSSYF NGGEADVSLSSAQASVGESSARTQASSSSTSPSGQTDSSHVLGGLERVRDLSPTSSSS EIGGSSRSPELDLFRDRSVHASELVVNGTLCGTLWDNLQSDSSSPTHDPRTATPTLQR QSSDGIVDDPSDPDPVPWAVPPNTTSTTPRRQTRVTSKQIRAPTSRANPIAHAVPYIT DLRVSDEGDLPPSHAMAQAMAANQHQRLEYLKVLRDSRRDLATGSHRLPSASASSVPL ERDTQLRAAVARSLVSSSPTTSAPSVSLDQTTQRHAAVANYLVSSPPSASAPSVPSER NIQLHAAVARNLVSSSPSAFASSVSPDRTTQLHAAVARYIASSSVSTPYSPPAAASGE DGLGECRDRHKAVRKPVALCSCTVSTAVPATATALCACTPTSPTKTIPANLVAPPSRL STGVLGGMLDGIRRRVLSFFGAGDAAGVEGLVGGMGRLGVV VE01_05131 MQPLILLAGLLALGVDGSQPSAPKPVAAPMRELPWGQLNFLATT DTHGWLGGHLQESQYSADWGDYISFTEHMRADADRRGVDLLVVDTGDRVDGNGLYDGS APKGLYTNNILQRQDIDILCSGNHELYKIPTSEREYNETVAAFPEQYIASNIDILHPT TGQRVPLSRRYRQFKTKNQGIHVLAFGFLFDFTGNAHNTFVQRVEDTVKEPWFQQAIR EHVDIFVVIGHVPPHSPEYQAVFSAIRSQNWDTPIQFFSGHSHVRDFAKLDDKAYALQ SGRYMETVGWMSVDGIKIGGKEVDAERGMKFQRRYIDNNLFGYHHHTGLNASTFPTER GMNASLAIASARKSMKLDKVFGCAPQDFWVNRTPYPSPTSIFSLLVDSILPDILTPTD PPSKPRIAIFNTGGLRFDIFKGTFTKDTTYLISPFDNSFRVIRDVPWEAAKKVLPLIN AGGHVFAADSGSLEEWRLAPPQQMGVQSDTVAEETATHSSRGGAQMPLGGGREDEVPL IPGYTTSDDGGKDGDDTAHAPLSFYRVPNVVESRMGFPTDVGVPEPEAVDVVFVDFVE PWILLALRFAGRGYEKGDVQEWEGGRGFTSMLVEWVAERWGKGDGEGGC VE01_05132 MGSRISEEVVAVAKSGWKRGARNSPYKARFKKGPRDSSSNAFIR QEKSFRQWKIYRPTILPNRFRILAVKDNISTTSFETTCASNFLRDYEPPFDADVIKTL YKRGGAAVGSKTNMDEFGMGSHSVNSHYGAVTNSPPFEAYSAGGSSGGSAQAVASGSF QAALGTDTGGSVRLPAAYSGVTGLKPSYGRISRHGVIPYAHSLDTVGLFCKDPEEMTA FLIASQDLRTRDPTTPTEPATKRMTDAIRREEARLGRWPVTDTKPLRIGVPAEYNIAE LSPAVRHTWQRTLDTLRSHGHTIVPVSLPSTKHALSAYYIIAAAEASSNLAKFDGVRY GSREDASDNPTGVLYASTRGAGFGDEVRRRILLGTYSLSASAMDNFFVQAQRVRRLVQ RDFDRVFAMPNPLHPENQFDLADMPETTEMDSKLGPAEVDVLVVPTAPTLPPLLKDVK SQGSLAGYTNDVFTVPASLAGLPAISVPVPIAKEAREEGNVGFVGMQVIGQYFDDFHV INVGTMVAAGVRWPSRLEKLQEKWRIQRENAARNYEFRRPVGFGDK VE01_05133 MSVAQVSLPLPILPSGWAADKDFKAVGTVSRANDRSIEPVGPHF LAHARRARHKRTFSEDDRIQAQNSVKKVEDDDAGEISEPEDPSMLLRDAKDWKQQDHY AVLGLSKYRYKASEDQIKRAHRKKVLRHHPDKKAAAGSTEDDSFFKCIQKATEVLLDP TKRRQFDSVDERADVEPPSKKKTQAGNFYKLWSPVFKAEGRFSKTQPVPRLGDENSTK EEVETFYNFWYSFDSWRSFEYQDEDVPDDNENRDQKRHMERKNNNARKKKKVEDNARL RKLLDDASAMDERIKKFRNEANATKNKKKIEREAAEKKAAEEAKAQKEAEAAAALKAE EAAKAEREQGKKAKEAAKNAVKKNKRVLKGSVKDANYFVSGDAPASAIDGVLNDVDLI QGKIDADEIAALAGKLNGLKVADEIKGVWSEEVKRLVAAGKLQEGEAKTLA VE01_05134 MALQAYRHLLRSARLAFEGDTHLLHAAQAQARGAFRENASLAPE DPLAVKAITHAKEVSAILRENIVQGKNVGDNKYKLRIHAETERGDNDSIKMPNGQKVK IEGKKCCS VE01_05135 MPPQIKQDLNRSGWETTDFPSVCENCLPENPFVQMLKQDYSAEC KLCTRPFTVFTWKTDRTSRSKSTGICLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM IAPGPQSAVNREFFAQNHERDIEEGRGEALEEYEKTDDKARELLRRLANSEPYFKKQR RIAPVEGEGSAAASGSGGGAGEQSQRSGPGPIRTQRGGAAARGGVRGGRGGGRGGRFP SAAVLPPTQADIAPPSDTAITSLFVTGIEDDLPEHKIRDFFTAYGKLRSLVCSHMSHC AFVNYAERQGAEAAALALQGKAVIAGCPLRVQWGRPRPIGTMDRDERSATGREGRSAF ANAGRQAVEQSQEVQAVRRQNDDLANMAVVAAPPGADDVNYASLAGN VE01_05136 MPSVLETENHVRDQEFAKAMHGKSAAEQNHFMAWMKKDKGAQKE AVDEYFKHWDNKAAKDETEEVREARRAEYATLTKHYYNLATDLYENGWGQSFHFCRFA YGEPFNQAIARHEHYLAHSIGIKQGMKVLDVGCGVGGPAREIAKFTGAHITGLNNNDY QIERATAYAQKEGLSNQLKFVKGDFMQMGFPEETFDAVYAIEATVHAQSLEGVYHEIF KTLKPGGVFGVYEWLMTDNYDATNVKHREIRLGIEQGNGISNMVKISEGLRAIQAAGF VLEMHEDLAKRDDPSPWYYPIAGDFKYMGSIWDFPTIARMTKLGRGLVHKFVWGLECL RIAPPGTNKSADNLALAADMLVEGAKLDLFTPMYLMVARKPLN VE01_05137 MSRLLRPVSSLLSSRTAPVARRAFRRSDLPRVVAAQWRGYASGV KEMTVREALNEALAEELEQNPKVFVLGEEVAQYNGAYKVTKGLLDRFGDQRIIDSPIT ESGFTGLTVGAALAGLHPVCEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNITFRG PNGFAAGVAAQHSQDYSAWYGSIPGLKVVTPWSAEDAKGLLKAAIRDPNPVVVLENEL LYGQSFPMSEEAQRSDFVIPFGKAKIERQGKDLTIITLSRCVGQSLIAAENLKKKYGV EVEVVNLRSIKPLDVEAIVKSVKKTGRLLAVESGFPSYGVGSEILALTMEYAFDYLEA PAQRITGAEVPTPYAKELEDMSFPNEDLIEAFAKKMLKL VE01_05138 MNHPTISNLFRQMAVSENPAILQQVLAPGSQGGHASRELLDRLN LTKERGFWKGMFAIYGPSKAAVDTSWESVQDAFEGVPGVKFGADYHEAKRGQRLKIRD MPEFEIPHNGFPRLSALPMMDTRGYGGGHICFSPLFPPGGKELYEWYKFASQRISEEN FDLFADFHCYGRYTIAIVVMVYGPTEGRRADALYEELMVQAHEEHQTSEYRTHIDYMS KIASHFDFNDGALNKFVTGLKELLDPNGILSQGKSGIWSTRHDKVDEWKSNGTAVRLH NEASGGIISLPPLTGHKNNTNAYKVDERTLHTTSKIPLRGLL VE01_05139 MKSDDIVPLIIDGLDVTTDVEFVFETNRFGGKPSPKKAFAQGAS TETCLRAVESCAKAFPSWKRTDADQKRKLFQQLKHLLEVRGDDVREIIEEEINCSKLW SHINLQDSLGLIDEAAALVTSDALSGTIPITRNHNAPALVFKEPMGVILGIAPWNAPL ILGFRAVVAPIAAGNTAILKGSELSPRVHYFIAQLFQDAGFPPGVLNFIMHRPQEASA AYETMISHPAVRKCNFTGSTPVGRLIASRAAASLKPVLLELGGKNFAIILDDADLDKS ARLTLEGAFLNNGQICMSTDTVLVSRSVFAAYRKKLIVLMKKASSDISAVITTKSSER LRALINDAIAKGADITTGDDTDPSIIPATIVDNMIPSMDFYHAESFGPMLGLQIFDSI SEATKIINDCPFGLSSAIFTRNHYRAMMIAKDLNVGAIHINGATVHDEPTIPHGGHGD SGWGRFGGSWGLDEFVHTKTIILNDYGGSAPVVRGTVVLDLHRMNKIIEVNHEYAYAV VEPGVSFFDLFEYIDKNDYNLWPSVPALGWGSVLGNVLERGFGYTPNGEHSQQQCGME IVLSNGEIVRTGMWAKKDCTMGPLFKGY VE01_05140 MAVAWNRLIRFVATDGRILRGEPILPSPDFDLGNTTAETQLKAL IISGHDLYDTTGATEVTNEVAIVKELLGPLAQTDVPILRCVGLNYAKHIKEANRSAPP FPFIFFKPITTVTDHNVNVVIPKICQDDQADYEGELCIVIGRDAKDVSEADALDYVAA YTCGNGISSRKLQRDAAYAGRIPQWGFSKGFDTFAPLGPCLVSSKLIDDPAKLHLKTT VDGE VE01_05141 MAHLRQGNIDDSALTGVVLHASAKHHGISLWPPPSNDPHDPLRW PRWLKVLALCTTAFFNFTSNFAAAGPSVATTLIQAQFMKSASEVNGLLTFNFLLLGLG NMIWVPMSVKFGKRAVLITSMVMLFAALCWGASATTFNSLLAARCVSGFASAAGESIV PGIVSDLFFIHEHGAMMSIYTILISCSSAMGPLIASYMVQYSVGTWRDFMWLSAALAG FNLILLILFYPESNFRRPQLPTECEPQPDEPRRAANAHGNENDKGTPSEYGIEHLSVG EPNDIQHVNHIKVPWHSIWFSFVKVDDSVNIFKAFLRPFIFLAYPPVVWAIFVYGISL GSQVILIFAFPSLLLAPPYLFSPSGVGLMQIAALIGFTIACYVGGYVSDVITTKLIRR EGGVIYPEQRLLSIIPGALIAPIGCIIVACACSQQMHWAVIAVGFGMVSFGTVYAPNI ALTYVIDCYPEHASQCLVLINVFKNLVAFTFLYVAVNWIAASGWMQVYMIMFMLSILT LAAAIPLWFYGARCRRFTSGLQVHKWL VE01_05142 MSGHFRALQEQGSQQQGSQCFPNYPQFSGFMKPCRFEGEVQNLE VQGSIPPEIDGTFYRVMPDPQFVPFIENDPWFNGDGNVSAFRIQCGKINFKQKYVRTE KFLRERKAQRALLGKYRNKYTDAVEFKVRSTANTNVVYFNGVLLACKEDSPPYAMDPV TLETIGLWDFNGQLPSLTFTAHPKVDPVTKELICFGYEAKGDGTPDICYYSIAPDGTF KETVWLVSPVVAMIHDFAVTENWVIFPIIPQTCDSERLKQGGEHWQWNPKIPFYIGVL PRRGAKGSDVKWFRAPNSFPGHTSNAYETADGKLIFDLPLSDKNVFFWWPDAEGGAPN PEQITANLTRFTIDPQSTDLDLPTPEVLLREDSEFYRIDDRFSMSKHAHCFFDVMDSR LGTDFAAIAPVMGGGHAPYNALGHLNVETGRYEKYFPGTKHFVQEPVFIPRSDSAEEG DGWLMALVNNYGLMSSELHIVDTRDFSKAQAIVYLPIRLRAGLHGNWVDTRDLGLSSD VE01_05143 MNDAALTAHFHAGLRDEIKDLLISKDRSEKPSIFSLKSIELQIL AMYRRLAKNQQLETCRQDGSIEDYISGFKTLAQGFEGHELSLVSDLYHGLGDKMKSTL GLADTAKRYLEALTLVLSTSRRRQVKEIRNDRSD VE01_05144 MLLAAVVTAGIIAAPAPAPADAKSMMANVAQWTIERMVRTCNAA DTSCTWSFNIATHTANPTPCQYTVTGKPASHASTNGVHCGGFTISSGWSGQFGPGNGF TTLSVVDNSNKLIVWPAYTDKQLANGVVVTPNQSYAPVTLG VE01_05145 MAACCSRPSPNLRQEKEGYAGSFLGAHEASIWRASGDGFEDFVV GKGQGLNVLLQYSAH VE01_05146 MTSPPTNTQLMRIDAAASKTTHMRPDTHTGLQLYSRYAFAGAIC CSVTHGVLTPVDVIKTRIQLDPVTYNRGLIGTLRQVVAKEGAQTLLTGLGPTVVGYFL QGGLRFGGYEFFKRQSTEWFGHETASNNRTAIYLTSAALAEFFGDIALCPLEATRIRL VAEPNFASGLVSGFAKIARHEGISAFYSGFGPILLKQVPYTMAAFVVFENAQSVAYKA IDKSTISNTAQTAIDLGSGLLAGFAAAFVSQPADTMLSKINKTRGLPGEGTISRLSKI TKELGFRGSYSGIGPRLVMVGGMGAGQFAIYGSIKKALGATE VE01_05147 MEHNTDQKQVIKAESDVSHVETIEYPMGYADPHRAALEDNPEHA EKLTLSVALSAFFLGTSLTGPIVFGFLFVSTILVQLTHKIGGENNSFWIPSGWSAAAG VVSCIAGRLSDIFGRRDILLFGQFLTIAGGIVAATANTMNQLIAGEVMLGASIGAVAV AYAGISEILPNKYRGIGLAWTELNLAVWAVPATLLANLMVTNASWRIMYYIAIGYGTF SLVGTACVYFPPKNPRADGKTKWQQCKELDFMAIFLFVAGLVVFLYGLNSGGTTYPWV SVGTLVPLVLGLTTLLAAFAYDFTVADDPIFPWYLFKAFRRYSALLVLIFIASMVFYA AAALNAQTILFLHSADPVKIGIYSLPSGFAQLVGGAILPAFVHRIKHVHYQIAFGLFM QTLFFGLAALITPTNLNWLMAVQFLAMFPFGWVTLNCYTTASLNIPQRDLGVAIGLIG SFRSIGGSIGSVLFSSIFNQVAAKQVASRIAVTAAKAGITPNMIPALIGAVEGTIVGV PGLDAKFPNVPASVFSDCVSAARHGYAYGLRITWLSSIPFGIVAVVCGLCVLDPSKYF TNHVEIHLEKEIGRGESSARDKEIHEEKAMGVTGSA VE01_05148 MATKKIAKIALEEAFNLPDIDNSNNNFTAPNGAKDLGAQLVDIH EQRLKGMDENGVSYMILSLTAPGPQGETNPEAAQALAERANNYLAGEIGKNPARFGGF AALSMHDPKSAALEARRAIKELGFHGLLVNDFQSTGADGEGAIFYDQPEWDIFWEEVQ ALDVPFYIHPRLTTPAVTKLFLDGRPWLRGATYFFSVGVSLHILGIYVNGIFDRFPKL QIVIGHMGENLPYQLWRFDHRMSYYVHEPLPKAKRSLRETMKTNISITTSGHYGTPSL LFAIQELGIDRVLFSIDYPYETIDEGPKWFDSITELTEDQKKQIAFGNAEKLLKLSPF PK VE01_05149 MARDPAVAAAAMAAYQKDGSGPLGMVPLVSAFMPCLDFPPDERA QLLHIIDIHNGGDISSGQKKQFDALRQILQDPNEPAAHFCLEKGPSPKGIFGLGHPGF FVSIVSLLTYPFSRGSVHLKSNDPTGSPIINSGILRHPVDLELQARHSIWMEKIAETD PMASLLKTVGARLHTAERLTDVHKAKELCKELVLSMIHLSGTCAMLPPKDGGVVDSKL RVYGTSNVRVVDASLFPLEPRGTIQATVFAVAEKAADIIKQNA VE01_05150 MAPIDTDSAAGLEDVAFDFVVIGGGTSGLVVANRLIEDPHVQVL VLEAGTNRLNDPRLMAPGLGIATYEDPDFDWNFRSSPQEQLNGRQLLASQGRTLGGSS AINLGMVIYPSKVGMDAWEKLGTPGWGWDGVSPYIRKFHKAAAPPDEVRQFFQGMKYD LRDQGSDGPVQVSFGDQYMPLKIRSRALEQAHLSVLVPWTRLLTRGAMPAPLTLGTQY KSANLRVVNGALMEKPIFERRDGLFVATAVQVRKDGNTYNIPVKKEVILAAGATHTPH IRELSGIGNADLLRSHGIEPVVNNPGVGENLQDHGTVSFE VE01_05151 MGQYGLRAEDGRAIVAIMAVIGVVSIASVALRIVSRRMRDLSLG LDDYLIMLAMQDGSDRPDTKQASGFAAIHLNDGSKQKYGLQLGNLVVKKTFVDTGKTS VLGYLRSRLNFLTRVYEDGERGALREEEGRVD VE01_05152 MERQNSAAEASQETLISLQQLEPSPVESPLNLDKQLPNLPNEVS PPHHGVGTLGLSGFNNGHGTVYYLTRLQKYSTYAFSLFAAMHITNTSLLPLVTSSLPD ADKYLLLTRPFYQSFPMEAALVTAPLLTHVLAGLALRVHRRNATLKRYGASNLSIANR LQQRLRIWPGFSWQQLGGYALVGLVGGHAYVNRIVPLIHEGSSAGVGLQYVAHGFAKH PFIAWSAQVALVGVTAGHVVWGWARWMGWAGAVDQKTARRRFWTINGVVATVAGIWAA GGFGVVARGGLTTGWVGKGFDELYKTIPGVGVYM VE01_05153 MPPRGFQNPAPKTESAREAAKSFYCELCTKGYSRMPDYEAHLSS YDHAHKQRLRDMKQLTRDPMASTKARKAEAKANAGSGLISIKLGGDNAAGAPKKGGFK KSGFKSAFTPAEPEEQKSEAEVVKGEEVVTKEVKTVRDVMEESDTDDEDYQHYDPRFP TD VE01_05154 MKEATSKENEKANNANTEVGEPPQGIVQDTAEITAAPETKYLTG LPLHLLGLALMASIFMIALDLSIITTAIPKITTRFNSLGDVVTGWYGSAYLLTQMAFQ PSFGKIYTYFDLKLTFLTSIAIFEVGSVVCAAAPSSMAFIIGRAVAGFGAAGIFCGGL VIVANTVHMRLRPLFVGIVTSMYGVASVLGPTLGGLMTDSPRLTWRFCFWVNLPFGAI AVAIVTFVFKPTTVVKTGLSIQQKLAKSGIAHVVILLADLTCLVLALQWGGSVYPWSN SRVWGLLLGFGLLTILFIGLQIRGKEEALIPPRIVTQRSVSICCLYVSFMQLAILALS YFLPFFFQAVQGSSAKTSGLSILPFGITTAIATLISSAIVTLSGIYIPFMWLGAALLA VGTGLLHTLHRSSLMREWFGYQVISGIGFGIGVQIPFFAIQVVLDAADIPVAGALIAF CQSLGGTIGAAVAQNVFQSSLVGNLNKIPGIDVNRVVSAGGVGVVDVVPAPLLGGVRD AYNVAISSAFLVAVASAGVCFVASLGMEWRTIPRTKKKTDESTTTVMAS VE01_05155 MSNTISSAVSNTAAAAAAATTALRATPQGGILEGANPATYDPKN PIILFIIQVTIIIIFCRLLHYPLSLIRQPRVIAEVIGGIVLGPSVMMHIPGFKAAIFP DASLPILNLVANLGLILFLFLVALETDLQMFMRNWRVALSVGLAGMILPFGLGCGIAY GLYHQFRTDEGIVPISFPVYMLFIGTALSITAFPVLCRILTELNLLGTPVGVTVLAAG VGNDVVGWVLLALCVALVNNGSGITALYVVLCTIGWILFLFYAVRPCLIWLLRRTGSI KNGPTQGMITLILLLTLFSAWFTGVIGVHPIFGGFLVGLICPHDQGFKVKLTEKIEDL VTVLFLPLYFALSGLNTNLGLLNDGTAWAYVVGIIAVALVGKIVGGTLAARSCKLVWR ESLTIGVLMSCKGLVELIVLNIGLQAKILSTRTFTMFVIMALVTTVITSPATSALYPP WYQKKLEAWKRGDIDWEGNELRASADSLEKLESTQIHKLLVYLRLESLPALFTLIDLL GGEKPAPITRVHKSRLPSVPEDPSSETTTPEHALEVHGARLLPLTERTSSVMKVSAAD DSSSDPILNTFRTFARLNALPVSGTISTVPVTSFATELLSKTSSLSPDLLLVPWPSSL PADSEADAPPRRPAPFTPGAHSAFISELLSEAPCNAAILIDRSFGAPVSSADRGHHIF FPFVGGADDRVALRFVLQLAKNRHVTATVLQVIHTAAPEVKPPPPTVPGIGAEAFAPR LGMGSRRGSRASAVAAAEDAVRGMVERREADAAFLCALRDSLPAGLGERVVFLEGEGG QAVIDVAKGEVGGVGDLVVVGRGIGGEGEVGRVLGGLAAEMVDGNVGGSLVVVKAGGR GLEM VE01_05156 MAATFCPEDRSFGPTTSTQCRHGLDFTLLFQESFLTILPAALMI IASTIRVFFLRNRRKVVLSHSFYDVKFINVTFLVILRFALLVLWVTQAPLRSEVSVPS AVAYFLESLAFMVLSRSEHFYCLRPSTLLNLYLLLSLVFDFIRIRTLLNMGYYSLIVS LVAADMAIKVCLLFLEGKNKRAYFSNADSERPHQETSGILNRSVFWWLNSLFLTGYNK VLVLEDLCHLDEEIASEKPIQKFERKWESTSHVQKRRLFKAIVLSLLHLFAAPILPNL LVMALTFAQPFLVSAMLDFIASTSESKEVGYIIVVGYALVYISKAICTAFYMHQLDRF ATTLRGCLVSIIYKKTLRLDLKEAGRGESLTLMSADVEHIVKGFPLLHEISSNTAMTI IALALLYRELGLAFIAPLVFSTILSLVSGLVAQPLMTRQMVWLEATEERVALTASTIA SMKGVKILGLVDMVGSTIRKLRHKEVKCGKKWRIFYAIFTLLQTLSLSGTRWVTYTVF GLIALYGSGNGSLGVNRLFTSMAILNIFMERLEILIRQMPRIASAFGCIRRIEVFLKA ETKRDNRMVIEQEREVIDPYFGHELVTIQRQTEDAIVIRDLSTGWTEDHAVLKDITLQ IPVGNFAMIIGPIGSGKSTLIQALLGETVTHRGFITMGSTDSIAFCAQTPWLVNKSIQ KNILGTSLFNGPWYKKVLTACALFEDLKNYPAGDRTLVGSKGITLSGGQKQRIALARA VYSKKPIMLLDDIFSGLDPVTEETIFQSLLGENGILRQESTTVILATHAVHLLPSTDL LVVLGDNGTIKYQGTPANLPPNLVSMRDVSAVGQKRDSQTDDSSVRELSVDLEDTEIS LNPELPTADAATTDASRQTGDLRVYWYYLKTMGLKHTLLFAILGAICMGFGPAQNLWL NAWASDEDSGHISYYVGIYSIFFVLEILLTTIWIWHVLIFPLSASSIKLHDSQLNAAL SATMAFFSNTDTGVTINRFSQDLMLTDNELPLTLIDCVEYIYTCIYRMVLIGLATAYV AITFPFVLATFYFVQRFYLRTSRQVRFLDLETKAPLYTNFIESLAGLATLRGFGWEEE FKRQNNEYLQASQRPFFLLATIQRWLALVLNLIVSGIAIIVAVMAVQLRGSIDPGFLG LALVNIMTLGTSLQSLVSFWTDLETSIGAVSRIRAFSENTPSEDPFKLAKAPGGWLKS GQIDIKHMYAGHGPDSEPVLHDINLSIAPGQHVGICGRSGSGKSSLLSTIFRIMDVKS GSIIIDGIDISSIAINQYRGSINALAQDAFFLPGTIRDNLISANAVDLSDEQLERVLQ RTGLWDKIQDIGGLDTPLDAEASLSHGERQLFCLARAMLNQSRVLALDEFTSNVDIDT DKAMQKIIREDFGHKTILAVAHRLDTILDFDRIVVLDRGRIIEDGSPSELLSRDSAFK VLYDAYRSEKTN VE01_05157 MAAAAVQTIPGPERHVDGLAVPSGIGANQGVIKNEHIQRLRPTP KDTPISEIRRRLKEDGYIFMKGLIPREDVLNVRKHYFQNWADTALLEPGTSPQEGIFN SSENPLHHGGIGAGDLPLSEKEVETLISSHHLDNYLHFLDNPALRQMVRDIMDWKKEL LLRRTMLRHNPPGGVSTGIHYDKLFMRDGDAFFLTAWVPLGDIAANGGGLIYLSDSVP LGRAIEDDFTRRAANFTRAERINAYNIHMHKFGILSNDGEEFLGREAGGRGKWLVGDY EAGDVVFHDPFMVHASGKNNDAGGRIRLSTDLRFYEEGCEDMDERWMEYWRPGDGL VE01_05158 MDSNFHSTVMQRPQDEEDERLRRERLNHVANQNSPLPLYAGQSP TQAAYNGPSSYSPTKSLPRRPSFTKQYHPPTPSQLPPPPPGSAHRAPSEASPLVATQT PYQSSEYHSAPRDKPTSNYYDPTSDSGEPRPADPTRHNGQNPAPQQNNRDSYTWSQTG TESRNYRNGAFTSPVNATFPGPQSPVTSHSHPASRLGSTAQSPRVTAAMETPVARQNN GVAAGLPAEPTMASPSKPARTTDPMSFSSILTESAPAAPTPTAPSSPPPAKVPRKSSR ASIPPPPPADETPIKEESRRPPLHATTPVSSSRVPAKRQANGSLKAKKSVAEQEEEIQ VMLAEIDANASDLETSEFEDEMWLFKARCLKRRVELDEGEERRRKIRRRKYVDDMTTK LMKHAETGEKRYREIHGEEAIASVQEREVLAEKERKKDMQRKRRREKTVATNLEQKEA LLKKAQQTADDNERKKLLKEAERAEKKAQHTKIILARGDKGPEIRTVSPIEPNFSGGT MTTFAAEPETFTGKGKGKGRGGARLRKSKEQKQAEKDSAVAAQAAIDAGEEPAPPPPS EPKIRLKLGPKSKEEPREESPAVIEPFVSKTYNQIYDQIWKDIAKKDITKVSKIVTDS QSVKASNLKKTAILASKEAKRWQMRTNKGTKDLQARAKRVMREMMSFWKRNEREERDL RRQAEKQEIENAKKAEADREANRQKRKLNFLISQTELYSHFIGKKIKTDEVERSTDHP DVAVVKDAQGHAHKINVPDQSGGVAGKVTNFEDLDFDAEDESVLTAAAMANAQNAIQE AQNKARAFNNPEPAMDEEGELNFQNPAGMGDVDIEQPKMLHAQLKEYQLKGLNWLVNL YEQGINGILADEMGLGKTIQSISVMAYLAEKHGIWGPFLVVAPASTLHNWQQEITKFV PKLKVLPYWGTAADRKVLRKFWDRKHITYTEDAPFHVLITSYQLVVSDVAYFQKMRWQ YMILDEAQAIKSSQSSRWKSLLGFHCRNRLLLTGTPIQNNMQELWALLHFIMPSLFDS HDEFSEWFSKDIESHAQSNTKLNEDQLKRLHMILKPFMLRRVKKHVQKELGDKIELDI FCDLTYRQRAYYSNLRNQISIMDLIEKATIGDEGDAGTLMNLVMQFRKVCNHPDLFER AETTSPFSFGFFAETGSFMREGPLINVVYSTRNLIEYALPRLIWRNGGRLDMPGTDNA KAGFRQKWIDHELNIWKPTNTIQNKAFSWARLAGCSSNELSLATDDLFVRAVDLAKKR NNLGRANVIYDENEYTPVESMLRIVDRNDRKPLAEVTEGYIGKLFNVSKDVFANSGMP RMEQCGRPAASAPPIQVSCSSRGAVIETENTLFNIPMRKALYGPSSRDETSLVESKMP LEVFPQPMMLPTPSSEKQRFTNILVPSMSRFVTDSGKLAKLDSLLFKLKEGGHRVLLY FQMTRMIDLMEEYLTYRNYKYLRLDGSTKLEDRRDTVHDFQTRPEIFIFLLSTRAGGL GINLTSADTVIFYDSDWNPTIDSQAMDRAHRLGQTRQVTVYRMITRGTIEERIRKRAL QKEEVQRVVISGGAGGGVDFNTRSRENKAKDIAMWLADDDQAAEIERKERELAAIEGA EAGKPKPKKAGRKKKVEERSLEELYHEGEGHFDDGSAKPSGTATPIEGGPAAKKAKVD KKKGGGKKAKTAKQRLAMADGDVDADMDDMEM VE01_05159 MVSASKAARDAKRAAEGKAPKKTAASRAKGKDSENASGASSVAG DETPPSTKRQDEIKRLADQMDKHGLSDRVTTGVLSSLKASRDVKITSVSLVFHGRVLI QDATLELTYGRRYGLLGENGCGKSTFLKSLDKREFPIPEFIDIYLLNEGAPPSELGAL EWVVTEAENEMERLDKEAEEILETDGPESPLLMDLYERMESMDPSTFEVRASIILTGL GFNKVTIKKKTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEDYLAK WDRTLVLVSHSMDFLNGVCTNMIDMRDRALLYYGGNYDSYHKTRAEQEVNQMKAYHKQ QDEIKHIKAFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVHEDRVFTFRFADVEK LPPPVLSFDDVTFSYSGNAKDNLYEHLDLGTDMDSRTALVGPNGVGKSTLLKLMTGAL SPTGGQVSRHTHLKMGVYSQHSSEQLDLTKSALDFVRDKYSEKSQDYQYWRQQLGKYG LSGESQTALMGTLSEGQKSRIVFALLAIDGPNMLLLDEPTNGLDIPTIDSLADAINAF TGGCVVVSHDFRLLDKIAKEILVCENKTINKWQGSIADYKNHLRKKMKAEGTL VE01_05160 MQQDASFMTPNNHGHGGDMDYVPSWLRSSTDQAHQSRNSVSMTA NQVEGDISVSDEPMVGVVNSPDGHGDALHWMDPDSLDQFGLMFPGNSELHTPPPYSPD IPVTHTFKEMGLQPSELTMGFHDTQRFAQASTPAVTETLGTPPTTDTCEIARLPDQRI ASDYMQQLSYVNLCLDKLLRRIDTASPPATLNNLVFPHPDDYPKKTITIGDTLLLSTK FLNILNFLQPPRPLASFAPGGSFTPTDSESDSQSTPGQTGTSTSSAGNFAGNSSASCD DSTAPARSPNPTSSDGRPLGSSSEAFARRPSPPITSVYLGTPGILLILSCYQQFIRMY SIIFSMANDAFAVHTSPGFPPSLSALPGIRYSEFPVDSGNLQTMLLIQITLHLVGHME KVLGLPREFRVQEVGGEYAGILSSEAGLRLLRMVVGDVGVGEEIGGAEGGSSGRGGWK DLKLLRSSIRHITHTVQNSMAW VE01_05161 MTTTASAQRDDRVLNISEKPTDTVGSNLTGHSNSDTIEGQKHER GLRFWSIIIGLGFTSLLVALENTVVSTSLPTIVEDLHIGQAYVWIIDIFFLTSAAFQP LFGQLANIFGRRWVTLFIVAIFTLGSGICGGANSAAMLIAGRGVQGIGSGGINMIVEV IIGDLVPLRQRGNYMAIILSIYSVGVSLGPFVGGIIVQTTSWRWIFYINLPVGGAAFV VLYVALHVKYNKEMTFFQKLRRIDFIGNMILILSSLSVLFALTDGGSKYDWSTYNIVV PLVLGLLGFVVFPFYEASKFCIEPVMPNRLFNSRTSVIIAICTFVNSIILYWVVYFLP VYFQAVLGSTPARAGVQVIPMTVIGIPGAAISVVVLAKWGKYRILHQVGFGITTIGIG LFALQNRHATTAQWVIYQVIPALGSGMVLNTMLPAFQAGLNESDQAAATATWAFIRSL GFIWGVAIPAAVFNNRFGNLSYRIADAATRELLSHGHAYQYGSRDFVNSFAEPLKSQI IGVYSDSLRVVWFVALGVSAIPFLLSFGEEQIKLRKELDTEYGLEEKEADKVMDLKQI DKGESSGDEKKGAVNQGVGV VE01_05162 MPPKRPNEGSDGQATKRAKPGQASDPAPKTASVPQRKATRSTKI NGDGGTQISRSNPPPELQLIQIPRPTKPNGFPCFSDGDVLVILDHGDLKHQFRLHSQV LRNFSPVFGELLSAKLPEKIPKRILNANKTELEFCLELIKDPESGWCLQRRSLYRNAT LDNSKAVPATGSQVKDYDGGFGSDGSSDDTLTLPTDRWSPPPPLQAPGVYSHGENPPR GSDRDTSPSPIITPKRLTLAEHLGSSPTQDLDVDMSSALGASGDSAQIDCSGCPQAQE DDEISVFERAVYNGTGEASRESCGSTIAKDNQTQRSTYPDTKVVNSVTGATILSNGAP PTPTYEPETSVVEMRQFARPEQISGDISADMEILPKEDACDSITSPRTEALDNEPPSA PTSDWESSSAAATAPNEEKKLDGSEMGASMNTPNIMTIRQGVVERFIPILNFHRANSA IWTGDKGEKAYSVGVNIEYVSVKGVQDDDCQLVSVHRKSQSAGVSPVIPIFKSCLMQQ RKIHAYASLLRVAYYKPPIVSQKDISQALIQSEHLIEAAKLYQTLPAIEAHVSHLLAQ HGRSLFQSVALDPVRWLNISINLKNKIIFQEAMIHLVGRIPDEFTSETFSGVPHNILR LLQRKYREMDEEISRVSRLLSAGSIYEFGIRADLTDKSSFDIWVLAGLWREWFATNFE KAKTEGRHPEEPTIQSKLGLLYRTIYAGGDAYLPKQKVLDILRPFQKDGADQTGGFLQ WDTAEFDLTLMKAYARKVVQNLCYNRSRLDPSEAGFSYLTCTHIDDIEFPWVNAPRN VE01_05163 MATETATMLMPEKRRLPKDPNLPPENERYLRACSDVASALIQEH EAQQDPSKPKKDINLNSLRAKMSKKHRLSNIPPLTAIIAAIPEHYKKYILPKLIAKPI RTSSGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIR ARYDPYEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSLAESYRESFISQLHNALSGYQ GTNVDEAVQAGEMSNIKCVGITIETRPDYCLQPHLTDMLRYGCTRLEIGVQSLYEDVA RDTNRGHTVASVAETFCLAKDAGFKVVSHMMPDLPNVGMERDLDQFREYFESPAFRTD GLKIYPTLVIRGTGLYELWRTGRYKNYTPNALIDIVARILALIPPWTRIYRVQRDIPM PLVTSGVENGNLRELALSRMKDFGTTCRDVRTREVGINEVKNKIRPSQVELVRRDYAA NGGWETFLAYEDPKQDILIALLRLRKCSPTHTFRPELTGQQTSMVRELHVYGSAVPVH ARDPRKFQHQGYGTLLMEEAERIARDEHGSAKISVISGVGVRSYYAKLGYHLDGVYMS KDIKSLDCDDSDDEF VE01_05164 MQALTRCSKPALRAATRRQAYTTSPYASTINNLKINKDTRVLYQ GFTGKQGTFHAQQAIEYGTNVIGGTNPKKAGQTHLGLPVFATVEQAVREAGATASAIF VPPPVAAAGIEEAIAAEIPLVVCITEGIPQHDMVRITDILKTQSKTRLVGPNCPGIIA PGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSGTN FIDCLRVFLEDEETDGIIMIGEIGGSAEEEAADFLREYNTANKPVVSFIAGISAPPGR RMGHAGAIVSGGRGGADSKIAALEAAGVIVERSPAMLGKTLHAEFKGENLIFRAFRND CRPRLADVFRIQVISNAQVRSPILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFL YRFIALGKGYFGKFDEEAVKNNFVLVYELLDEVIDFGYPQNTETDTLKMYITTEGVKS ERTMEDSAKITMQATGALSWRKADVKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQ IVMRAYLSGTPECKFGLNDRLLLDGDGLSSLPSGNRLGSKATKAAAGSVTLEDCQFHQ CVKLGKFDTDRIISFVPPDGEFELMRYRATENVNLPFKVHAIVNEIGKTKVEYSIAIR ANYGSKLFATNVVVKIPTPLNTARITERSTQGKAKYEPSENVIVWKIPRFTGQNEFVL SAEATLTSMTNQKAWSRPPLSLNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTR AGSYEIRF VE01_05165 MAIGVAIIGSGIFATEQHLPAVESTPVLKLKAIFSRSLVAATTL ATVAKGDVDTYADDNNGKDYAALLARSDITAVIIALPINVQPVYIEAALAAGKHVLSE KPIANDIEAAEKLIHFYTAMKEKGTKATWAVAENFRFLAPFRFARQEIEKLGGVVGFR IKVFQHVKAGTKYFETEWRKIPSYQGGFLLDGGVHFTAGTRLLLGSVNRPVSLSAYTA LIQPHLPPIDTVNSIWQTAKGISGTVQISFGTSLSGDEYTIACYDGSVSVSRDTVSVH TGEEKDGNVTKRVLLSSGSGVKAEVQAWAEGIEAGKENPLQSPAEALADLELLEAMIK SGEGDGVKVLLTRQEV VE01_05166 MLLPRDGMATNVSGPLELGGPNRVKQIIAGMLIPTGVAIIIFLC RVYSRALVLKKWWIDDTLTLISMLLTIALVGLGIGATKYGNGYHDDDIPKDDLSRLMA IFWSINLIHPFTMATCKAGVCFFYLRVFIDKWMRLLTFATLAVIVSWSIPCFFIILFQ CRPVQGAWAPDQTNLNCNSITSTLYLTGIANIFIDVLLIITVGPQILKLNLPPRQKTS LLIVLTLGWMAMFAAVIRLFRINDAIGRPDPYWTSYDTGIWNALELAIANICVSIPAC KPILDLLFPTLMSSLVRNRPVDPNYRGNVSLQTTAALARAKADLEQSLDGRARPISEF GSMQSHNMLLTPDIEMQAQRRGSNATDGWNIEGSDKRDSPCGSTEEIMPHGRPKRDAV VE01_05167 MTTPSPASYQGRPSVRERVRTVLDRVISPDVRRAVYTNVRGFAH DQPLLASFILAHLLLSLPPLLLFASFVLGVSLLAALAALAFTLFWTGLALLVLLPVLC VTGALGVGVWVWAVATYGVARWAWRVLPVSGGGRVEVGVPGGRRGVVRKGEGDGVEVE VKNGEKGE VE01_05168 MCASPADPIYLPPLSPSTAAEAKHHLLVFLPGNPGYPGYYAPFL SALRTGLPASPSGEDAVHIRCLTLPGFAPAPTDPQPQPNSPPSPQTSFLSLSETTSAL LTTILGLRIPSGPRAGEPHDSLTLLGHSVGAYLALSVLSVLSQLPATTRATTRAILLF PTITDIAQSPSGAVLAPLLRYVPFVPELTGLLARGGLYPLPRPWLESVVGAITGQGSE AAKVTSEFLRSKEGVRRALQLAGEEMVGIAEDVWGEEVWGTDVEEEEGKPARLMLYFG RNDHFVDEEKRDALMAKRGGKGGVRFEIDEAGIPHAFCLNHSKEIAEKVAPWVGEMVL GVKAG VE01_05169 MPFIPHTPESLIPRSDSRDPTATCRGLTSSGRLCRRAIATSSPP ATVRLSLQSPIKDILPSDAFCWQHKDQATSAQALPPSGVQNSTIRERTSVDTLVDRLG LLEIKPEKTAGKQRRRSSQPRPILYASGTHYSEKQQHMNPSKAPRIPPRKQHNVTLLC CVGVADEDPVVARPAQTRNHTSHNSRNRPSNFQPMIQSPQLSQPSKQVRPPRPSTGSR EKPINIDPQRPQPARGPSSHTQQLLSLIPKTTSPQITSLLLAELSKTPSQLDDEGYIY IFWLTSTSHPQTPPSEAASSLLDSPPRPLPGKRRTSESLQTFSSSTDRGARKTILLKI GRASNVQRRLNEWSRQCGYNLSLVRYYPYQPSSPGLGVGTPKKVPYAHRVERLIHIEL NGIRARGGGGCESCGREHREWFEVEATREGVRSVDEVVRRWVDWGLRGTT VE01_05170 MLEKFPADVEPSRPPYTYTPMPFFFYGSLTDPLRLQEILQLPAP PVLKPASVQCYSIMLWGQYPALVHGPINNHVDGMAFVVETEEQEKMLKYYETDAYRIE GVRISVDGKLLSGRTFVWASDPTELVEGTWSLEEWKKDIEEEMASHFRPLED VE01_05171 MASSTSTPNIPTGLSHCRSLSNTSQTSSQNTTPQAWSNENYDSG SLQQHFGDAHGNIISTPATTTTTLPCGRNVAQAHSNEEPVTPRQLKAHLRSLTPLQPI DTKITYSGRTTPGAGSPQKQENGGSMPTLTGTKGPAQTAPARGLAGWFSNTSTPSLES ADLPTSTTVSAAGKLRNMSIPVASAASAASATKSKFSFFSPKPAQPATPTAYDDDGDD PLLNLSLSTALTPTPHDPFSPASSKNLHTSALTLLATFQSALRARTTQIRDLRAEAAA RAEERDAADTRTQHLKAQLADMAARVAEQEGTLKSILGELVEERRRGAEERERSGKEE SREGAGVVLDQKVPDRRSGSSEDLVVEGWKGAEESDAESAVESVWSRCGSPDGNRTSR TSGGSAVTSASSARREPLRRGGGKEEVVVVRRCDNCEGKAASAAWVAVRDLRDENKEL KREAGVLREAMDEVIEMVSMVGLR VE01_05172 MPAVDFRDACLDSDSSGDLVPESAPEADDDVELVPVLVLEWPAA TLLFICSVYALILCGDGGPSMAGSMVNVGDTRAGKSVIEDVDIEPAGLLMGLLLSPKW EVVWRDIFVD VE01_05173 MVTPRSAVDEEVAFKKHLISGPYDFQHVVHTGHEQAPNVDIASR DDLLEEFSTVRRSNAPTGELRGIRADDLHFHNFSSEALDALVEKDPPKPPPQLPLKSP ARKSIIRKPPSPAPLKPSLRSSKSQDNFRAGLSPPPRPPRSPMTAQCPISLPPRTSSR TASMIWDEYDPLQSTSLERPHYSAGFRRPQAFHLPRSPPLPSPPLPNVHGDHEVDYFQ EGTTVSPRSPENEGWPFSATPSPADSQLEDVPEEEETVAAARRSRISIGSTDLRVSKS VPNLLTFRARRDSDRPHTPSSDIVRAPVLVMTPKKSGAKLDLDTSGSWEDDIDYCYDH EVEADCDYNWERRSIDVDTVRNYFHDSAISTNSSSDDITLNNSPPPASLHSASASISS RLSAHLDGLRHPPVYVTPTILAPAHTPDLIPPSTATTADSPASIPTPPARPTHLRSPS AASSFKESHGFNLSPSLLIPADYAAQMNGTASPTSTYSAGPLDNVSPTGREPSPAPTE TTYVSSPTLSTNPFLAYISEPATATADKPEDLVLQSAEERLLPPSRAPSAFINAHGRK GSVPLLRQVGKERRGRASTISGSLGRGKGGYGLFPSMLSAGP VE01_05174 MASSSNVLGQVSTDTQLANGPDDGISGLSWSPLSRHLAVASWEG KVRIYDMTHTRSGEGRALIDFGGPALSCSWSKDGQKVIGAGADKAARLLDLASNGAPP QQVAAHDAPISSVHFFTPPSSNAPMIVTGSWDKTIKYWDLRQQSAVASVACQERVYSM DVKGDLLVTGTADRHINIIKLDNPTTFHQTLRSPLHHQTRVVSCFNDANGFAIGSIEG RCAFRFIDKKDTSLNFTFKCHRSPPANNITTAHAVNAISFHPQHGTFSTAGSDGTFHF WDKDTHMRIRAFPSAGGSISATAFSGDGGVFAYAVSYDWSKGYAGSSAQVPNKVMLHA VGEEECKPKGRGGERR VE01_05175 MPLLIIPDMMKYQYSLACLTDSGRFCNNVAAEAAYVLDPGSNDP VTGISIAQPNSTAGEIPNPCDLCFIKNLRLQAGSPYYDGPALADSSVYESKTSSCSIT GMPLTTTDLLWYTVTTTTTAPPTSTCAGSAYTIQSGDTCHTISKTQGISTAWLLMDNN LQAFCNEFPTSGTLCLANKCPVYTVLATDTCSSIATAYNVTEPQLKAWNPVINAGCYN MNKLVGTELCVGSPGKTYTTPTVTLVQPTTATTPAPVPTDVADLTSTYCGKYYMAVTG DYCNLIVLRFSISMPDFVFLNPAINVNCTNLFADESYCVQPVGDSYLILSCVPRSPHF ISSWLDSGLTNYTVNTYPGKAGASHPVTGAQTTIPFSALPDVTPTTTTGGSISTPSPI ATGTRRDSNDYFLGDSFPSLPPRDGAVSDCTEFENFYDDASTCADFLNAWHLTIAQFF AYNPEVNADCSGLWPAYQYCVRAPRYVDTFPSTATGTGTTTTTPTTPPTGVVTPTPIQ DGVISTCNKFAKAASGEYCLLFAGNNGITAAQLYLWNTVLGSNGQNCDSLFWADEYYC VGVSGTSPPATTTSTPVQTPSPIQDGMVANCNKFALVPAGSYCSLFADNNKNCGSSFW ANEYYYVKF VE01_05176 MSSRVNAAKRGMWSPTVINNENTMTGYLGQGMAGFQNVKDVITA YKYHRFNEINNNLLAQSNRIGAMFQAMEAHLAAQPALHQSGNVLLQPYQNANLQAQWR TFMNTKAATANTRAELWMDNWTTQLETTYCSNYQLSFAQDRTTELRQATGDPNILSDE QIFIDKITRLRQEVNSRPAWVWNPPVF VE01_05177 MATIASLSPETLAQILSYIPRDHDNLIVRLARIRDGGPIKPVSE LPPYATVCKTWQWHIEQRTFREINLPSSELAYFSKLMTGHRRATLREVKYQVALPEYD DAACAVVETEEDKRVNDASFTTAITELFRLVKRWEEEDEQELSRTGSRASEGLVPLSL EMSQIYSPSDPKKRSREILSEQHRAVERGERRDLFEGRYCDSWLRLGPLDGVPELKRV TKYAVSPSYPRPVEAESIARLAKKFPALEKLDLQIMERSEDFEVRRKDRYDFAVALLS LSSLPLTSFSLCAENTSPRYQFQEPPSILLSDIDHFSLALYAISLIPTLKTIDLQGPI MISPQLFQPPAALDSAFASLANRAPQWPSLRTYSAIFSTVRPSGSWYFVRHPNNPVPH DFVAPLEPEFDSDRGTEMFRTYPDDEAMDGLLAAAGKAKQNMPALQMMSLTASLSLEN SEDAEFEAVWFKAGQKNYLDHRLTEQPVKVGNETFIGGDHVYWWTGKGGNWRPKRKVE KAWVGEGNQQWFKGYPQEEDYEEDEEDEEDYDDDDDDDDDFEMGGI VE01_05179 MEHDTKTPQYLTSDRSSFAFTSARSRWPVILTGAIDDVHRAVSA LELSQTDKREEGKRIVEAVGALKYEVLHDRAMTPLEDDGGSDIEEYNRELAALGETTW LHAPWLFSECYLYRRIATHFARSTHWKQHDVFARQKISTFRSSRPAVLELATRYNAIL SDLARTTPSAEAASLVFTEIAEISLWGNATDLSLLTSLSYADIQKLQGSEARKAAEKH ILINDLHAAYDVLLKAQAAGGERTVDIILDNAGFELFADLLLAGYLLATGLATSVTLH PKSIPWFVSDVVPADFAALLSALHDPRAFFETASEEEEREGTKPAPLADDEVAALEAL FAHWSGLHAEGALRMRPNAFWTAGGSFWRLPTSAPRLYEDLRESTLVVFKGDLNYRKL VADAAWAPTTAFAEALGPMGKASGVDLLSLRTCKADVVVGLPEGKDEELRAEQGEGEG RRWAWSGKWAVVSFSGGKKGE VE01_05180 MKYSLTFAAVALIAAVAAQDDTHGIPACAVQCILDATTKATTCG EKEFSCQCTAENQAKITSAAIGCVQDACQPADQIATLSATKALCANPPADEGTGASSS EAPVTPSSTEAPVTPSSTEAPVAPSSTEAAPEPTTTESPTGGAVGEPETVYVTVCSST QVPGGAAPTGGNPGNGTGTTPTGPATPSFTTGAGAKLSAGLGSVAVFALAALAL VE01_05181 MSESQRAQELRKYVPFPAPSITPNCTCNDAAKMFYAPFDDGSTP GSAQGINQSILDDGALGAYAELILWRLRGTRAMVSLIDNTTEYFVAGVTRLDSSSNEI TTSNDWFGCCTIETPGGLCENVMAMDGTKGEYPCFEVSGLHTHPRYEHQPVVNGAIAS YKYYAGTPITTAHGVNIGSLFVFDNESRPNGLTVRERKCLFETAGNVMKHLQSKREAA ERRRVALMSTGVAKFLERTTWLGDADTDSNPEFPLSSNSFGESEAQRSSMESTNITSQ TSTSGDTDIDGWPKKKGASEIVLDKIKKALDHAAYVLRESLELTAGGVVFLDTAIGPS EPKSSTDYFDPIQPDLDSVTSGIGGVELENDLTPENDLTMTGIDPKSTIPSGQVRGFY DEYRPVRVPALSCSKHAYRRSRALDGKTLQDFIDMYPKGNIWYIDEKGYFSSLDQDDT IVSPQRTTPMEGKRSIYNIGADSTRQAAEAAILSKVFQGARQIIFLPLWDASGNRWHS GCFVWSNNSFPVFTVDSELAYISALSNSVMVEISRLDSIMANNVKSDFISSISHEFRS PLHGILASAEFLHDSDLDQTQDQLVASIRTCGSALLDTINHVLDYSKINSFQKKDSSG SFSNELDLSANVALLCERVVDGLIATRGYTGVGNEDTTADPDSPHDAPYAHPVEIILD FEDRDWMFKIIPGALRRIIMNTVSNAMKFTNTGFILIQLRVKQTDGRQSDPTSRIGQK ILALNVIDSGRGMSKQYMERKLYTPFAQEDPFIPGVGLGLSITRNIIAQLGGKINIRS ELGKGTDVEVLLPLALADTEITSTEMSSTHAHRHDAPDREAAAAVEAVRALAPGKSVA IWRAPAHTAGTRDDSLAWKTVAGYCKSWFGFTLMADPNAATLAKADFVIRECSPFGPD DAPLPPCTSRVLNLQERIDRPSTRRTHMDSPLSETLSLPTGPFKLAVAILSLFHDPAR RAALEDEAANPHPVRFPSDETVTQHSLGWSAGPPTPTPENRQEEALVPAPTTNGTSAC HPRTLLSTPPLTLASLSLPVPTRPLRILAVDDNALNLLLLTRYLSKRPSDIVITARDG LEAVTAVRAAVDPFDVVFMDISMPGMDGFAATRAIRVMEAERAEHGGNRARIVALTGL ASKRDRDEAERCGFDDFLTKPVSFRLIGRLLEGLSRGDGRVGLEEEDG VE01_05182 MSRALPIALAIVAIVAYSCVQRYLALRRQIAIAKTTNIPYVVLP FNHIGNFWTAFQKIALPLYEMIPGAKNRITTKIAYTYFQWHFQDETATQLAPVFLVVS PYGMYISSRSAEFNAAITARKSDFLKPIHLYHVVEVYGKNMLSSEGEEWKRHRRIVGP SFNEKSNALVFEESVRQARGLFSYLATMDGNSQIDLTVRDVAPHMAMLALHVICGAAF GVPQTWPGEDESVLGTRTVPGFNTKKLNANHKLPFKYTVDLFQDCFLWVAVLPMWLIK ILPMKITQEIYASFLELSDYFIELVEYKFKRLETGETDTHTMDVLKPLVSALNTSPGF DEKPAAEKIGSLSLSEITGNAFVVLFAGHETSANILHFSMVFLAMNRDSQRLLHADID HIVGKSDPDTWTYAETMNRLFNSMVGATQNEAMRLMPPVVSIPKHTLSKEKGGTMQTV TVNGEALRVPPGTFMHMDAVGTGRDPKNYPHRPSKLTGKTHDLNDFVPERWLLDSEYH RDATESETAKGPTHRAPLGVNRESDQPDELESVSYDNSSALFRPAKGAFIPFSEGPRG CPGRRFAQVEITAVLAAVFQEYSVELDVREWASDEEVEHMTKGQKRDLYSKAVRKAEE TIRKADIIITLRLLSGTSIPLRYVKRGSERFGDVGLL VE01_05183 MRAATDESRQLYFRSLLTDDILYYLFSAQFRSISFPFIFHRGIR RIAAEEALRRDLHIFTTFVARTLIYDTYRLCLQMDARKPTLVRDDSLISHEAVLLNYK AMVVFSPLGHMSGTLETLPANPELLLKYQGWKPKAHPGHTLPQYSPNMDFSDWLSFNY VE01_05184 MASFRSLLCVIVLYGLYFGLISAHTTIPRREDGLSGRDTGPSDL PDLATATAEPSTTKSEASMTSLSLETSMSSSTSTTKTSTRSRTTTSAPAATSAADAIR TSDYKTAAPDPLPLQPRITPAFGVGGALLIISGTVFAFVGIQHRLIYVFLSAAYLGSL SVTVLILYVMNPAVPDATQGAYLVAITATGIVFGAGAMFFPDLTEGFGCLLGGFCLSM WLLVLKPGGLLTSTTSITIFIVAFSAAIWSTAYIPFTKPYGLIASLAFGGATVIILGI DCFSRAGLKEFWVYIWRLNDNVFPLDTNTYPVTRGIKVEIAGVIMITAVGILTQMKLW KFIKKRRDRRAAAKAEKRKSLDREEEMVGRRVEWENTRTRQQWEAVYGDGNNDTKTYL SVDSGIGTMSGKGQTTPQITVQMDGIEMSDLPSPTEGSISRTDGSTMDVGGLTPGVHE SNPARRISRDEEALAALEGSVSRQTSISNRKSQSLDPQSPTDEESVSRRNSQRLSTGS KILNRLSSSSLASQKHLSSSSKILHRLSHNTSTSQHRLSKSPSKHRLTDNMDDWDRSS SIAATLDYLDSEADYDDLRSLPTGTPRASMILDKIIVGEDGETTYSPLDDQTKEATAE MPPSEMPPSETAPPSPPPSLRKSLLPPAPPKVATTFRTQEWAKRLSLAETPAALPIED TTPLTPTSPSPSIELAESPAPVNPAALTQTATTGSVAPSPIRPQSAGVSIHSKSPKRS STRTSMRHPALPHAISRSTSIQSLAAPPRAYRASSSAAYGPGGALMGSPTEDGIGPLW SPHMRPGTAMSRVSSVGSVAGYGSMLSLPGSAQQQEAMARNEGSRNSLGYLNKGVLRP EMGDLEVIDLRRRQLMEEKMRVARLKQDEEIRKRVAEGVWAERSLREGRAGRVHRDGL RKLMAEGKVGV VE01_05185 MFSRTILRAPRAFATTPLRAFSTTPARPLAKLQLIGHLAGAPEL TATSTGSEIVKYSLATSSGPRDNRQTSWWNVAAFIEAGPRRDFLLALDKGTLVYVEGD ARMDQYTDAEGKERRALNVVHRSVEILKRPTSSEE VE01_05186 MAVVSKHSRPDQWPSVRLAPLATDTSGTSGLASRPSKMDIGYVL NQEVTPESEHGSRFAGHPKVIGFPIAPSSQSSSPGPTFGRAPLPDVRPPSSTGSMSSP DVPLSGPDHLAMAASMSKPSPEHLAQRGSSPVKAKKVTRTYIEEVLAQRGEPMTSREI CKAIIADLKVELAPQSVRKELSCCTLLF VE01_05187 MVYKSYRREPTPEQIAHITTLVKDWSIAHGLAVRPPPALVASES DPHGILATTAPVTLLPSPFPQVCFKQASSVQTAYNGLYASISRDEGFLASIVEEILEV DEFIAELWKVHLKVKGEGYSQKLSLGLFRSDYMVHQDLERPNSTPHIKQVEFNTIASS FGGLSSQTSKLHRYLARTAYGDLVDDTLKDGRNLPENASTETLGSGLRTAFKAYGESA AKHQTCILFVVQDQERNIFDQRHLEYDIEMNSDNVRVFRLPFSRILADTTLESTTRRE LLYRPPHSPSEVYEVAVVYFRSAYGPSDYPNKAAWEARLHIERSNAIKCPSILTQLAG AKKVQQVLATPVENPATSILSKFIPDSTTRTSLEETFTNIYPLDQTPAGLSARELALD EEKCKGYVLKPQREGGGNNIYRSAIPGFLRSLPESHWKSYILMEIITPPPVQNLILRN GEVEKGGVICELGVYGTCLWNQETGDVLSNEGGGYLLRTKGDQSEEGGVAAGFGAMDS VWLN VE01_05188 MANDVDIVGQNRRAVSNWLTSVKSPQRPDTCSYNEFTDTMSTMG EEDPWTWDTDRVVQELCTENRTWPPLSATQALPDATKLEFDLRQHEIDGFWLLTAITH QTLRDDFGLVGARSIKYRGTILHAISHFRGKSQEYARHAQQHGLQSRPDGGDFREPER SVTTSKAYTGLPTEDGVLPEAPGANQIATKEPPQVNPKSHSPVPIVPQNGLVPTPVDA DDGCRSQLHSEELAPIGNGKQRKRLAPTLISQSIDPERRREIPTAADNVRIYDPQNLE PGVVFTGDDGRKRMVPVPQPGHDGIVPLIFRPPELAPPGAVTSMISGGVNALEAAKKL EHEAESKNRLQKKSTASLADGYLGKKSLPVDNVFYSGVPIGKEVPLADGGEGDFCQSY ADISTGRRLYVNKRMSFLLRSQAVDFRRHGKGFTAVIPYPPRLKPRFQTPSFSLFHTT PNGNVITTREALTSWPELNLEQQKPSASSHGEERERFLSFNLPENMALGGPSSYDYWD PEVALEKYHHIDGGDEVLPLFGESDEDGEYDIETWREIEEERGTQEKASVVSQRHPLT SEEVSEAIDQGMALLHTKWHNEQLPKRQPKAWSIWQKSRTRNTRREKVNAAQKHLDRI VNDRLVKMRQEIINDQWTTQKQVHRQCQIMEQSIFDREDLLWQISVLEQTKPPAKPSP PNLSAPKSKKTTVQQLYLGADEEIIDAGSDSASSGDEMEGFIVSDEESARSDANELVT ESDDSEFRRSIKKGATRRLQNYGDVAPSVECGAVDDTPMSGNADEVSDIDHKLSSQNL PPSSPLSSPQTPASSRLRHGASVDESSANFVDLTFLSDSPEIIDLVTPSKSRQKTAVG VSSLGSNTPKARSSNIEGSQTSESGAQLDAEKSLVVNKPPKNIPYDKPALIASYGYKA WEEENDPARLVITVLHNMPKEGRTSICELLSAHSPDELWTQTIDVMRECRDGKDKLRG MDATTFCIFTGVIRLFEMPFTRACLAAVEAYIDVTAPLADDDYDDDDEEPLLRTKRSL VTRPILSDEEQLETPRKRQRKIFENVEARKLREDDQMRLQEQEGRRQILRKKLAQSGS SLNSDRAKIIINESKYEDEGFIFVHDHIGSRIKNHQIYGVRFMWSQIVTRDKDGASQG CLLAHTMGLGKTMQVITLLVAIAEAACSDDLSVSSQIPDRLKRSRTLILSPPGLMDNW MDELLTWVPEKDVGPKIGRFRKVDSNMKIDQRLAEIDLWYKNGGILLLGFEMFRNILN MGPAEDKKPIIDQATFEVVEEQLLKGPNIIIADEAHKLKNTNSALTVAATRFESKSRI ALTGSPLSNNVEEYHSMIEWIAPNYLGPVVEFRAKFVEPIEEGLYGNSTIAERRRALK MLEVLKEDISPKVNRADSSVLKNDLKPKIEFIITVPLTDLQHKAYTIYVKAMLGSPLD ENQFTQSGKIRQTTLWSWIGILALLCNHPICFKNKLTERKNKVSNGDSDGDIAVPSDI SQALISEVADLFGGYGPGTENVNNSYKTKILVQILDASREVGDKVLIFSTTIATLDYL ENLCKLSKRNYGRLDGTTPMAKRQGLTKEFNIGDTEVYLISTTAGGLGLNLYGANRVI IFDFKWNPINEEQAVGRAYRLGQKKPVYVYRFIAGGTFEEKLHNKAVFKKQLASQLVD KQHIFAVAKREKGEFLFRPKHVEQKDLANVRGMDPSVLDKILDSQADTPSIRHIIMTD TFNRKGDDNLTPEERKEVRQLLQDEKLKRSDPAAWQALVIQRLGMERSRNEALFQNTV SGQFPTVLHGQSGQAHHLTPRMVPPPSQQPGAATPKSTDTNRNDSPSRPRTPRPAVPS SQAPRTPGRPPIMGSGTMSIAEDSQQRARAPVSPVRSLKEPGPVKNAGGRSHLPLPST PSTSRHTQPRQPLGSQNLIHNLELSLKQRGHSQEQASEGPAASIYEYLQLSTGDNNDL LTSRIKEITAYIKSDTGFRDRVVSRALDAKRAIKTVFGDNIDSSNSTPQVHGNGNGTL TGIAPESSSSNGSPKPPTATASNTAATMGGLLKSKVGQTSIISSAEQSRDVQLQNQPI PKSLSRFGSSFSPGPRTDTD VE01_05189 MATPAQNIVVPSDKLNKSITASHSVSTSPSATFQFQSPSSSFGA LQAKRAASAAKPLKPFDTQDVKILLLENVNETGISILESQGYQVEAIKTSLPEDQLIE KIRRDSNVHVIGIRSKTKLTEAVLREAKNLIVIGCFCIGTNQVDLEYAARHGIAVFNS PFANSRSVAELVIGEIISLARQLGDRSNELHNGTWNKVSNKCWEIRGKTLGIIGYGHI GSQLSVLAEAMGMSVIYYDVLSLMGMGTAKQVPTLDALLEQADFVTCHVPELPETTNL ISVRQFEKMKTGSYLINASRGSVIDIPALINAMRNGKVAGAALDVYPTEPAANGDYFT NNLNAWTEDLRSLKNIILTPHIGGSTEEAQRAIGVEVADALVRYVNQGVTLGAVNLPE VNLRSLTLDEPNHARVIYIHHNVPGVLRKVNAILGDHNVDKQISDNKGDVAYLMADIS NVNLNDIKEISDALEGLQSRILTRILY VE01_05190 MSEPIRSKRMDGVPTAPTPQNTPANNAPISSHAQQPGVSSIKEE EFDRAAAASIFAQNPKLVQMIQGKLSSLVGQSSGYVESLPTPVRRRVAGLKGVQRDHS KLEAEFQEEVLQLEKKYFEKFTPLYQKRAAIVNGTAEPTEDEIKAGEEDEEEEEKDEE EAAVKPEETTDVITGIPEFWLSAMKNQISLAEMITDRDEAALKHLTDVRMEYLDKPGF RLIFEFAENDFFTNTTITKTYFYQNESGYGGDFIYDHAEGDKIDWKAGKDLTVRIESK KQRNKNTKQTRVVKKTVPTESFFNFFSPPKAPTDEDDDDAASDIEERLELDYQLGEDI KEKLIPRAVDWFTGEALQFEEMDDDLEEHDFEDDDDEEDDDLSEDHDDEDESEEDDDN AKPKQEAAECKQS VE01_05191 MDSTDPVSVARPGRRSSQAPRPSNESYRSSRRRNSNLSSSSFMG DVEMAHDEIFSGPMSESVPTSVTSFAHHRARADSTASFTYLQPADDAQWHAAAAGDEE ALEDEEDIYHEDDYEGDLYEEDSAGLEAGQLSMRRMSSNYSRGSVHDRLLQSDSGISE SHPHGRGKVSQRIYIVNEDLTIVVAGFRTSQVGNIVYGIICVITFGLAYLLFRWVPKW QVWLTGSPTPLRDCSWVVIENQWGEFVIQDLNIIDYEKPLSTVFGAEKHRQTSYEDDD PILPKLRLLDYRYMRFCFHPFKGRFVSIDSWKDPSWVDVKSIRAGIDGEEKDTREAVF GNNLINIKEKTIHQLLMDEAFHPFYVFQIASIILWSLDEYYYYAMCIFVISVASITTT VIETRSTMKRLREISRFECDIRVLRNGFWRYISSSELVPGDVYEVTDPVLSQFPCDSL LLSGDCIVNESMLTGESVPVSKTPATDESLQYMNLAATSVAPEVGKHFLFCGTKIIRA RRPQDDKDDEAVGLAMAVRIGFNTTKGALVRSMLFPKPSGFKFYRDSFRYISVMGGIA LLGFTASFVNFVRLGLAWHLIIVRALDLITIVVPPALPATLTIGTNFALSRLRKKQIF CISPQRVNVGGKIDLMCFDKTGTLTEEGLDVLGVRVVEGPANRFSDILLDAPSLVPGA SYERDPTVDYDTHKATLFTMATCHSLRSVEAGLVGDPLDLKMFEFTGWSFEEGQQNSG EIDDDESKGISPSTARPPTELEYGLRESDGSENSTPIELGILKSFEFASHLRRSSVIV RNFGSRGVDIYVKGAPECMKDICRPESFPNDYDELLTYYTHRGFRVIACARRHIPKLS WVKVQRMKRSEAESQLDFIGLIIFENKLKPSTQGVLEVLEKAQIRKLMCTGDNILTAI SVARECTLIDKTAHCFVPHFAEGHFQDPNSRLVWESIDNPIYQLDGRTLTPLPPPAEG DASLPYDISNLKNFSLAVSGDVFRWMIDFASPEVIQKMLVGGQVFARMSPDEKHELVE KLQSIGYCVGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRIFDITCVPEVIRE GRAALVTSFSCFKYMSLYSAIQFTSVSFLYATASNLGDFQFLFIDLVLILPIAIFMGW TGPYRILSKRRPTANLVSRKVLVPLIGQILICILIQAIGYTVVQRQPWYIPPHLEKEK SNIENSQNTTLFLVSCFQYILSGIILSVGPPFRQPIGQNLPFVVTIVTGLLFSSYMLF DPSRWLTKFMQLTEMDLDFRFFILSLGAVYFCFAWAGESVIFPALSRWIGQTMRKVIK SPKQRKVYKLVREQLRI VE01_05192 MSQYGLPNGGLRTCDELRDSVAAHPEFTPPTNGQKDPTHHQTDN NFTSTTTFPSFVPEAPNVANMLDVFDFIVEKGGNPEQIKESQRRRYAPEEVVDEVIAL YEDHRKTQYSATQVNTKINEIQKQIGAKKKAKEDASELMAQKIELEKEKKAKIELAGE KDVALKKKIATIGNIVHESVPTHNNEDNNVELRKWAPEGFSVEKRDVLSHHEVLTRLD GYDPERGVKVVGHRGYFLRNWGVFLNQAMINYGLEFLSERGYTALQAPQFMLKEYMAK TAQLEQFDEELYKVVDGDAQNDKYLIATSEQPISAFHADEWIMNKELPIKYAGFSTCY RREAGSHGRDAWGIFRVHQFEKVEQFVLTDPEKSWEAFEDMITVSEDFYKSLGVPYRV AAIVSGALNNAAAKKYDLEAWFPFQGEYKELVSCSNCTDYQSRALEIRFGNKLQTDVK KKYVHALNSTLCATERALCCLLENFQTEDGFTVPEPLRKYLPGAPDFIPFTKELPKDS TSQKAKAKAEKSGKVASAVAGGAAAVTEKLKNLST VE01_05193 MAPPAKQRKIAIVGSRSVGKSSLTVQFVDGHFVESYYPTIENTF TKVIKYKGQEYATDIIDTAGQDEYSILNSKHFIGIHGYILVYSVASMQSFEMVQVIRD KILNHLGTDWVPVVLVGNKSDLRPEQRQVTAEDAKQLAEKYSCAWTEASAQFNENVTK AFELMIAQIEKSQNPNEPTGGNKCLVM VE01_05194 MATGNDTTLRKRSFATYGKARRRPGPDYLAPAKTFKDDTGGGRS RQYSVPRNIEPTADTSVHVQPPQASPSPVTDDPNVFDFPSDSDNGTSRPDKRKRIAKQ PQASPKRMKKEHVEVKKPATADTSNGHSPYTTPQRNIASKARAPKSSIVQHRETRPSG DEISNPTKPASLSHAASGAKHGLPGRAKAKPDTTTRGEPSSTAAPKKDKGQGTQRHNT YSSTSDRSQSITPRRNSPVPKSSTIAFDAPGTTTPVRDTTDSGLDFSGRSTPTGLTPK SVKMWNGLLGDGFDGVSHQATEHSAVQDRGVNRRLPRRRLIDSFAEKKASKSVPAAQR SNIGLERGISDVPPDINLTSDTDKPAGVAVGQQAIVAPQAPGPRITYSSQRSMLAEEN LLTSMDPNLSQSQDEAAETPRRRRGAMPTLTRLHSLDEDDEEDDKQPGGGIRTFHELR QAGANKRFVDEVEDLSERIGVPTKQPSMRRSGLLDLIGKTHDKAFLKSLISNGMDQRL FLRLGDETDIISGFIIASILVILLNSTASPIATSHLLNQGFSDFINRLLKVDDTITSL SQQRKTNMSKMTQTLLAQEHKKLLQMQIWGEVQPKKLSPRLVALACLYFVVKQNRESG IAGSIVSDEIAESLFSIVNLYTEENSWQPTGPLQFADLHLTISILELSSLGLSNRPGD TAWGGRHLAIIREITHVALRSVPSELSSTKALVLGLALNITNNNSLASEIFSTSGFIH DAFNAILLDSKQLLNMVPGERQSTSVDGLILMIGIMINLVEWSDRANEPTPALDNGSL IDAVRIFVTGLEKAPEADSVEGANLNVTFGYLAVLLGYLALTKDTARQMRLGLPGHSL KPLIVAVDEFIDHHREVDTQSGDGIEVQNSQSGLTEKLSRMLARLGTMEEESQ VE01_05195 MASNKESLQTIHTEAVGPDYVSKNHKPEATVSTAVSFPNVHVLA QTPQMIRDVNTDRADFIFYSNRIIRLLVEESLNHLPVIAHEINTPVGRSYAGVMFQGK ICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCMPKLFYDKLPEDISDRWVLL LDPMFATGGSATMAVDVLKSRGVPEDRILFVNLIASPEGINVFAKKFPKLRVVTAFID QGLDEKNYIVPGLGDFGDRFYTL VE01_05196 MGKRKKAAKAPTKKKNEPLATTFPCLFCNHEKSVTAKIDKKAGV GHLSCKVCDQSFSCSINYLSLPVDVYSEWVDACDTVAQENKEANANRPRSFAPPGRAG ERERVDDDLDDEDADRHNGYGGEGIVDDDEDDY VE01_05197 MAAPAADQTALMKDVLEALKVLTANQTQLAANVDAINGRVNILA GIKEVELAATASSSKQEDTPSTTAHIDNKEDHDVGVPESPTVAAEDVDHSNMPSTASP SNLQYTPTSRIILTTYPGQSGINPLPMDWGNKDPQTRGPVVVSRSQSTIRRRNAIGAH GGSYSIYYALAVASKEIELDHKPDFTNTEPASNIGPFPQWADKEKIVSLDPLGHLAPW LFKSTMDSENIDIRPTIAITKARMNLPELEASVREGRLVPDGKVCLNDLGELAVTKFA VEPVWYLPGVAKRFGIDEGTLRRSLFEHTGGSYPELITRGDIKVFLPPIGGLTVYCFG DPAKMADANARLALRIHDECNSSDVFSSNICTCRPYLIFGIEEAVKEAQKGGSGVVIY FRKEGRALGEVTKYLVYNARKRSADRASEYFQRTENVAGVKDMRFQALMPDILHWLGI TKIDRMMSMSDMKHDAIVGQGIPIHERVELPESWIPDDGRVEIDAKITAGYFTKGHRM TSEELEAVRGRTWEDIDH VE01_05198 MASSHSISSEGKSASRPISRTSTCRRPKLMRSSATAPSLATSNA CRSDLAYLHPSSRATAILSRVASSSPPRTDAVSLSSSQDSCRRSVSPRRTVSDHGFSN TVEEQKESMYTSQCLYFSFPNFEDFHEDPDDGGEELGN VE01_05199 MAVGKNKRLSKGKKGIKKRTIDPFTRKDWYQIKAPTSFQIRDVG KTLVNRTTGLKNANDSLKGRIVEVSLADLQKDEDHAFRKIKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYAASSQ IRAIRRKMTEIIQREASSCTLTQLTAKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KAPKFDLGALLNLHGESNTDEQGQKVEREFKETVLEQV VE01_05200 MDTDNLKVIKLLMIGPSGAGKSALLIRYCDDQFDPESSTATIGV DFKVKKLSVRGTSYRLNLLDTAGQERFRTLSNSYYRGAHGVILVYDISNRDSFLSMGR WFEEVQNNSMPGTITYLVGSKLDKEASRKVSASEGEALASIHGAQFCEVSSKTRENVR KPFVEIVDTIVSTPGLVEQTQRDSSGITLGNAASQISSGCPC VE01_05201 MAMHSLRSPSRATRLASLAVVALRRPYSSATVSPLSFDLHEAPR GEEKGSPIIFMHGLFGSKKNNRTMSKVLARDLGRNVYALDMRNHGDSPHHERHDYAIM ADDVARFMDEHKLEDTTLIGHSMGAKTAMAFALNYPSRTQNIVAVDNAPIDAPLLRSF GKYVQGMKKIEQAGSLVIRQFLLGNLHRPPNEKTQKFKFSLKTLALSLGHLGDFPYRN PDEVRFEKPALFVRGTQSPYIPDEAIPIIGRFFPRFRLVDIDSGHWVIAEKPELFRQA VVEFLGEDKEN VE01_05202 MVLLCRNSRSLLRESVVCALSKQASSPSNIRRLSSAIPTPPRQV IFSGIQPTGIPHLGNYLGALKQWVDLQDSVPSETKLIYSVVDLHAITVPQDPQRLRQY KREVLATLLAIGIRPERSILFYQSSVQAHAELMWILSCTASAGYLSRMTQWKSKLSLS EDANAMDTGSKAKLKLGLFSYPVLQAADILVHRATHVPVGEDQSQHLEFARECATNFN HTYQPHLIAPQTILSPAKRVMSLQEPHLKMSKSHANPLSRILVTDSPQEIKKKVMAAR TDSINSVSFDPVERPGVSNLLHLLSILDKQSRSPEELGTLHAGLNLKNFKTLVAETVA ESLDGIGARYNEVMSRDDGKYLDHVEKKGAEKARESAEETMALVREAIGF VE01_05203 MASIAPLRSHWAAASRSKYVAGSAITNRIAVSRFHAASLSRGKD DAPKAETPSAAATNVTLKSSESSTAESEIPRLPRNVQAIYLKPLRRDVEYGVPSCDLQ LRSYSVRNLEFFADFALRAAYYLKLPAFGPVPLPRITERWTVPKSNFVNKKSQENFER ITLRRLIQIKDGHPETVELWLAFLKKHAYYGIGMKANVWEYNELGVGKTMDVSLENLK NVMEPKWAHFGRNRNLETSEKVLELMNSEKYRLAATGNAPMTNR VE01_05204 MEVLESQDLSDGQIQQMLRDAEQRMRASAEASANTLITTSGISS LPGLDSSTSISNGTGSGLPSVYVKPTISGAQVDPEFLVSKQERKLANTPRCVEDPVAA KVKAAKEKAASAGPNWYNLPKTVLTPELKRDLQLLRLRSVLDPKRHYKKDNDRKSDVP EFSQVGTVIEGPTEFFTARMTNKERKRTFLEDVLAGEQKTQRFKSRYSQIQLAKTSGK KAHYKALRAKRSGKVSKG VE01_05205 MDPSAQPNAAHGQGQQRQQPVYDINQGGHYGKRQRFRFAPVAEF YTGAWANVNQGLQGGYRDILTTYWQQTINQLETETHDYKLHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDMFDFLID IVPREEAASHAKRASGPNAAAQGVPPAGAQIPAQGNISQQQPSHQPQQHAMAPPDYSL GHSLAPDQDYRQPAMYPGQVQPGPVGAYGQAPPHMYGEMEGMYAYPPMPPQQMYHVPQ RAQDPTVGQDAGHNYPRHDGGEGDADAEGERDYEVG VE01_05206 MPSAVDFRSNGPSIIQQMILSPSDNDYLDQLIPVVKDASSSSRA HALMKSLAQYAAQRENEIEKMCNLNHQEFLTSVNKLQKVREGTVKLTSEILELNQSIQ SSTEKLAEHKKALVDSRGVRQNIDEAAQALKDCLEVLRVSNHVHELLGQKNHYGALRA LDELQNVHLKEVTQYKLADMIQKSVPATQKLIAEAVMNDLNTWLYRIRETSQFLGEVA FYHTELRRTRQKERMEANPYLRNFKLNSAIEMVFDESEEFDALDNDELRVDFTPLLEC LHIHEALGQSDKFRTEYAATRRKQKELLLPSSISLLENDDSSLSSLLEGIAGFAIIER ATMRRAHNLRSPVDVDELWDSMCQAAIGLISKALDDVDNAEVLLKIKGVIALFIQTLD GWGYSVSALDTFLLTLFEKYAELLKRRFSDDFQEIVSTDDYMPMPISNLDEYDKVVNV SWFTPDKPRGELSFPCVLPFSQMYPLCCIDIRNFLNQFYFFSDDHFQHPNVIDETLRK SLDQLLSEKVCRSLVERLSSQYLGQIVQILINLEHFETACQELEQLLIAARSSTSAGG PIVLNATEEFRSNKKTAEKRIFELVNSKIDDLIETAEYDWMAPTLEGEPSNYMQTLTR YLSNIMNSTLLGLPREIKELIYFDALSHAANMILALPLSPDVKKINPNGVAALAKDVD YLAEFVDSLENAPILRENLDELQQTVNLMQTDNPDEYFDISTRNKKYGRVDPLNGPKI LEKLTYSVQSPVKNDRLANFSSRFGKK VE01_05207 MSTSKHAYQGFFPDDPSGTVAHESDLGLTSPASSTGGCTLTAKY EDKDPFTSPGAKLGHEQKLSATASSFEPFSVHLNKGSIHSIAGGGQYVEPVSPADSFA SGIGQQGIFSTNTQVTRALRISGIYITVSREQVETCLQEKGIPERATRRFYHTANEVL VRFSDVQDAVIIYSYAKISHPEWSVEYITPGKANPNSAFVSAYEGQVSLTAKLPVVGG FDRAKIEDIIVDLLAIDGAVYAYQKQAPTEAGTVGMIVEFCDVGSAHRAVARLNGATV RGITLKLGLHKPDIDQRPPRVPHGSHHTPIRGRGDYDDLIGSIERLSISSPPAYNQQV PFSYPTTMMSPVGNSYVPTFPVTPVFPGATVPLGGPYSSPQSPATGYTPGGYGTPSQY GATSVTSNDSRLTTPTGKTWNNTGSLMNGGSKDESQSQLRLYGRRQNSVRQNAVRGTG RPQFGSSVGQHNIVDVGRIRQGLDVRTTIMLRNIPNKINQEMLKGIIDETSFGSYDFM YLRIDFANNCNVGYAFINFEDPWHIIAFVEARAGQRWNRYNSDKVAEVSYATIQGKDC LVQKFRNSSVMLEHPSFRPKIFRTGPPHLGGGGEEDFPLPDNASKMRRSVENAEHVGL FAPRAGQHHRDEQRRRRSQYDRGTRLAALEEFEFEDVISYQDVARYSY VE01_05208 MEPPIPRSLKDVEELVTELYQPGDPKRIAHIQEALHTLQRSADG WQLANSLLQSSDEKVQFFGALTFTVKLNTDSASLSATDAEAVLNQLLTWLIVYLRRGS GPLALRKLCSTLVVYFLHFSASWTLCVKHVILCLFADEAVGIERLQDAPDTSQLVSRL SQEKLSAALWFSTTLAEEVEKVDGNNIKHHQFHDRMKQNSQDVVALMAPGLANAHEGA NLPAKLRQDSMKCFQTWVLFSHRAYVDAGITLDPLKSLTKQAIACLPQEDMCEVTIEL FADVLGNYSKFLTDEDFTLLFSLFNSSWSEEHYKQLIQGDYSFESLQYGHFIIALGDA RIDELAKANDPASQRFLEALEGLLSAEGYVVAEDQIFVPALEFWSTFVEVMIDSLYSD EDVTVSILGPPASGATGSNETQHPKENSSEKKNEWFSTARVNVMRAIERCWRKIQYPP AEESFDDWPSSDKTGFNDARMEVIDFLQASYTLIGVSLFSMFADMVLRSLQNEAWYEL EASLFCLGGLADCISEDETTDFILEKVISSALFTTLADPTCSAPTRTRQATLALIGKY DEFFKTHTQYLPETLTFLFKSLSTTALAPTASKSIQSLCSSCRQALTSELGVFVQQYT DLTLAHSVDVIVKERIAGAIGAVIQALPEEHLKQEPLLGLLKTVEIDFQKSLQLRAAG FVDEAEARGIETLRCIGSIAKGLQAPTDIPLELSEGSPTDIDNFWVTGPGSATNSHLR TIMESLLAAFPQSGEILESVCNIFRAGFTERAPSPFILPSEAIVDFLTKLGPSTPRLV VIISTACSFVSVQGTKTTGKVNAELHRLCTWVLSLLQALNDPSNDPEIAQAGIDFLYR LLPSHVRILIEQPPAAQEFLFLFALKALRGRDPLPKFASADFWSSFLSLANLDEDLQR SIDTALEHLGPMIADALVYNISGNAARSELDKVCDPLKKLVSRHRSSKAWLENALSGS NFASDRVSDGEKRAFLQKIMNLRGARQSNQVVKNMWLECRGSNFAYTS VE01_05209 MASMFLRPMLRPNTLGVGLGLSMSTFAVYRQRPMKLDSKRLFSE TQQTAAHKSSKGNAFINPSSVKQISSGSVFGLCAGLAVSTFSRPLALLLGLLVVAIQW AASYGIHVLPYGKLRRYVTNVDLKSALKSNVAFKLSFGMTFAMAA VE01_05210 MASIDTSQRLSKLRQLMKEKNVDIYIIPSEDSHASEYIASCDGR REFICGFSGSAGCAVVTQDKAALATDGRYFNQASKQLDDSWTLLKQGLLDVPTWQEWT AEQSEGSKTVGVDPTVISAPDARKLADKIKAAGGADLIAVEDNLIDKVWGADRPAKPT QPVKPLGEEFSGKSVTAKLEDLRKELDKKKSSGLVVSMLDEIAWLFNLRGNDIPYNPV FFSYAIITPTSATLYVDSSKLSKEAQDHLAQNCISIRSYDAIFEDAAVLGRSIQATTT KEASSGDNSSKPAAQDTKVKKFMVSNKASWALKRALGGESNVDEVRSPIGDAKAVKNE TELEGMRACHIRDGAALTEFFAWLENELIEKKATVNEVEALDKLEEMRSKHKHFVGLS FDTISSTGANAAIIHYKPERGNCSIIDPNEVYLCDSGAQYFDGTTDTTRTLHFGTPTE MEKKAYTLVLKGNIALDTAVFPKGTSGFSLDTLARQFLWEEGLDYRHGTGHGVGSFLN VHEGPIGIGTRIQYTEVPLAIGNVISNEPGYYEDGSFGIRIENIIMVREAKTKHTFGE KPYLGFEHVTMVPYCRKLIDESLLTPKEKRWLNEYHADIFAKTKGYFEPGSLTMKWLE REVQPF VE01_05211 MQPGMTRIGQLPVLLTTLLSCLAPAVAAADTASHTVVATPIWTG TCESRIINYVTALPNFESVCYRQRRNDTTVAKETATISSATYTTGGAGAEDASIPESI TAQATGDPVASETEVAAGHETDDVFSDASFLSFEDWKKQIIEKSEDEVEGARDGERRR KLEENEETLDTLGDDYEIDINIEAFLEDNQGNKGNKPGPSQNSDKSTSKHVHTKQPGD EKVEKSVQRSKDAGKTCKERTNFASFDSGAQVMKANPEAKSTSALLSENRDAYMLNTC SAKNKFVIVELSDSILVETVGLANFEFFSSTFREFRVSVSDRYPVKLDRWVDLGTFEA KNSREIQAFLIEDPRVWARYLRIEFLSQYGNEFYCPISLLRVHGRTMIQDVLSTEQST GADDEEEAEENLREAEGEKLAVEAMAEVIKEETNLTNSVEDRTTTLEDLVSASETFKP DISNSTILPNVVLEDDHIFLNDSMEFMTTPWSKYNLNRGNIFGEPAGLEMSSILRKPA PKLLPTTTIAANKTSPAPTLDPPPITTTDDNLVANSTVPPTPEVAQPTVEQSATDIST PPITPTPVVEAKPPPTADVPVQEAPANSSVASVPQNQSTIATNKMSSTSSAPVSQPTT QESFFKNVSKRLQLLEQNSTLSLKYIEEQSRNLREAFNKVEKRQLAKSTSFLESLNAT VLAELRQFGKQYDQIWQSTVFELESQRDLLSRETIALSSRLTLLADELVFQKRMAIVQ SVLLLLCMGLVLFSRFLPGGVETRQGRGMSSSVQRELDHSFDSVSGGPVLHQVSRSPQ QRQPWGHRHQRSGYFQPSVVSHSQFDSPATPLSNFSENEEEYRHYDGEYAEERTSGQF ARDSRYPGGSPNNRRADSLDPAYTQVPMDSSADRGHLPPYVRSYIGPNGKLTPEYDSD YQQNYRSAIYVDQAHGSSSPLNRASLESQQDGEVPGRFSIMRKPLPALPKESE VE01_05212 MVSHTRTPGRKPLHERSGSQTNIAAVRLVPATPPQLLWSNTPSQ ERDDIYMRTAFPTHPAHILPPPDAGASFFQESAALIQSEDPVSAAQPLAKQLRGQGPA LTTTYQPRQTSRSTTSTPSSSRQSSVKSLQRKRKQVKVNPDKTFSVLEVAGQVESTKP DENGSRSPSSTHSRSTFDRLSSRTDTFAPRGASGATWESETTATTLATSCTPSPDLSS KSPTFESNIPSSPWNYKLVGGLRKVPGTPDLKHKVVPLSDSPPSLPDLPEIDQTNERE LSNKQSFQSGVTTSTTSDYSNYNVFPSSPPLLATASSQPPSIHSDQQLALPSSPSSYV EESTVLHHQLPPSSDQNYEIFGQSSTGYSPTSSQLELYNNESNYEVHGDLSPSPSTSL INLPAQPQQAYSRESLVIPPLQPKAKRSAERFGYYKKHSRESLRSGSLTSITSALSED FVRAIATGRQLTRVPSLKNIPEASPWTNPLALNAVKTHMQAHPHQWSSQLSTVASVSE GGTDRNSRQWSEARRSSGFVSHTRQIPSIASTISQDERVRDSNLLAYPQPTYSPTTQD APGSTTRMAEDQDEYGDKLTDMPILHERPSRTKLSGFFNIPYDIGRNNSMRSISSSRA NSILGTTLPTWAQLYYGSGERRYLEAPGSSSEASDSRPPSSYRSGSPDTTNFPVGLYS PRRRPRELRPQRQISQRSHHSMDITPADPPSRADPALTGSDLIEYSFKHRVRKPSSVW SPHLAHDRRMSQRQTAWDAPSVNWSQGGFSSEKNVQIIMFIVGFIMPISWMIAAVLPI PPRPEFPELTEEDARKSRLDLREEMNRIWSPVDEKRYQNARWWRILNRIFSVVGVAII TLII VE01_05213 MTSRTGFADKLKSSSIGAEVEELPLIRVHTAAALLVFYTFLYVA PFYLSSATRPSATISRNLPSVIKARIRSVTWTCAICTITTSLLLTYLPPSSTPLTTLH LMGYHPTGLFPSLKALLLTAILFLGPLFECGIVEGNWRSWVRLRGLTTLWHDLPTYRN LVAGPVTEELLFRSASLPLFLLSPASLRTTFLLPPLVFGLAHIHHIYEFRISNPSAPL LLGVIRSVIQLMYTTLFGSYATFLYLRTGSLVAVILCHTFCNWMGLPRFWGRVEGGGA ESVMGPDSGGGQGRRDESQGPESGAQLGVVWTVAYYILLVVGAVGFYKYFWVLTESSN GLLEF VE01_05214 MLPFINIPFEYASSIIGASPDELKLIFSFILSYPLCGVLKRIPD SKPAYKNLFIIGVSVFYLVGLFSLWDGMRTVAISAVGTYAIAQNISGPLMPWIAFVFL MVHLSINQIQRQILADPGVVDITGAQMVLVMKLSAFCWNVADGRLPESELSDLQKEKA LKQLPKPLDYAAWVLFFPSLFGGPAFDFVDYTKWIECTMFELPPGVDPSKKPPTRKKR KIPRSGTPAMWKAAEGAFWIFLFLQLSGYVGTDVFIGEKFLTFGFVKRVWFLYLLGFT SRLKYYGVWALTEGACILSGLGYNGVDETTGKVSWNKLENVNPWGVETAQNSRAYLGN WNMNTNNWLRNYIYLRVTPKGKKPGFRASMATFVTSAFWHGFYPGYYLSFVAASFIQT IAKNCRRYFRPFFLDPVSGKPTSTKIYYDALSWFVTQFILCFVTAPFIILTFQDSLLV WSRVYFYALIAIGGMTAFFASPAKPYLKRQIEIRAARANGGKKLERKLSSDTLSQQPV LGLPPDPERDFEEVVREIREELDARQSKGLGRAATMPALVRKEGL VE01_05215 MDVVQAVTGYISKMVSAGDSASGTPSAKMKILLLDSETVNIAST AITQSALLNHEVYLIDRLENQNREKMRHLRCLCFVRPSAESIQYLIDELRDPKYGEYN LYFSNVVKKSSLERLAEADDHEVVKAVQEHFADYIVINPDLFTLDLTIPKRIWSGSPD MWNADALQRSTDGIVGLLLSLKKKPLIRYQKNSFLAKKLATEVRYLVSQEEQLFDFRK VDTPPILLVLDRRDDPITPLLSQWTYQAMVHELLGINNGRVDLHNVPDIRPELKEVVL SQDQDPFFKKNMFLNFGDLGGNIKDYVEQYQSKTKSSSNIESIADMKRFIEEYPEFRK LSGNVSKHVTIVGELSRKVGAENLLEVSEVEQSLACNDNHAADLKNVQRLLQSPSVTA EGKVRLVALYALRHQRHPSNALPLLLDLLGATGNMPQRQIDIVSKLLHYQSSLQQVQN ASGITDMFESANIFSGARDRLKGLKGVDNVYTQHSPRLEITLQELIKGRLREQQYPFV DGGGSTRDKPQDIIVFMIGGTTYEEAKLVATINASVPGIRVVLGATCIHNSVTFLEEV DDAVTSWPAPAPTTAAARLRQETTRR VE01_05216 MEPLRILLVGNGGREHALAWKLSQSAHVESIIAVPGNGGTATCE KVTNNNSVKANDYPGLVAFAKENKINLVVPGPEAPLVDGIEDYFRAVGIRCYGPSKAA ARMEGSKTFSKDFMKKHNIPTAAYENFSDYEAARKYLDTIDHNVVIKASGLAAGKGVI IPTTKEEAQAALKDIMLDKEFGAAGDEVVIEEFLEGDELSILSFSDGYTIKSLPAAQD HKRIFDGDQGPNTGGMGCYAPTSLATKALMEEVERTILQPTIDGMRKDRFPFVGTLFT GLMITKDGPKTLEYNVRFGDPETQTLLPLMSKDSDLAEIMVACTEGWLDSVTVEVEAK FGATVVVAAGGYPGAYPTGTPMTLQTPPAGTNHFHAGTVINDGQLQTAGGRVIAATST AETLEEAVKRAYVGVDHIKFEKMQFRKDIAHRAFKQKAAEKEALTYASAGVSIDAGNE FVERIKSAVASTKRPGADAEIGGFGGEVDLASAGYTTAPVMVGAIDGVGTKLMVAQAM NKHDTVGIDLVAMNVNDLVVQGAEPLMFLDYYGCSQLNLESAAAFVEGVAAGCKQANC ALVGGETAEMPGMYQRDDYDAAGAAIGAMTKDLTLPMKSAMIPGDVLIGLASNGVHSN GFSLVRRIIAREGLAYTDTAPWDTSASVGASLLTPTKIYVRSLLRVVRKRLVKGLSHI TGGGLAENVPRMLPTHLAADIDVATWQLPEVFRWLKKAGNVTGVEMGRTFNTGVGMVA VVAKENVEQLIKELEEEGETVYKIGTLVERGEKEGCVLRNLESWD VE01_05217 MALDTSNGYAAASTFHDLNEPSLPIFNIERVQLQFSIGSDFVAA QVANNVLVLALSSGRILRIDLDNAEDIDDIDLPKKTSEIGVIRRMFLDPTASHLIIST SLGENYYLHTQSRQPRPLSRLRGVSIECVAWNPSLPTASTREILIGTTDGNIYEVYIE TSTEFYRREDKYLKSLQKLPDGAITGLWVDTVQPGKADIRRVMIATRGRLLHLVGKAT RSGTEGGGSIYAKLFESEQPTVHEISRISSTFTSSLVVSPDSPDASSPEALVPDRTYA WLSSQGVFYGKLLSTPVTSELGSKVFAESKILPISQLPIGESASGRAKPVQEGVDAIA LTQWHILHLVGGRIVAINRLDDTVVFDQIVLDPGQQAVALLADQQKNTFWLFTTTEIF EIVVTEEDRDIWKVMLRNEHFDAALRYARSPSQKDAVATASGDYLIGRGQYLEAAGVY GKSSKPFEQVALALIEHDQQDALRKYLLTKIATFKKSSVMQRIMLASWLVEIFMSKLN SLDDTIVTKAELSESLSPEQTQSQLETIKTEFHEFVTKYKNDLDRKTTYDIISSHGRE EELLFFASAVNDHNYVLGYWVQRERWPETLNVLKKQTDASIFYRYSSVLITHVAADLI DILMRHPTLKTRDLIPALLTYNRTYNGPLSKNHAVRYLLFTINTLNSTDAAVHNTLIS ICASHPSPDESTLLSYLESQGDTPSFDSDFALRLCIQHSRIQSCVHIYSTMGQYLQAV TLALSHNSIDLASSIANRPTLPSLRKKLWLLVAKTVIGESDGIATALAFLSRCPLLKI EDLIPFFPDFVVIDDFKDEICDALEGYSRSIDALREEMDSSARTAAHIKDEVAALGGR YAIVEPGEKCYVCSLPLLARQFFVFPCQHAFHSDCLGRKVVEGGGKAKSNRIRELQAL VGKGMVGGKGREEAVRELDALVAESCILCSEYAIKRVDEPFVTEADDGGDWAL VE01_05218 MSHLANALRQITKKSSNPNALIYQLQLERSKQKYLVQEQLGNGM EGAVALGAPESENGEKSPGAETAAPDREQHSGPEAAEGSNEGVKCREADGEPARAHRG DAHAQGAK VE01_05219 MAMLASKSPYPANLSSAGIQQSSIPMSAGLTGRRVLVVPPSNQT SFSPTESEFSDSIDDPDSAKHWDEERVCDWLHTINCGAYEKLFKKNNINGENLLEMDK AVLQEMGIDKIGDRVRLFVSIKKLRTKAYANQKKRNRDSFAGLQSSLLYTPASSASPR PPTSANRAATNNKRFSRQYDSYNLGGLTPEISTKSSSRPNSPLPEEARVVRQQRYNAI SPQDIRRDQGQGYFPPTPAISSQSARHPGTPLDRDQPQTARLIGHHTRGAGSIDGSLM SSLPEDQDMIRVIAKDGLTKVVKVTDCKTIDEVMRLTLRKFTYREDHERNYCFWTLAG LEPDPAACRRLFEPELWRIIKDSKRPERNRLILRKIHAGEPSEMELQRAADIAMEESA QLHSRALEGTGKRSQLKAQKILGEQWDTGLQYPLSPASFTSNVSAGERERNVHNAAKD LERPPPADAAPVKRRQARGKNDVLRQFFGQRPPSELITSDLTTYFPNHAKEEIDKTAR LSLRRSKRLSRVNSRLSVASNLSFASSMKDAPPMPRIEDTWLQTDPSGPKLRVLDRGH SIYRDSVSSSVLEPLQEESPTEPNRKSYVSFDSGSDTHVNITDPDGRTTSSYFEDGST EAGSLREISQALNEDGEEADDDLQSFLAGDSWDDSKWMKGALIGQGSFGSVYLALHTV TGELLAVKQVQSLSPGVASANDQKKKSMIEALKREIGLLRELQHPNIVQYLGCSSSAD SLNIFLEYVPGGSVQTMLNSYGALREPLVRSFVRQIVTGLAYLHGRDIVHRDIKGANI LVDNKGGIKISDFGISKKMEASNVLGGAGNSKNRPSLQGSVFWMAPEVVKQTKYTRKS DVWSLGCLVIEMMTGSHPYPDCSQLQAIFKIGGSKARPTIPEAASDEAKRFLDQTFEI DDRDRPDADELLLSPFLNPCT VE01_05220 MGSTLTSPPKHTLYFAYGSNLSPTQMHSRCPSSPLHTSPLATLP NHEWFIGERGYANIRPCPGRQVVGLLYVMDPADEEGLDEAEGVPWAYERRGMGVFVQG EEGKVRVLVYVDPRKGEGRASGEYIERLRRGREESGRLGLVVGETWGEGWDEM VE01_05221 MATELPVLGATATNGANGSPTDSFTVKTGLARMLQGGVIMDVVD AAQARIAEEAGACAVMALERVPADIRVEGGVARMSDPKMIKEIMAAVTIPVMAKARIG HFVECQILEAIGVDYIDESEVLTPADHAHHVEKHPFTVPFVCGCRNLGEALRRISEGA AMIRTKGEAGTGDVVEAVRHMRTVNAEIAHASRMSDTELRVLAKEIAAPFELLKETAK LGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAAKRAKAIVQAVTHFK DAKILAEISEDLGEAMVGINVDKMPESEKMAGRGW VE01_05222 MVAETKLYSALGIKPEATQDEIKKAYRKSAMKHHPDKNPNNPQA SEKFKEVSQAYEILSDPEKRKTYDQYGLEFLLRGGPPPPEPGEGPQYAGAGAGGMPGG FGGMGGMPGGGGARTFHFSTGGGGGAGGGAFHFNNPQSIFEEFLRQSGGGGMGGGGGG MEGMDDLFGSSFGGPSGGGGMPRTRTKPGSGPQAARRQAVEPEVTVVERPLPISLEDL FKGTHKKMKFQQKTFDADGKRTTKDRILEMDIKPGLKKGSKIKFQGVGDQEEGGRQDL HFIVEEKNHPLFTREGDDIVLPLELDLKEALTGWKRTVTTIDGKNLVIDKGGPTQPGS NDTYPDLGMPKKGGGRGNFVVRYNVKFPGTLTGEQKKKLKEIL VE01_05223 MSGMYNAHRGMGPAPGNPRLNELLDQVRAEFETQARASGEYEHS IGQQLQEMQMVREKVYQMEQTHMALKQKYDEEIARLHRELEARGGPSVSRTGGPPQHV GPTQAPPPSIGHGPSNLFGGIMAGQGQAGPGLPPQPTPQDQGPPQHQMPQPPGLQQGP PPPAQGPFQPAGQYGPPPVQNGYPSQGPPPASPGTIAKRGPARGPGAPATPNLGPQHH EQRPGPIPGPSYNSHIANVGNALAELDLERLPDHQKKVESDWFAIFNPQVPRVLDVDL LHTLQHESVVCCVRFSHDGKYVATGCNRSAQIFDVHSGQKVCVLQDDSADSAGDLYIR SVCFSPDGKYLATGAEDKLIRVWDIASRKIRNTFAGHEQDIYSLDFAKDGRTIASGSG DRTVRLWDIETGNHIMSLSIEDGVTTVAISPDTRYVAAGSLDKSVRVWDIATGYLVER LEGPDGHKDSVYSVAFAPNGKDLVSGSLDRTIKMWELMAPRGGHPNTGPKGGRCIKTY EGHKDFVLSVALTPDGAWVLSGSKDRGVQFWDPRTGSTQLMLQGHKNSVISVAPSPAP GGFFATGSGDMRARIWCYKNIAPQ VE01_05224 MDIVLEVVDTFALDYAYAYLLPAGPAPFDLAGSGLKNQTLDTLA STWIYEPASTYLNFAPSAAAYMSAWPRDYIWRQFISLFAITWIFGLALYFIVATASYY FLFDHATFSHPKFLKNQVRLEMTQANTAMPVMSLLTAACFVAECRGYSKLYDTTAEGP GAWYNFLQFPAFLFFTDFFIYLIHRGLHHPAIYKTLHKPHHKWIMPTPFASHAFHPLD GFAQSIPYHVFPFIFPLQKVAYVGLFIFINMWTILIHDGEFVTQSPVINGAACHTMHH LYFNYNYGQYFTLWDRVGGSYRKPNPELFSKESKMGAKEWERQVKEMERIQGEVEGVD DRSYGGGETKKKI VE01_05225 MDTPTATLPIHPPHNWSGPSAPMKRPKEIACFSYTPSHEYRPDA SGLRYYYPPRLGADLCKGFETFEKLDESQDDHLDSLLKTIVGVERERGGKVEVDVVTW RGMMTKLLACPFEDRDGFEMNATFYQGTIFIEENHEYKVQRQARQSRFQTAPGRPSQD MMSYWGYKFETLSLLPDTWDATSREYIEGREDQIVNNAAQYCSVVQTGIGDTSLIIGG EVDAIWDSKPPPPTSSTNFVELKTSLDPSSPRDLQTFERKLLKFWLQSFLLGVPKIIV GFRSANGILRRLEELETAKIPGIVKKRGGWDGNVAVNFGAGVLSFLRETVVSEGVWRI RRKEKSGVVECWKVEEVGHGEILSEEFINWRIKLALGPEGEVNGESEAPSEEQEGGEA VE01_05226 MASQRPRGLHSHPSHTTPPTTSAPGAAADPRPVRRNLFQAQLSR RPPTSRSGDPVRAPPALSRSTSASASVTSGSTLVLGSGGGFDLSTGGGGGGGIVVRDA SGEFEVGDPVGMLLEGRGEEEGGEEEEGGEGGEKERQRVADAVKHHLRDRNRAPSEPA ELLEAVRGVLRGKVAQLAEDDWMYEAESEEVGLR VE01_05227 MSSKIEKIITRLQSKITEGQFYEAQQQTRVVASRYIKAKDWPAA IDILYNVASSLLKAEQGGSGGDLSIFLVDVYKQAELAPDAANKGKLLTLLRLFDPEEP TRKKFITEMIGWSAKFGSYPAGEPELHHVTGSLYASEHDVYDAERHLLLGTKDSPPLL ANLEYDWYATDDSHTAATYAARAVLPYLLLGNVRDASTALQLFTARLASSNPSLAVQD VKASKSGTKVYPSLPLLNFLTLLVAAVEKGAADLFRQLKMHYALLIKEATGWDEALES IAEMYFGIARPRQGNPLFDMMGSMFGAPQAPKKQPKRVGAASGAPAAEGLD VE01_05228 MLDLLSSVEILPTKSHGPSPSPAVSLPSVSSPEHAGSRSIENVQ LVPESPIPAPFDIEDGGPKQGRIVVIIAVLTGVNFLSSLTNGFITIGLPRISSELSLP EHLMVWPSAVYYLTSSTCLLLAGSIADVVGPRRINLAGCLLTGIFIIACGLARTGIEF IMFRAMQGIALSLCLPTSVAIVAKVAPSGRKRNIGFSCLGFVQPIGFSLGLVLEGAVL DIMGWRFLYYLSGGLSLALFITSLWILPRDAMIIGSVFTKLKREIDWLGALMASTCLV LFSYILATLTGAVSNIRQPTNIVLLLISIGLVPAFIFWEARRERLNLPALIPNSLWKN TVFTSVCLTVLFSNALANSMEVFCSLFFQEIQHTSALGASLRILPSLIVGFITQLSTG ILIHRLSPYHIILLALILSAGSPLLMAVTSAQWPYWYAAFFAQLLAPLSCAIMFTIGL LAVSEGFPAHTQALAGAVFSTVAQFGASLGLTLLAMVATSVTNSKGDQGKGREEDLLA GYRASFWAAFAYMGLACVIGVFGLRRMGRVGVKKD VE01_05229 MPSKEDPIIIVGAGVFGLTLARELCQRGYIRVSVLDRHPPPVPD GSSVDLSRIIRSDYADAQYMKMADEAVAGWKSEYSRYYHESGILIISDEGGHSYLEKA KEQVQSQGGKINIFDNASRIRDAHCEFTSGTLRNCEGYLNPRGGWADSAGAVRHLASQ CSALGASFVTGIRGSVDSFVLDGTRVVGVNVKEGPPLLAEHVVLATGAWTSRLIDITS NAVSTAQPVGFTQLTADEADMLRRMPIALDLASGFFVFPPTPSSNILKCARHGYGYET RISMTTPTGEQQQVSAPRLDGDGTRALFLPEDAEVSLREGLRRFFSSSISERPFVKKH LCWYTDTPKGDFIVDHYPHLQNLFLATGGSGQ VE01_05230 MGPIFESDLKPEALIDPQLCQALELSIELRIRSSYSKPELGIEL AIESSYSEPELGIELAIESLHSELEIGIKLRPHHLLTSSKLLPSKCLEAEPDTINCPQ DNVHPHPHPHPHTHLHPRLNSKLLTGAFLIFLSLLIFLAIIKDLPCRTPWPAYKPLPS ASTWFESFTPHSTPRTPQKAIRKTLKTISALILELNRTLTTTCPGCVWRTASGEVCVR TFYDDGDVVLFVVVGWLIVTLCSFGTWVFLGGVADIAPNFEARVENWGLGGASVVAAA VAFGGLRARWYWCVLFVLGAAFGGMVLGVFVTPEKQLVKWKEEMRAEAENLAYAERQT GR VE01_05231 MAPVKVIKNVALAGASGNLGPAVLKALLSSNLFSITVLTRPSST HQFPSNVTVLPVDYSSSASLTTALTGQDAVIVLFGAEQAPLQLPLLDAAIAAGVTRFI PSDFGSDTHNEKVKGLPVYAKKIEVQNAVAERAAKGLIEYTQVINGPFLDWGFTNGFL ADLGKKTVQLIDGGDTVISGTTRDHIGTAVVGVLTHLEETKNRAVYVKNSDITQNEAV EIGKKLVGREGWVETHVKSADLEAEAWADLKAQKFDGGLWIKFIYKAIFGDGYGGSYE GKDNALLGVPAFSKEDLEKAIKAAVGESAGQ VE01_05232 MPGYGATIHEQEDGFKYFVLDNEEVGRRHGKYLPQCIIDSDFAT FNFYTQKPELPYAIGSCLKAHPHTPQPPDNDITPGDEFDYGNERMEHESHTVDPLHRC LLHPPWPGKTEAGVVEFKVVDWVQAGDPNNSQVVAVRILNSTLDLPTDVDLLAKIYDP LYFDYLHYHTNIFQYLDFCYRRETAAYRQLTDLQGNIIPKYYGSFTMSLPGDGKISRH VRMILLEIVPGLSMDKLSPSGFKQAERQEMMKAIVDSERAVYAHKVINGDMHPRNILF PPDPLDRGCRAVIVDFGISRIIPNVQKEYPLPGVTRTAPPFHCVDTYNFFEWVDWDWD AWINEVYADIKGTSLTSREECVKHICREPKEEKG VE01_05233 MLTFRRAVAAAALILTILYLFTHTHSDSSLSPLGSAAAEKQQQQ HDGTEHASTTASHPKDDSSKSSQQASTNSKKPSTQRPLVDPSTLSLPDKLAYHFPYDL PTKFPAYIWQTWKHTPASGEFAESLRPAEASWSEKHPGFVHEVVTDAVALHLLRYLYA ALPEVLQAYEALPLPILKADFFRYLILLARGGIYTDIDTHALRSALDWIPPSVPHEAV GLVVGIEVDAADREDWAEWYSRRIQLCQWTIQAKPGHPVLRSIVARITAETLRRQKAG ALHVSDDKVVEFTGPAVWTDVVFDFFNDDKYFEGVGNITWRDFTGMKGPKKVGDVIVL PITSFSPGVQTMGSGEYDDPMAFVKHEFEGSWKPENLRHIGIVYDGTENEQIEAQQKA QQEAQAQKQKQTQA VE01_05234 MSQRLATYFVPATGIDREVITTDICRYLGNDALVKPGQHKNSKG EPIAGYFITAYRALTTDQLTDIKADSARWGAERAKNQTNRGSQGGDVGYRSSLTRARQ QQPPWDDAPQLQGGGYSIPSPQQQPNQAGGRYDGYPGQPQQQPPQPYGAQPEYNPNPN YSYGTPVQYGLSQAPSQPPRTGYAPPNPGYGEGRGMNQGYQPDNRAPPQGYYDQPPIM PQGRGQPVPPPQQYQQRDSLPGQDPYNYNGGRGPQEDQNMEYDGYEEDFPDQSNQAPK PTSSGQSRHRSERSDRDPERRTDRHPGKHRR VE01_05235 MRLPCTRDDRAAAENDPDTANLAPSLAIATMPLYMLCGSLHGEE GLTWAMSTMGYTRRPGTLSQDPSDAQGFVHPTPVPSNDNDNRSRPIDATNSPRAAFGR W VE01_05236 MSSSQPFEQSSPTAGGDATKRSKFTYRQLAHLALSSVTCPLRVI AHIDLDAFYAQCEMVRLGLPEDKPLAVQQWQSLIAVNYPARTFGISRMLTAKEARKLC PDAILQHVATWKEGDVKWAYHKDASANMATHKVSLDPYRLESRKILACMKGCLPPDLQ RVEKAGIDEVFLDLSAQVHSILLEHYPELSSPPKDLSEFLPLPPTTVLDWKADALVDL DVSETEDDDPDWDDVAILLGSEIVRDVRAAVREQLKYTCSGGIAHNKMLSKLGSAHRK PNQQTVIRNRAIQQFLSDFKFTKIRNLGGKLGTQIVDTFETDTVSGLLEVPIERLKQK LGDETGSWVYQTIRGIDQSEVNSRTQIKSMLSAKSFRPSINTREQASRWLRIFVGDIY SRLVEEGVLENKRRPKTINLHFRHGGQTKSRQMPIPLGKTIDETILFDLASKLLGQII LEGNVWPCANLSLSVAGFEDGITGNMGIGGFLLKGDEAKAARENDYRPENGASDRPEK RQQTEHGARSIQGFFSKQPGTDESEKLEGSHGEIGEIGDWPFDEEDNITATHQIHAPQ SGFKNASITSYFCKQCNETFESDNSFQSHNDWHFAKDLHDEDRRLSHTPQPRPKPGPG KKKNKGSKKDAAKSEKGQSKLSFG VE01_05237 MCNLLTSFAKYLPQMADLRSFRVKVPIGSLTSERLDSLRCAATV SLISHLPKTLTSLTIDTPGGPSRFKPQMAQSNHVCPLLLNKEVLPSLRHLAIRSHNIC PELCAVDDSRLQTQLQTLIVNLSMAEGGFYAAVNSRYCEEDKYERKPIYPHMKTLAKS VAHRFPAITMMRLLCINPKSPGSLGICYNVLSEREVLLPDDVLWDDVDWDDTDAEPAP PPSEHSDPDDSNIEASYDGSLSP VE01_05238 MSASDIIFNTAIAAVNNNDHLRVYTQDTNGGIRESLYEGKWQNG NAGNTIVTAKLGSPIAATSKELKEIRVYTLSTDNILTETAYSAGRGWYTGDLGAKKFV VAPYSKIAATFLATGSSLQLRVYAQLPDNTIQEYGYDSASTGWVKQTNLGSAVAGSSI ATTSFNISSLSIRTYIQTPSLSLAEHAYDTPKGWYTGAFSVPSAPPRAAIAATSYAAS ASAISLRVYHAAADNTLVERAYDGDGWYAGAFVQKTVPGTQAAVIEWTTQGTQLRVYF QNGTQVSGVSEWVWSGEWVKGAAAIPPAAQ VE01_05239 MTSPSSTASSPIPGDAPAPYRPRLDFAPPKGGAYRPSLSFLPSG GTSPASTSASPLTPESPIGGSGGEGEKYTPLKEEERDCGGDRVSAQKMKRRAQNRAAQ RAFRARQTERVAELEREVEGLRRELEVLRGTIRGKGGKGGIGR VE01_05240 MDILKPLTTRLTAHPKLLISALSIAAPIAYLSYLHHTLSKTTTH VRSSGPLTREAVADISSVPASVLGGGYKMVRDKAWKRVPKTEMPDKGGEEMVKLYLRE TMGLFARRFPQAYLLRVVAPGGARTFDKEYIGGCVFGVGEVVAGVYKVARREGSEKSG VVEFEMVMGKEKREGAPEGRLVVGGREVEGEWEWWSETVMWVEEGGAMPLEKRGVRWL HEVAAWWLLGRGVGYLKGLKRAEGKGE VE01_05241 MLLTTLVSTAWLLLGGARVASAIELNIDDDESIKNAASTIVYDM MLEYKGNLSGQTPGLLPGPPPTPLVLNAGYFWWEAGAMFGSLLDYWYYTGDDSYNAVI KQALIHQSGDHFDYLPQNQSLGMGNDDQGFWGMSAMTAAEFNFENPAADEPQWLALAQ AVFHTQAERLDPTTCGGGLHWQAYSYLNGYSYKNSISNGCFFNIGARLALYTKNATYA KYASETWDWMSGVGFLDKDYNIFDGGDQKDNCTEINKVPFSYNAGIFLLGAATMYNYT DGSAIWKDRVEKLLARTIEVFFPNGIAFELACETDLSCSVDMYAQKAFLTRWMAASTK VAPFIYDTVMKPLRTSAAAAALQCSGAPTGRVCGLSWSKKAAWDGTRGVGQQMAALEV IQSLLIKNARNIVSNSTGGTSVGDPNAGNDVVDYSKMTPATTGGKVGAGILTVVVVGL VVGMFGFMAID VE01_05242 MRVLGFLAPVVAVLFAGVIAQTDPLQVLAQIPACTQECGVKVLI PANCPLTDLANCLCTNTTLQSTFALCVVGSCGLADQAKSSTLMQTEICNGVPKESRSR EIIRDVIIISAFTFVIIGLRFYSRALVTSQLWWDDWTIAFAAIIMIPMTVIPIFNATR GFGEHFWDVPPQNLELLEKLYYISQILYVVVQALAKFSILFLFLRVFPTQKFRLVVRI CIAWMICHTVAFGLVVTLQCVPVQSVWDHSIKGRCTNSQAFVYAAAGFSIFEDFVIML LPIWELKDLSLNTKKKFALMFLFALGSFACITSMIRLKYLVAYGTSVDVTYGAVDVIL WSVLEDYVAVICASLMCLRPLIVRCFPSLFPTSNAESKNTQSGWGAARNSKLASKLGV ASNKGYELKSEDGDAKGGGGGIRVQKAWATKTSSVGDVEEESEGGESYKMEGGRGSEE VWQARRG VE01_05243 MTSGAEAGIRGGYTGNSRGVIATLGAFIGVTWYNCIELIILILC TFKKYQGAYFWSLLITTTIGVLVHSIGFLIKDFELTTATWVPITITTIGWWTMIIGQS FVLYSRLHFVIWDKRIFRFVLGMIIANIFLLLIPTTVLTYGSNFTSSQKFLNGYIIME KIEIIGVSVQEIIISSLYIYGTRELLKYNKEGENRSILFQLFGINLLFILMDIGLIAT QYSNLFTYQTTLKGLIYSIKLKLEFFVLGKLVRIASRGGKPANGEIDVSEFVDSSQVN SDITHANNPNPLMHQNQPPPNMRREDVSIAMFEHSDRNDILGVDSSLSSSSRVTTDSP PHASP VE01_05244 MEAVTTPIKSGTDTTTEPIWTSESVISTERMPQGDNIISPADGP FTPSRRRISSTLSTGSSNTSTSSTFSSQLNDYSSSVGTPLTPPSTEDAESKGQNPLQW EANSKEKDSEQSTGEILQSEKFEGNRGADQRHSTPQPENGVIEFGSGLVYGHKFSLVV AMGYKSSTAKNVSGQDLNTEAEQHFLKPGEPDVASNGSETHPETEDGTLEDSRSSRKA RIDGASLIPTGSLSDDAQVHLVNGPPLIPIAIPTTRPPSRDSSFNRPATPSPKASLET TASLITSREVEVVGSLSDNTAVPSHNAGNGTPEEPNTTPEEPKTTSEDLKTTPEKLTT PEKLKVTSNKLKRTRNKSPSQSARRQSARLLHNAGNGTPEEPKGEELKTMSVEPKTTP ENPKVIPNKSKRTRNKSTPQSKRQQSGRLLQKNQVTINASATTDPNVEESDLPLNVNE KPSSQNLGKQMRGLDEREAIPDEYLASTTDGRAPGPRGREPAEAAEVVQPSIEVGEKP DADTTERAGSIQFKQHGETKSPSEIKAEILRIIHKGDKEDKQGFVYIYKVEGSNGHVK IGKSRQKHGKRLMQWNGLCKLKPERICDPNHRRLQYYGMVEELAQMELSNERKTYQCD VCRKMDGKSPKEHKEWFKVTEKHALEVVERWRGWLVQHQPYGLDGTLLDIWEWKHNKL SKANTVDFKEWVILTRSDEYAYAWHRIDGHLQKELKKPILRSSLAINAALVAVTLIWC ESGAFLASCFVMVILFMFLKYSS VE01_05245 MADLKIATVQFENASGDKGKNLATIRRLSEEAAARGCDVVAFHE CSITGYTFASKLSRSELLSVAESIPEGPSIKALVEIASNYKIIVLAGLFERDSNDNIY NTYVCVDGNGVLASFRKIHPFISEYLAPGNEYVVFDLLGWKAGILICYDNNVTENVRA TALLGAEIIFMPHVTMCTPSTRPGAGFVDHQLWQNRERDPTSLRAEFDGLKGRAWLMK WLPARAYDSAIYAVFSNPIGMDDDQLKNGCSMVLDPFGDVVAECRELGEAMAVAVCSR EKLEMAGGFRYRKARRPELYGHIIAKEHESKLAVTWMSK VE01_05246 MLQLMPLGQIVLLAISALPLTSAVSCPYIGGNGARDNAPRIPHP ESTVEPRYSPEGPGFGRCPKKSKVAGGGTRSEDWWPCDLSLAVLRQNGESSNPWDAKF NYATEFAKLDVSELKKDLTELQTSSQSWWPADFGNYGPFLVRLSWHNAGTYRSEDGRG GAGMGQQRFAPLNSWPDNAGLDKARRLLWPIKQKYGRKLSWADLMVFAGNTAMENMGF PTYGFAFGREDTWQSDEGIFWGSEQEFFPSPNSNKDRYNGSTDIHGRAKNLEEPLSAA NMGLIYVDPRGPNGTPDPKASALDIRVTFGRMGMDDEETVALIAGGHAFGKTHGAVSG DFIGPEPNAAGIENQGLGWKNSFNTGVGNNSYTSGLEVIWSKTPTKWANEFLGSLIHN NWTLVKSPDGAPQWEAVNANASYPDAFIAGKLHKPTMLTSDLALIHDPIYNNVSKTFL NDFDYFTEKFALAWYKLLHRDMGPVTRYLGSEVPKDEHLLWQDPLPAASYKTIDDADV KRLKKDILSAPNVNISNLITTAWGSASTFRISDKRGGANGARIALQPQRSFAVNNPER LAVVLKSLQAVKDKFNSANNKKQVSLADLIVLGGTAAVEKAAADAGTAIKVPFTPGRV DTTQDLTDVETFAYLEPRSDAFRNYGHGNSRSLTEEMMVSRAALLTLSIPEMTVLVGG MRALDANYDGSAFGILTDRPGQLTNDFFTNLLDASTVWSPVADTNGEKFEGKDLSTGS PKYKATRADLIFGSHAELRAVAEVYGSGDAQDKFVNDFARAWVKVMDLDRYDVR VE01_05247 MVSGGGAAVYDVALKRREALMGSSGPLAIVKNFKVFTIALFACI GGLLYGYNQGVFSGVLAMSNFKQHMGEYDNDLEKDASKKGWLTSILELGAWLGTLLSG FIAESLSRKYSIIVATTVFILGVIIQATAVTAGHNSILAGRFITGMGVGSLSMIVPMY NAEVAPPEVRGSLIALQQLAICFGIMISFWIDYGTNFMGGTGESQSKGAWLTPICLQL FPAIVLLVGMAFMPFSPRWLIHHNREDEARTVLASLRGLEEDHELVEIEFLEIKAQSL FEKRTTAEHFPHLRELTPMNTFKLQFVAIGSLFKTKAMFKRVIVATVTMFFQQWTGIN AILYYAPTIFKDLGLTSVTVSLLATGVVGIVMFIATIPSVLYIDRVGRKPVLTIGAIG MATCHIIIAAIVATYRDSWETHKAAGWAAVAMVWLFVIHFGYSWGPCAWIIVAEIWPL SNRPYGIALGASSNWMNNFIVGQVTPSMLSSISYGTYILFGLLTYIGAAFIWFYVPET KRLTLEEMDVIFGSPGTAQADNERIEEINREIGLDRILRESGSPAENISDEKLHEASG DSAVREKAA VE01_05248 MSASPVMDLTEGNPRTVHNESSDTTVGLEDSTDIEKVSKEEELQ GKENPTLRLDKHGLQLVPQPTAFKDDPLNWSPALKLLVALQVSWLALLGPMGSAVVNP AFVPLAKSFKIPIVQASYELTVFIVFAGVGPLLITPFSNVYGRRPIYLLGNLVAGVTN VIAGNCTTWNGLLVTRVFNGIGTGSSVAIGAATICDLYFVHERGFYMGIFTFFLTNGP HFAPLIGGFIAQNLSWRWCFTIPDYIQLATFVITLFCLPETIYSRKTVNIATHREHSY LDLLLFKRSVLPGRKLHLADFGRSLYMLKYVSIVIPGLYYMTCFGYGSVLFAVTGSHL FSEFYNFDVAQTGLMLSIPLLIGCLIGEANAGWLVDWMANRYAKKNDGHRKPEVRLDA IWFALLIPIGIVVEGVCLSQFKNSSWVGSAFGMGLACLGLQVATTVIYTYCTDCYKSQ SPEISSLLNVFRSVFSMVISFYAIPLGSAIKYQYAWLVFALINALLLIPMFALKFYGE KWRSSSWQTPPKFHNDI VE01_05249 MAEQREPFTVAIIGGGIGGLFAALSVHHHCAALGISINVYEQTS EYKEIGAGVGIGINAAQLIHKLGLGEAANKIAGDRNGVWLAFRRSDNGAEIVTVPVND RDAVKQLPMLRSEFLDLLLDSIRGRNAATLHTKKKCTRLVDIGDKVRLEFADSTSATA HLVIGADGIHSSVRSQFAFDNPRYSGKIVYRGLVSIEDLQPWWHLSTYAVSWVGKDKH LLVFPISDNKLLNVVAFVSVEEGKLGDFQESWVAVGNKEEVVSSFQEFEPHARRILDL MSKRPSKWVVNEREPLEQWIYLNGKVALLGDSAHAMSPHQGAGAGQAIEDGYILGKAL QDYLKSQSGDLGKWMQAYQTLRLPQAQRAQRTSREAGEVYELQGEGLRGKTFDECMPE LRNRLKDRMNWVWLEDIDVMYEKIATEMRG VE01_05250 MLGKIALEECWTIPEELENNNPSRFVPAGTGDRLTNELMDIHSH RLRQMDENGVDFMVLSFSSAGCQGLADKATAEAQATLANDRLEAEVMKNPVRFAAFAA LSMHDPKQAAEELTRCMTQKKGFVGALLNDFQSAGPDGNTMLFYDMKEYDVFWKAAND LKAPVYLHPRLPTPLIHEQMWKDRPWLNFSALGYADRLNMHALGIITNGVLDRFPDVK ILLGHMGEHIPYDLYRIDHKLDRERFPDLPMARDKLVRDYFGSQVFITTSGHFSTPAL LCAMAEIGVRSVMFSIDYPFESIPNGCAWFDEHVQATINQHDLVDIGRNNALKVLPKL MEAPHNLKPMTPSEAQVGGLRDGKVTYGMYNEHWSKRLVKMEPAN VE01_05251 MSARSLTQENPYSEILGQPSISPQNGVISQRVKRKRLRVPVACF SCRALKTKCDGNKPVCSTCSSNGGDCQYSSSGISTSSAVVMVNQQYLHSLEERLAKLE RGTTRESVGDHTTVYSELSVMLPTPSSRSMSLSNSDVACSNPSAHAPLISNINLNLTN TSTTDSPLLVSADPDVFMGGLSFTQLILNAMNGRTIGVRAQPSYSNRDESIPSSALFQ LPNEARDLVDQFFKINHTSRLYSTSPQSASPSKTPSAAPSQNATIIATASRS VE01_05252 MDQNTNPAISRRYYDIAIELLKPTLLRDWNISKVQALLLGARHL QCSNSPDECWNVLGLAIRIAHGLELHRASPQDQKCIENEVRTRVWWACFTLDKLLSMI YGRPAVTSSVDFTFPLPEDLDDEFIFADRVLYPTPKRVSGMRFNIEVVKLYKILEIAT RSVDFETTVGCGEALAQSVLVLDERFQRWYADVPRELKLEENEDEAAEKPLILALRAN MVRILIHRPSLALSLRALSGTVDHRRADEGVKSSILQHSRGICVSTAMETVNLIGRRH EQTRRKSGTSWFNLYYLFNATLILVSHVVDTAYSNACSNALPCLAQLDTALTMIKAMS SNHTFAQKAYSFLQQLLSCMDKSLPTTTMRWDGATATCAPTTQSAIYDSRNGIDGIGL ARNLDEMGRMIPDEELFAGLSDFTQDLNEMYADLGSEMSAWFDEQGPALYRTVE VE01_05253 MSRMITTVVAALAFWPALASAVAVAPLSPRANTKAGLSYSDVSV SFDVSAGAKESMPLNRQLISVSIEFCYSVDFLGAAKSPNLFSKQLLQNVMDISGTLPI IRLGGNTQDKAAYCENCPESMNSTYAPGSTEAIDISFSKGLFTAMNENMPSKQEYIFG LNLGRNDVEVPKAELRAALKYLDQSKVVAYELGNEPDHFTMASYGFRSKDTWNMAAYV QQTLDWLPQLSSGKSFQYGSIAASPAVASDFTLVQALQFGVSKLKQVTIFSSHAYQAD VCTPAHGELVKIEDYVNHMKTVQYYSAYIGEIAAAKSLGKIYHMGETSSAACHGKDGV SNTMGGLLWTIDYSFYMATLGLDRIFFHNGRGDYFYSFWEPIGGSASSPQPHINPQYY SLLFHASAISGLNSPRIHRVAHLDTHDLAHYAIYSGNQLKKMVILNTQLYNTTDTRPA KHVDLLSIFGKKLTVKRLTAPNTIAKTGVTWGNQAVDGKTGKFVGKETWESVNNGVVD VFASEAVIIEKN VE01_05254 MTKPMLKNLRVYILASVAYMGSFLFGYDTGVMGSVLALKSFKED FGLPTESSGFANSKNAEISSNVVSLLTAGCFFGAIGAAFANEKFGRRYTLMVLCVIFL IGAAVQTAATHQLSYIYAGRVIAGLGIGGMSAITPVFVAENCPAEVRGRITGLFQEFL VIGVTIAYWLNYGVSRNIAPSTAQWRIPVGFQMAPGAVMLVGLCFLKESPRWLVKQGR YDEATASLAYMRREDPGSPEVQGELAEIRASIEDELAATEGVAWKEVLQPGNRARFVN GFLIMFWQQFSGTNSIGYYAPQIFQTIGVAKTDTSLFATGIYGIVKMISTGIFLLVGI DQIGRRRSLIAGAAWMSVMMFILGAVLATHPPTNVNTVSPASIGMIVMIYLYVIGYSA SWGPIPWVYLSEIFPTRLRAYGVGMGSATQWLFNFVITRITPAAINHIGWRTFIMFGV FCLAMGTWIFFFVQETKGRTLEDMDILFGTVDMERRKNDIENMLGKARIVEDEDISKV DNSQVEQEHRVKE VE01_05255 MELNNSSEGLGISSHRGEGVAEHVLANAPYGKACLNCVRSKTRC AVLPSGPKCERCLRLNKDCQPAPTIRKRKVAKRSKPSTASVASKTAALEEKLDDLTLI LQRSQAAQTAPSSLTSRSVTSESSSQLENGNFGQPNNPILGGNVNGTGAATATNHGLN VQQGGPGLGNFFNYGKLNSKPSESTGAQGDTLCSQYAPPTPSESSSSTSNRGPCITQY FRDNDPAISCSAQKANDTPPSFPTNGELEDIAELEMTLETYRTKMAPFFPLVIIDKNV TARELMEERPFLSLVIRAICPKSTARQAELGLEIRRVLGREMLIEGAKNMDLLSGLLI FSAWGHFYLYNKPIISTVIHLATSVAFDLALTKPVPTEPALIMLAYNAQGCPKNATVA VRTMEERRSVIGLFLVSSVCSCYFQRIEPLRWVPYFEECLQLLEKTREHPTDILLVFF TRLQLIKNAIYRDMSDNLHGGIASPPSEIYFKSLQSQLEELKRNIPPELDGNISVQLH LHHAVLTLHEHCLGTCSTKAGPPDPSKTLQLAKSLWTCLDATKSWFALFVSAEIVPLS SYAEMPMALMAQMAHFLVALYRLSTFECPGVSWDRQLVRQEVDLGVMINLLTEKWGQV PAAAGIDTTGPGVHNIWMLTNRMLGKIAHWWEMKVVTPAAAAAAADAESRLGGQNLTI GHENSGGNEGLHGFAAQGQLPMQDIDFGATNMDLLDDVWMRDLLAGDFPYQLDF VE01_05256 MEKELQDRGSHVDEKDVISDSATDVDNEGHIDDNSTHEKVSDDI AKTAEAAEPEHEYISGVQLWLVMSSVTLVVFLMLLDISIIVTAIPRITSDFHSLPDVG WYGSAYLLASCALQPLTGKLYSEFSTKYTFLVFVGLFELGSAICGGAQSSTMLIIGRA IAGLGSSGLINGGLTIIAASVPLVRRPMMLGFMMSIAQLGIVGGPLIGGAFTEYVSWR WCFFINLPIGAVAAVFLLLITIPDQNIRNTDEKISLFKTLGKLDLVGFVLFAPSATMI LMALQWGGTKYPWNSATIIGLFCGGFGMALVFLGWEYRVGDKAMIPFSMLRVRAVWAS CVANAFFYGTMLIFSYYIPIYFQSVKGVSPALSGVYMLPAIIAQMICSIVCGVLVGRI GYYLPFMVVSGILAAISSGLLATFTPSTSVGKWIGYQILSGAGRGLGMQIAIVAVQNV LPPEKIPVGMSTLVFSQVFGGAVFLSVGQTIFSGGLLSALAKYAPDVDAKNVVEAGAT AIRTVVKPAQVAGVIEAYSHAINRNFYLSAGCGVGLFVFSLGMGWVNIKKKKAVAPEA VE01_05257 MSVPIAFKLSRPNYNDVILLTADMTLEAVQRTAYEAIRDRIPQV YFDEFGGDMEQLGEVW VE01_05258 MSAALRVVVCGQSAQIAKGVKAGLLPECETIHVVFSAAAGAKDI PAILSGQAPPATDEPNVGTGNYSRKADAIIVGGVYGDEQFNEMRNSCAELPGNGGAAW LRLNMSTPTPPLGPAYGKAVVERCKACLKELELDGKLGTDGIYFY VE01_05259 MTSLVSLPVGLIIHIVANNGLKSRALYNTALVNRYFFALALPLI YRGVKFLWTGERLSKSFFRSQEIIEKFLARALLLQSIDISCRYDGAPGDILFRYNNSL MDQLLGRLKFIEELRGSM VE01_05260 MVKAVATVRGDSKVSGTVTFEQADENSATTISWNLTGNDANAQR GIHVHQFGDNTNGCTSAGPHFNPRGKNHGAPTDEERHVGDLGNFSTDAQGNSTGTTTD KLIKLIGPDSVIGRTIVVHSGTDDLGKGGHPDSLKTGNAGLRPACGVIGISA VE01_06546 MSASSSGLTLRPGNNVRVKSESDIDPEAYFDPETNVDGFMEDTP EASVGEDTRSDVEETEEEKKLRKKREYNRVAQREFRRRRKDRMTKLEQTQSVTVTEQN NEIFHLRRQNQDLRAQNEMLRAQVFASGSGWHPNEGMAGPPTVPSSLSSSRRHKASID SSASSILESMTSDGSMMEVLGTPNILPANQLAMTSSMLPSAMNAFAGTMPSSGNMQGM QYPTGYPAGSRAGPQDNSGAYDFSSVAAGTSTFQTMGSMPYTISSQSQVRTQLSHPNQ QPSSSRSMADQSNPIAMMAANRIKISAAINNLFSLLIQEAPSFPPPASNQPCPRHLQI LSAISASLPAPFRPTPTQLTTAHYYGVDMIPSPTLRDRLCRVGPDVSAAFLHDFDFLV LVPNADIQHRLIIWGEEPFNEFSWEFSREIIARWGWLVGQPWAERANFWRTQRGLAPL PMPVEAPEGNLWGMSQQLRLGALGGESMVGSAVVGMAGLGLHPHNQHHMQ VE01_06547 MSQQYSYHQAPAAPHRLPANHGTSSAFSPSANPDEDWTQISDLA ERRRIQNRIAQRNYRKKLKRRLEDLERRAGSSSASPPQSHAELKPSKPTTTNMHKTPS SSRNRPTKLSPRATYQSPHQYTPPLQPADDLFPLDRAASHTPPLFSYAPYPAPEETSF YPSYARTPYSTTGGYEYAAPPRPAYEPKRNDEELNAFGMSYAAMAGLDIQHAYDDPLT PPLGEGFEFEVVGEEENYALFETGRGSWSPEPLM VE01_06548 MVPPPVSPSPTRYLPLPNTVGVAVTPSRLTRGDSGASLLHFISP HPDPVSNRRILGAEERYHLRHLHRNDRCSATSPLSTSPVTPLGADSEHLVGWSRD VE01_06549 MTNISGISSWETNRELLEHGVVYWVNAPNDAGVNNNHLEDVAGF LVSTGEYIVNLKVTLRYDDLVHSSTNFVHIEAADRTANWVVQSSGSRADSPGTPSQLS GYPATLHRWWADHHRAVVTVEKRKDGDSSDSVTAEQSDKGRLLANTAALLLLAH VE01_06552 MSNLAHEPEFEQAYNELASTLEHSSLFEKHPEYKTALKIVSIPE RVIQFRVVWEDDKLQPQVNRAYRVQFNGALGPYKGGLRFHPTVNLSVLKFLGFEQIFK NALTGLNIGGGKGGADFDPKGKSDNEIRKFCVAFMRELSKHIGAYTDVPAGDIGVSGR EIGWLFGAYRAHRNKWEGVLTGKGGSWGGSLIRPEATGYGLVYYVDHMIEYAGQGSFK GKTVAISGSGNVAQYAALKAIELGATVLSLSDSAGSLIAKEGKYFTPEDIEAIAALKV KRESLSSFESASYEFIEGARPWTHVKVDIALPCATQNEVSKEEAEALVASGAKFIAEG SNMGCTQEAIDVFEATRKEKKNGALWYAPGKAANAGGVAVSGLEMAQNSQRISWTTEE VDNKLKDIMKDVFQNGLKTAQEYVPSAEGEFPSLVAGSNIAGFLKVADAMHNQGDWW VE01_06553 MPSFKTLASAATLGLAAFAAALPSGSYSTLRSRALSNDLATAAG ISDVDILQFALTLEFLEAEFYRTGFAKFPDSDFAALGLTAEDLVSLKQVGATEQTHVT TLLAAISAQGVKPVAPCTYNFGFTTAAEMVATASVLEAVGISAYLGAAPLVAAPAILT AAGSILTVEARHQTLIRTLTKVAAVPNPFDTPLGTRAVFSLAAPFITACPDGSNLKIT PFPAMTSTTPASAIVADAQLAVASTAQGATHCAFVNGGAPGGAVFVPLTGGNCQVPQI LKGDVFVFLASAGPATGVLTDDITVAGPMVVQIS VE01_06554 MEQQPQQPSSGVLGPTGRRLHIAHRRSPSELTPLMSMFSSPGME QLAIQQQIELLQQQQQQIQATHQQYVNMGMIPPTQHLPPGGGFNPLQQQQGPNMPPQN AFQFPNQLQQQQLGVPMGAPTQPLSHRRNQSAMPNMGMGPPPAPSSGTSGTGYNDYNP QHGRENQSTRGGRGGGPPGGGHQRRHSLALPEAKKAAELAQQKRTTSGFQFPIPGAAG AAPAATDGTSPSDDKATPPPQPTSTFPGTLNVRGGRGGAHGRSQSMAVGSAGRGAGSM RGGGSFQFPAATINEGAAANQGTDFQRKGSAGHARNSSRNFEGNWRNQSISGGPGQPQ DQQAQMGNFGQGQGLPQAGGFQPGHRNRGSVNQPMGSVGSFQYNGQPQLVQLPQGQMA LVQQQMFPGQQLNPLQMNQLQALQAAQMNNQHMVNMQGGQHGGQQGQGQQQQQQRKTL FTPYLPQATLPALLGDGQLVSGILRVNKKNRSDAYVSTQDGLLDADIFICGSKDRNRA LEGDLVAVELLDVDEVWGQKREKEEKKKRKDITDTRGGSNSGNAERSHRDNSNGDDNS NTGEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEVNDEQKPLYAGHIVAVIER VAGQMFSGTLGLLRPSSQATKEKQEAERQARDGNSGRHHEQRQQDKPKIVWFKPTDKR VPLIAIPTEQAPRDFVEKHQDYADRIFVACIKRWPITSLHPFGTLVEMLGKMGELKVE TDALLRDNNFASDEFSDAVIRSINLDEWSLAKEDEAAIASRRDFRDEKAFTIDPNGVN ELDDAIHIKGEVDGKIEIGIHIADVAHFIKANSLVDREAKKRGTAVYLMNRSCNMLPP KIASDVCSLIPGEDRLTVSVVFKVNTLTGAVADDDIWIGKSIIKSTGKLSYREVDAVL SGQGNVKLDGISDKDIQILQAVSRKFREARLGSEGETIAPLRLMYQLDDENVPVEANI FDSTPSHELIEELMHKANTYVAQKIAHGLPEKALLRRQGAPNPRRLRTFADRMTALGY EIDTTSSGTLQNSLFKVDDSEIRKGMETLLVKAIPRAKYFIAGRTLEHLHPHYSLNLP LYTHFTNPSRRYADIVVHRQLESVLSEGKIEYNEDIDALVKATESCNTKKDSAQNAQE QSVHIESCRIMDKKREDLGEDLISEGIVLAVYESAFDVLIPEYGFEKRVHCDQLPLKK AEFRKNERVLELYWEKGVPSSAYVPEDERPRPGVSQRTANASLAAREAAVAERARKDR EEAQRKQMETGTMSTDAVDALFDDDDDDNVSDLAESVQGVSLGNRPTQSVPPSPTKNS LTASGDLHRTRSDSKVPIAESASAKTSNKEKYLKFFSLREENGEYIQDVKEMTRVPVI LKTDLSKSPPCLTIRSLNPYAL VE01_06555 MAHFRGSVDGTPPRSGFFNSFGSVPSPDGHSMSPEAVHENLLDT ALREHNRVREQAIRVFQNNQLREAQLKLLQEERQEKERLRLEQELADDRARIIELRKK TVPIPELPPRVKTPPPPPAPPTPPTPEPPQKAAAAVAQPQAPQQAAVPQAAPVVTQPV AVPQAPQTLQPAPSTIQAQPVAATQAPAAAAPITISTEPPPYILPGAQRYLEIHQNLK RLRHFIIDNGKKDPALKKKTGEMRREIRKSVGQLTSGRGATTIPKGKIHSVLLESLRG LQSPPVDPNMVTVTPRSPVDGAVNNGELPALFIYLMNIFAKAVVAQFIDEAGVTTKAA EPIGVVAVSIFANKDFVWRNESLIDILMCKMRVSCPVLFGLRGNEKTEEGRARLGWKK DGGQWVSDQVHNTRMTGLGAGYAAIALRDFSKTQMKNPWSPSNYWRTLASIVDTPPEE ASNTQYTVLKAMIENSEQRFLQFYGDVGRHAMFVALVVFPARALDQSVAVKALAVLGD KLRRDVGLQFKLPTPRIGGFSTGRPIWG VE01_06556 MHHPTLLALLTLFLTPLALADACVESGPAAEVTAVSYCCAKVSG TWYQFYPVQAICVIPEGSLDKYKKCVAYVPGAANPTCIPGQGEGLGGGVTLTTTEAPR TTIVG VE01_06557 MPRPTAPPTPSSSTDLKSRDGSHFISSQMSFELPPPALTFDSRP SSTSAASSSSSYHPHSPMSGAQPAPAAKPRASTGRKRTSTAESSAAKGAEPFDLPPPP TRTRKIIQMKPSAAPSTAASADEQPAKTAAASKRKPPSATSAAGRKMARKTAHSLIER RRRSKMNEEFGVLKDMIPACKGEMHKLAILQASIEYVRYLEDCIAQLKSQNGVNTPTI ASRRESTSIAPHSYAASSSGASEASHTDTEMTTAPPAFDQQSAQQQQQHHHHHQTQQP QVPHQQPSQSPPLRAPEDFQRTYSFSHSSSTSPAFGPQTYTGAPSWLGSAASSALTSP ALGPMAEEETATVALMMLNGDWRMGEQREREGRRESGAGPEAQRPRGMSVRDLLST VE01_06558 MRTLAILAAAVAAVGVGARQEGDGGQIVIGGQGGGHASAKEGKK LTGRFLHITDLHPDPYYKPHTSLTTCHRTPGPSLPYGTPLSDCDSPPTLVNATLSWID AHLPAVDFVIWTGDSARHDRDEQIPRTAAEITDANTELADAFREAFADKGVPVVPTLG NNDILPHNILLGGPNKWLQTYGRVWHHFIPEVQRHGFQRGGWFTVEVIPGRLAVVSLN TLYFFERNSGVDGCASKSEPGWEQMEWLGIQLGFMRDRGVKAILMGHVPPARTGGKKL WDESCWGRYVVWVERYRDVVVGGAWGHMNIDHFLLQKGKKGVKALMGTPGEEAVEGWA IGDMGGDVAIASVDEYLQELRDGFAKVPDPRDALAGEVEGEGRGERKKRKRALKRMGG EWAERYQVSLVSPSVVPNYFPSLRVVEYNVSGLDAGVGEVKERATATSWRLPGEDEDE DEDEDEDGEFEEEDSDDEDEEEGGDAHTDGKKHKKKKNKKKHRKPKHPDLKIPPPPPR GSPPGPAYSPQPLSWTGYTQYFANLTRIAEAEAAADAAAAVADSNSVDVSGDGKGKNG KGGRGGKDKPKGDGGEFKYEIEYTTFNDSKGFALPDLTVRSFLGLAHRIGRAKGGISS FAEVDDAEVDAEVDAEDDEEEAGLVDKAWSWLSGSEPKSGEGVDAEGKNKGKKGKGKK SERAWKVFVNRAFVGTGAEEGMGSNGVRERGEEL VE01_06559 MARKTALVIGASKGGIGDAIAQEFLHKGCRVFATARSISKVEHL QQLGIEVLTLDVTSSKSIDAAAAEITRQTGGSLDTLVNSSGLGCPLPLLDVDVNVSRK IFDVNVFGLLETTQKFSPLLIAASGTVVFISSVAGISPWAYHGMYNASKAAVNAIAHT LELELSPFDVKVITVMTGSIRTGYFDNQPPAILPQGSLYMPIKEIVDRHTSGAELPKR TPPEEYAKSVVANAMSANPRTRLWKGVNSTIVWFIATFFWHGALLLAFAPAAGVSVLK SKLRALKKNKTS VE01_06560 MPLPGVDTASQSHASDVDPDASSRQKAKTLPCRYCQKRFRRLEH VQRHERTHTKEKPFQCLCGKTFGRRDLLVRHEKLVHLNENKHDANRHHVATAAARANA VKAQSRPQVTAAIPNLIDPDLLVQQPSYPPIQDLRARPQEVPIQQQQQQQPQQPQRLP CSLDLLSDAATHIASSNNHTNQLPPIHSLHTDSSAEPESKRARTTSFNSRAGPEDSTR RGSYHHHHATLSEAPQPQPLLGDYNIFLDDFGISSHVFPPIDAEGPGGMTWSRPMAHE GYADPSRQSYDSRVGGPPEQGQHNRFDSRFSSIASEYQSPPQHPRTGEDIRAAAAAAA APPWKVSSQEHRHMQAKVDEFASVLPSGFEFPSRYTLSRFLEGYFNGFHEHVPFLHVP TLSVAALAPELLLTLVAIGAQYRFEAHRGNGLWYAARAVAIEQATRRNSALVAEIVSP PLTCGSESAGLSPPPTGRSLGEGGGAMNGVVNGNGHGMERVSSETHDGENFSNSHTAR LETIQALFLLVAVGTWAPRILLRESLTLRSWLAQLVQESGLTNPSTSHPSLSWEEWAR IESEKRTKFLVYCFFNLHCIAYDMPSPLASTSIHMSLPQTAREWKAPSAREWADIRQS SPPSEIPFLTALARLFDPPSSPAADLPPPLPPTSALGNYILITALIQQIFLLRQTTAI SHPHLPPNSLPASHLASLSHALKNWQASWERTPESSLDPTTPAGPIAFNSTALLRLAY IRLHSDLPPNPYLALRDPVMIAKAWAEGGRVGRGEGVARAVQQAVLALGVPIRIGIAF VARTQTFGWSIQHSLCNVECAVLLCKWLETISLTPHSLTTDERNILAMVRNMLEETEY AAPPATSHPSSTSHPSTSSSSTTGMDSSPEAEGQRIRALGAAVVRLWAETFRGTQIFD IVRAMGRALEVYGEGVERGFGGGGG VE01_06561 MESITTTTPSYTSSGPSAHKHIWLITGPAGCGKSTVASHLASAL HLPFLEGDDYHTSENVSKMASGHPLTDSDRWDWLSRLRISSLSTLSPPTPPSPSGVIV TCSALKRKYRDVMRVAPYNDPRVLVHFIFLSASEETLLKRVEGRKGHYFGKDMVKSQL ESLEVPVGERDVVVIDVSVGREEVQRRALEVVREAMGVERAKLA VE01_06562 MPVRIPSISAAPLSRALLNPARQRGLRRHPTRLFTATARAAVVK PFLLADIGEGIRECEIIQWFVEPGARVEEFDKICEVQSDKASVEIPSRFSGVIKKLHY DTGEMAKVGKALVDIDVPEEVAEAVEQAGAAPLGGEVGAESVRSEGLASTIKPDTSSA PPQETKPESEKPVVAKGKHATLATPAVRHLTKELKVDISDVNGSGRDGRVTKDDVYQF AKARDAGQAAPAPQAAGAAQLARPAPDHGPQQETPTQLTNMQAQMFKAMTASLKIPHF LYADEIDVSSLFHLRHRLNKSLAKSPPADAQVTKLSILPFLIKAMSLAIGRYPILNAR VDVGADSKPSLVMRAQHNIGIAMDTPQGLLVPVIKNANALSILSIASELARLQALAKA GKLTTADLTGGTVTLSNIGNIGGTYVAPVIVEKEVAILGIGKRRTIPAFGESGEVVRK EVMNFSWSADHRVVDGATMAKAAEVVRGFVEEPDMMLVHLQ VE01_06563 MNVTKAPLARALLHPERFTSIAYPIRSQPLSTTLRRYADKPTPP TSAPAPAPTPEEPKPVSAADLPSPLADAPRATGKAVEQFTPKPLPRPIGLPRPPRMGE NAGVDTRSWKQRRDDFVDYDKHIVKRKILTQKMATPYFREWSNMRHHKGKTFVAPPRL FRADRALYFPNLQGQTLLKDAEVLDTTPVLSDRISVVSVFSSAWAEHQATSFVGKKEN AELHEVVAASGGRAQMVQINVEENPLKSALIKLFKPSLRAKLGRDAWGKYFVVTKGLT GEMRDAMGLLNSKVGYTYLLDGACRIRWAGSGIAEGDEREGLVRGVKKLIEEAKGKKS SPGGTKSSI VE01_06564 MSSSTGTGWAQLRQQARTLETQTESLFHTYSQFAQISNIPPSPT EEQKQTESKINELFEKRETLINQLTRLLDSESTLTASALKQNNLSRHREVLQNDRREF NSLKSTLQSARQRANLLTNVRSDIDAYHASSPSAEADYMLGERNRIENSHNMADSVLS QAYAVNEQFGLQRETLAGIQRRIQGAAAQVPGLNSLINRISAKKRRDMMILGTFIGVV CLLFLYFL VE01_06565 MSSGKVKTGALWTKNKEDLQKQLGELKTELGQLRTQKIAGGSAS KLTKIHDIRKSIAKVLTVINANQRSQLRLFYKNKKYLPLDLRPKQTRAIRRRLSKEDS ARVLEKTTKRNTHFPQRKYAVKA VE01_06566 MQAQAPPYLFKETRINLDSPLSNSTVILRLPVPGASTRLARTQK RPLATEIALTEDENAFKSKHLASASSIYHRLYHTSPRSFHWRVLEDGKALSITAVDVC KRENGPENPLTLRLYFSSPIRPGCIAFADHEEHDTLSVLALTESNHLYTLTLRPDSFR RRASTENNVDDWCKIYLSTAFSFKHPHRLVARNPGEVLISLHDGGLLRLNRLPGEDAS SWKETFYNEGGWAHGLRSLIPFQGGNTIKHGKINIELSAVTAIAVPKADIDGDNYAFT VSLDHRIRVWNLDSGKIAFTGDLLGAEREPNDLGKWVLHPSQAQLIRVFQDDERTTIV TFSPIGAGEFKFWTMTLNGDGTAELIDMFPEIVLKPSHPMAGDPMTDVWTVADFSATV HNDERGKLSLWVLWKNNITYRVQTVDITLLSANNTSSYWKNGWSAVATDTVSQAPLPV ILPSDPEDATEKWLNYILYPGKYTIATVETALSIYERSLGRPQKISARGNKNLSERIC AIIGSSIPLSSSSTGQIDYEHFKTAIDAQWRRFYRLIVELDKQRGEALALSFDADNQL HVVATANGLSVIRECSPIEELYFNPDNAFGGKSEGLSNLISAAATFRENFNDMLQHSC KAQLAVQLFQDPSQTDSEHLQSFYDRCNFAGQIGDDDFEQLLAYFGGSFKNITLGSYE DLFRAMAGTEDMAAHLERYPLASLGRKVAVKGVQEIVELHQSVCFDQLVLLAFIEGEI DQQEEGMQLDTAAVHRQLIAVLKHLEILEWLLKTQISISPLKTERSESIASISDAQKP EEFKIVTVFEGTVNHLLGLAKDSDVSNLTALTKLLGRVCDPNSEIELQPALIQAFLLK TEHADLAVSFSRFCSQDPFSVYMQGRSALAVKDLSVAATYFKKAAFGLAHSELQATDR HSSGLLNETDWNSLCGGLPSYYAHIASLFDSSKSHSYVIDFARLALQFLPTHSKVSPA QSKKDTALRADLLSRLFSSALHTARYELAHSTLHLMPDAAIQKSNLRSLVYSLCENSA AAELIELPFLGMHALVDEALSQKCASIVDVNIGVPWHKILYAWRIRRGDFRGAAAVAY QQLQKLQQLANGGHGKDLALWSGKKSTGAGNDELDTPVTRQYLQLINALSCVEPGQAW ILAEPVGRKNGVVEKRRVITLEDARRGLQDEMDRVEGILRGRFSFVGGEERDVDMDED VE01_06567 MVAEDMEGSQGIDEGGVGGPGAPTPLWSLEGVAGLTKRDIQLVV DGGFNTVEAVAYTPRRVLEQIKGISEQKATKILTEASKLVPMGFTTATEMHQRRSELI SITTGSKQLDTLLAGGIETGSVTEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCL YIDTEGTFRPVRLLAVANRYGLSGEEVLDNVAYARAYNSDHQLQLLQLASQMMCETRF SLLIVDSATSLYRTDFVGRGELASRQTHLGKFMRALQRLADEFGIAVVITNQVVAQVD GGPSAMFNPDPKKPIGGHVIAHASTTRLSLKKGRGETRICKIYDSPCLPESDCLFAIN EDGIGDPSPKDLEKD VE01_06568 MPIRNPFAKRTGLEGLPDENARPESPGGHGLAVDNADIKASKTS SALSINSKKVEQPPEYKMSVVNDSGVYLPPSPPEKKSFWPRRSNPSNASSSTTRSFAE IEPFSISRESFDSYRRSFDISARSPVMESSSSVNGRQSLDARLPRLPRSSLHEGRFQG GPPTEEEEGEGFEDVGLNDNPIKDKLAPKKKGFFSRLGDQGSDEPALATPLTSRFHFT NRKRGLSGQGAELGNMERPVTANSQQGTEVR VE01_06569 MAKTKPPQSKANRDSKNILNRTIATPSKASSPPASSSSLLDQAT SKLLEGDIESAVPLAQRALGLVDVDSVEALPALNLLGEIHIELGDIEAARAYFTQAVE IDEEGELAEEVGGGAEKFLWLAQLSEEGGRDSVEWFEQGAKVLRAQIQKLVETADANK AAEVEALVAEKRRKLATALCGVVEVYMTDLSWEEDAEQRCEALVTEATLVGPGFAEPW QTLASVRISQQRLEDAQAALKRSIEVWKDEDPESELVPDFPTRVSLARLLMESGMEEE AIEVLERLVGEDDSSVEVWYLGGWGLYILGEKEKEKDVSGEGHKVYWISSRQWLNHSL KLFQQQDYEDVRLGEHAKELISNLEKDLGVLAEGEEEEDDESDSGDEDEEDEEMGGI VE01_06570 MGREDQVEEREVLDSIFPDEITDISETSYTISILLDVTNEAGNE AEPPTIILKIEYPENYPDEAPLVEICAPDDVVAHELFDIEEDRERLIDALNDSIEENM GMAMVFTLVSTVKDEAEQIIQERQAVLMQEHVKRVMAIEAEENKKFHGTPVNIETFAK WRDAFRKEMDDIEQAEREVEEAAEKKRNRGKETEVKLTGRQLWESGMAGKADEDDDLE DAAVAAMEKVKV VE01_06571 MAAPATTKKSSTSGIKRKAEPSKEAHVKGTKKSKVEAESTKPEK KKSSKKEDKPEKKSKEDKPEKKSKKPKMVESNDEDSDDMDVDGGVPLNGAEEEDTPME EANDGIHPERRQFAAGQGPNGTNSREAHAKQKATIAERKAAKPLADPLLRTKKIWERL RRKSHVPKEERKQLVEELFQIITGKVKDFVLKHDSVRVIQTAIKYSTPEQKRMIAKEL KGTYRQLAESKYAKFLIGKLLIQGDDEIRDLIVPEFFGHVRKMIKHAEASWILDDVYR GVANRQQKATIIREWYGAEFSLFRDGEKVSGDLADVLEKEPGKRAPIMRELKELINHL VQKKMTGFTLLHDAMLQYYLNVKTGTEEETEFMELLKSDEESDLLKNLAFTTSGARVV CLAFAHGVAKDRKHILKAYKDTIHLMAGDPNGHKIILAAYETVDDTVLTAKSIFPELL TKDADKQAEMVVTAANDLNARTTLLYLFQGRSSSLFPASLAADIEVLNEVDVARATTS KKDPEIRRAELAKALSPLLLNAIASAAVELATTSFGCQFITEVLFASVGDKTAALEAV ASVAGGDPTAVVVEADAMDVDTAAPAEDAMEVDIPFNDHSGGPSLHIANTAFGGKMLR SLIAGGRFDNKLKKIIPVSPPLNFADILYPVIEEHIIEWATGSSSFVVLSLLETENFS SKAEVLEKLKKGKKALQKAATEETAEQKAKAAEIAGAGKENGDVKAKKGKKKAAPTQK AVGNAGSRMLLSML VE01_06572 MKSIIDHAKRRISNVTDSNPAPSQSPQATTTSTPHASSIRPVTR QDIFRYRYHHGTNLGSIFVLERWLFPSLFSAPGDSELAAVTSSLSEIGLDKTKERWEN HWNSALSDDDIRFLVHEARCTSIRLPIGYFTLGPAFCQETPFEAAADVYVSAWAAVCK LVRRVNDAGIGVLLDLHALPGGANKDMHSGTDSGKAELWDHWNTGKKNREMAKKVVLQ VVSQAGNMEGIVGVQIVNEAAAGAHKLHEWYDDVLREILDIDPTMPIYVSDAWDLESS LRWVENKKHRGGNPVVVDTHKYYTFSEADRAKAPQHIISQVPHELGEMDKYVGSVHDR GAAQIIIGEYSCVLDGRTWSRSDPSQKDELVRQFGNVQSERWREKSGGTYFWTAKMEW MDGGEWGFFEQVKKRTIVAPPWLFLNPSDVQHRADEATRSKEFTFSRALGSHVEYWSQ TAPGKKFEHHWYGDGWDVGWEDAKCFWFARADGHMGEVASSSGGAEKIGCLEIWVQKR LRESGKEGGSVWEWEHGFRAGVKAFEGMVGV VE01_06573 MSSDALPIGTAAFAAALEALPLSTLHLKAAELRNSLAHLEYSNA QLEPYARPPTGQEPDIVCVEAIAENAIVMERIRERLALLRQEAEKRGLGWGEFVGEDE IDLLGGENGESGAGRAAATGSSPEELTARESEELRTLQGMLARRESGSTERVSNDSTR AHSAWTDGTFQTGRITNGVLAMDDDITSVQGAIQSAGNNTTGTATNGTNGANGAEGAG GANTGRGGTLSDEELRRRLEERLTTEDDGSLYL VE01_06574 MAMIQANRLKKVLDNGDKAWGIWQTIPGTNVSRACANSGVDWVL VDCEHGNIADAAMHESVAAIAATGVSPIVRIPDNQGWLVKRALDCGAHGVLVPLLNTV EDAERLVKSAKFPPQGIRGFGSPFSQGAFSATMTAGEYLVQANSSLLTVVQIETAEAL ANVDGIAAVPGVDVLFVGPFDLGNALGYPITGPTMHPELEAAIAKVLAAAQKAGKKSG IYTPSGEQANKMAALGFDMVNVTADAIALAVFLGSEVAKAKGGQSTEKMTGPYGK VE01_06575 MRAATRLFQACRITFFTRDGCKLCTDAKQTLSDVWDVRPFVYRE INVMKPDQTIHVTNASSPEEDPELSGKAKKLMHRFTAEEVQKKMNDAEAPP VE01_06576 MATVLAALWGMIVKVVLFWKSRFWSWLTWKKPTDVWVNILQNAQ SYEEWEEAAFQLDVLLGNDLWRQNPISKYYDYRLIHERLQSIIVAREENDVFTLVNLL RSGLVRNLGNITAPRLFNRAFAGTKLLIEDYITQVAIAISFVAALPGTAGTDGNVTAA GLSNQAKLDLLHDTRQAFGRSTLVLQGGEIFGMCHLGVVKALYLRGLLPRIITGTATG ALIAALVGVHTEDELLEFLTGDGIDLTAFGGGNDGDLKKRPPKDGGWWATLGRRTKRF YREGYFLDISVLEDCVRANVGDLTFEEAYNRTKRVLNITVATSGHGSVPTLLNYLTAP NVLIWSAALASNVSNPTLYGRSVNLLSKSLDGTIVPWFPSESATFRPWTHATYSDRES PLTRVAELFNVNHFIISQARPYLVPFLQSDMHGPSGSYARRGRTSFTSHLLRLITMEI HHRLSQLDSLGVLPASTRRFLIDETVPGASVTLVPELSTGDFLRLLETPTRGSLDHWI LCGEKSVWPAVSALKIRCAVESELDRGYQFVRRRKAGGLRRRASTLDTSHAPHETREK TSSSGGNWKSDVRSTGEMQS VE01_06577 MLATNDNSSQYPLSWASAGTEATAINVDEGCHDINYVHNNSSNN TYLQMVPQDGLNEASNLLRASQAQDVLRFPIAIQRMISEMEQEYCPIFDEYQGYESSD IVPVSFDTNRGAFIAVGPTHIIPPSDSERVTRGCPSTRGESSVVSGPGSGSGGGSGLW NRGELYFDHIGAQYEYAGYDYDDADEA VE01_06578 MASYLNATAPLCAPSTFTFPDLFGAQILSINANLVTNFSTSVKD IYFANHPSITVENATFCNVTVSYTHPGQGDHINVGAYLPVGTWNERMQAVGGGGWVAG GHNFFLSTTAMAGAMASGYATITTDAGLGAANDASPWALLSPGNVNLYNLQNLASVSL NDEAIIGKSLINDFYGKPPKYSYWSGCSQGGRQGLMLAQRYPGVYDGIAAAAPAINWN SLFLTMLWSQLVMNLAGEYPQGCELDELSAAAISACDGLDGVVDGLVSNIKACDFDPF TLIGTEFNCSTTGKNIKISKIAAEVANATWTGPRGADGRFLWYGPNIGADLSGTLTTQ ALAMTTCNANGTCVGAPSPLGAQWVALFIKKNPDFNLTNMTHEQFDELLHAGSQQYHS MIDTSDADLSGFKAAGGKMLTFHGLSDQIIPASGTEHYYNEVTKLDSNVHDFYRYFEV PGLQHCALGNGGQPTAVFDALVAWVEDGIAPDTLPVSPTGLDGTTIERILCPYPSVVT YDGVSDPATADGFSCV VE01_06579 MAPSTNSRKRKSVKEAEIITSDVESDSDFGDGILDGVLSQSETG SDESEGEEDDDSDLEDGELEALDSDEIPSESEAAKSNGAALPKRPINTNIVARQTANR RVLGLPHHVEDDDTPNYTVTTDANGNERYEYEEIEPGYDSDDSDAADHENTIGDIPLS FYDSYPHIGYNINGKRIMRPAKGEALDALLDSIDVPKGWTGLTDPATGKPLNLSQEEL EVLKRIQMNEMPEDGYDPYPDTVEYFTSIQEVMPLSAAPEPKRRFLPSKHEAKRVMKI VRAIREGRILPHKPEEEEKEEDEEVHYDIWQDEQPRPDHIMNIPAPKAAPPGYDMSYN PPPEYLPTKAERQAYEAMDPEDRTKEYLPTAHDALRKVPAYEKLINERFERSLDLYLA PRVRKNRLNIDPASLLPKLPRPEELRPFPTVCATLFRGHDGRVRSLAVDPSGIWLASG GDDGTVRVWELLSGRQIWSAKIGEDEAVNVVRWRPARDGVILSAAAGEDIYLLVPPIL SPEVELASRAILDAGFGYATGQSQTLTANGLKKEPPARWTRPGAKLEDEGVLIKITVR ANVKVISWHRRGDFFSTVSPTAQKSAVAIHTLSKHLTQIPFRRLPGLPQFVQFHPSRP LFFVATQRTIRSYDLQKQELVKIIQPGARWISSFDIHPGGDNLIVGSYDRRLLWHDLD LSSRPYKTLRFHDKAIRAVKYHKGGYPLFADASDDGSLQIFHGKVVSDLMENATIVPV KVLKGHKVKSALGVMDIDWHPKEPWCVSAGADGTCRLWM VE01_06580 MRSRILRTEAPVCQLSSFISTGPSIRARPLRLPTSSQKLRPFSS SQRTFANNQRTPTNSDFKAHPIYVKSTIPAQYDGAKLSGAIDARVVESDLDKAASLRD DLITKDGIPSDNETEAVLDAYQQIADNLMNPPDPAALPKDASATSALLSIKTAAKPRP TVHAHSPIVDGASRKLSDLALSVLQHPPIFITPSILRRYVKLQSTLKRPETLPTAFHL YSTKPAPVDGSSPVKYKKVNPNKSANSIEAKMADLALQTAIESKDLVTAMDIVDTTYN TKAFRRTRFIRRALLPVTGLALAPAAAYAVATQLSLYQTTMDPTVATNVAFVGILGYI GFTTVVGVVAVTTANDQMERITWATGMPLRERWIREDERAAIDKIAGAWGFRQVWRRG EEEGEEWEALREWVARRGMVLDRSELMEGME VE01_06581 MPPPPPPPPPPPMMGGPPPPPPPPPGGALPARPPAGAAKTRGAL LSDIGKGKQLRKAVTNDRSAPIVGKTAGDSSGPPAGAPAIPGMGRPSGLAPPVPGNRM RSNSDQGRDSGSSGVEAPPQLGGLFAGGMPKLKKRGGGVDTGANKDSTYASDPESSRT SALRPPVAAAPKIPTGAAPPLPNNRPPATSAGTAPGVVPSVANLRKTLGHAGPRPASS ASLRGPPPPIGKKPPPPPGSRKPSSNVTSGPPPLPPSAAPSVPSAPAPPPPPSVSAPR PPMNGRPRSEAPQPPHPPAPPSLPPSNGVNPSLAVQAAIRAAGQASPSSAPPPPPPSS PPSAPSFSRPAPQPPHIEAPPQLPSAPPPPVSRQRSSQPMSTMLDASAYTLAPNGSTA RPGGAQRSPLSQESGGRVNIQDIRWRFQDESMLPKPREYTGTQKRYRAGRGSSVPLDL RSFE VE01_06582 MAQIRGTAGYNLGGQQFGGPSRTEASDPSPLDAIRQQTSKIEDA LDSLSEPLKPYLPAIGRFLIVVTFLEDALRIITQWSDQLSYLRDYRHIPTGLNHIFLI VNVIAMTSCSVLVISRKHSDYAVGGLIGVVVTQALGYGLIFDLNFFLRNLSVMGGLLM VLSDSWVRKTKAFAGLPEIDEKDRKMYFQLAGRVLLIFLFVGFVFSGTWSFWRVLVAA LGFVACVMVVVGFKAKFSATMLVVILSVFNLLVNNFWTLHEAHPHKDFAKYDFFQILS IVGGLLLLVNSGPGKYSIDEKKKVY VE01_06583 MAELALSLVGTVGVLGQIFQGCINAYQIFTTASNLGRDSERLVC KIRIEEMRLLVWGREWGVEEGKLEKYLGEESKAGNERLRGLAIQVLSELYKTITDLDK LKGRYGLRDVDNVAPQQEKGALEGKGGNGNGNGNNKKDKPAPGEAWKENFKDKSFAER FKSELHLRAKWVIADKDKFHFFLQDLKDYNDGLEQLFPPSRLATLHRTWQNELLQGAQ RDLAKLGLLESASNGVYPQLTTSANLKQLRINLDSKPTANFKPTFALKIQWPLLEVTD KETRRSLAAYNSPSGERGKNVVIEWVDYDKEDLEGRFQHIRRIDDLARMVHSASARHP DLHTIDCLGYTDDTSHSRFGVVYDSPASSFSTLYSIISSNDYRTPDLGDRFKLAHSLA VGLWSFHSLDWLHKSVCSHNILFFPSAISDSASKPTVNAALVPDISSPFLLGFDSSRP EQVVEMSVASRNPSSLDLHRHPSSLEGIDRKPYCKGFDIYSLGLVMLEIGLWKILQAY YKPHYTAQRFRDRVVVGLLVPGLGSKTGSAYRQVVERCLDVKDDMSGLECHQLMEWVV DTLESLRV VE01_06584 MAQKCVHQGCGLMFTEPAAICTYHPGPPVFHEGQKGWKCCKPRV LTFDEFMTIPPCTTGTHSATDVPPAIEKKEAPEEAPQPSASLDADKGPTRKPVVTPQN APTPPPPEPPSESDDQSLEIPEGRTCRRKACGATYKKGQSREGEKCTHHPGAPIFHEG SKGYSCCKRRVLEFDEFMRIEGCKTKGRHLFIGSGKKDKKDELSGGEEKVETVRHDYY QTGATVIASFFLKKIVKEESTVKFTPQAVELNLKTSDALPKRYAKTVELFGPIDPEAS SFKIMGTKLELTLVKGDGQAWPVLRADEKRTGEIFQVGRAG VE01_06585 MSSEPEDLIDEDNLFGDDEDVAESPVARDLSDRELDSGDDEGRD DRIDREEPVLPEQREARLMDVYAVPHPVPTPTDGELHTMRVPEFVKIEADAFHKDSFV TPIPDSQSQSAYATATSTIRYRKNESTGLLESNTLFNKWSDGSVTISIGDVTYELTSK PLAPTGSKEYNDVLDSHTYLATPYIASQVMQVVGHVTNQYTVALNDNLQDTAIMKLRA AMEKSGRHNDHKDGTALISVTHDPDLQKRQAEQAERERLKSQKRREAANARADQAVGR VRGAIGSGLSLDDLEGRARGISKKKRPAPKGGRKARRADYDSDDDLPRGSRDDEYDLE DDFLAPSDEEQEPETGDESEEEEYEAESHRTKKQKTDAGSEEDAEGEEEDVGAAPSGS AQAGGEGGGRRKRQVIQDDEDDE VE01_06586 MSNLFSGISGRFRGAQSKTGQSKTPAGSNDGQGPPPVPNLQNSN PAPNLAPRMPPLPASPSLSSSMSLGESAAPPATNSSDPLSGYNLPRPKPLWLNAMHAK HIVKGNFMTLSAKPKTLEQGEWVAHQVVEHYRNLWLFVRVIHEKDEDGTTICNPKTCP RMSAGANHSFTWLNSRREPVEVPAHEYISLMQRWISGKVENTDIFPTDPASVSFAYNT AAPGPASASTYPSGSGSSNAGAPIPAPPTSSTLPISALSGSDWVGKSSGFPPEFVEVC QAIFRQMFRVYAHLYWAHFTEPFYDLSLEKQLNSCFSHFILTAMALEMLKPHELDPMQ PLVDLWAANGTFPPESRAYACANVEAGKRLLEISGAA VE01_06587 MSDGFSTLVPAFTLKANIKAHPITGATSQHPGGISVVDITSGTL ISEPGYPVKVNASFLSGSDYIKPDTSGDFFRLDVKSLLKDESGALITLNYTGHIQTTE AIFKVLGGAPDAKTTEFGNISTHLTFETGSEDLRLLEQKVYVGNGRFIVEDGGLTVEY KISQVQA VE01_06588 MATQSPPTGDQVLVKPESIPVSELKEASPAAKPTDMDDTEKKSP LDANPGPLYLNYDIPTTSGRGDDDGIGREQPIGADIQDGKEGDLGDEALEEESLEARI ERLGRERPQEFKSLWAEIVFVFSISMSQVLSEYFVSGFTVVLPTVVVELNIPTASSIW PASAFSLAIAAFLLVFGRLGDMYGGYPLYVGGMAWLALWSLVCGFSQNAIMLDVCRAL QGLGSAAFLPSSMMLIGSIYRPGPRKNLVFSIYGACAPIGFFTGIFFAGIAGQYTTWG WFFWIGMFLTLITTATSYFYIPSDIKERRALGIKMDWLGAILIVTGLTLFTFAIIDSS HAPQGWKTPYIYALFIVGCLLLLAAVYVEGYVAADPLIPASIFKVPCMTPLFCALFFT YGSLGMLLLFATFYMQTIMGASPLLVVAWYTPMAVGGICISTFGGFILHLLSGTVLIY VAGVAWILAPLMFAIAPDGASYWAYVFPSMIFATMGIDITFNVANIFITTSLPKKEQG LAGALIMLVLHLGISVCLGLADIVNISTLAKLGQKKSYQAVFWFEVACASTALLILVL FVRVKKAASSLTVDEMAEMEEVAQREAEARGETVKLSATRSATANPAGHT VE01_06589 MAPRPRHRVLYWGKLESAEKRRADSEKLAHFHVTNLINLSIPQY RSQGTQTDAGWEIHVPHIQAEVKPVVKSKEKPKEAEQPERPEVIGTSVIRLPKFTKGL GRVVEPVKPSAETKGKGKAVESSKPSDAKGKGKAVEPSEPLDARGKGKAVELSDALNT KGKGKEVEPSQPSEPADAKGKGKAVEPLEPADDKVKYKAVQMTVLPDDTEESKVAEPS KPLDGKGKGKLLEPLEPLDAKGKEKADELPKPVDAKGKGKADEPPEPLDAKGKDKAVQ TTMIHDVKGKSKVIKPSKTPDAKGEVEPVEPPNAKGKEKEVQTTELPDSKGKRKVVEL PTPLDSKSKDKAVQTTELPYHKYTDKTVQTLDTKTEDKAVQTMVLPDTENKDKPVQTP DNISEDISPEPPGLPVNKDKGKAVEPLGPPDENGKGKSAESLGSPDDKGESLAVESLG SPDEKGEDKTSEPSELPVDKGKGKAVEQPEPLDGKGKDEAVEQPESPDRKGKGKAKNE EHVSEQDEIKEAIKLLNDEHKATVSALRLSYQEALDKGATDSSTSHWEELKAIAHNYH KAGANMQKALNAAAKKTQSLDGKGKGKAVEGQELQQAAEAYMPPFWELTVPTPCEPLE RYRCLWNAGLRRYTKFYETIFKEEKTTAVGLPGMTHFIELFEGFVKTGILQRMIHASM NIMTQLMDPKQKGKFPIKNLWDVGNRVEQNINYWFKINVIFHTRMVWYQRFYGLLSVH GETTLPIPLSMIAPSRALPN VE01_06590 MPRLSPADKAAAKKRAKQIQEAVYLCGTAPFSRVMMMTQEELRT LMLDLGDQRPTREPGNTMRKEVMKLQGAKFQAFCKYGSIWDNMSQHYEAINDAEDRLR NSKGATPQQLESAIAVLQFYLDNSTKILNHGYDLIRMLNENEAFSLRQLVAAQGDATI HRQDRVYYQITASRATLLCCKIRAKMTKPFKCCTATAKKAAKKFCRKIRRAKKKMGKK PAGALVVF VE01_06591 MEMTDYYDKSRGDPITRSTTNEDQDNPKGVVEEKVINGNEAFNQ AVILEPPRAFSRVSVVLYLCCLLGFLCSTMNGYDASLFNALTINQQFLDYFHGKATGP WQALVSAMYQIGGVVSLPFVGPALDSYGRRVGMFIGAIIVVCGTIIQGLTTGNANVAQ FMAGRVLLGFGVNIASAAGPMYVVEVSHPAHRGVVTALYNTFWFTGAIVASSAARGAD NLTGVISWSIPVWLQLLFSGIIVILVFFLPESPRWLYVNRRFDAAKRMLTKYHGEGNP DSIWVTLQLREYEEYLEMDGSDKRWWDYSALFRSRSSRYRLLCNVMISVFGQWAGNSV LSYFLSAVLDTAGVTETIPKANLNLANNFQQFFFAIVGAVLVDRVGRRPLLLFGNIAC CLVWAGMCISSGIYQGSGEKNKAAADVMLFFIFLFGSVYSIGFTPLQALFPVEVLSFE MRAKGMAFSGLAVNVANLLNQFAWPIALENIGWKTYIVFCIWCAVQATCVYVFIPETK NRTLEELDEIFSSPTPVRTSLEKKKVALAANGEIVNVERV VE01_06592 MGTEPDVVMRSIWVHGSYMKALGRDVLLAGLEIQDALLGQTINF NPKLSAGLGKPVGHDTPLSLEMRDSFHAINGLSEQSWFFHSPLLYWSCSPEAIAEDDD IVSTINESSQRSTSVNVTLRHSIVFSGKRFEDHRLVAADALVITLVHKLDSPVGRQWE RQAQALAQSSTSPWRMYPRDGRVNNSQVYEFKFQPLSVQDDIFLAIAYSITVIYFLLS LTKLRALKSRFGLMLTVVAQTVISIMGSFTICAILKIDVSRIPREVYPFVVVAVGLEN MFRLVNAVIITPSQSKTSSRIAEALGQAGPIALAGVGQDLLILYLLSKVVAPGVAAFC IFAAVALIFDFVLLLTFFVAVLSVDVRRTELSDSLETVKSQRKKLGAAKPKRHNAWVN AVLSGKTPISTRIAGTIVMVCFILVLQGHFFDNESPLRTLSRALQFVRPDHQLSSPPP VSQLSVDINQARSPTAWLRMQDHETAREVIHVIKPDTHSYIARVYDPLVFVLDSSDRT PTKAGVRRFLPAAYDFARNQSTVFVVSVLIVVAAVSLLMNYLLWNEEDTSHDDDGTIH KEDPLMTVTTLTPGHDLDVVMLSASPDGVVVSVGLDRRIRVWDLQQNDRSHYISPTTN ELYPFPILAMAIDEQAEWVALLSVTGRLMLWNINEKQWGPSGIIGTETRAPPLFSFKS PKPGAIASLLIVWTSGSLIEVNFHRESANQIVELKPWEGLISSAKQFVAKPTTTETEP SLHIVVLTTKGTIYLASQLDDKWDSTTITLFPPSTQKIKAILPLPKLNLLLAITDTTA TLLHLPTQSTLHTFTDLDARPQSLQCFHSVKRHAFCGHNGLASFSLAYNSRSTGNPVL HTYSPSQDGDLICTAPSQPSSNPPCNTWSSATLTVHKVPHNGAWQALPCGAIVGVTKH RASRRSSSSDSPSRSSSASGLRHRRAGTPRGLRGEETDGWEAWTLEARGERHSVDLAG EGGRGEQLFVMGVEKVVGVGRRSVVVGFGNMVKVVMVGNERFEGEEEEGAGEVRLGRR RKGGGRG VE01_06593 MATPSKEFTAGLESSKAEYVNLGKSGLRVSVPILGAMSFGSRET LPWVIEEDEALPLLKAAYDRGLNTWDTANVYSNGVSEEIIGKAIKKYSIPRSKLVILT KLNGAVMESLSDRGALLDSKLLKANKDYVNNHGLSRSAIFKALDESLRRLDTPYVDLL QIHRFDASVPIEETMEALHDLVKSGKVRYIGASSMWATQFARMQFVAEQKGWTKFVSM QNHYNLLYREEEREMIRFCNETGVGLIPWAPLAGGALARKPEEQGGTERSKGNELGEV DRKIVERVAEVAGKRGWTCSEVALAWVGKRVTSPIVGFSSVKRIDEALGGRGKVLTEE EEAYLEELYQPRRIVGHS VE01_06594 MADIDNVPLTKVDSAVQGLSSSPPKEKGHRRMSSTAAGVFNIND LEKEGTELLIAKETQKLNWRINKSPTTVEDKDYLKKFLTTPPVKKIDLHFPLGLEVTA RNLKGVTIKDALDAIYKQFRKKEDDELENPVLAGFEWDKEESWTRLIVHCKKEGAAPM TKKSKKKAKDGEEE VE01_06595 MHSEGPLKKKYDRKCVPVRRVSSWEREQIEKRQKHLKNFKGVKW QKLHREDELRKAKAKADGIRYVRLSSVRSASIAPSRVSRVAARRPRSVRFTAARKKNP HKPRGHLTYQPTNTRTYNENEIAHSTYRRALKRYRYRREPPPPTPITIQNLRIFTMEE AKKRKEEQGGFEKRIFDTIFKIIEDDPASKFLRMQVRCIEQLREKSPPRQTDPTLLRA EKQLFQLIKDHGYISDVKLQARTVKRLQSAAARARADASEQSKPVQPEHRREAAGPPP APDPADKDAPEGSEPGDREHVRARETAGPAAALAAPVPADEDVRERSRPIKAEHGDRQ ESRRAKTPPAASARHKHFLKLEASESSLADLYDLPSPPRQVRHLVLAPTPDYILREEK KNEEPLDTNTSQRKFPRSTGSASSVGGPLDSPSPPRHAREPALAPKPDYILRQEDKRN EESLDSNTNQRKFPRFTGSASSVGGPLDPQSPRNTTRPVPGPKAAPIQRRENKRHGEP LDPDTSRKLPPKPQTSAFSVGDPLDPPPSVHHARQTPPAPTPSQVYQQRDTQTGVPLH INTLYKPPPKSIASASSIGDRFDLTSPSHRAKQSTIAPQPPQGQRQKSKQPVVPIDAG TRHKSPPKLVDPAPSIGDPQDAPPPSQRAKTPIPALKPSRLPQEETARPSTAPEASAR PSKRPDSRASSTSIGSRFDLPTQPPRGPQAPASSQPQRGRQPIAALKPSPLHREETAR PSTAPEASAPANKRPQSSASNSSIGSRFAPSPPPRARQPAPLPRPSPLHRQETARPST APESGAATNKRPRSSVSVSSHASTFAPPRSPSRGRQRERSIPPPLPKRRRRRRGDGDD AATPQSPDRCYPSSDSPSPPPPREPRIPPRPRFKPMKSITDKEDKASRAIMHPASKPL AKLSDAAKVTSDFATLGRTQKPHPSFLKAKVNANYKHVRHVNRAGGRRREVVEVPRSL WDSVGMPPGGPGGQGLGGLGGGGGGGGGGRRDGGGTGASSVAERKRGGSWWWCEQGEG VE01_06596 MPSLKASNKSKRNSGGIRKSVSPTRTAPVQRTASPFVSHDIVTC VYQRVKLPGWGVNGWKLFTTKHMLRVVEVESEAEAVAQLAAALESEMSFFSDDTAKTG IAVEQVERVEQLVERPVGRLLGRPLSLRIPGCRLNHREPVAMRMR VE01_06597 MAALNAIRLLPQALEPRDIIAFISPSSRLNHVFPSRVSRAQTFL ESLGYRIKIFFSDPMPLTFHETVLHRCNEIHSAFRDPEVKAIICTIGGPSANELLPHL DYDLIKKNPKIFCGYSDITLLHHAIFTQTGLQTFYGPAAITQFGEFPKPLDFTLNHFF KVLQSVGEPVGVLPRSIEWTEEFRDWGNGDDELRPRHMTPSTGWEWLRPGQATGRLFG GCLPSILQLAGTKYFPSYQGRILILENPEGETPNGPLPYEQTRSLMADLVNLGIFKQI SGLVVGRPFKYDEEQKKGFKQMILDQCHGTDFPILFNVDIGHTDPILTIPLNALASLD STRNKFAVLEAAVVESPP VE01_06598 MQNILETQVSDLSSLVDSPLPLPLVAGYAMADRRGTDSAESPAR DQGKRKSDDLGPQNGGQPRAKRNRYISIACNECKRRKIKCNGNSPCQRCGNLNLECQY APNCCANGFKDSEEFKQMNAHLSSLQEQIDNLYANLNALRSGDIGPNKGSMTGSMSQR SIQSTSPALTYRPPPPKHPRFQGPTSSAFSFDVAKNTLQNMGYPGLEGGEDGNGMQDD TPAGSPPMRQAVLPSHPFKDPLWSITKEEALRLCVVYEDEICIMYPFLDMNWVAQVAN AQYRFMEEGSPKNGQNPNTESPSPVAEQDLNILKMVLSIASAVECSGQSEFANRLYEN TKRASDNIIHTEGVSIKDIPLVVLVATYHFHCDEEALAWRVIGQASRMCVELGLHRRD SLFKNFPDEEGRANAVRLFWSIYCLDRRWSFGTGMPFAMQDADIDPSLPGPEVKIPYL SVMISYSRIGSRVWRSVAGFDSLAPTDIKKEDIGYLDYQILQWQKAIPPELQLPTNGS PQSMRILIYRPVLHSANSIMENLSYAQTVVELAKDSIRALTHLNQTTDIYRAQQQCFN YFLISALAVIFLASCHAPVQFSAVCKDEFYMALELVRGFSSKSYVSKRLWRTIKGLKE VGPKLGLEQEQAPVARGGSLDDPHSSAALAMAGLAGHEISGMHGFMGQDGRGGSNGGN GHSVSAVENSPINGFQMSHEMTNLFEAALGAGTGLHGGYPGPSQMDDGGVSSGENMVG MAGGANAFGGEDELYRQLRDLF VE01_06599 MGYQVVGIAIAAVIYLFVKYLNHTDTPKIKNLPEVPGLPLFGSL LKFGSDHATAAYNYSKTYGPVFQVRLGNRRIVFANTFDSVRHLWITNQSALISRPTLH TFHTVVSSSQGFTVGTSPWDESCKNRRKAAATALNRPAVQSYMPILDLECNVSIKEIF QDSKDGTVDIDPIAYFQRFALNTSLTLNYGSRIDGKIDDELLQEICHVERVVSNFRST SNNWQDYIPLMRLWPSSSKGPKEYRERRDKYLSFLLARLKGEIERGVDKPCITGNILK DPEAKLSTDEIKSICLTMVSAGLDTVPGNLIMGIAYLSSPHGQEIQKRAYDEIMKVYP DGDAWEKCILEEKVSYVTAFVREVLRFFIVIPICLPRTSIKDIKYENAVIPAGTTFYM NAWAADYDATHFKSPQEFSVERYLDNLEGSGGTPHFAYGAGSRMCAGSHLANRELYVA FVRMIMAFHIDPAQKPEDLPILDALGCNAIPTSLTTEPKPFKCGFRARDSESLKQWIQ ESEDKTRHLST VE01_06600 MVASKSWLEIPADSHFSIANIPFGIISTEGQEQKRSAVAIGDYA LDLQCFAKNGGFSGLPSIQDKLSVFGEPTLNSFAALGRPVHKQVREYLQDVFSEGSAS SKVLKDNEELKKEALVPRSKAKLHLPMQIGDYTDFFAGINHAFNVGTMFRGPANALQK NYTHLPVGYHGRASSVVVSGTPIRRPNGQVILDPSKPDEPTYTACKRLDIELELAAFV CTPNKQGEPIPVGTAEDNLFGLVLMNDWSARDIQTWEYVPLGPFNAKNFGTSISPWVV LMDALEPFRTKALENSTELTAYLKDPQANRAYDIKLEVDLTTSDGTNTTISKTTAANL LWSFPQMLAHHTVGGCPMNTGDLLGSGTISGTERDTLGSLLEINRAGKEEVKLSNGEV RKFLVDGDTVTIRGACGVENGQLVGFGECVGTILPAPPLQQ VE01_06601 MHYIRFLKPPQITRDAKVPDHHILYAKITVVNDLGESFLWCDIP IEATIRDSNGVTVATQSLVWKCEKRQLEVRLALSEKKARKEKYKPPYQLSVWPSTGDF TPYNLAKVLSINRGQTNRPADGAVLRGLSATFKATAESIRPVIYRHFKLNGGVTMSID EDTGESIARHIWDAGVILSALLEELSLPSSTPPPGLRLLGDLIKTVPQPSVLELGTGC GIVAITFALSVPDSRIIATDLEEAAEVASDNLEQATNTSYMNLDWSEPLPLRVAHENF DLILVADCTYNPDVVPYLVSTLAKLIKKSPSVLICLAMKVRHASEAVFFDLMKEHGIV QVGKHSERVGNLGDEDESVDIYLFKGAEAAKVLKRVSGLV VE01_06603 MAYASPPYHGYPEGTPSPSPLGRHGGFYQQAAPSPRHYSHSRHA SHDYGHGHSSPGASYPSPRYSSSGRYSTRTGVASPFGEAEYVSDKTRKTHHHTHNKHG QSQTWSFPNPRFTQSYRASYGDSDEDELINIDGDIYIIPGGRRTKQTYYRRSRETNHY YPQGFTYDRDGTPVIIAEPTQRHTETTPRRSRRASASTPQRPSTANRPSSSAKKPPPP IPKATEADARAHHIPAGYSLKNWDPTEEPIMLLGSVFDANSLGKWIYDWTVYRHGPAT PISDVAGELWLLLIQLAGKVKRSEECMPRIRSEDNRLMINDFIESGERLMDKLKKLLK ACEGPMLKVGKRLGSKEPPLLGKNSGVEFVDSIFGRDRQLDHTEKFMASIRLWNLRFD ANCEDILLYPTA VE01_06604 MSSYLITGVSRGVGFEFLRQLSENPANTVFGLVRNKAATESKVA AEIGRENIHIIQADTTDYVALEKAAQFVSEKTNGALDYIIANAALQAKTALVGFDTLS SDPGALEQDLIDHFRINTIGAIHLFNIFMPLILRGRAKKVIAISTGMSDPEMTLKADI FQATSYAISKAALNMAVAKFSAIYREKGVLCMAICPGAVNTGSLQIETEEEGQLAMAM FGKFKQYSPTFEGPQKTEDSVKSVLALVNKATVDGGYAGTFISHTGGKPYL VE01_06605 MALSWLPINLGYEERELLDHFICTAPSTLAIFEPDKNEFLGLLV RLALLDSSPSSSAVLQSALALSSLHRHGLQADVFQFKARSLRTLITSYDHCLESQTVV QHIAACMILCHLEVRAASYGSHEILQYLHVTFEMIKKPTDSLYHSDEYENSLRCLENR ITSIVLLAPEGISDTTSSLGTAWVATMELFKLAAIIYLKRASRNFSGTSPQIDAIVER AYILLDELETFNPAFPLLIIGCEARRDGQRMRILEHIERAMKASSLRSRSMLGLQNII QQIWVQDDLAVDYELDYLNKLDAVITSYRIMPSFV VE01_06606 MSIVFSKASPLKSEIRLAQAVSQFVADLPSDQKATYRSQSHASP PDPRDVDQLIAEIGDATRKIGCRRCFAPRLISFLHGVQQFAALGDVIIGGSQNLIACG VWSLVRMSLLSIANFTSYLEKLSELLMTAGRSAPRYQMMALLYPRSKKMQSYLHEYFI VVVHLCHQSLKFTQKSALAQFASTLSDSDMKTYQSDLDRWANEIKEEATLLTTKMIKE EAQDNSKFRAFSSKFSESVSLRQKLKTNVRVLDSCSIYDYETTWKQTRKIGNTTLLNQ IVAYKDWKGQKSSCTLICTGGLGSGKSVLLANLIDDLNLNCRSKNVTVAYFFCRHDIL ESLKARTVIGSLARQLLRLIADLAIVARFIDKTTTDLALDFEEIFSLLQHSLPPTSRA YFVLDGLDECDPAERDILIKQLWKFQKTFSLLVCVSVRLDPSNVLKLSLEQFINAQVT SIPDDNPDIDAFILAELESRIESKRLVIGNPMLILEIQDALIKGSQGMFLWVALQIES LCNMKTDDAIRQALADLPKDLSETFFRILRKSEQYGKPYQRRILELITIACRPLTAEA LRDALSVVPGDAVWNPSRRLNDIHSTLTCCGSLLTIDEEEKTIRLVHHSVKQFLFSGF KDSANIGITIDSANRTIANIIVTYLNYGVFGTQLSTRVVQQIMTASAPSQIVRSTLDV PSSVQSLALKLLKSRKQPNFNIEKTLAETSKLVSPQLADEFHFHSYAKAYWLQHLFCI SEQESLMYDLLRRLFKGNVVNTNEIDEEGRTPLLLAARDGHKAIVKSLLNSDIVNIDS KDSHGRTPLSSAAGNGHEGVVKLLLDKGANLETEDSSGQTPLSWAAGNGHEGVVKLLL DKGAKLETEDSSGRTPLSWAAGNGHEGVVKLLLDKGAKLETEDSSGRTPLSWAAGNGH EGVSPLFLETLDDRQSGQSPESPCSGDRGAWEALGSLERQSLEVWGAFERNGHKTCGR EPDTYIGCSRAFH VE01_06607 MSPNHPPNPQSKPPLQSIISCHDFEHAASISLAPKTWSFYSCAA TDLVTHKLNSSIYNQILFRPRTMTDVSTADTSTSILSRRSTLPLFCAPAALSAMVHPD GERALARACQKSGIPQCVSTSASFRVEDIFASISQPPVLDTPPPAPMTFFFQLYVDKN RAKSEELLRTAERLGAKAVFVTVDGPVQGKREADERVKADRELVSPMSGTRAKNDEKK GNSISRAMGSYIDPTLSWKDVEWLRRSTKLPIILKGIMTAQDAVLAMEHGIDGIVLSN HGGRNLDTTPPPMLVLLEIQKNSPEVLDKVEVFVDGGIKRGTDIFKALCLGAKAVGIG RGFLWALNYGEEGVEKFIDILRDELETTMKLCGITDLSQVHPGLVNTLAIDHLVPSGE GHPYAKWRPKARI VE01_06608 MARLRILGAVAFLLLQVARCDDSPVSRDYPLVPGEIEGTPPIKY SPPAGSMPLNGRELVSEWSNTGFLSKRYCVDAGYVPCSDTGCCPSLGQCCPGSPYCKA QSNGVCCGSTGVCPGGFECNSICRCVETGGSCCADGRYCQAGHSCCYSGCVPDGGQCC SNGQMCDVGNICVVNLSNGRYGCCTDLSCTAYVESGSTIALTHSTPVVTTEAPRVTQT VDSGYVYYYWTFTWSYYSYYYTYIYQVTTLTTTYVTTTTIISAYESDSAAATSELNRI SSKYFSTGFPTPTDATTALAATTNANTPSETGSSGNDGNNGGSLPGVGIGGVGGGGGS VGGAGMVKSSLPTLTLWAFAMGAVAVGTGMLLL VE01_06609 MSNTNGTNGSNGAHRVNGANGANGKHEIPPVSKPHRVDFARTTS RGPPIDTTWERVNSFPLPPHTATPGGPTARSQAKVRSYGQNEDPKCFPRISKPVELLR TSYDVLVIGSGYGGGVAACRMARAGQTVCLLEQGKERWPGEYPSTLLEATKELHISGE VPSVISKPKMIDKGDPTGLYHLIMGEGQNAFVGNGLGGTSLLNANVFLRTDDGTMGLH NWPPELRKPGALNDYYELAEKMLEPQKYPDEWPELPKLNMLETQANHLGWGDKFRRVP QTTRFSAGANSTGVEMNASALTGQDTTGLNDGSKSSTLVNYLSDAWNWGAEMFCECEV RYIKEHPDEGYIVYFAWHGSGRGAFTEHLHEDLMWVHAKKCVFLGAGSLGTTEILLRS KAMGLSTSSTLGTGMSGNGDILAFGYNCNENVNAIGREFPSPYHPIGPTIAGIIDCRE GHENPLDGFVIEEGAIPKALAPLFQVMLELMPGTAHHDVSLIGNVRSKLASIGSHILG PYYQKGSVEKTMVYLVMSHDSNQAILSLKNDKPLLKFLGVGRSDHVEYLNDILAQATS AVGGTFVNSPFYAALGKQEITVHPIGGACMSSCGTGRTGTTNHFGQVFKGNGKETHEG LIVTDGAIVPTALGVNPLATITALAERSVKYTADRMGVTIDYDTKNGLLDLFGFPKHV ITGDDSIVNAESLIEETAAGKVSGFEFSEVMSGFIHFGENMEGDRTDDFEVAARTGRG LCEGARFFLSVKAWNTHTIVRRPDHSAMLTGTLVCAGLPGSPFMIKRGDFGLFSANQS ISGTKNLTYSFQMIGTDREKYQFEGFKTVNAGVVLNPIALWRATSTLYVTIKRLNGTV IGRGILHIKPTDFLSEARTLTPTGDGLIAKATSTISFLSYFAKQAASLFFTPFSPLQY PSHTPRGFINTCTSDGAIAVIASDGVKTYMNKWESTHPDPAYPVHDLFMVPGASVDHQ IFSLQTIPKNAVQYFTERGYRVWVLTHRIGMNMQPTDKWTTFDARLDIRAALAYIRSV CGNRKIYTIAHCMGSVALASGMLDGTIPVEWIKGLSCSQVFMNPQWARINMAKVMMPF DLTRAYRLLGGNWFSCSSSEDDTLVQRAIDQVLRFYPVEPREMCNNVACHRTSFVFGR CWNHANLNVATHAQTHRFFGGVNMSLEHLLMSMGEHGHVTTNFPLAQKLTTPTNLRRL KGLPIFLFSGADNHVLQPESTLTTYETLRDMFGGENYERVVIEGYGHLDCWMGTRAER DVFPVIGERVERVCRGRGVGKEEGGGV VE01_06610 MGNLCGSESKSPDPFSTPGRTLSSAPPQNATSRPPAKTAAGPPR RLGGGAAGGGGPGGDPRRDAADAAEARAKAAAKPTGKLGQQLNAQKMQRRTDTLQEVS REEVARRDADAAAAVRSYN VE01_06611 MGATPFQALLGQLEIMNDNRAYNPSSGGNMDMMFSQDGSIDAAD VWANALPWDSSSNAYTQATNTEAPSPHPTTEDSFHQSHHDHYFGSGHSSRSTIIYEEK CDSPECHVQECHEPDCAEDLVECTDPYCLPIDACPDPDVCHSGSTKCAGSLEMDIVSA AASLAAMKPPTMSNGQFYNGPQNYDFCATIANGYTSATHPPHFGACMFTNAYMPSYNN GGMMGAAQDSGMCQYINNNSNFCQSHETYHNMGPPSFQNSTLQYAMSLRDLSSRSSSS QDPFAFSTEPTPSSASTYSSPHPPSDVALLTPASQPDPNFPVPVCQWHDTPTSTPCSK TFATKAALQSHIQSVHTAPLSKSLGFVCRWSGCSRLSLPADRAGFAQRSKLDRHMQSH TGHKACKCPECHHEFSTSQTLESHMRTHTGDKPFKCKEPGCDYEAAQASQLTMHTRTK HTREKPLLCDFPGCGRRFAESSNLSKHKKIHEPEEECRCGVCGKSFRRRDQLRRHLKI HVRKDGLSEVGARKALGGKRGEEMSETGSQLLSPSPSVPPGGAGMEMEFNEEARMGMD MEIDGGSMMASQQSMTAQSNWAMT VE01_06612 MAYFFSTPVDIDVVLEDTSDRQMVDVKLDKNRREKAPLYKDEES VRGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLGQELAAPGDLQHPQT FPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYKVPPETQSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGTAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFREVNKKYSTRYYLSLVLID EDARRYFKQSEIVLYRQNPEIADAQPQNTQIIGAPPPERIQQ VE01_06613 MAREEDIKTYGFAALPRSTAALLASRVDPKQPTELGVDDIPLPD SPLAGKVVEYARRELPVETFNHSMRVFYYGIAIARFSFPELLTPSWITTYLLTSLLHD IGTTPKNITSTLLSFEFHGGLLVLDLLKKEGAPTAQAESVAEAVIRHQDLGETGFVTS ITAVILLATIFDNVGKNAELVHPQTIVNITNAYPRMKWSQCFAHTIGQEMELKPWAHT SHLGEKEFKEGVLGNTLMEPFE VE01_06614 MALNPNHDDSESSLPNYTFSPTAPMAQEKRIEGGILITDEGNRT RKGGLKVGARIAPVLPHLRGADFSDSDSVNGQDVLEKQLELESGNALQYRTCSWQKTA FLLFSEYICLAIMSFPWSYSILGLVPGLILTVVVAAVVLYTSLVLWEFCLRHPEVRDV CDIGQMLFWGKTWVWYATAVMFILNNTFIQGLHVLVTAKYLNTMTHHSQCTVVFAVIS TIICWFCSLPRTFDALSKIACATAFFTFVSVLLATIFSGLEDKPLGFTEELGAPIYTA FPLPGTSFVAAMSAFLNISYTFIGQITLPSFIAEMREPKDFPKALWAVTIAEVIVFSI VGAVIYVYTGNQYMTAPAFGSLGNEIYKKVSFSFMIPTLIFLGVLYATVSARFIFFRI FEGTIHKSSHTVVGWSAWAGILALTWLGAFIIAEVIPFFSDLLSLMSSLFDSFFGFIF WGVAYIRMRRVDGGVRWLHEQSVYGYSVLALNIFIIGVGFFFLTVGTYVSVQSIMDSY AANAVGGVFTCANNGI VE01_06615 MTFDTTETVGMTHEGRHVGGAVLAASIPDDGRDSRTNREPDGKR NAAEQPPAPSGPTDEQKKAERLAKLEAWKKKVAEQKEQKEKLEAGGTRKLLDQIDVKA NGSPSATPGSPALLADTASPGSPAPYAGKFDPKAIARRASEKLNAAAALNKNTPGIDI AQTSATSLPATNGALADKSLPAFSSSSKASALPKARGNLSAFGLGAKSAQDQEKPSAK RGLVFGDDEGMRKKLEKLPSLPVAINEDEDTAQANGDEKSDDDDDVDMEAGTEEEAAA AARAAAERREERLQAEQAEGAAADVAMAEAPEAAPEQPAPEEEEDEVDPLDAFMEEMG DPFAAPKTTTNFNKNKSKSQQEPEPIFGDEEDQVGTLDSEPEDILAMASKVRKKKDLP VINYAKLDLAPFRKNFYTEPAELAGMTEAALADLRLELDGIKVSGKNVPIPVQKWSQC GLNIQSLDVIKRLGYERPTAIQMQALPAIMSGRDVIGVAKTGSGKTMAFMLPMFRHIR DQPPLEGSDGPIGLIMTPTRELATQIHKEAKPFLKSMNLRAVCAYGGAPIKDQIAELK RGAEIIVCTPGRMIDLLAANSGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKIFANIR PNRQTIMFSATMPRIMDALAKKTLNDPVEITVGGRSVVAPEITQIVEVREEDDKFIRL LELLGELYDKDEDARTLVFVDRQEKADDLLKDLMRKGYPCMSIHGGKDQIDRDSTIDD FKAGVTPIMIATSVAARGLDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTF ITGDQEQYSVGISKALEQSGQPVPERLNEMRKAFRAKVKTGKSQDSSGFGGKGLERLD QEREAARMRERKTHKTEGDDEEEKEEKGDDEILLKAASTVQSATTPAPAAPAQLVGVP KGIDLDGKITVHRTETAAAGSGSKNPLDKVASAIDAINSRLNKTGQLRSGVPIDNKGP DAGAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGSFYPAGKEVQAGGDP KLYILVEGDTELVVTNAMRELMRLLKEGTMAAAEVDSRAPVGGRYTVT VE01_06616 MFSAIGRAAIGRIGGGRSTARVPQQIQRVQITQSGKNALQSEFQ GRALVILSSKRLYSASTTKPAKPASDKPAKKGASTTTKKAVKKPAAKKAKKELTEKQQ EKKEADAVRAKVQAQKKKERKVVEDGKAKIRELKAKVLTPPKQLPATAWTVLNSESIS QNPGLPLAELVKDAAARYKTLDTSTRETYNQKANANKASNDASYEAWVASLSPQQIYD SNHAQQRLKHLGLIRPGKHLKIDDPRIPKRPLPAYILFVKERYASGDFKGISPVEAAK VLAQEFKALSESEKKLYEDLAVAERERYAKEMTNTFGTTRPVASSVSS VE01_06617 MESSRGPPRVKNKAPAPQQISAEQLLREAVDRQEPGLQAPTQRF ADLEELHEFQGRKRKEFEDYVQRNRINMNNWMRYAQWELEQKEFKRARSIFERALDVD STSVTLWIRYIEAEMKTRNINHARNLLDRAVTILPRVDKLWYKYAYMEEMLGNIPGTR QVFERWMSWEPDEAAWSSYIKLEKRYGEYQRARDIFARFTTVHPEPRNWIKWTRFEEE YGTSDLVREVFGMAVEALGEDFMDERLFIAYARYEAKLKEYERARAIYKYSLDRLPRS KSLALHKSYTTFEKQFGDREGVEDVILSKRRVQYEEQLKENSKNYDTWIDYARLEETS GDLDRVRDVYERAIAQLPPSQEKRHWRRYIYLWIFYAIWEEMEAGDVSRARQVYAECM RLVPHKKFTFAKIWLLAAMFEVRQKDLGKARKMLGQAIGMCPKDKLFTGYVALELKLF EFARCRTLYEKALMFNPANSSAWIRFAELERGLDDLERARAVYELAVDQQMLDMPELV WKSYIDFEEEEGEYERTRSLYERLLEKTGHVKVWISYAHFEINIPDEEEEGEEEERPI SDAAKDRARKVFERAHKSMKERDLKEERAALLAAHLSFEMTHGDEASQEQLTKKQPRK TKRRRKMDDDTYEEYVDYVFPDEEEGKKRLGGLMEAARLWKEKGGGV VE01_06619 MEAEAVLHQQIPSGYRNLQPQVSHGLQHGQPHMSHQSLGPQNGL DLSGLDDNDAVFQQDLQSLQSASHTQVFHSPNTFDGNHGHRHMHHQPSGSPHTPQQHQ PHHPFLTPTHLQHNAIAKLQEDEDIFGSPGVSDQKSNGHLSTRVVADPPNIAEWRKKL FDVDEMITLSQDQFETYFAHVDNVYSHRSTQRYKRKPFVSHYWDCRLKGRPPGTPKSE DPNKKKRKRVARERDLCDVKIKITEYFPGAMLRPDFVPDGGQSIDGNNNGEFYSPNAT AGLAVQDSRRPYGSSGEQGHPGSTGERFYTIQRVNGNGGNGKGDGIAGPHKHTLEESD RVKKNSVQRFLMKRDKEEKKSMKSTTRKASGAALVTTRRHSKDQDLKLFGTCSSPYVQ RVWIALEAKDIPYQYIEVDPLRKPAAHLEINPAGPVPTLRHGNWGCSDSTVLLEYLDD LSLGIPLMPSDPCLRATARLWADHVNRAVVPAFYTVLQFSPADKTLEAVSTLQDEINK MVEAADAEGPFFLGATLGHVDVVFAPWMLRCSRMLKKFRGWPDPEPGSRWERWVGAVE GCEAVRATVCGEDVYEDAFSRWTQGKGTEVEDGGYGVP VE01_06620 MPTKPLILAVNAGSSSVKVSVYTLSSSEPHQIAEAQLDGLTAPP AKLSYTRGSTTVSKGKEQQQDVKDQGAAFSILLDELVNDSKLTELARKEDITHVCHRV VHGGDYSKQQPITKETLQTLEELSSLAPLHNAPALSIVRSCLDELPGTRNIACFDTQF HRGLPEHVRTYPINQEVARKNGLRKYGFHGLSYSFITRAVAGFLGKKPEETSLIALHL GSGASACAVKGGKSWDTSMGLTPLAGLPGATRSGSVDPSLVFHYASDVGKLSPASTKE LHVSRAEDILNKQSGWKALTGTTDFGAISAGYDKDPAKKLAFDLFVDRICGFIGAYYV SLHGEVDALVFAGGIGEKGAELRRRVVEQCACLGFAIGEEANGKGGGDDVVRDVSGKG QHKVLICKTDEQLEMAREVMEIESLSG VE01_06621 MSTLADELLNDFEDSGSEGEAETENGGLFPEADGHAPTTNGNGE TMELDGDEEEMEEDEDMTGAGNAIDVMDDEEATKAKVEKMQLGGVDDVRSVAGLMKTL EPVLEKISYFQNLPADKQTTHVGSIEDNPEYHLLTQSNTLSTSIDNEIILVHKFIRDH YSTRFPELETMVQNPLDYAKVVAIIGNGPMNSENIKRLQTSTDNILGTTLRAVLDGPS LMIVTVEATTTKGRALTPPELSRVLRACEMTLSLDRAKRTLTDYVQSRMTLFAPNLTA LIGSLTAAQLLNFAGGLTGLAKTPACNLASLGSKKTAGTGFATNVGARQQGFLYHSPI IAGVPPDLRRQAQRIVAAKLVLAARVDRVHSSPSGATGEELKAACLERLEKLTIPPPN KGARALPAPDDKPSRKRGGRRARKAKEATAMTDLRKAQNRMVFGKEEVEGGGDKQGVE EGRVRRLQIDPRTRAKLGKKNPGWGAATPAPGSGTASSLRGFGGGVGAMDLRGKGLRG SGVGAAGTASSVVFTPVQGLELVDPKIRDEVARKRKAEEEGWFKGGTFTQVGGGNGGF KVPDAKRVKSGMGPPAAPGGG VE01_06622 MASNPLRIRNKSGRDIDILCHAASSEPVSPRTLPSPHTISIPER RRVGAPLPPWQPQDLSLSLPLRQRSPHASPAHHHSPIQLPPLGPDQRAPPIPELRRRR TYWDLATNAREDDHAQDPFSAPEMRAPLSANPMSASAREYYHHMGEDRRHSYHDGVRP RHGRQASPPTPQLFRCGSRDSIATVDPVSPSTPRDALPEYGYPVSRNASFSSMGPGSM YPDPTQFEPGSRHFDGYAKPSQVPLPRFPGTLPAPHGVPMHSHHYHEQHYHDPAMAAY AASQGHMVPQIPAFAPPPATTVTASGTKRYHCRYADAVGCEKTFTTSGHASRHSKIHT CEKGIQCAFPGCPKKFTRADNMKQHLETHYKDSRRPRPSTSSSSSGGPGGRRGLRKGA SSAAVAMRREGRGLSRDMVRLQARQMQEVGRWQGRGERADNQGLDALAAAVEHEERGG SAESEEGTPGPGRL VE01_06623 MVVVLPVVPPVVLPVALEVVPVVADPIASVRDQGIRIVGRASDL STVVGALEGMTDAGLGGVWFEILDTWGVREVYSESTYYYSSCILNDLLTYLQVNDSID VRFLTASWRHKILAAE VE01_06624 MAPMTRRGRQTAPNEFLNPQAIVPHVSREESWQLEGDDSRSERE LSENPSGSFQDYDGEFEYDAIKYQPTRDFDSGDSHEDILHPLREAANRVGREVEKFAE VLDGYNPLKAVDDADRKDMTFDLIDSYHDIAIEAVKRLRAQHNRRQTGHTGRKNILGF TADHDDDAMDEDGSDDQEIIESNPMTTPEDLKRWEQEARTWDLLRRMTTLQYGPPQTQ DAPPIHRYSSERQIWTSFLQNDTLGLERHTVLEWLKNTADESGEDIDVLVQELQQNAE RGDITAHGWLHTKSAIKNQKRLHAWQYILDPTNPDVSRALRNASKTEALVTQLDPDAP IRQNLNLEAPDQYFERAIWRGCYELLRRGKSGEDIREWCQDRQEIWRAVSMGGFPDDD SQNDTADHGSRALWRRMCHALAKRGGSDIYETAVYGILAGDFWSVAPVCKSWDDEMFV HYNSLIRSQYEAFVLKKFPSRAPQDQVQSIEAFDAISFHGDPATVGRRTVDLLNAKPG LIKEAQQPLKMLQGVLIANTFRDFIREQGIAISKAANAQDTSKLIDDLQTDPKNEDRV VYIKTEDFDSLRVLAHMVLAFKSLGMTFVNEKDTYAIESVVVAYISFLRLAGKEELIP LYAAQLSDARTYSTLSRELLDVTDPDQRITQIKLMKELGLDVQRFVRLQTAFLLVDYP DTTPGYPAARTFSILVPGQHSNQGLSQKLRPNFIGNAVARPEALLIRAFEWHLLVDGM WSETFRTGTALFVRFFKHGHIAAARELAGCMPSRDIAAAKTPVLLGESLELSQLSDGG DSTDLESLLRKHMADEARAYVELEGLVNALDFIEGVAGIIDSLQLSQVYVSTPLSFPH FPRRRTKAKIQTPEQRQQLRQQLEEQNPQSQNPPYDLHLLTQRSKQDERLSKKLRLEF HTNFANIRHSVAPLLDNWLVKSREANPALIPLHATYLPPTLLAYAALLNNAGLYISRD HFLESMELASVIATNTELTNIFLGPDAPTSKGGAGTEEEKEGLGRNAMADLVQGVAGD AVALLFSTGPDKSRMRGGRRAREGGWNGDIWGVGK VE01_06625 MAPKDDITTDPPCHPRACAIQNCIQKNNYDESKCKAEIDALYEC CNAFYEKYGDAAKTVSCPKANLLRLKMRQLAQEKGSK VE01_06626 MSGSDENGSPGSAPNKPEEAQQTEHLNIKVTDNNNEVFFKIKRT TQLKKLMDAFCERQGKAPSSVRFLFDGSRVQATDSPDTLDMQDGDTLEVHQEQIGGCA SA VE01_06627 MSSQTPKDLNKTLSSLSLSTSSIPSTRKPAPAPLVDSWEDDDDD NEAAPRPDSLEEEDDDALTRRPSNPSAPPPTPISPPPTSLPTSPSSSSGGVSSIADRF GGYTSPTPSSPAREGRLGGGGGEKRQEKTDAVARRMIAGALGIRAPKKTEEERAYERA VREEVIKKQKREKEEKVREEEEREKARRSVWED VE01_06628 MARRETSRVSIRLMLSYVLDWIIIIGAAAIGVALGTISPNKRPI SLSNPELSYPDNPDTVTIAVVIIVSLGAPAAIIFIVSLLLVPGPSVPKSVPKGLIWRR KLWEWFTGWLGLGMSCASSWLITSGLKNLVGKPRPDVIARCMPDLSQIAKYAVAGIPD VKGTTVTLVHAGICTNPDHSLLDDGWRSWPSGHSSFSAAGLVYLSLFLASKLALTLPF LSPKQPGQSDGSYYSAFPSYLPPASSGGYASKYDRDDAAQASNLDHRQIAARNQAASP PLYLLALAFIPTGAAMYIAASRYSDFRHHGFDILSGSFIGTVAAFAAFRYYHLPLSRG AGWSWGPRSRSRAFWTGVGTGGYVGGNEGKEAGEAVMMEDLSGQRTGYQEQGMDGAGD FNNPAGRGRDRDTVGAPVATAV VE01_06629 MAGTGASSPFIKDEPDDQLFGAAGHNQRFMGNSHQQFNMPQQQF NNFGSTPGTNGSINPNDLSMPMSVQAGSYLGGGFQGNFASQPSNPNGSYSKGISALDD DDLLESLGGFQEQTNIGHNGMPQNQQNFNNLEEFDFNIQTMYPGQAGSMPMEQHPQMV NVYSSTPDGDPIQSPFVHNFNHQQIRQMQQQHQQQFGSSLQSPNSFTNSPLAAELHSG SADNYMKQRTRPSLPMQRKPSNSRGPLTPKTPGIGIGVGSMNLNGQESGSYPSQPIRT SAHRKTPSTQWEQSVGSLQSFPGSGFSSPIQQGMHPNPQIADILKTGSMPTKLNGAQS GSAPAMQTQEMKRRRRRESHNLVERRRRDNINERIQELSHLVPMHRLEDEKVRKALQN NSPLSPTLGSTSISPPQATSGLAGPGARRATAGNITQGIPVEEKDKGPNKGDILNGSV SWTRDLMWMLHVKLQQQEELANLITDLGGTYPFEPTEDERRMQTELVDAMHKNGVSSF SYSRAPGTGLRVPKHTDIKGDPVGAARGDVDSSSNGVSPAENGGGEDAATAVGVGGQY WSGHNSGGSGAGSVSFKEEDEYGMDLTQ VE01_06630 MASSSFRDSMNSLGWSRREEAPIDTSQQSGLLSSIKSLNPFGGD GYVQLPTTEGPGAPLPAPNRREEEEGFFALSRWDRILIFSGCNVGALACFVICFALFP VMALKPRKFAIL VE01_06631 MTTTATTRESAAAFSYAQAAKGRAAANASLLSSQNTSGTNTPSK DMSLSVESSLDFSNTAATSDADRSTNGDADVKVPGTLASSTTASFQNSVPTSPSYGTA STSTLPREEEAAAAPKLSDSPWDRLAASETVSEKPEGDRRKTRKGKKDKNADKDAEKE KEEPKPAEILVAAPPPAVNFWQQRMASKPASEEPTAAEASTEVKPAEKRKPKADEGEK SAGPSQNGTARETKGQKKNGESSRGKEDYNKRSAPRGSRVGDKDEKAAANQLPPPVGD AVSWPTPETALEEEKRKAQEKIDKDEKDAESSANKQPRQKEKWVSVPYTPSVTFNTPL PARGARGRAGRPAGRDGGGRGGQSSNDGHGGDKTVSSENTGSDNRERGRDSNGSGRAT SLPPNASKRSAGDSYRDARKPSTAEKKTESAAAKADAAASSNGRRSSIATQTESTNGP SDGARSQKNEQPNGTSDSHAHPHSAGYDMRSEFNARAGDFQKDANGHQGRERGEGRSE RGRGGYRGRGNHGNFANGQQHPQQAYSNGQVQQPVNGYNMRPNGPYSPTPPSQPFPGG FPQPAQRGRSGAPPPRSQSNPNSGPMYPRYPGNPNGPPMMSPIATNGAMFDYPGMQSM SAVPYNPYVEPYSVMAMVTMQLEYYFSIDNLCKDVFLRKHMDSQGFVFLSFIAGFKRI QSLTQDFELLRYSCQESDVIEIVVGDDGVDRLRRNDGWEKWVLPIEERDEAARNKGPE RFYRQSHPRGQQMPQRMMPQGPQGGPPPPFSPNGVQSFTPYAAGSPNMNGENGFVPPP MHDSPLSAAVPEFAPAPAFNPADYVDVPTTFADEEITSLTVVYSQKASGEAPQRVPYN GNSRTFSNGSIDARVIAEELQEAEKRQGRPLTNGSSESSEISPERLRNSLSPSTMSPT RLVFGNAPPVMWLKSQVDATSPPSESKTSEPYTMIRSRALSNRPSSPPADVDNDMKVL YQFWAHFLIRNFNPQMYEEFRRCAHEDAGRQAMFGMNHLVTFYDEVLNSKKRTVPEVF ARHYVELVREEDRAKDRPAFAKLRAAWRNGALDMKSRKKVDGFVDPVLREELER VE01_06632 MSTYDSYETEAPRRHRSHRHHHRDERDDPRYETTDTYVRGPAIV DPPYVSSRQDLTLRGREDSDLSIEEVPRAFPPPGTDDRYGPPARSRSVDRHPQYGQYL GDTRRSERDRDDDRRSTGGEKEKKGVRRRRSLSRNQKIMAAVGGAALAVGGKELWDYR QSKTHGGERKDRNILATAAVGAAGAFAGFEGADIYTKKFADKKEKEVKEKHAIAYDRD GHAIEYCSEEEKEEKPKKSRRKSIVEGAMGLAGLGAAAKAMGGHDDDRSDRGDRRDRD DRDDRRRRHSSESSRGSRRTKGDGERGRGKSPEGVAKFQQAAKAALLAGAAEAFRVRN EPGGWNGAKGKRILTAAIGAGGVGAAAAGDNPEHNSKRHLLEAVVGGLAGNRLINGAR SDDGKSSHGGGGRHRSRSRSAMPLAALATAGLGAFAAKKHHDRSTSRSRDDRRRRSGS EDSQESRRPKRSKSVTDYARKGLAALGLNDAADDRHGSSRRDRDRDMDDSRSDVRSHK SSSRRHRDDRDRDRDLDSSDDDYDRNRRDDKHRDRDYDDRRSHRGSDGRNRRVAEGKQ AISDSDSLGSSTDDNKRIKKMKGKQFLTAGLATVATIHAAHNIYQSVEKRKAGHKAVA EGDMTPEEARKEKAKARMRTAASVGIAGLGIKGAISEWKEMSEMREEYRKAQIERAER HQKRCDRAATRSDQGRSRRVSPSRRIADAPYQSSSDLSPPDARNSRQRLYIDDDPYAP YAPDLPRPPGFDSRDSRDSRRR VE01_06633 MQFQYLAVALLSGLAIATPDLQQPEKRVDIVGDVTSAAGGAVSS ALGGITSAVGSITGGGSSAQETGASSGSEASSTASSEASSSTGSESSSATESSSTGSE SSSATESSSTGSESSSATESSSTGSETGASSTGASSTGGAGSSGTSTGGAMRTAAPMA GLLGAAGFMLVL VE01_06634 MPMKLEGSCQCGGVEFTVDSNTPVPYQLCPCSICRKVGGYNGAV NLGAITSSLDIKKGKELIKKYSAIKDRGTKKEQKCTSERSFCSNCSTMLWNYDAEWPE LIHPFASAIDTELPVPEEMVCILEGSKPEWVRWPEGKKVVVKKYHLSLEDWHREKGLY VE VE01_06635 MSSFGSPGGGKMNTRPTPPERGSFPLDHDGECKDVMKKYLGCMK RLKGMNDPECRNLAKAYLTCRMDNNLMARDEFKNLGFADEPPKDNKKGDGKEEKKEIL W VE01_06636 MSVDSSLLGVALIVRSKDGPRFIFHYPPRLKPNERTIRPPYGTE LDPTAPEDADDIEPDADDELDDRDYSLYNSLEGLGLGKKSRHVNPWEGDDHFELPDGQ HVVPWEYLDSFHTKDLASILTPARAFHKRCFELTLDPLTFVTYPMHIREDGRWKKKKR EKRSRRRKEEEERQENGSIADDTAISESPAETSGVEDDARNVRKPKGTSFASDDTNDG GMTMFNLVFIMNPKTTEAPMRVSDMFEHVAKDINKALRYAQNYSNYVWKESERILNLK EKAKEERRPMSTLWDTILRKSSLAQTMKTIYTSISNNSIAVVQLLSDPPVRLSVQIPK PYFLSMLPEFDEPSMPGLWITTANFFGDQENEDETSLLSKHFALLLLDDEDKIIADIQ SDGGELTGPLLEYLKIVRPTLSFHQTAQQHAISLNDIRILAQHLIYHRRAIAVPPLHP RDTYILSPNCPMASLPQFCALWSQKFPLAPPLPTFLSALSHAPRPYKTFIPTKTHRPI YTAMLAFLLRHGWATQLRTFAWIIAWPEIKYEVQHALDGAAIRDAHAEAMEEPKEDST AAIPKTPTPATPLPTYPHAQAAPSTPPINSEATAEKARVRRLRDKAVQDLADFNAKPK PEATKNPSLNTAQHLVRIQPQLILDPMRAEHVDSMYLSAIANRLEGGGPEDESKGAGA GRTGTTAATGPVGKSAVVTGSLAQSVGALGTLPKFGGIGADGSGIGGLKKDKKRFLKW AKYFDGRTSIERIALLEGVQRKEVWAQVAAWDEYLLVVKHW VE01_06637 MHYSFVAAALLAPLALAAPHEKTLLSRQAATSLNEVFVGHGKKY FGVATDQGRLNADKNAAIIQADFGQVTPENSGKWDTIESSQGNFNFGGLDYLVNWATT NGKLVRGHTTVWHSQLPGWVSAITDKATLTSVIQNHVTTEIGRYKGKVYAWDVVNEIF NEDGTLRSSVFSNVLGEDFVRIAFEAARAADPNAKLYINDYNLDQANYAKTQGMIKYV NKWIAAGVPIDGIGSQGHLTAGLGSSAEAALTALAGSSVSEIAVTELDIVNAGESDYV AVAKACLNIPKCVGITVWGVSDKDSWRASSNPLLFDSSFNPKAAYNAIAAL VE01_06638 MGYNIAMACDFFFPQPGGIESHIYQLSTKLIDRGHKVIIITHAY EGRTGVRYLTNGLKVYHVPFLVIYRATTFPTVFSFFPTFRNIIIREQIEIVHGHASLS SFCHEAILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFRPLSHPAYSNGPDNQLQNFNAQAATPLPHYLGP NDTITIAVISRLFYNKGTDLLIAAIPRILAAHPNVRFIIAGSGPKAIDLEQMLERNVL QDRVELLGPVRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTQVGGIPE VLPAHMTVFAKPEEDDLVAATGKAIAALRANKVRTERFHDQVKMMYSWIDVARRTERV YDGISGVLSEAEFYGYDAADAASWSATRGRAGVQSFALIDRLKRYYGCGIWAGKLFCL CMVIDYLIFLVLEMLAPRENIDIARNWPEKTINKAEREREKKDSIG VE01_06639 MSSSLYARYVPPKKKVTTEPTIVPNVVVPQPQPTPPQPSQQRPP QPYIHPSRANLNIVPAQQPKIAPKRKHEDSTGGRNESASKFKKSKKSPNGPPKDLKVA QRPPPPAQSNTAQYESKEEKRAAKIARRAHQRALEQGLEVEEKPQLQTALVTDEKPVE VKPKEKKAPKRPKKKADKEDTDESDQEGEEGDAKHKSVLAKRAKSLKKAEKIARKEKQ RALERGEDVEMEDAEKPQEAEVELHALEPLPQPEPVPEAPPISIYSALPSWLGSPISV APTATAQFEDLGLPSAVIESLKKSGIPSAFAVQAAVLSLLLPGPKKQPGDVLVSAATG SGKTLAYVLPMVEDISQTMVTQLRGLIVMPTRELVTQAREVSDMCANAYGTGSRRHIN IGVAIGNQTLRQEQASLMKQDYVYDPKEYRARQERINAAWSGSSIGDEVANLLMEEDI STPIDHIVQYSPKVDIMICTPGRLVEHLKSTPGFTLEHLKWLVIDEADKLLDQSFQQW LETVMASLTSRETAAPSQLRSKDRITKVVLSATMTRDIGLLSQLKLNKPKFVVLEGNE GMEAGEGQVDTLNLPDTLHESAIKIDQEGLKPLYLLEVLKRNGLLEPKSLPQEDDSDT SSSGSDSDTSDDDSDDDTSSSGSSSGSDSSSSGDDFSSGDSSDDDSSSSSDASSTTSV PSKKLPAKKPAAIINLAKPHGVLIFTKSNESAVRLSRLLALLVPSRASEIGAITSTTS RKRTLRSFRSGALSVLIASDLVARGLDLPNLAHVVNYDMPTSITSYVHRVGRTARAGK EGAATTLFSATEGRWFWNDIARSGGVKRKTKVERITISAKDVFSDDQKQEYEAALEKL GEETRGYKETN VE01_06640 MSEVRQRNTRSKTGAEDVSAPTAASLAKEEDRSKITVLEVLRTI TLLLLLSGLASWFVTRDNVLWGLKRPRATYISYWQSMLAAPVQLTDAELGQYDGSDPT KPIYLAVNGTIYDVTDGRRFYGPGGSYHQLGGADCSRALVTTCFNSDISPDMRGVEGM FLPKSTPEVDAQYTTEEMAALQEEELEIAKEKAYKALKHWVDFFANHKKYTKVGTVKR EKGWETKGETPVLCQNANNARPFRKPPVKST VE01_06641 MAPANTGPKKAKKKWSKGKVKDKAQHAVLLDKATSDKLYKDVQT YRLITVAVLVDRMKINGSVARRCLADLEEKGIIKKVVSHSALSIYTRAITADE VE01_06642 MSDSGEIEVEAIQGYQVLPKDVTAEIGSIKLFNKWAYDEVEVRD ISLTDYIQIRAPVYISHSAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVRI VAHAFEIVHIMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQA IALLTIGAREASFRNVKSVAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR VE01_06643 MSDNKPTFHHLNNSQSQRILWLLEELDIEYNLILHTRNPPTHPT APFQSPPALVAVSPHGTAPLLITGPKDGNRTIPESLAIATYLIRTFDTDDKFGLKDGD WVRDEVITSMACTNLQRATGFIMMLDFGLIRTGEGPMGGVLDGPELRKQLGSLERELV GGPEGGFFMGERPGRADVILEFPMTMVRHRGYLDLGVEFPRLDEWLQRCYDRPAYRRA LEKGNGYDMSVFPKVPR VE01_06644 MDPNYKFPASSGAPLNQVSPDRVNQQRYDVDTSARVRLFDQEQS HSRDSSVHEKAAKFDTLAFQGKALERRTNDAALKRAMLGREEAESEMRRYRDEARSLR KEVQEGKDRERKVGVRLENVMENYGRAKETHGHTQALWEKEIRRARKDTFKSQSVIVK LQEELKAVRTQLRKSQSDVDDEKERSNKREQEAFEARYQLVGLQEEFAGMVEKMKLVE QERDALRTIAKNEEVARIAAEGQLPLPKLSEDDEFASPRKATAPLRRISVMSSLASED EIENLKRALEWEQHRADRAFDQVEFMEVECRFNCCSCKVSERTGIPLPAFSPKAMRTA GPDTTREELIKPEEVTEDEDQLEESDIGRANEALQRTLFIPAEGIFRTVSPPPQESPW ISPPKQYQPSRAEPLINFSNTPNRPSFHARTPSCEPPSAAILPEGASLMSLLDAPPSP AESEATIIHRQSPQRMSEAPQEVPSYRNHGRNESLDPSHAASPRFSHSRQKSTDRAAF NKKFPSHLNNAINPTVESSSPAAPTPPAHIHEEHSEPIFHTISTTTRVPLALPSDSDS TLIERPSSTPAVSSSLDPALSPTMSREEALAMIRERREGSRGVRAVELGNGDGAPERA EYVKRTDEQGLKQ VE01_06645 MGAVVEDKKSFMGMPGFVVDFLMGGVSAAVSKTAAAPIERVKLL IQNQDEMLKSGRLDRKYDGITECFKRTINQEGVASLWRGNTANVIRYFPTQALNFAFR DTYKAMFAFKKERDGYAKWMMGNLASGGAAGATSLLFVYSLDYARTRLANDNKNAKSG GERQFNGLVDVYKKTLASDGIAGLYRGFAPSVFGIVVYRGLYFGMYDSIKPVLLVGPL EGNFLASFALGWSVTTGAGIASYPLDTIRRRMMMTSGEAVKYKGSFDAGRQIIAKEGV RSLFKGAGANILRGVAGAGVLSIYDQMQLILFGKAFK VE01_06646 MASLMGNGHGAPGIVRDPATVRGLEIPHVLPHEKVFPIQIGSKL FRLSGASISSDAFRTPAEKPRAPSYFSEYFKCQLATAETRRAQGEPDQGIKTLYIDRD PTIFSDISRHLQGYHIQPRDGSHFVKLFADAQFYQLPRLVSQLFEDNIYISIGGREFR VQKDLFTAPGDTPNYFTLGFAVMFSSTDAVFPGLDRAGLLRPPSIVPPAVPSRSGEVF AELIHMLKGYPLHIRNEAHRAELLRDCRYFLFKGLEQKLIPHSISHNLQRGKDEITIR LEDIRQPGLSITFDAPFQTVPSPEPFARHLRYSAPSMSGWVHYMRPFVDTTPHELIIE ISGECTRLDVDTMRAEFSGDAKKRVNRLVEVLANKINMPNARPMGLLMGSGAGSQPES PASGTLGEGGVKVVFEGGSSIVLDGKVWKEEEEGESGVKMEEDGVVDVDAPSRKRRRV EGGAESEVWTVRTGQWRVRVQAASNDKSAVECVLIAVKIDAFTAELARNQQRGFLSG VE01_06647 MQFSKITLAAAALYSSTVFAQGQVKVHVVWVSGKTNTLTFSPNN LVADVGDMVQFQFGVGNHTVTQSTFDAPCLPLGAGTNVTGISTGFMPVAADATTAPLY TIPIVDKTPIWLYCAQGKHCQNGMVMVINENTAKNASRSLDAYIAGAAAAPVTVAPSG NTNTGTNTGSSNGSDTSSGSGSSTGTDTTSGTDTTSGTDTTSSTDTSAGSVGAGSGVS NSTTIPGGGAGTLNAPYLAGLVALGAAMLL VE01_06648 MPPDRSASKTKPFKPQRPSTGSTSSRAKPAPAPSSSRTTATTTT ETPSSRPNPDDNDDENALAPDAPTETIPPALLTTLLTEFFADERTRISRGADKAVGKY METFVREAIARAAYERGGDGKGDGFLEVEDLEKLAPQLVLDF VE01_06649 MTSNLAHIASKIQQQVSELQPSNEEQRLSLLQSARALVNELEKP HERIMRMCYHESAIFMATKVLIDLGIFKILTKTSEPITASKLAQDTGANRALVERLLK HVAVEFFVDEAGPDTYIANDITRCIASSGGQGTIEDTFQIVRVANAVPDFLRETNYAN PTDKDKTTWKYAYKTDQHYFEYVNSPGRERNLEAFCNHMEFKTVGLKWYEVPEIMEAA LGDAKVGKDDVLLIDVGGNGGHDLIGFHTAHPSMPGRLILQDLPAIIQSLDSAALAQQ GIEVMGHDFFTSQPIHGAKAYYLKMCLHDWPNPQCIQILSQLKHALKPGYSRILLNEI VVPDEKAGWFETSVDLLMMQVHSAQERREREWVALVDAVDGLRVKHIWDVDGAVEKVI EIEAV VE01_06650 MIVPSFLTLGLLATAAVAAADPRTCNRNDAKLPLLDAKNFKLTY SANTHTTKLSKHFKTVSMTQVLTNANRQLVKKAPKTGNGKPVESWGWNDGDDDTKKYY PQGISSSGDALGNGKYEGHSVWAVSWYQKEAAANEKKKARISFIDRSTHKYRHVLLVE PSADDDFKEVSVHAGGIMWYGDALYVVDTDNGLRVFNVSSMWAVGAGDRVGKDPKTGK YSANNYAYVLPQMWKFDWKSKQPDSPFRHSWVSLDRSTTPDSLIIGEYQETDVKTPIR VIRYPLDYTTRKLATTANVATATWASCINILKMQGGMSWKGKFYFARSASPAADLWSW IPGNAAKLAKGWFPAGAEDLSYHEARGEWYTLTEYAGDRRIVAYKGMTK VE01_06651 MSLITVLTASLAISIANSPTITLGSPTIPRLTNSPLTNTDITCQ PIAATTDYLPAYYHYIHPAHPFLPPFPALLTLLSLRPLPHLLAAITYLTSFHIQPSHT PLLLAEAVHQISSPLCPHDGYAVQANLLIAIGLDGSGELKRALAFFNQAVDVALEIGM QHEWFAERNGGGNSVIEESWRRTWWECVVLDGMVAGVHQASSVRLDGVGEGVGLPCEE GNYISGNIPSPRSLEEFNDEEFSDDDTVFSSFTYRIAAISNLARILAIPKPIFPDDPL IAKTDAYLVNWSLHLPSTKRIVVEDGRVDEMLFQAHMITYASTLLLHRPHAHLDTAPT QTITSCAPHQPTTGGPTYNIHAAKVVQAAFDIASLIALPVPLTLHTHFFTCVVTLGAI VDLSRWAGLDGVAKNEEIKQQIRLYTGALKTIAAVWPSARKAQGQVKGAAQEIFASRK LAVREREFWGGLLDEEMLGMVSGDGEFGVGLLGGDDGEGWNNENMAGSG VE01_06652 MAAPHQRNGHYVQQQDTYSPDLERRPTDAHLRNTTVKNFAWQDI TVTVKDNKTKEPKALLQGVSGIVNAGEICALMGPSGCGKTTLLNVLAHRAAASGADTT GSTLVDGGAVSTEAFRRMSSYVEQEDALIGSLTVKETMMFAARLGGASGLSKSERMDR VDGLLDAFGLRNQSDTLIGTPIRKGISGGQKRRVSVASQLITGPKLLFLDEPTSGLDS AASWEVISFIKSIAQKNNLIVIASIHQPSSSTFRLFDKVLLLSSGRPHYFGSVPDLAP FLETMGHPVPLQTNTAEFVLELMNVDFAPDQDAARAQLDGMQEAWEASSMSAAIGTEI GIVAQKCNNSKTASDAKGARASFLTIVLALLHRSFIKSYRDVVAYGIRIAMYLGLAIM MGTVWLRLGDDQRFIQPFINAIFFGSAFMSFMAVAYVPAFLEDRATFVKERANGLYGA LPFVVANFLIGLPYLFLITVLFSIVAYWLSGFEPTANAFFTWIMWLFLDLLAAESLVV LVSSLFPNFVVALALTAFANGLWMSVGGFLVPPTVLNVFWYYAFSFIDYQRWVFQGMM VNQFQNQTYGCGVGCNCMYQTDLASQCRIEGTGILGQYGYATNRQGRWVGILIGIIAG YRILGWLVLVFRK VE01_06653 MNHAQASIRRPPQPPINFAVLVDPASVLQASNKLCENLQQFVDN LVSTITPAKATFDNVVLQLLQHENEMQRTSNLITILSLVAPDTALRNAAAEASEKVSH CLMDCKESNEDLFRLIDAVYQRQKDDQTLDPESRKALVEERQSYFRKGLGLSNDPIEE DTSGKGETSSNSATFRYNTRRLQTIQSEFLKNLDEKPHHIWLTGAELTGVPEDALYGL ETGTGEFDGKLGLDLNGMQARWMLTVASSPATREKIYLESRRIAKENIPLFHEAIHLR HQSALLLGYPSRLAFKTETTMAKTSSAVSDLLTPLRDLIIHHLPTDLSKLMDLKKLDP AAQDQPNADVILWSDIPYYTRLYEEQNYAVDQAQIAKYFPLYETVSKMLALFGKLFGF EFIELTNREANSAAEQVKEGLIWHPDVHLYRVYDDPQAGGEFAGYLYLDLHPRPSKSG GAQCRPLQLGFSLPNGQRHHPSPVLLTNFPKPSSLSGKSSPSLLQHSDVVLLFHELGH GIHDLSGRCRYSRFHGAETVVDFSEAPSQMLENWCWDACALKVLSGHYETGEVLPDEV IDSLLRTRTVLSAAKMMPQLRMTVFDGAVHSEAVEGGEVDVAKIYAECDKLGGIRSIG EEYGYTTYRHLFTGSDAGMYGYIWSKVLAMDMFDTVFKKDPLDGEAGRRYRRMVLEKG GSQNEMETVVQFLGRRPTSEAFYKSLGLE VE01_06654 MSNLMFDPHINITLGTFLHFLHTPANSPRNPNFATALAGAVVLA GILDVRNDDAMAWGVDASPRHKSKFSKHYRKGPLVLGGSTFKINQHHNPKYKRTANSG TVELAKTYKKFNVLFPDQLANAIAGIVGRLQGTDGVSAPLNVSNVNFGTVETIPEAFD REYLSPVQIGTPPQTVNLNFDTGSADLWVNTNETPENQQNGQVEYNPTLSSTAKKMEG ATWDITYGDGSASSGIVYTDVVSIGGVTVQSQAVESAQQVSSSFQADAASSGLLGLAY GTINTVQPVQQKTFFENAMNDLASPLFTVNLMKQAAGSYDFGYINASEYTGEIQYTPV DNSRGFWGFNPSGFQVGNSSFNASSWYAIADTGTSLLLLPSGMVDIYWSAVSGAKFDA LQGGYTFPCNTTLPSFTFGVEEYRGVIPGHFMNYAAITKTTCFGGIQSSDALGFSIFG DVALKAQFVVFDGGDNTLGWANKDLSSSV VE01_06655 MPSNRTPQANYHPAANAPPPTLPPSVEEAYRRKCIQLRHRMKTV EEANDASRIRLLRMRRGIEKMRLERAFLLEQLAKRTSTNVEDSDGSPSPPPTPKEKPL RTKRGRRAPDFLQDQPDAASGLSHGNGSRQASFAGSANGRSASNPSNAAAAGASTTRS SQANGTSAPRQPRSGFDVFVKSMRSVLLVANRQKIKEGTYDVDQDLARKWTNLGSEKQ GDYCRRFEEGEYEGWEEAESRDKKRLAEGDDTDADADVAAEAEDVEMGEESGEGERH VE01_06656 MTTSPPSNGVTNSPRRPSAASRATAPSVMTMNGHFAAVGDAPTK EQYEHGIQVIDDEKEYNPNLNTFLQQTGTAQAGFNYHLISVFGSQSTGKSTLLNYLFG TAFGVMSESERRQTTKGIWMSKNKRESDDRGAHKMAENILVMDVEGTDGRERGEDQDF ERKSALFALATSEVLIINIWEHQVGLYQGANMGLLKTVFEVNLQLFLKDKQANPRSLL FFVIRDHIGTTPLSNLQQTLIQDLTKIWSSLSKPKGLEKSRIEDYFDFAFAALPHKIL QPEKFEEEVLKLGTRFRAGHRVSKPDDTHTDQELEGGVFLPEYHRRIPADGFSVYAAG VWEQIVHNKDLDLPTQQELLAQFRCDEIAREVLVAFDETIAPLEEKQAEGTRLGNLIV IAELGISGLKARSAAVKAFEAEASRYHKGVYSRKRVELESKIDTRLKALYHGQLSAVH KAGVKSFSDAVGNAVKIGQKKGASYEFAEIVEKEKTKALETFECEAKSLAVPGVPWAN FKQQYKLFEKELDEVSARLRREEMRRLATRIERWVKSRLGESVGLEFNKLGSGRGGSG APEEGTKPTEKDLWDRIWTLFTSTVKEAETRFLDRAQSFDASESEKEVGLWRLRRKSW AVLRVRIDEEVMEGNILLKLRENFEDKFRYDDAGVPRIWRPTDDIEGIYTKARESTLA LIPLLSRFRLAESYAPPPLPDWIGHAPDAVVPDDEEDLTPIGGVDEEEGKSLEEEMTV LSEAKRQDLVVRFKKMADGVYVEAKRSAIGGVTQVPLYFWALMVALGWNEIVAVIRNP IYFIFLILAGIGAYVTYTLNLWGPIIKMSNAAAQQALDIGKERLRDFLESNDAGRQAL NMASAKEDSDNISMQSLDSRGRRKARMARGKGEDDSEEERDL VE01_06657 MANESQNETDVDMENEAPSQSTIFTPPSVIHSTLFSGESYTHFS PVPPSLLPIAPSPPAPSSSSPPPKEPSAAPAAPSSTPLPKPPAGPPCALGVDEAGRGP VLGPMVYGAFYLPIPLSTPLLSKTHHFDDSKVLTAGFRAQLMETLCTPTVPPAPATSP DPSSLYDNCGWAVSVLSARAISANMMRPATYNLNEQAMDATIHLIKTVYEMGVNVREI YIDTIGQPGPYQKKLERIFPTASITVAKKADSLYPCVSAASVCAKVTRDRALEVLYEA YGGSNEDAAGKEDVTMGDSEDAPAPEADWGSGYPSDARCSTWLKRNIDPVFGWGPECR FSWSTTKDLLEDNKAMAVTVDWPAEEDEETHRVTDYFSADSGKEQKADDELGNWFGRK AGVEAF VE01_06658 MPPKRTAAAPRHKPRENMKTGLTVPDSGIRDEYGIEPMDELFSS PAKLQPLSSSTRKSAMKKSANTTLTSEEDMDVGQSTIPEPSAVLTERKRVSMRMPPPR SKSPVKTFLQSPARRHPSLGPVSSPTRGSVVSPSRVRSQPQVARRLDFSTDDLDGNAP QRLAHVSPKRTSQRNLVSSSPAKRPTGGSSAKKSLKPAFQSQEESEEVQGSRLFDLSS SRDVEDDYEPINVDQAIGSPEPEREETPREPTPPPSKKRGRPPKQNKPEPSKDADVDE DQDERPAKKQRGRPTKEAAQKAQPKPKPKQATRLQKQPAADSSPAQIQRGPPRPRQNG LFILRRETPELGNFATTRSGRASIKPVAYWKNETIVYGEDDTADADASFLLPTIKEVI RHDDVEKETSKRGKKRGPRAHKREEEEEDASEPWESEPGRIYGAIRAWNPDDPTGAES ADVEDEIAFSNAAIITREISGSTVKFAKILTLPFFGCGMVDLPPGAVKKPKNARKMQM VFFVHKGRVVVTVGDNDPFRIGTGGMWQVPRGNLYSIENDSDKTARVFFSQGCEVEEV E VE01_06659 MPAMDSAIAKALSLEEPLPEMEVLGGSGFSSTYKITSKVDGETK TYFVKTGGPSSKTMFAGEHASLNAIHNAVPSFCPQSYGHGALSTGGYFLVTDFLNLGS SKAKPSGLSFAQKLEKLHRTSAPVPDGHSSPQFGFSVPTCCGNTEQPNDFTSSWSDFY ANQRLRAISKACEKANGKDEELSKLIEQTASVVVPRLLKDGHLKSHYGTPIAPVVVHG DLWSGNHGIGSINDGPVEQVVYDPSSAYAHSEFEMGIMKMFGGFGGVLEEYRELKPED LPKEEYDDRVELYEL VE01_06660 MAQQQQPQYQMPAQLPVQMPGPVGPSSPAQAAPSPGAQAFYQPA AKRQRLSPDPRSQPTSPYTQSPYGPTPSTAAPPSSLPPAHSSHFANISQPPTYQGPYS NGHTTPALSHPSPYSQPPTYNPNAPPVSNVHAMPSHSPHQPHPPHPTNVPTSNQFPNN YSMPPQQQQQQQQQHQQHGAGAMGPPSKPAERDNVNDDQMDVLANAGVDLRAEESFAM SFHTGSFNSQPAFNQAGLNATGHGFTQFGPGDAGSFYGSGPANQPGAPIDKATQDQIQ KKTADQAWADAAYKLAMSRQHELKHPHVHVGALWNKMDKIAKENGLVLNTDNGKMPQL KLPSEFPSEIKIETRTGPDGALVVANTTFLPQDTALADQLALMSLATNQRIRILLEET IAIVKSRRVGSHGVIPSEWSDVAASSTSAAGTVVPEGAPRTGWESAVSPGTNPTNDPA SAAGRLPTPVSEGTKTPTGTVTMTNELIKSLREAANVERNFEEKRLAKRQGRPTDGTV ARSGSAAPGTPGTVAPEPSTKPLTKKEREKQDKGKQNLVDSHAQANATTATFLFGGKK KNKYSWMDSGGGSGASTPGRTSMQAPGTPGGIGGGVVEKVRLTADGKNRMGGFREDKD GGRLVQLRDFLAVLENDGREKKTLQRTYAWLEGPKPKPTVA VE01_06661 MASKRKAGAMSPMVEEPVDPNDELMFLCLGGGNEVGRSCHIIQY KGKTVMLDAGMHPAFDGLSALPFYDDFDLSTVDVLLISHFHIDHAASLPYVLAKTNFK GRVFMTHPTKAIYKWLIQDSVRVSSNSSSSEQSSTPYTEADHASTFPMIEAIDYHTTH TISSIRITPLPAGHVLGAAMFLISISGLTILFTGDYSIEPDRHLISASVPANVKVDVL ITESTYGVASHVPRLEREQALMKSITGILNRGGRVLMPVFALGRAQELLLILDEYWSR HKDLQNIPIYYASNLARKCMLVYQTYVGAMNENIKRLFRERMAESEAGGTNGGPWDFK YIRSLKSLERFDDVGSCVMLASPGMMQNGVSRELLERWAPSDKNGVVITGYSVEGTMA KSIMQEPDQIQAIMSRNGANRRAGRGGEDGEKVMVPRRCSVQEFSFAAHVDGVENREF IEKVAAPVVILVHGEQHNMMRLKSKLLSLNANLAESKKVKVYSPRNCEEVRIPFKADK IAKVVGKLANLSPPTLLTSGEGGELQFDDGGKIISGVLVENDFKLSLMAPEDLREYAG LTTTVVMCKQRIRLSAAGIDLIRWALEGTFGSIEVISQATDEEPVKDENGDTHMNGNG SVEKADEEVTRSSTTTYLVMGCVTVRYRSNGEVDIEWEGNILNDGIADAVMAVLFSVE SSPAAVKQSSSKHAHNHGHDHGHSLPDRNPHADVSPAERLERLFLFLEAQFGSDALNP IEIPKLPKLLPEEAGADGNEDEDETREAEKIELERLHKAGIPVPGVEIKVDKMVAKVW LENLEVECANRVFGDRVRAVVERAVEIVAPLWG VE01_06662 MAIVAVGQLCSKASMAHNLRLVKDLVDQASAAGAKALFLPEASD YIASSTEESLALARSVEDSIFVQGVQAASKEADIAINVGIHEVGESGKKLKNASIWIE QGQITQRYQKIHLFDVDIAGGPVLKESNSVEKGMSILPPFETAVGRVGLTICFDLRFP EIGIALHRQNAHIITYPSAFTVPTGKAHWEILLRARAIETQSYVIAAAQVGQHNEKRT SYGHSMIVDPWGKIVAELTGDGDKPEIAIADIDLDLVSKVRREVPLLRRKV VE01_06663 MSNGGQPRSSPVFHFTAGLGSGVLSAVLLQPADLLKTRVQQSGS SSLSASFREILAGPKAIWGFWRGTAPSALRTGLGSALYFTSLNALRQHVARSTLLQNI GVVDGSKVQPSTSSALPKLSNLANLTTGAIARTSAGFVLMPITVIKVRYESNMYAYHS IIGASKDILKTDGIRGFFAGFGATAVRDAPYAGLYVLFYEQFKKRMSRMAQNVGVPGA PEMAMAGGTSASINFGSGVVAAGLATAITNPFDSIKTRIQLRPREYRNMVQASRKMIA EDGFRSLFDGLAIRMARKAMSSALAWTLYEELIRRAELPWKEKEKEKSLS VE01_06664 MSYGGGRYDDRDDIDIRFHHRGRAEPRYEPPPPRPTYYVDERLD VRAGGRYDRRPSPRVPSPQPQPVIINNRIYNHDEDYPEPPRPAPVQFQMVAPAPAHRE RSRSRHGRRRRSRSSSRSRSRSRSRHRRRSQEKQEKQFMTKEEYELEMARREIEDLRR AQYEANRRASKKEKEKEEEKPKHDYMTKEDYELERTRKELHKFKLEQQRIEDEKLLKK EFELKQLQKQKKEEEEKEAAKKAAEAAVKDFQRKQAEKAAKDEKEKKQREKEYKERIE DDMRKSGLTDAQIAAVLKKEKVDVAAGTRPMYTKMARRYLSYETLNAFRIDYTIDQDP EYILIKRWVPEHEQDFLWEHTRELRERRRAVTSTTNTTVLQIEQAGGHHHHHGHGDVQ YEFVKKKERKRDKSPGLITFLAGGKR VE01_06665 MSISNEALQKLVQEIETQAIQAQQQINVVKSQIAVKQRDMRLLQ LTSSEVSTLPENTNIYEGVGKMFVFSPTSEVDKRLTSETAGLKTDVESLNKKLHYLET TYKNSREHMEQIFKSGGRA VE01_06666 MKSGHSETGARWLPAIDYPSGSKHGFWYPQTSTINWCEEDYYAT IYAAEIVNTLTNLLFMYLGIKGVRNCLKHGHDTVFMITFLGYLAVGTGSFMFHSTLKY PWQLVDELSMIYTTCLMCYACFSFNQTRRFCQGLAAGLTSLCIFITGYYHYLQDPTFH QNAYAILTAIVVFRSMYVMEINIRPSLRAKYGQASPNGKVSAEDAKRDKQILRDMWLM IGLGLTVFLGGFGIWNLDNYYCSTVRRWRHDIGLPWGILLEGHGWWHLMTGTGAYMSL VWGIWLRHCLNERQDEYELSWPRTFTSLPEIVRANPEKWKGAAESKKRL VE01_06667 MTTPAKQSSRWGSFLSQAVAGIESNLDNILSGDDVPQTKPAAVV PPAALKVENAPSRSSSANPTSNDRLQERLARAVAAKKMAAQNGGAQTPISNASSRVAS PAPASDSPRPSLDVAPRASEEGGSGTKADADTEEKVVPQVNIGSADTDTAVDKDAQAE GRPSGDLGNKTKDDTARVSTDSAPARRSTESQSTTEPKAKTNGNTAVDEPGTTEKRSV ADADREEEIHGYIERIDALQAKLQYLAKESSENARKAAAAAPADSFEKKLADKDQQIA LLMEEGQKLSNTELKHMTLIKKLRAKGFESEKAAGEVNKTLEKMTKEKATLNERLKRA DGIERQLNERHKQVSQMQKDMEAVKAERDAKEAQIGQLKAQLSESASQAKADEVKNIQ GQLDAEKKRASNLDEEVSSLKIEKELAEDKLRAQIKELESKAEREVERARAAELEQKG EIQLLESRLEVMRTRAEEISSGATGDAQAKFLRQIETLQTQYSIASENWQGIQTSLTS QVTNLQKERDEAFRRESDIRKKAREMTLRAKSNEDEAESLQTQINDLQQAVTSQKTQL VQLQQKVDEAEAALQKASNAFELEKQTWTSELQQRLEEERNKWKEEAPRSQYNFDRAV SPVASSRMGLTAEYLGLQNLQTRRAGSSRDMLDDLPGVERRMSRPSSSRLPRSSGHVT PKRQDSATLFSPGADASDAPFITMDPDEYFENTASPVDHHHTMNDIMSVSTAGAGPSV QLVERMSAAVRRLENEKISSKEELVRLASQRDEARAEIVSLMQEVEAKRADNARVSEL EKEVDAINARYQTTLEMLGEKSEMVEELKADVQDVKAMYRELVENTVK VE01_06668 MADKANKFEIHEAARNGRTNVVESLLNANSKLATLKDDDDRLPL HWAVAQGHLDVVSILAQRKDFDPDAKDGAGWTPLMIAVSLKEGEDIVKLLLGKGADVS ETNNNGQTAIFFATSKNNLDVTKTLLANKPPASVRVKDKRGQYPIHRAASIGSVPLVE LLLKNRSPLNATDSAGYTPLHHAIAEGHGDTALALLKAGAESDKKDVDGFLAIDLAPD GKIRKFILESVEREGIEITTSS VE01_06669 MAAATDGDSAYLRQLLLQNSKKTRALFAGGVVPDAPVIQTSIPE RKRKADATSTQLVQVKRADQKRAAASPGGAGAPGTQLSSVLSTDNTRRIQVAQQAKPE WHAPWKLMRVISGHLGWVRSLAVEPNNQWFASGAGDRTIKIWDLATGGLKLTLTGHIS TVRGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSGVYTLALHPTLDVLVT GGRDGVARVWDMRTRSNIHVLAGHKGTVADVKCQEVDPQVITGSLDSTVRLWDLAAGK TMGVLTHHKKGVRALATHPKEFTFASGSTGSIKQWKCPEGAFMQNFEGQNAIINTLSV NEDNVLFSGGDNGSMGFWDWKTGHLYQSLDTTAQPGSLDAEAGIMSSTFDKTGLRLIC GEADKTIKIWKQDDQATPESHPLDWKPTLGRQKY VE01_06670 MRQRPESQPNKLQRSNAAKVERAKQYLTGVGIAREDSDDELGLD DHPWEWIYDNPGKADEDNDGTDNEDNIADLLNAEATTSPAHAGRKRKVARKVGQGAQR KIIGARMGDFECKVGDCVLLKAEGTNEAWVGVICEFIEEDDGEMAANFMWFATEKEIR NKEKKRTDFMQNELYISPSWDVNPIASINGKATVSSVKTFLAKYPSGRIPRNSKDHGK SFICRRGCNTRTATYTDEFIWEDIFHGTDEDIFNLIERVKNETKATRKRRGELQAEKR KQEQEFLSVDDGDDHGDDFGTPRKKRKTSVALTPKKPRTPSKLLLTPSHKRIVVKKPL EFTPLGTRVISPGKIYASPFQLARSKLHVAAVPATLPCRENEFTEVYSHLESAITDGS GACIYISGTPGTGKTATVREVVSQLNASVVAEELDDFIFVEINGMKVTDPHQSYSLLW EALKGDRVSPSQALDLLEREFNHPSPRRIPCVVLMDELDQLVTKNQSVMYNFFNWPGL RHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYNHEQLMKIIESRLEGVPGG VVESDAVQFASRKVAAVSGDARRALDICRRAVELAETEAGENVPATPSRKAAGANGVA KKPSLGKVTIATIKRAINEATTSPLQQHLRGLPLASKLLLASLLARIRRTGIGESFIG EVIEEAKRISKMVVDIETLGFLLTEISPTAGGGKAHLDRENLRDAPRVHAMGTAAIEL MEAGIIGFEARKGDRVAKVRLCIGEGDVKLALKDDPESRGLGF VE01_06671 MDNEIPEIDTGRGEWDEDYQAKVLETKALETKALETKTLEAKTG PDAGPQRAATQPLRPAVATNLHNKAPPHDGLGAREILAKDSLPVNHLGVPSSSANNGL ARRASESVPSKAPAWMIKKFTETKKSKQGWAEHPGLITTRKGNQIALDAATNKYLGPI PENTDFNCTGYFGHCDPDLIASQNVDKAWDRIRIAYRVYIALDAENKTIVVEAETDED CVTALGRIRITLFHAEANERTCRARYIVEPPSASSMRKEVLGVELADSKDKLDKCVKL AGPGLGPKEVKEWEQKRKELMALNEKVFADHLGNAFINLCALNSSMRMRVQFGNIILR RYRAEMAKSGFAFEKFVDMMGQSRTGAVFEKTIGGNELGFQLIRKIHQSTDLFCPLDN LIGNMSDIKPKQRLVYRKCGTVGFRLEADIDISARGGEYQLGENSLYKEDSALKKRLD IGFLGFDSKFHWALEIMTQERLSSNSVSIQATAQELLSSAKVEEDIMGFPYPSAGPNR RLNGNDTANIHTIFQYKLMGTPYVVQILLSRGICSDTVGYCGASMYSTNWDDHMGPNE GEICERWKLGSDLRVLFPPPPSPKPSSFAAGGQVIDKHEGFRAFLAAVAKVQSFLSEA ASGN VE01_06672 MSSQGGQTVDLGGLSTQQLSQVKKQLDEELEHLTSSFTQLRAAQ AKFRECLNSIATGVSPKVEGKTILVPLTSSLYVPGTLADAENVIVDVGTGYYVEKSTK DAAKFYTAKVDELQTNLKDLESIVQGKSNNLRVVEDVLRQKVLSGNAGAPAAE VE01_06673 MNTQCSQLFARCGRPSSIIERRYAGLQSPYRANYWGGVRVVSGI PHLDQRSRLSKAWTPTGGIAPGDNEDAHSKLVRAGFLRQAHSGIFHMLPLGLRVQTKV EKLLDKHMSSIGASKLDLSSISSEELWTMSGRLNAINAELFRFKDRKEAGYLLAPTHE EEITSLVANTIKSYKELPVRLYQISRKYRDELRPRHGLLRSREFSMKDLYTFDLSPAL AMVTYNQVRDAYVRLFDELKVRYLVAEADSGDIGGDLSHEYHFPTSMGEDHIISCRSC DYVANEELAESALPPATHDSGATWSFVSNPEATSAKNTFTVWRGVSRDRSTLINVWYS PREGFQGGSEVNTHAVKNIVADLDPGVENAALLWAQAIEKNILEGQEASPGGSKIINL VDYRLPPSLTTTIQSGEIDPLWTNSPLPSSLGITISTTAENTSDGQPLNLLRISEGDA CPRCNDGTLKIEKAIELGHAFYLGARYSDPMKAVVTLPAGYIEPEATEGTAQASVPLK SAQQVQMQMGCYGIGVSRLIGAVAETLADEKGLNWPRVIAPYEAVVIPARGQEEGAVD VYDALAGGRSNSGDALDVVLDDRTNSFPWKMQDADLVGYPIIVVVGRGWKNSKSCEVQ CRRLGTKEEVPLDGLAAHIDKLLVQL VE01_06674 MGKHGANTGKASKKVKPNTPSKNTSAQSLPSFDESALSALTDKI EKGFNKGASSDSAQKPAKKDKSKPNGASHSKDTAPGKKRDAEGNVKTPTKSNKATDRK PKQAEGGAAAEGSARDILLQEILALGGTEEDLDLLDGVESDDEDVEFTQPKVDDPKFA KEFSKFVAGLGIEGQTQVDASDSEVEADEVDEDSDEWEEEPDTPKKAPKKAEEPVPQL VQAQDNKQDKKTKDVNRLIFEARPDWHSAPLGDLPTPELSDVPAHRAIIEELKKYAKS LLEADSNLYASKHLSSTSSHRFLATIMASGTLDDKVSALTLVIQESPIHTTKSFESLL GLAKKRSRGQAVTALGALKDLLGVGVVLPADRRLRTFANQPGLLGTLQTEFVSNWRSQ DPLPGNITKAHLISWAYEDWLKEIYFEILQVLEGWCADEVEFARGRAITYVYELLKEK PEQESNLLRLLVNKLGDPDKKLASRTSYLILQLQTTHPLMKPIIVSSIETELLLRPHQ STHSKYYAINTLNQTILSGKEEGVAQRLLKIYFDLFVTLLKKPLPPSDAPGPVMNKKG QVQGGGGQKGKKAMEKVTKEEQAKLSSEETTEKMISAVLTGVNRALPFSKSDDVTLEK HMDLLFKITHSSNFNTSIQALMLIQQLSSTKTIAVERFYRTLYESLLDPRLIMSSKHA LYLNLLFRALKSDLDVRRVKAFAKRLLQIITLHQPPFICGVLYLLRELEATFPGLTTM ITEPEVSDDMDEEVFKDVPETETAAAEAATLHENLMHPDRIPKPAPKQGLYDGRKRDP EHSNADKSCLWELTPFITHFHPSVAMFADRLLTSAVMPAKPDLASHSLISFLDRFVYR NAKASAGGLRGSSIMQPLAGGESSGVLVANRATAQAHQPVNSEAFWRKKAEDVAVDEV FFHKYFNQIGKGKVSKKGKPAKAAGDGSDDEDENEDEIWQALVDSRPEVEGPSDDESD LEMLDLDDSGDEGSEGSDAMWEDDDEVDVEGTDEEEQGSEGEEEPSPFLDEDELDEGE DEDEDDLFNKELETNQKNPEAKVDGTETGRAKKKKMKSLPMFASVDDYADMMGGDEDE DMG VE01_06675 MSVIMRLPGGRNKILLSLSKTHLSAKPAAAKPNFFPRPSSRTFT NTAYRRTAAESIPAPAKKSLPLRILYFTALAVGFTSAGFLMAAAPAISTAKEFLNVPS DEETLSLYTPEDAKAQEVEDFINNHHLARELRSKPEYRESRPHLKIPKGVREQSLTGG TLTGPNRVVVPPFAWNEEGGKSMVVISYLGRDLCGHPGIIHGGFLATMLDEGLARCCF EALPNKVGMTANLNINYRSPAPADTFVALRAKTIKVEGRKAWVEGHIETLVGDGETPV VLAEATALFIEPRQAARLKALNPTK VE01_06677 MTFSSSLSSESFDNVATSGNSERCSSDDEVISGLGHRTQVPIAI VGMGCRLPGHSNSPTALWELLERGGVAKNEPPSSRFSLAGHYDKENPQRPRTMKSPGG MFMEDVDPALFDGQFFNISRTECIAMDPQQRQMLEVAYECLENSGIPMETLSGTNTGV IVGTNFIDYGAIQHRDPENRAESVMIGLAPALLSNRISHFLNIHGPSMTVDTACSAGL VSLDVGCRYLDTFQADAVLVGSANLWLSPEHNEEIGMMHVTQSGSGLSKSFDASADGY VKAEGVNCFFLKRLDDAVRNGDPIRAIIRGTAVNASGRTNGIANPSSEAQAAVTRQAL KNANIKEEDFSKTRFLEAHGTGTLAGDPIEAKGAASVFSKGREDNQELIIGSIKSNIG HSEPSAGLSGLLKATMAVEKGVIPGTPTFFNPNPNIDWKSLRLKASRMSMPWPKVDAD NIRRAGVNSFGYGGANAHAVVENDAQELSRHVSSYKQVSTDFFDDDDDDDFGEEQDNK TQAAPTLLFFSANDQSSLDTYIKKFNSHLQNPLVSLELGDLAYTLSERRSRHYQGAVA ITRSTPQFINQDTLIRGKRMSTVPRVAFVFTGQGAQWPTMGANLIRDFPLAKSTVEYL DSVLQSLPSPPKWSLLEELTATRSVEALRLPEFSQPLVTALQIALLRVLEEWGISPEA VVGHSSGEIAAAYAANLLSSSDAIKVAYYRGQSSKKIESSDPVGMLAAGIDEATLAAY LLEQKENKIQVACYNSPNSLTLSGLVSELEELRDRIQADGHFARLLLVDLAYHSSYMA EIGEVYEAMLHEDGLFQKSPTHRPKTSKAVAMFSSVTSAVVDPNTALDAAYWKQNMVS PVRFATATAELLKQSNADFLIELGPSNALAGPIAQIKKATGKNAQYASALKRNDDSTM PMYDAAARLFLAGDQQVSLAKVNRVNAQTSNVIVDMPNYVWNHSTRYWHETRASKEWR FKKFIHHDLIGSKVNSVGWNAPIFRANIKLAYLPWLRDHRLGTDVVFPATAYLAMAVE AMYQTAMVTKWKHIAPARYRFRLRDVKFLRALVLTEERETTVSLALTPVRGGSTASWY EYQMCSEQEGVDVDIVHSTGMVCVETDYQDTLKSVEPLELATSARLWYKTMAEMGYNF GPSFQKHISVESTMGQRYNRSTVNLEPPPSQPEGQSWYPLHPAVLDGCFQATTPSLWK GQLPQAGDPALVPKAIDSVLIESGSARKSSVLTEGVAYASANYLGTGDAESARNYSTN VDLHDPQDGSLLFQLKGLAWAEMETSDAEKIPHQFMHVNWNADIDMLMEGESTLSTTW LGSKTVQQVIDLIAHKNPELSVLEVNLSLLNGSNLWLEQGKDKTDNPVRAGCSQYNFA VRDPKTLIQAQERFNSRSISPQFHLVMDVTKPMTITKEDSIDLVIINPGQDEMVEVDG FLQSLALTVRDRGFIVSNGFANIDSLGKTIHLSNGVSICRVEKLTKTALPINGDAEVP PRNVTRVSILNAAAQDSISEEVSKVCDGLATQKWLLEHSSNPLEDITSNTSIVIVLDE LFSSVMETIDAKQWELLQHLSKVQRPLLWVTSRSTDPTRAAAVGFLATIRAEEQVPFF TLDVEASTGSTMTDAISACLGRIWDMTSAKTFDPRASTDYDFVERGSIVHVSRVYRDS GLTFGQSTHPSDRKTDIVDLHESDTMIKARCEKLGNLDSVHFGEVNAEPSPLADGMVE VEIHAAGISYKDVVVTLGTAPGDENALGHEAAGVVTKVAQGVSGLSVGDHVVVFDKGC FANRIHTRPGRLHRIPDYMTFEEAATIPTAYITAIHALLDHASLSIGKSVLIHSAAGG VGIAAIQIAQSVGAEVYATVGTPEKKEFLKSAFGLADDRIFHSRNTDFGDQILSATNG RGMDAILNSLTGDVLDESFRVLADGGIMVELGKRDVLDRNSLPWAPFDRNSSFRAIDI SPEKAADSLVARLMSKLFELIEVGSIKPIAPVHKFAWTDIPAAFQFLRPGTHIGKVVL TQDSGIEAPIRRAPKSLNLSTNGCYLIVSSLRGLCGGLAIYLAQQGAKHLAVMSRSGY ADEKSRHAIKQINALGCHIDLLIADVTDANAVTKAMKQTTVPIVGIIQGAMVLRDRPF ESMTLTEYHEALQCKIRGTWNLHNASESLQLKLDSFTMLSSLSGIIGHVAQANYAAGN VFLDAFAAWRRARGLPACSIDLGISEDSGVIAESAKLQSSTEKGMFRSLNEGQLRKIL YFAMLQQKISPAASDISSASELAFSPLITGLTFPQPDDSALKSDARFSPLFSNNEGSK DLKSSGENANADIQTLFLLLRTESADPASRLKAVIDVINGCFMRILRLEEPMDPERPI SVYGTDSLAAVEIRNWVRTELGCLVTTLDIMTATSLTSFCEKILTKLLFAKTSS VE01_06678 MDSIRKEDVEFKTLDGLTLRGWLFAGPPNGPAIIMTPGFNLTKD MIIFEAGQYLNAAGFTVLGYDPRCIGMSDGKPRNDTQPMKNVEDYHDALTYLKVHRRD LVDPNRIAFWGYSFSGAIALCAAALDKRAKAVIACAATTTWEFTKWKQVLSKAMKDRE SQLAGNEGMYLPLLTETGEQPAGLGTGYQKEDVLGIIKRHASIEPSFIPRTTLQTYYN IAAFRPMALMCFVDPTPALLMTGENDEISPPEMQRKLIYDAITGPKEFVTIKNRAHMD ILTGDGSLEVFEKQADFLNRMMPAQKGTNGIHKIR VE01_06679 MVERQKTNATTSADQPDAATSNDLDAAGAVDAMEAVDTVDTAHG EDLYYQQESELLPNCEGLASSLWSLDDASFQWRTPSASSGTSSPQVPYTFDAMLENNI IPNPSYLDITFEGLFDSDLTDAESHQRYCPQPVAFWAESNDGALAMYQRRLLPSGAAT PPAVNTPSSASLSPPYPSPANSYSPGGSSSISRTSSMGQLFATQPSDISYQTSPTRIP LLHVAIRTRKKSMIRLLLRRGVSTINEQDSDGRTALHVAVQSGDEEMVETLMKHGADP KAVDKHGLDALHFAVKQGHEEIVEILLDALEPRITGG VE01_06680 MVVIFGTLGIALLCSVSGITLAAKNPLHARDDACRALAAALAGD VAYPNTTSYLQSADYWSLQQLETQPRCFVAPKSTKEVSTILRVLTEGDWPFTVKGGGH MPFSGGSSIENGVTIDLVHLNDIKVSSDRRSVSVGPGNRWINVTEILDPMGLGVVGGR DMNVGVSGLTLGGGISFFSGMYGWACDNVRRYEVVLASGRIVNATPTENKDLYWALRG GGGSNFGIVTRFDLVIFDQGEVWENGLSFPGSSNATVISHFQNLTIHGMPSDKGATGF VGINYHSSTGGYSADVGLVHATVPSSPGSIPAVFEPFQKVPSATANSTITGTVSNFIT SIATPYGRRWTWGNVVVSASFPGKFITEIMSLFESHNVALLQNEGGDDISLTALFQPI PVNLIEAMQKNGGNAMGLKPSNGALIMISFPTSWTEAKNDELAYSATRKLIADIEAKA IEYKVHTPFVYMNYADIKQEVQKGYGKENYARLVSIARKYDPQAKLSHLWKGYFKLQY L VE01_06681 MAPQTVVLITGAGRGIGKSLAAAYLLQPDHIVIGTVRDPKAPQA EELKSLPAGSGSRILLVGIENTSLTDPKSAVESIEVAGVDHIDIVIANSGVSIGAGPL ETADPKAFVDSFNINVLSSVVLFQAVNKLLTKSSAPKWVTVSSRGGSTAAPLPWYSYA AAYCMSKASLNWFSQTLHVSNASLTAFAIHPGFVLTDMGIAAATGAGIDLPVTKGEES AKNIIDLISSATRETLSGKFIDVDTREEIPW VE01_07550 MGTKSTWFRPLPVFSAAIILRIGLFIYGLWQDANSPMKYTDIDY YVFTDAARYVATNHSPYDRETYRYTPILSWLLLPTTWQGNWFSFGKALFALSDIITGW LIVLVLRTTGGMPMDRALKFASIWLLNPMVATISTRGSSEGLLAAMVVALVWYGSPFL HHTYLHHVTRVDHRHNFSPYNTILYLSSTASTPSYMHLESLAFIPQLFLSAVALPLLL AKKDLPSTMLAQTFAFVTFNKVCTSQYFLWYMVFLPFYLPTSSLVASPRLGITALVLW VLTQAMWLQQGFHLEFIGKSTFVPGLWMASQSFFLTNAWILGIIVSDIGGKAKALPTT QATSPSPTN VE01_07551 MPAEKRSYEEMAETPNPFLPIFDGFRAEIDENHLARERIIKSSR DVTALSKKAIFSLQRVRTISSGIPQNISTEVQGRFEAISELFKTMSKDLQGINSWRYQ RQASPGIQEFIEALSFEHYLRTGKLVTRELATKSMIWNIPLTVDDYALGLFDLSGEIM RFAVTAIATTGSLPHLKSSHSFVDRSILTDLRHLRSSFEALDTTSCHGTSLGGEIDKK METMVQSVEKVENAACSLIIRDHERPKHTPDSDNN VE01_07552 MASKPLEDAMRAKLSDALKPEKLEIHNDSHMHSHHKAMEGNTSK ETHFRVVITSDAFKAKSQPARHRMVYTLLKDEMAQEGGIHALQLRTRTVDEDARQATE DVTSS VE01_07553 MVSTLPNLPVFQAISRHDPSSTAVIHSESGRRFTYGELLSDVAK AKDRLHSPDGKEAPEGERIAFIIENSYDYVVTLLSILSVHCIALPLSPAFPPRELQYV MDHSQASMLLASSKFYKKAQDVALQGLSLQPRVIEVEKLQGGEIGRAEVSLDGPTDGP GGIMLYTSGTTSKPKGVFLAQSVTTAQAQSLLQAWNYAPEDHLLHVLPLHHIHGIINA ILAPLFAGATIEFLFPFNATAVWKRLGAPFLENPKTPEEITFLTAVPTVYNRLLSSYK DLPESLQTATKKAISPKTMRLAISGSAALPTPTKKAWAELSDGNVLLERYGMTEVGMA LSCGLKFSDRVDGSAGWPLPSVEVRLLDTETNTVIEPGQEKDEAGKERDGEIQLRGPT VFSGYWHNDQATKEAFVDGTDDKGPWFKTGDIAVRRHVPEAGHGEQAWAKGPMYFIRG RRSADIIKTGGEKVSALEVERELLSLPEVAEAAVVGVPSAKWGQKVGAVIVLEQHRLS KDGKKWGPMDMRRALRDRLANYKIPQTMKIVDEIPKNAMGKINKAQLVGNVFSDEASG DEV VE01_07554 MSSASEMPSNGDAKPDSPVDLSTIPISPAGQDKPQGKSAATGDE QITVFHDPDNFNVKHPLMHKWTLWFTKPPTGKGDNWNELLKEIITFNSVEEFWGVYNN ITPTSELALKSDYHLFKEGVRPEWEDIQNKHGGKWSYQFKDKRSVAIDDVWLHVMLAA IGETLEEENDGEVMGVVVNVRKGFFRVGVWTRTIGKSIPGGGDGDVAGGKGRPTERGQ EILKNIGRKFKEVLTIPANEVVEFSGHTDSAHAGSTRAKAKFVV VE01_07555 MEPELQQWICGTVIVEAKKLLLDSTQNSFQDTTKGHDNAMRVHL SGTRAVQIIDFNGQRKAPFTNISVSDVSCQIRASLSEAARKQFENRYDRRLPHRTVGA IFEIGGCYLIFKSKVKGVPQVTLSIDSLVLKGGEGSSNRGFPVPIEEIEDVQQLMTRM KNTVPPQEALVRSRYKCTSPIRSLAEIPSGDEMGSPNGQLATQAPVAPEIYDKHSKVT KKSAPSSRNLKAVNLRGNQLLGLIGKNKKSNTPSSNLMGGIPESRRPISLSDNKRAAA IEGGAGESNERKFLRLTGRGNGAIRMPVGENKGSGKPIVPSKQGLPGENPRVGSQSTN DRGSNAGTPLVDPLRLITSHSEKELPRPIRDIGLNSRPTNKPTFNSFQENPWGKLNRI PLKHVIIPKKQLVKLSTAASWVTSVADIESLHISLQSDIPDSFMPSTLEHVVGTVDQI ITTPGHTFEAKESGTNKRSLNDGSSREVEVSSPRNNYHESQRAENLSDNIRRTTSQKD PVVILDAVGAEEDDAVSWAPTSRASSMGPIPKNENEPLIMSAFPPPHSNTHSLQATNQ VPAPSSPDSSPSRKLNMDSNVHLSSILPSLSDSSDIKFDNGTDLKDGGGSLTNNVHSS IPHSEDDMEQSVPYAIGDVIQSSDDIYLSRIPASAITVSSTRSQQSPVLQIERTPYSR LQKDQPSLPEARFLGSPLEPKKPMPSPHSSAGSIILGTFNWDASKDDDTPGHFSTHDK LQQGHDKDRRASDVTPEPSAGKVAGRSECLSTPVLDHPPISIDAGGERELCGENRNGS GFNQNEVTFRHSSQPKSTQDVKSSVGIDAEKLSEPAKPYRMKLATRPMLTQSVTTAIL FATGDIMAQQAVERKGIEKHEFVRTGRMALYGGAIFGPAATTWFRFLQTRVVLPNKKL EICARVGVDQLLFAPTNLFVFLSTMSILEGVSPREKLAKTYTGALQSNWMVWPFVQVV NFSVVPLDYRVLFVNGLSIFWNCYLSYISK VE01_07556 MSSQDSQQNSSSSRMMASLPSGLRSRRGSLASLGGSSQADKEQL TQALDAIHNTASHSETLTTFNEFASPPSSSSGVESKGIAGELMQNGLSGLYSRFRGVV GASKDKGSQPVVKNNADSREKPSTQDQNFATSTANSSVPAIAREELNLNDSIGEVVSD PPPGQPPIISASTTQSGSSSQLYQSSKAPTHFTPTTAKALLSSRPGMTSRSESTAAAV APTTTPVNIAASEDRESIADSSKEPGESDSVNHFMHRRTSHVPLRAHPIEDSDTFDAK HSDISNPEQAHGLGGLPLTPGLTTSLGTEMSIIEEPEDTSATTSRRSAKSSQRPLDAH AALSANHASQKLNQPTAIDRFTQSHLPGYQPSRASSTERSATETSPVNTSTRNSLNHE LFYADEIHHGRPTGANRAAGSTLNKGGPNVVNTRLEQMRKQVLSKEFWMADEICKECF LCGDAFTAFRRKHHCRTCGCIFDSKCTSIISGERFGVQGTLRVCRTCLDIINRRHDSS GSDDESGDDTFLPTSFFQSHQTRLNSMKDDRASEEGPDGSFTGRSLNGDSVGSVTTPM MAIPATRRIGDSENRKSAVLEIDAPQLGRPSSSRSLRALSLSRPLSSGQKANQPKHNF LGRFRSTAAERPPFHSNSAADEIKKRSRLPALHDDNIIDPDLAPYMSDDESSGDEQMS IFTALNGSAGISTSYENEKSAFGSLLNATKKHRSRAGEKSISGLSFSGRPVEDNSWAG SVSHGRPNRRRNLSTSSNVHIARGSPRQNKPGPLTYNYGELSEESTIPRDDPLEPSSH RASKMTRSASMRNSKAPAVELNNASLHHVRRLLRQLLQDACVENVASWEKALIPILLQ CTDDVNPDVRRGDDIDIRHYVKLKKIPGGKPGDTSYVSGVVFTKNLALKSMARSISQP RIVIISFPIEYQRHQQHFMSLEPVIAQEKDFLKNMVNRIASLRPHVVLIQSHISGLAL QYLAEANIAVAYNVKQSVIEAVSRFAQTEIISSIDMVALKPVHVGKSAGFDVKTYVHN DIPGTRKTCIYISGCPKELGCTIVLRGASMAVLSTMKRITEFMVYVVYNLKLETCLMR DEFVLIPAITEDYGTPTPSSKSALEKTDTNNSPVEPVQKAVQVTSNETDSTKSIDEAT TGHNESQESSGELGDNGPQPGFPQATINTTAETPIQDSHPDVQPKLISAHESHVHDTQ VLPEDIPMPTFYSDMVAKHQTKILSASPFVKFTQPYLLMNAREQERRLVYLKRLRDQD TFEDQTDVEKANPQRFQLIHPEMVHGTVRGAPRQIMEVLHAVHDAEYDKALHNYQTQK RQWENYIQGNLNLFDPYSHQNIVVLYTVVCTATTVPCAGPDLTAMAFYNEHETSNDFF PDCTLGQYVEDLCLTVDAVCASNGCERKMSEHHRTYVHGEARITVFVEASPCKLNGLQ DSILMWSYCKICKKETQVMPMSESTWKYSFGKYLELSFWSTELRLRAGFCPHDLHRNH LRYFGFRNATMRIHYDPIDLLEIVVPRTRITWKVDNDLRLKNDLFTKTEDRWNRFMAS VKSRIKGINIDSVVSDKVEACMAEVETLSQRAQDEHASLLNKLQEKYMESKYYEIIPM NRAIRAMQERVAEWDTAFTDFDRNFFPSEKDIRRLAALQLKNLFLDRDASTTPNETPD QSQDIYERQSDEGQSSKVTNSVHDNTDMPPTEFQGTLAPLVEESVSIDDAALKVPRPQ GTEALNSTKSQDTVHHLDLAIPWVATGDDAPAVNSPVDQTGSPLPSSESKLVANSDNT LSSQLGPHDSEADTPKTPQQVREKSQADLREGATTNPEPSGIPRPSDRNAGRRSGLAV SPPILRTQSQPAVTLRRTQPAALRAFNIAAREKANAVGIPPDSTIPLGAFSGEQTKDK RLSDRLGLGSLKSTRKPGHSLIPRSIHSKGRETKVSTLAKHFEQLSREFEKERLRDKK QRAAKVTQSRAFPKASSKPIVEVYKDVNEAVEERGPSEEGLRPRNQFSSNIESTGPTD GISNANTKSKLDAPAHIDTSVAGEDTVTEVETDDNQQTLSQTASDDEAAASDTEHSFL EDMPSIKDITESLGVSETISEVDLPKHEKTSLMKMLTNFWAERSASGWTQLEYPLHAT DHVFVDSDVIVREDEPSSLIAFSLSSQDYIEKLHAIRQQGQTNMPERTSGQEHYNSAE ADVETSLLRATGTHLKYQFAEGSAKMLCKIFYAEQFDAVRRKCGASDRIVESLSRCLK WDSKGGKTKSVFLKTLDERLVLKSLSPVETQAFLRFAPAYFNIMAEALFHELPSVIAK MLGFYQIIIKNPVTGTEIKWDVLVMENLFYDRAPTRIFDLKGSMRNRKIQSTGEQNEV LLDENMVEFIYESPLFAREHSKKLLKASVWNDTLFLARQDVMDYSLMVAVDEARKELV VGIIDCIRTYTWDKKLESWIKDRGFAGGGRNRPTVTSPKEYKSRFREAMDRYVLQAPN CWHHFGGYDARALK VE01_07557 MGNQPSKSGPGTSAPRKTSSSTSLDKGPAITSQPSFSKSDTVGS TRSFKTLRSKIPGTSKTDSPRESYSGLSNGDNDNDTGSVMSGRSGRSRTSRSEDRSPL ASFDTGNYASDAMQPPPSPIQSASMASGTHEGVAAAQQSGEVDVVSDQPASGTLNTHP HGQLAGKSILVKKENSINPTNIDDLIAAAEESSNQGMGMDPKSIDIDDCITRLIDAAY AGKVTKGVCLKNAEITAICVAAREVFLSQPALLELDAPVKIVGDIHGQYPDLIRLFEM SGSPPSSNFLFLGDYVDRGKQSLETILLLLCYKLRYPENFFLLRGNHECANVTRVYGF YDECKRRCNIKIWKTFVDTFNTLPIAAIVAEKIFCVHGGLSPSLSHMDDIRNIARPTD VPDYGLLNDLLWSDPADMEADWEANERGVSYCFGKNVITQFLERHNFDLVCRAHMVVE DGYEFFNDRVLVTVFSAPNYCGEFDNWGAVMSVSSELLCSFELLKPLDSSALKSHIKK GRNKRNSTFNSPWGPWQA VE01_07558 MGVGRFFCVALPFILTTISLVCLLIVGLAGVTSSNLHLFEVAPK NLSITAEQFQNLDFKQKVKDAADAAGIDSSDVTAVTDFSLTASSLGLGDKYDFFLWNY AELVGDVTTKSKPTFDYASNFTDTTTLEKLTSDANISVTIPDAVKTGLKTFATLVKWT EVVYIIACVTTALTVLVGIAGFFSRIGSCCTWIISGISCLAIIGFATLATVTSSVVVG ALTASVKHYGVESSINTAWLAVIWIGAAASVASGLFWLFSVCCCANARKDNRHSRAGD SEKLLGTRGYQPVHDPYQGSPYMGQQSGVYNQQQHAIPMHNVKAADRAQAYEPYSHHA V VE01_07559 MPLTTGEREVIEIPDSPTAHPQFIDISDDEVEVIELLDYQAKQI KPPRIPPIQPAYVPKADGNPESMKSELADLYTPHDAPMVSLGMAMHIQSFSSDQGHPA GEESCYDVLDRGLGEISREMEKDQSSMTRHTLEVQSQFQAQPKFTATENHMASMQSME AHQQGGTCTSPIAIIHHVAKKTRPQTFLQGKSIQTPERAVPTYNYYVEIDRSRLANNT RLPPEPFVINKNSNSKKEVEAALRKSMISCAKLAKRSRGREKLSSIGVYLPQIRSAYQ ECRNYLEKEGLYETFGQKDANHPSYHVEKAFGIPLGSFFDLETHATKPQKSPTVSLDP LAKTLERYSRESCLICSAHQCHIHGRFDENDSDVNESDSSDTTDEENPSNRSSAMYQP YSMPHTRTRTYHREGNSIENESEGDSDGSEQRRLYHCSAACHLNPSNEELGNEGNWTE DDHASMQELAASMRKRKNMRASCLIAPMLGKQCSEVHRHLKKLSNRRIELDDMVPGEG RRSKKFDWRDLKVDVAESVRTQGPISNTKCHNCEIQRGEGKKVLVGESSIEGIGNGLY LAEPVQTGDFIAEYVGEIIDNAEVERRDDFQKRIGNSYIFNLNAEVAIDSMWFGNATR FINHSEVGKNCQAKVLLVNSEHRIGFYATESLDAGEELFFDYGKEFKRIEKLKDGVGS SNAERKSAKQQGTEPQVSPIKNTKGGTGDGADEDDERDDIFEDFPDWLATATKGNRAR DDDEDYIEPGSQQGPKRARPALRNTRGRR VE01_07560 MADQTSSTVDESPISPVREARVRQNSLEKHLQHRPEQQELKDRH ILLDTNAAPSLQSAAIDLEHKLAAKNLKKDLEKRSQRETLVERNILPESNAAPALVAH QRDLAKHMRRDSLHDKLSHRPTAEELIKGGVLHEDPTSVDDLYTERIEEEYAKREGGA VE01_07561 MTANPNTLEHDDQETNLHDTLKYSLLGPSLTKSGQDSVDQKKVS DIIYDASKGSKFFTHEENRDKLLTVKIEKILEKKARLEKLNLTRDQKKADEYVAELEL SRDLSQTIVHVDCDAFYAAVEELDRPELKQVPFAVGKGVLTTCNYHARKFGCRSGMAG FVARKLCPELIQLPLNFEKYTAKAREVREIIARYDPRFESASIDEAYLNITSYCESNN IVPDEAVEQLRQEVHDKTKITISAGIAANTKLAKICSNMNKPNGQYRLQNDRGAIMAF MDTLPTRKVNGVGRVFERELDAVGVKTCGDIYQYRQYLSKLFGEKAFNFLIHCYLGLG RTDTQPAEEYERKSVGTESTFGDLSSHKGLRDKLEKTAQDLEKDMIKAQVKGRTLVLK VKLHTYEVLTRQIIPPKAVYLAPDLYRYSLPMLSKLEQEFKGLTLRLMGLRCTHLITM KKPDSLAFFGHPKMRNSPASSGPASGTNESKEEPLEAGGTSSLGAWPGEDFEEAAKDE YQEELEELEILSQLEELGNQQPSGGSLDTETKPESEDRWWDCPICLRPQMGDCNEHID MCLSRPTILGLVKEAAREASSASASAEKGKGLSKRGRQDSGKSRTGLKDDPKQTRLSF N VE01_07562 MRFSTLSIAVLASATSIVLAADPELNIEVTRAVECERKTQRGDK VDVHYRGSLQADGSEFDASYNRGSPLSFVVGQGQVIKGWDEGLLDMCIGEKRTLTIPP NLGYGDRNMGPIPAGSTLIFETELMGIKGVEAPASIVLKEAASEETEGVKEKIKAKIE DAAEAVKVTLEDTDAGGQEHNEL VE01_07563 MSSRSYTTTNISYGGPRTSSSSVSSRGSASYVDYRVPVSESSGR YGSNDGVYSVTRNNVQVTNHKQRMSDPAEPRSGDASRYYGGSSYKR VE01_07564 MADPLSTTLAVVTAAIQSAKSLHETVKRFKDRHKTLSRLQQELD DLTNILESLTQVINAETSVMKLLQGPIDRCTKVCSEFEQSMKVFNEKSKTGFRDWTKM EFMRGDINEFIDTIAGYKSTIMVGLGTITMNTSRVSHQVIQEYNEMIQDTVYNLEFHL ERINEKMERFAPSNTNTLATSINLDDERAVTEQCLLICEDAKARIESLAHRESILLQE ASQNTIEDDMRDFKAKLLSRQTLDGTRDSLAETIGRLRERLESLLKEDPNNSDDRIRL QNEINISKQCLDVCKVASEVSHQKIYRIGEVIADGESDQVVVTTLADLFDVKKAVSKG NSAQLVGSMTAESLRDLTDKRYSSRFGAVKATDSVRNSSSLSVIDTQKSKHSFPNQTD YDEQPPGPEGRRNRPSPNEMRKRQG VE01_07565 MSTVPADPVAVDDKATINAVNTTTASNGLNEVRAYDYGGNPLAH SHTDDSVRRLPAFGGEFQPGLYKETSHRKFANPAPLGLSAFALTTFVLSLINLQTRGV TAPNFIICLAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWLSFAIILTPGGFAI GDAYKDKDDFNHAIGFYLAGWFIFTTILLICTLRSTVAFFLLFFTLDLAFLFLTIGHL QLQDAGVGKPRTTQVGCIKAGGVFGLLAAFLAWYNALAGIADTSNSFFIIPVAHFPWS DKGREARVTKTDRETV VE01_07566 MAYINMAPHQPCDSYFVETEDTTCVISTAERLQYESIIKRQRQE RIADELSQEVRAEYLPEIVDHMAAMEKQTLPDVASIDIQEEIKWFMRPYLVDFLIEGH TFFQLLPETMFLAMNLLDRYCSRRVVYKRHYQLVGCAALLIAAKYGDRKDRVPLIREL KSMCSMLYDEEMFTQMEWHVLNTLDWVIGHPTVDSFLQLALSNAAEEDIELEHMAWYI CEIALYHRDFVSTKPSVMARASLTLARAILGRREVVYADWGHDDNITLVALSQQLNQP SKILAKKYSSPYMSSASTVLEKFMEHQAAIARRSSPPTPPNDFYHKSVENNDHIYHTP QKPSNNPTMANGYITPPITPEGDYNLAVGNNPYQGHPQRRPITPSPVSNDVYHHHQQQ QYHHYQHI VE01_07567 MASQEAEQQLSTYRGRPQPPVNERLQQIYNFLADEDYYRCLNFT QKLQDIKDYIADLETGKQVLVQADEDLLSLIADQIKIHDHWIEEATKITPFEFNSPLL RHRNRFGLPGWEPKPGLIDFELADLPTYEMDTPIIEAKLHVTLQEDTGRKLPKQPDPF EYTRKVAKYRQAQDVIAQTVRERYNRGDAFAFNPLPRNFKGPWVPPSPEALLKKWEEM RIRLLELSEDLLLTQRVAPRLSINQVAHMITTIFKTTGPPNDVLMEDDFTPSDMAKLR VLTEPSTHRTKPSNLRGPYLTFVARVNGIIRSSDTWPKEVEEPVSVTVLFDKVIAKGP LDLDYPEMMWTLELMDRDKNLRYDKAANVVRAPYPNNHPEDRATARPGKWSVGSIGVT LSEWAYLGLRLARATMKISAQVEIAHANENYMKLVVTEYTKNLAERVRAWEREVDGTR GNYSLIEIATPLVKDADKDELTEELALQILQEKIVEESNRNWEPRATPTTIWDWTRKS DRVPLTEECFSINRWTPSIQHMGDMDKENRYLEWARNQQPDDSGDGHSHKRRKLIQGE PVFPLGETSKQQEAISNRIDGQLRGLGNKEFDGIYGPEGSYTRKQKAARQREAEEKAK AAAAAEALAKELAAGGKGKEPESRAQANEPAAGGKGKEPESGEQTQEPATGGNGEEPE PVAQDALPETNPGRRARARGAGGGGGAQAGTGA VE01_07568 MADAARGGAAARGGGFGSRGDRGGDRGRGGDRGRGRRGARRGAN KNEEKEWQPVTKLGRLVKAGKIKSMEEIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPV QKQTRAGQRTRFKAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYW GSNLGAPHSLPTKESGKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVEDIYTSSAG STKTLENTLKATFVAVGNTYGYLTPDLWKETALVRSPLDEFGDVLREGKRY VE01_07569 MAATRSFSSYLVTPKELNEALKKNSPTKISTLPRTIPLSAAWFL PNDGRKGIDTFREQRIPNARFFDLDKVVDRHSTYPHMLPSASDFAAAMSALGINPDDT VVVYDTKELGIFSAPRVAWTLKVFGHDQVHVLNNFKLWVEEGYPTESGEFYSVECCAY RIPKLDTSKVIEFEGVREVVQDFNKEGAEGIQILDARPFGRWSGKDAEPRAGLSSGHM PGSISIPMSDVLDPTTKTFLPKDELRKVFESKGVDPEKPLISSCGSGVTAAIIDTALE EAGYGDAEKRMIYDGSWTEWAQRVKPSDGLINKTEHD VE01_07570 MKVTIRKWNAVATWRWDIPEDDVCGICQVHFDGTCPTCKYPGDD CSLLSGKCGHNFHMHCIVEWIKQDSSKGQCPMCRQKFEWTETIATDEQEEETNTATA VE01_07571 MSPSTSQSLPTGKDPPDNAAHPASSPITSPRLPYASLQPSQQAP EEPQHQESTMAPRPAFTPFFTLVDDATSGSTHHPVNVRYIFSDDDQDLTDEYLAAISS APSPNSSSHIAHSSGSSSLTSSSRHQQQQRMPSGSEPREPEHRTLLLDLDESGTAVTA FHSLSPSWQLLSASITKAPTWESGPAAADDGEDEGAARFMLRLEGTRGRDEGMRERVG RVWREPGEGVRGEDFQVLVEEFERKMRVLRTVVDTGRDSLGLEEEEGEYGGDGGEGEG EHEEEEAEGEKEGEDRPKE VE01_07572 MEQYNNNYGGSNPYDQRDTQPSGGGYNGGGYGGNNRYGGNVGRD SYGGQNVELEPLAQNGQQFGHQQDPNAILNECREIDKGIDAIERNLDTIRMLQQRALD DPDSSQQSATNSQLDSMSSETMTMYRSFAARIKSLKQQPESGSPKNAPQLGKVDRKLK AAINQYQNVESDFRKKLQAQMARQYRIVRPDASEAEVREAVEDTNQQVFSQALLQSSR RGQSQQALSNVQDRHAAIQKIESQMIELAQLFQDMEALVVQQEDSVTMIEQKGEEVQE NLDKGTEHIGTAIKSARSRNRKKWYCLGIVLIIIIIIVVAVVIVKVVNAPPKSNNAAK RDVLYNIHGHLVSPGAGGASIESLIASLSKETIDKVANAAEKRGLPWIG VE01_07574 MSGAEAGLVLGTISAIISIIDATKKVYEAVEDEVGLPTNFKKSA TKLPLILKLLEHAEEYVNNETDESIKAAFTPTLEDCKVQAIQLQELFEKVMPGEGDSR WDRYMKAARTIGEGGRVESLVGRILDDLQLLATRFPEVTTSRGKDQLATAIEEVTKME PSLPDGFEQTPAYAHYGSGAQNVHLGGGNQYINGPGNQLNGPGNQYNGTNHIVNNHKI TTPDDIDRSCLRSLRCPDTLAVKNRLKENKDKLLPKSIDWILQDPRYISWKDGEDVCL LWIKGGAGKGKTMISIGLIERLSRPQDESTVVTYFFCQEADYELKTLEAIIKGLILQL VTQQKDLKESLRRRWDTTNERFDEDVTSWRTLWNIFLEMLSRCKCPRVYVIVDALDEC QDDGMADFLKLIVRTGLDQPSKIKWLLTSRPLDSAERELLAGSDQVGISLELNQKQLS EVVKTFIASKAIELDRRHHYGPTLRQKVETELAAKAEDTMLWVSLVCRRLESVHRDKV LTTIQDLPPDLPDFYRRVFNQLNEGESAVVKGCMRLLKAMMLAYRPLNVEEVGSVTGL SKQLVAIEVWVDRCASFVKRRGTDIEFVHQSARDFLAGRDGQSIFNSYEHYGHGEIAL NCLSHLTKRLKINLADLSRPDSTRESMKTNALLISVDYTATFWVQHMECVKRTTLVQN ALAEQGEASTFLRTRLLEWLECLSLLDRLPSAIETLSTFTDVIKKNPSLSNLVQDATR FLLRHYLTIATWPLQIYSSAIVFSPRTSVVRTNNLDKLPMWLGTLPQVEDSWASLIQT LAGHLGWVEAVAFSPDGKHIASGSRDNTIKLWDASTGSLQKTLASHLGLVGAIAFSLD GKHIASGSGDNTIKLWDASTGSLQKTLVGHLDLVKAIAFSLDGKHIASGSWDNTIKLW DASIGSLQKTLVGHLDWVEAIAFSPDRSEDSTIKLWDASTGSLQKTLVGHLGWVEAIA FSLDGKQIATGSNDNTIKLWDASTGSLQKTLVGHSGLVAAIAFSPDSKQIATGSGDNT IKLWDASTGGLQRTLVGHLGLVAAIAFSPDGKHIASGSADNTIKLWDASTGGLQKTLA GHSDWVEAVAFSPDGKQIATGSDDNTIKLWDVTKALKVSKLLGSTLGSHLRHRAWREI KTSKPVFSLKFSANGRHLITNLGQIKIESILANRQSFGFESLENLWVDNQWIYYGAMP IFRLPLDFEF VE01_07575 MKFPTIPLLITALASIAAADVAAADNTVYGIMFSPPQQNTARTP SARAITHASSHIKGRQVFSLGARQTCGHGSTCKEICEGCGTTSCGVQDTDGSCICANE AGSCAWPGDGSESGSGGSGSGDDDPKGAYSVSPYCGWGATCLESCDACGTDYCGVRLM AVGNACVCRSLDGECPSGSAGDHRVGLGRGNGTSSDSTYQSSTTGTGTDLPKSTGDVS SETTTSGAASTTQDSTPTQTSDVNLPGAAPGRMEVAVGAAVVGVAALVMAL VE01_07576 MLLKRKRSDSEISSQSFSMSPSPTQHMASSPYPSQFNHEITPLH LSSRTRKRVRDNRPSEHQIHENTLNLLFSAQKQHHQQQQAEPTFPQQEPTMAMSPPPQ QQSSLHSFWALPARRDSSVHSTTPSAAAPCFQETNCEDCDVVLVNGDGDSMEMDIDVD MYGGVGADGFACSQCRRQVCHGCAVSNLGVQRRCLGC VE01_07577 MSTRAASHAGSWYSSAPATLSSELDGWLAQVPDSIDGNKLPVPG ARVIIAPHAGYSYSGPAAAWAYKSLDLSKAKRIFLLGPSHTMYLSGCAISSQEYYATP LGNLKLDQATIKELQATSKFDAWKAKTEEAEHSLEMHLPYIYKVLSNTFSSPDDFPLL VPVLVGATSGLTERSYGEIFAPYLADPTSVFVVSSDFCHWGERFQYTYYLPASPSANS EGYNLSRRDKTPTNPWIHESIGRIDKLAMDAIEEGKHQGFLDNLKDTGNTVCGRHPIG VVMAALEVLEQEGKISAENGGRFKFVRYERSSDVKDIKDSSVSYASAVAIL VE01_07578 MDVHRCRFVPYSPSTINAVAFSHSHLGRANKSDTLRLAIGRANG DIEIWNPLNGAWFQETILRGGQDRSVDGLAWVQDPDEEDHDGKIIPGKLRLFSIGYTT TVTEWDLERLQPLRQSSGNHGEIWCLAAQPQLAPGQQQENGAWNGQNLITGCTDGALV LYSTADDDLKLQRVLVRPSAKKAKIISVNFQDRNIVVAGCTDSTIRVYDSRNGSSIRS ISLGSGPSGGPKEIIVWAVKCLPNGNIVSGDSTGELRIWDGKTYTLIQRIKSHRQDIL SLAASADGSTVFSGGMDRRTVVYKQSGGPKSRWAEVSHKRLHNHDVKTMTSFEGRGMS FVVSGGPDATPIVLPLREFGAENQRMLPYLPQEPIVQSVPAKRLLMSWWDREVHIWRV SKLTQANDNAESDSESEERHRSRKLVAKVIIKGEANINSASVAAHGGLLALSTNSEVK LFQLRSRGGNQGDALRVSKLDLSEKLSRGGARLVQFSPDGQWLVIVRRDNRIVATRIV GDVAKSPSTVRVLPSPAKLSRLDRKVDKATRFGGLGDYERNINRIAFSSDSRILAVSD LAGYIDTWLLKDDGDQNGADSDDIAESDASPESSSDESDSEDATKSITLKGQSWTRNP STSSLPKLPAAAVVLSFRPCAASIAPETEDRMLVVTATSAVFEFEAKSGKLTPWSRSN PTTKFPDEFKGLRDQAMGCFWDVADSKERLWLYGSKWMFMFDLSRDFPPETEANAQRK RKHMHIAGQDNPRKGTSGAGSKIPDEQLTSGMSRKMQRVNHEDDSSKPREINLRNHVG ILDDDEDDEEDRMDYGKGSDEAGGGAQGKLRRGGDVEGGAQAGELTEYGDEEGSSEHP PHWWHTYKYRPIMGIVSLGGGGGEAPASSSGLEIALVERPEWELELPPRYYGDQEWEK RGL VE01_07579 MADSNGPPRPPQEFDEAWAKDLRVQFEQLLRTKRLNELDRSRSR NGSPSPRERASSSDLRGDVGSSSRPMRPTTSSSAATGQTPPSYSSLRRLPKLPSPPND SQSQKFRNLLLTLSAMPTNYENPGLLDEALLALPLDRIYGEAQEESEVLQAQAESMGD GRKPEWGYQDCVIRALLRWFKRSFFTWVNNPACPVCMSPTVAQGMARPTPDEAACGAL RVELYRCSAGDCGAYERFPRYSDVWRLMQTRRGRCGEWANCFSMLCRAVGGRVRWVWN AEDHVWTEVYSEMQKRWVHVDACEESWDNPRLYTDGWGKKISYCIGFSADGVTDVTRR YVRKADHAEHRNRCPEEVLLYILNEIRTLRRANLPKEERFRLEKEDSREDRELRGYVV SSIAQSVRVNVPDMVAEPTMTSNQPPPPPPPPNSTSRSTSDDQKLPAEQPAGRQSGNE EWTRARGEAGPRNNNLPRDPTY VE01_07580 MSQFSAAVTPGRSLGFLALGSSLHDIVTRLKAEPQTFGKLELIY SPDHPVTEPVIVSLPTNGLRLRFDGPEQRLRLIEITDFSKSKLTYKNIDIVKPASPAS PSSTSSSIPDSSSAGPTFKHIYNKLLAPSYPGDYVPPDANGDGKYGVYILSYPGIAFT FPVLASTWNPSKDYATMLALPSTKPATSMAVFIGDSWVEAQKVLYDELLEDPRSYSPS HKGKDVYPDEISLVNIFGEGKLRLDRAWGSTPFWLFLGQTTPQELVAELGPPDAIYRK SDQRMAIHKARAGSESQQTRPHLEPPGQDDSTDTDASSIRTGTSVSGDESDSGSVDGK MSKECFYNYFYHGFDILVSPPTSPSRPPPSTPTAISDDHPSPPDQTLLSPAPLVATKL ILHSNIPGSYAFNRHRRCRWSLSYLSSPSPPTSESPYSEIATRLHEEWKSIYATEEEA VQRQRGMVLNRDWGDSPGSSVDLLGDWEEGTTGAGGRGEGVASAGGNGGLGNTTLYGF PGLVFEVLRGGVVCGLTVF VE01_07581 MPRPTSVAPSRKNSMRPPRPRPSTARANSVRPASRMDRNGAISP ADSIASAATTGTKRKERDFERDGPEETNINVVVRCRGRNDREVRENSGVVLTTDGVRG NSIDLLMGPSALSNKTYHFDKVFSPAADQAMIYDDVVTPILDEMIAGFNCTIFAYGQT GTGKTYTMSGDMDDTLGMLSDAAGIIPRALYALFNKLEIDDAESSVKCSFIELYNEEL RDLISADETSKLKIYEDAGKKGHAATFVQGMEEAHIKSAVEGVKLLQNGSHKRQVAAT KCNDLSSRSHTVFTVTAYVKRTGENGEDYISAGKLNLVDLAGSENIQRSGAENKRAAE AGLINKSLLTLGRVINALVDKSSHIPYRESKLTRLLQDSLGGRTKTCIIATVSPAKSN LEETISTLDYAFRAKNIRNKPQVNPKIDKKTLLKEFTSEIEKLKSELIATRQRNGVYM TNESFEEMTVERESRRILSEELSAKIETMEINLRNKVQDLHSLTANFMNLKRENEGTR ATLDETKDVLEQTETVLTQTRQLLSEEEMLRKAHERTEEELAEVGSTLISTLEKTVTD VGSLHSKIRRKSNLQSINRSTWGLSQTQVSDVTSLVESRVEEFRAQQEELMASVSTRM QSFVQEELEKLGATQAFLSENVALFEASRGEVSQQTSQAKDKMDEVLEEIKVLREVVK SRVGEGLQGLSGAAERISGEVLSELDTFHSQLHTSYSSLGRDFKGMFEDLIKHVNSQK AEADDLRNQLNAASEALVESNSAATSQLERVIVEEREQAATDRENLLRQITTLVMSQG ERQDARVEAKVTAVKESIKSSEATFEASRSAYNQSMDAWNEKEQKLVEEVHLSRENLK TKLKEDWITANKHNASIQSTTKSVHEETIRIVDEQMKDIAIHMEALDDFVSRARTQNA EHHDSHAQSLKDLSSTVSDSYSNIGTHFTSSYDRVKDLGEEMATKTTTLQDALSPLDT TLRQPLAELRSNIVSTLLQEYVPTGNTPLKQQYQFPTTLPRTEPHEKLLENVRQPAVS TTPLVSPTKYIPVIFNDVATPAKEEEVSPPSNPTSETAPTLGLREIDLNIHAGSLGSV PLSTDTASSNDAEARPAFKRSMTASGMRLPQKSAKRATVVPLEGRENNIIPVAAFSQS TGRRRSPRIGGGLS VE01_07582 MKNIFFTLASLLALVPAALSQCFPDTNTFSPIPYAGPSQVQFTS CPSQADGPRIFPLNATTFDWWYFDAVSSDGTQAFTVIFFTSSAIGFSFDFFSTVDPLN VWVFATFADGTPPAVFPVPAVSVTTTTNGDGASGDWKGSGISFKGAPDLSSYVVNLNN PLIGLTGTFTLKSRGPGHYNCGPLGPGQDEQSLPNIGWVNVMPGADAVVDVKVLGKPL KFTGHGYHDKNWGDVPFISALKSWYWGHASVGGYDLVFFDMIDPSGANKVGGYALKDG QVVASTCTTGVKIRPIDTPYPPTIFTTVPKALTLNMTLNDGSHLDAVLTQTSTQINIG IYVRWVGTIEATIGGVTATGAALWEQFAVSAT VE01_07583 MNDVHVHSQHESKLLSMLVEIRRAIYARIIHGQTHAFLSQGRLQ LSVCLQPSLGDDRHDGRERKPTAEGSPDTTWARRLRSSWGAHWECKEAAYAENLHEHS RNNIGNLLFVCKKMFLDVCDFVSEGTAINITDLDTLDVLLQTPFEPSSDLNRPWNFWD HTRPAIHKLNIALRLPLAFYEALQEDENLVLVTNHDTSVELNKCASAWARLWQATCQL PQLRSLHIWLDHDDRPSWSFVNERVALRQIIAALIARMQACSEEQTTPHMDITFNLPK LHPRYARPDTHFFEESPPQPFYIERRIRQRFHCQEWASGSMSAVYKADFPVMHEWPEM CEESDKEFHGVVGTTLQEDYMTLEEVEDFERKLWERRENVYDLITDFSGFQDEDNAYM HRLQTRRS VE01_07584 MRVPSAKSWSSTIRLPKSTFPPRTKPADQAKYLQRCTDDLYAWQ AKVRAQQKPFVLHDGPPYANGSLHAGHSVNKILKDLICRTELQQGRKVQFVPGWDCHG LPIEIKALEQVRAKSEDREVNLDPIGIRRAARNLARKTVKEQKKGFREWGIMADWENP WVTMDKEFELKQLEVFLEMTRQGLIFRRYKPVYWSPSSKSALAEAELEYNEAHESITA YIKFQVVAVPEALKARGVDTERLHAVIWTTTPWTLPANKAIAVSPDLEYTVVEVGSEQ LLIAKSRLEHVAAKCAFPESFKVVVESIKGSELEGLTYVNALHGENAPIQPLIFADYV SDASGSGLVHTAPGHGMEDYDVCTKLGIEASAPVDDAGCFTDEALPHNPSALAGKSVL GGGNEAVLALLGFQVLGVHKHEHKYPYDWRTKLPVIVRATEQWFADVGSIKDKALKSL DNVKFIPENSRSRLESFIKGRSEWCISRQRAWGVPIPALYDESGKAILTQESVSHIIS VIQERGIDSWWTDAQDDVSWVPPSLRGQGTLKRGTDTMDVWFDSGSSWTQTEGPADVY SEGTDQHRGWFQSSLLTHISASGLDSAPFKTLVSHGFTIDEHGKKMSKSIGNVISPEE IMNGTLLPPIKRKGKATGPPAYDGLGADALRLWVASSDYTHDVALRQPVFKAINSTLL KYRTTLKMLLGSMRESSEKVQLTKLDTIALYQLQQTQIGFNEACRAYNFHKAVSILNR WINTDLSSFYLEAIKDRLYCGNGGGVLYPIFMGLMHMLGPITPALVEESWEHRPQWMK DSTSSVHPLQRSTEELRLLTYKFPASLPTDISHLMAANAAIKTAQEEARTEKLVGSSL QSSVILTLPKESQDLFQNYADELQEIFVVSSVEFGSGEERVAEWKFKAEFEVPGGKGI AWVLPPKEAKCPRCWKYVAPTEDDLCGRCAEVVEVTPSS VE01_07585 MDSVDVVTHRTETLSLLSKRIGDPNEAVNDVTLTAVARYVGQVI LCNNGNNAEETKLHMNGLERMLALNPDFEPSNQVREMLFWTDLTAAALCHAPPRQLTK RPNIRLYGNPMLQTPRSTIGIVCRGFQKIIADHEVFKGITDILHDLRCLAAVAHYSDS KVHSEIPIFVSLCFGVGERLGGLLAMGGSTVFESCRLAAMVFVEIVLLHNNTPNAVLL AAGMKRTLSRANMEVLLQQQPELLTWILYMGNMAAFGTEMAPWFLRRFAQALSFQGLS LFETVRNVLIEFLWLDGVSNEHLKSVWSEVQEMI VE01_07586 MAKPTVCVFCGASPGKSPAHLAAARALATYFHEHGINLVYGGGT TGLMGELARTLVSLSGPSAVEGIIPAPLMAQEQRAAETVTIMHEGRQIAVPDEAIYGT TTVVPDMHSRKREMARRVVEGGDGSGFVAMPGGYGTMEELMEVVTWNQVGIHDRPVVV FNVEGYYDGVLAWIRDAVEAGFVREGQKDILVEARTAEECGTRLREYAVSDGRLDLKW GAH VE01_07587 MGTPTKATASLRLLLTGATGYIGGSVLTTLLESKHESIRDLDIS VLVRDEEKGAVLARDGITSIYFTGFDDAGTITAAASEHDIVINTASGFHSGLARALIV GLSQRKKETGKEVYYFHTTGTSNIADKPLSKEYHESRILTDNDDLYSYLKTREAAEPY QQRTTDLVAIDTGLELNVPTHLIMSPTIYGDGTGKFNRRSIQLPILIRTFIKSGQAVV MGDGAGVWDAVHIADLAPLYELLLFKALSGEKIPSGKKGIYFSETEDYSWKQLSQGLA DELFKKDLIKTNVVKSISLQEGADLWTGGDKQYAELGFGSNSRSRAKLSRELGWAPKR TKGDFMGSFKGEVEAVAAEFKKA VE01_07588 MSQPITEIVQFKLQPNASIEDQMPEFTRLLQRQPGFLHLAWGRR VESPDNVQMLINWDTIESHHQFEKSGADYAALGVIVKSLVAEPPVVYHVNFKSDNGLV IQSTSAT VE01_07589 MTRLSTLPFALLVFTTTFTTALSADSTNPPSLESLNLTTPNPPS LESLNLTTPNTPTTFTDLNTCDTVQLTRANILLGINYLISLGNTPCVVGQESVVFVTK GDVAITGYNGLMGGTASVPCNDVGISARWIVSHCASGPLAFGGATTAIGKKEVVIRIR KA VE01_07590 MSTIMEDSNAGDMANHEYPRDKTRPMHILHLPMDILLSIFDHVH NDRNIKLHIRRDDHDIKTIQSARLVCTLFNRLGSPFLCPILTVQLDQASLDCADKISR APLIAAGVRSIDVVLHYCPKELAEDLSRYKNQRENDLNSLSSSCDYQAETWYLGGHDP DDETVCEQPLRVYNKAMADYSAIISAWDEYIGQPDVASTDEDTLKYQEILRQGHEEYK QKHKEQFRLIMDGSFVETLALAISRMRHGTSLHFVDEIGGWDYLNRCSDDPTRMSTHP DELPRLMAIPLDWRTIENLEGGAELLPAKILSELPIAIHKAGATVLDILIRCFPTVKN YSMIRPSHDPNNPSWPDLRSASQHLTEFFLSTNQPPIRDRHLRPEEHCPIDQYLCAIL SGHDIEDVRLYFHGSNVNDGGLYRIGTILATANWPRLKRFSISDVSLDPGKLENFFRK LDGSRMERVYLRNVELLDGNWAGALDLLRERVASRCLDGKCEVEISKLKGGGFGKGIL TKNNSSLWFSGEESDEEEDEGEKLIAQAQSYVSGARLQHPLRVE VE01_07591 MAATSQIPNRTSQRAFRQRRTERLLALQSRVAGLEKQVDAAKVV NRLLAQMTCLRALGETEVDEVAGEPPSCDEGTGFQTCDLTLLGQGLGRGYWDAFAGRE GEFEADDRVDWQTGGLGEGALSEASLFGRVLDGAGWA VE01_07592 MPRLGRLSLIIPLVVVLDTALALPQPFDPNFRNGGTNLVSRDLE TLGEAFSAMVNTLDVMQSQYWSSNTWPTGIDWTSAVTSTHVSAALTEMAMYDAGTYSD DITKYFSQIVSFYGGENVESLQTQKFDDQEWVVLEWLEAIKFINVYSTIDSTFDGQQH IGDFAHRSRIFWDLASKGYDTKLCGGGMVWTDTLTPYKNAITNELYLSASISMYLYSP GDDNPSPYSEPGKAYDPSEALLPIPAHDERYLNAAIEEYKWLSTSNMKNSQGLYTDGF HITGWTSPDNIGTGKCDSRDESVYTYNQGVILSGLRGLWDATGSTDYLSDGYTLIKDV IAATGWVSPTQQTNTWSGLGSNGILQETCDADSTCSQDGQNFKGIFFHHLTLFCQPIA LSKKETVIFNGTDAQAQAHQEVCNGYQPWILHNAQAAYSTRNADGKYGGWWNAGAAAV ASNAKSAPVQPSGAADVRNKGIPLNKVWRLSSNPLVSEQGMSPVENAAAADPNDNGRG RTVETQSGGLALMGSLVQALEAAK VE01_07593 MAQIGLTIGVAGGAAKLSISLFSIAAAIGTAGVEVRAFASNASS LAQVLTNLSDALVTKEPISPKAKTIADGLITLCQTILDDSSNLLKRLRPLVELTGSAQ KRFILRIRWVFEKSKFATHLQSLEALKSTLSLLIGTVNYSDGVASNRPRDIQISLRLQ LQNVASEEIQNSNRVHQNVSRLMQRESPLLTGNPLKESRESQPLKLRRIPDDSINGEE DESQSVDPGLDSLSLEPSEVEKSIIVHSKNVENEEEVEREFGIEELDIVTPYLEISLL QQRTIQFATLVLRAEEDRATADDINAPNDQGSSNSQDGTRLSNPPPPQSHMEHMNGTV IYNSGNPESLDQQQSVASSETASVAAENVPTTKAEVTNVAYGSLLFTDARGTRYTLPL SDCKTWEGMVNILREIYTSDDYWGYELKSEVEKRNKSGLKLGLQPFILLGGRDYAIIL PRHWTSIVHSAYSVTMRFDDPRLNTVPDPRVEELQRFDDPRLNAVPDPRVEGLQKSDD PHIKALTRVKGLQGLVAKVRLWDKLVKKRRDAD VE01_07594 MNSSSDIAMGELRERHVESGNSPSSEEEQTALVITPRRADRGKD AWLFLAASTVIEALVWGFSFSFGVFQDYYSTHKPFAGATNIATISTTSTGIMYMAGLL LFPAYKTWPNLADRSKWVGLPLMATALIAASFANSVNHLLLTQGVMFALGGSVVYYPA LAFVDGWFIERKGLAFGIMWGGSGAAGLCIPFTINWLLHAYGFRNTLRIWAVVITVIS APLIYFLRARIPPSQTRQPVRQGLGFLRTKTFWLLQMGLTMESLGYFIPSIYLPTFAR SLGYGPSIGTLLVALVNASSVPSTILLGMLIDRFHVTTVVIISTVGAVLSVFLFWGFS DALPLLIVFSILYGLFAGGFVTTISGIVKAVKGLDETTDVGTLLGILSAGRGIGAVAS GPLSEALLSSRPWQGKATLAYGTGYGGLIVFTGITAAVGGVSFLGKKLGWMKERV VE01_07595 MDFNGSSPFPEGVISFLDTDLYKLTMQCAALKYFPDVPVTYAFK NRTPEKKLSRAAFDWLQIQINKLGNISLSDDEYNFLKSTCTYLNEPYLRYLQDFRLNP REQIKGTFVPDEDTGKDTDIGEFQLEIKGLWVETILYEIPLLALTSEAYFRFMDTEWS YDGQEEKAYEKGIRLLEGGCITSEFGTRRRRDYHTQALVFRGLVRANKDGIQRGLPGK ISGTSNVHLAMRFGIPPIGTVAHEWFMAVAAITNDYEHATETALSYWIGCFGKGVLGI TLTDTFGTPIFLRAFGKPIPRLASALHSAATTATSSDGANHLASTEPPQHSPPVDTAE TDMTYAQAFTGVRQDSGDPATFVKTLRTFYDSQGITEKKSIVFSDSLNIELCLKYKAL SEAAGFAPAFGVGTFLTNDFINLKTGKKSVPLNIVIKLSSAAGRPAIKISDNAGKNTG DEETVVEVKRRLGYVEQEWEGVDEATRWGTEDAPAAQ VE01_07596 MTSLGLATRRAQPQLTRVTRLEEQLRRSISTIELDRKKGDRERV VILGSGWAGFNLSRKLDKKKYQPVVISPRPYFAFTPLLASTAVGTLEFRTAIESVRAR VADTEYYQGWADDVSFADKRITVEVNAMMTQSTAPMQTADEAVAPGTKKGKRFNLDYD KLVVAVGCYSQTFGTPGVRENAFFLKDVGDARKIRKRILDCFEEASLPSTPEKVKRQL LNFAVVGGGPTGVEFSAELFDLCNDDLRKLYPSLIQHTRISIYDVAPTILSMFDKRLA DYATNHFRRDGIAIKTSHHIRGLRPGLPGAEEEDGSSGFTLTTEEDGEVGVGMCVWST GLMMNPFIQKALNDVHSYPSASITTPPSNSSSLPSPPPESLNWHLARDPKSGGLLVDS HFRVQLNGSSPNSTNPTNTTTPSKPATMQNVFALGDVAVPHSGPLPATAQVANQSALW LARRLNAGDVGRGGGFSYKNLGIMTYLGNWKAIMQTGGNSEVTG VE01_07597 MAPLRRYLRITPSSVLEVRIYPSPPGPWLLSTRSLLTRVLAAVR PLILPKLREENERVKARGRGRGVKDVVSGDGFEVSVFLTETSTRHTVLKRRKIFREPE RKEDTNPDAPAPLLREEDGDDDVVIQDVPPETESSNQPPPTRRSKRTRPTEDTDTLFV ADSDASISSASDSDAGAPPAKRARSAAPLGGAEEEEQDEKKMAMRSEYDGYGIYGRVL CLIVTKTAVKDVAGMGGREVMEEWIASTQNPAGEAT VE01_07598 MPILELHVPPPPLTSFPSPSLPTLDPESLALTAYFSLAIPRGEW VLIPSLPGANPTGVLPALRWGEVWVGGWGNVVDFVGKMGGEGWALGGKDGGEGNGKGK LRGEGEGERGDVIAFSNFIRTKGRALLDLSLFADPQNYNTLTRSSLASTLPFPLSWLE PRRLREAALARTAHLGIKLDTTDDAHDAASTSTQVQGEDVIPVSLRKGNPNAAAASLA AEGAATIRLSALADEFLEPLAEMRGEGRWFVGGRATEVDCLALGYLAVMLVDGLPRPW LAEKVKSVKGLKEWVAEVEGVAFEELPWGEGEAGVGVIGRAVTGWVWGALGMGGVARE EEKKEEEFSSQGEQEIVAYRAMIRRREMWVTTGSVVVAVGLFVGFLFQQGTIGAIVLG AQGAQKRARAKAEKEVEGGGVAAAALWEAAEPGVAELAAKMNF VE01_07599 MLLLQQSGTLKVGEVVRYTITYTPSRDRILPHPTHLHLRIKNTS AIALRAAFMHGPYALYVSAAPSTHRVDVASGASARLDGVPEFEPNLKAGAAWSARLKV RGGEEETSWVVEVASQVIFSASAGVRFEVLVGRDEGSVGGEMGAGKGAARQGVFSEAV TVRVEDTAGLWNTPRLPEWEGEEGGDGSGGEGVRRVGKVEEGKKVEGERANGEGKTDD RKQKKIHLVVLTHGLHSNLGADMLYMKESIDAGAKKAKEEAKLRRDKRRKERAEAASA QSSSTNGDGTSEQKHADDEDTDDEEDVIVRGFSGNAVRTEKGIKYLGKRLAKYVLAMT YPDQPFHSVSISAGESLKAAVTHDKSKEVELGEAVHPHSSIRKDTPTGKKLAYKITSI SFIAHSLGGLIQTYAVAYIQKHSPDFFDKIQAVNFICLASPFLGLSNENPLYVKFALD FGLVGRTGQDLGLTWRAPTLARSGWGALVSGIGENGKKAIEHPRDPRSKPLLRILPTG PAHVALKKFRNRTVYSNVVNDGIVPLRTSCLLFLDWQGLGRVEKARRENGLVGTMAGW GWAELTGQNATAAARQEWMEEAKETEAAQAQAEANGSNTPTRQGRGGDVPQPPPDATE DDVRSLKSMKITRRSTSQPRSSSNAKENELHPPPQSPTITGTASTKGPIDSFLDFFNP RAKSPDPPRQSKIYKRSQTVKFDDPTRKSDSKTDSDSSTNHTAGISSALPEDPQGSAA PPRTSFFEAAGDILAPPLPPLSFLIDPSTRPLTIFHDRVYHPSDIPPPPLKPPTTTSN KLSKTPPASNHSTNSFATTASDSSLPPLAEIDSSAMKVEEKIARAYHRDLAWRKVLVR LEPDAHNNIIVRRKFANAYGWPVVQHLVDTHFAGGGAALTPDDEEGNEDRATGGSPGG NGRDEEEEPALHQFLNPAQRREREEEDRESVDHLSSLSDAVSTHTHTAQRTRKPVLER ADSEVWSEHDFMDSEGDSDGEDGGVKEKKRVEEGPGWNWTEKIVGRGAVAGRAGKGGG KVGGGGGKGGMGHKHKESREYTVPSPEEDEEDEDGEVEGVSKGLNMLNLGVQPVAESD THVGIVEEVARASVSRNIGGGGGAGAGLGV VE01_07600 MSGVDVVEKKKINSNPNRRRVRGEEEERRRRREGREREGRNIYV YAPQAREKHRSPRREEVRGEPCSGEEELSAVEEERDGERRDGSEGRERRSSGHRRRRR PVEEDGTARHRSSASLSRSKTSSHRKDSSQPLNFLRRSFSSASARPDTRPVIKRAHTT SNTHLPKKTYESTGPPQTPKRSIFLDMFTPGIKEEEPVKLVECLTCLSDDIPRRKSAK LKCGHRMCHSCLRRIFKLSVTDPQHMPPKCCTADCIPLKHVDKLFDIPFKKLWNRKFQ EYTTKNRIYCPSRRCGEWIKPGNYHDDGKGGRYGKCGRCKTRVCVKCSGKWHGRRECA GDEETNRLLEAAKEAGWQRCYSCRTMVELKEGCNHMTCRCTAEFCMLCGLKWKTCACP WFNYDNIADNDRLGHMRIPMPHPPPQPPGAFPDPGVIHIPFPPPPQPRPPRLRRQNQD DLVRGMRGLDIDPAAPPAPPPPAPPVADWNFGFGVGNAAGHHMNETYRRPVPLPHQDV YVARGDAYSPPAPAPAPSPPTPAAQLAAHAAVSSQAATLAREREMRQESRRRKREVVE ERARREEAERVAREERRRRRREREAAAAAAVAAEAEVRREAREKEGVRSSVMAGLGGR GRGSGRVGAWRTHVGLGVEPEEGVISVV VE01_07601 MAQAVPNPPSGRKRVKVYELRNNDWFDRGTGFAIASSTWDHADG EPRIIVEAEEGPERLLLETQISRQGGFAKQQETLIIWTEPVNGVDMALSFQESDGCTA IWKFINMVQQQMVVNGGPDDALSEDMAPEAAPVNLPVPNINNLKEVELEMRHLNNTQA GRDALAKFVIHDEYIPKLVPLVEEAEAAQRLPELHRLCNIVKMLILLNDNDIIEYAMT DEVFLGVMGALEYDPDFPSHKANHRQWLGDESRYKEVVRIDDDQVRRKIHHTYRLQYL KDVALARVLDDPTFSILNSLIFYYQVDIIQHIQGNPQFLNDLFAIFGPEEKDEKRKKD AVLFIQQCCAIAKNFQPPARNSLYNNFLSHGLLAVINYALAHSDVAVRVGATDVLVSV IDHDPQMIRQTIFRQISEKQRPLTDSLIDLLLVEVDLGVKAQIADAIKVLLDPGSQQG PQEGMGKLGMEFGGRGRPQVDPQQEGFLVKFYEESAKRLFSPLVELKDKENLAFNVQQ VSLFEHLIEILWFFIRQHQHRSKYFVLAESLGQRIAQLLSCPEKHLKLTALKFFRNLL GLNDEFYNQQMIQEHLLEPILNTLLDTMPRNNLLNSACLDFFEYIRNHGVPIMVSHLV ERYRDKIQDITYVDTFTALISRFDHASQGYASNMDASFLDTEDEGPGRSQPGGGRRWQ GVRDLDAAEEQYFNTSDDEDELAGAKSPPGASVNGASPLAKPLVDYASDEEPDMTDAE LAGVLPSREAGSPPPAAEKEGERKSASPPPPERVSEKRRREEDEEDELTKLASQQSKR RNSSSSVVSVGSNGSAPMLRRKKSFNSSRDGGGGGGGGGGGGGGGGKKISISLAPAIK SGGEGGAGADDGS VE01_07602 MKDVFGQTPLLWAARVGHGAIVKLLLERRGVEADMKDGYGQTPL LWAARLGHVATVKLLLGRKGVEADMKDKYGLTPLSWAAQGGHVVIAKLLLERKSVKAE IKDEGGQTPLSWAARGGGILSGSLGRNESVVELLVKRHDIDVNSKDNDGHTPLLWAAR AGHEKITALLLEHEDVNINVKEKVGGQSSLSWAALKGYEAVVGLLLQREDIEADAKDV HGQTPLMWAAVGGYKTIVGMLLDRKDVDPAAKSDSGATALGMAEGKGHEAIVELLELK LEHSRAGRGVDDSSGKGDESQV VE01_07603 MDPLSITASIIAILQVTATLVNYANDVKDAPKDRARFAMEASSL SSLLLNLRYQIEEEICEKSNDAWTSQVTLLAVRDGPLDQYRHALEKLQLKIVSGKGLV KIGNALWWRFTEEEVAGILLRIERLKSLIQIALQMDHLKLSQAIKSGLDGIYDNSEEI KIDLAVVRSQIPAIQDSVGAVQESVDAVQQEQGRKKNQVLVEWISSANYSSQQSDFIS RREPGTGQWFLDSPEFNKWLDEPSRTLFCPGIPGAGKTMVSAITVDHLRKVADRALGD LLAVKMGNTLHSGLHGVAQIFCNYKTQSEQNTTTLLSAILKQLVQAKPSAAKAANTLY ERHYDQGTRPSLEEIFATLKTTMMSFWTVYVVIDALDECADRDGTRSQFLGRLRDLQQ DFDVRLMFTSRANPDIMSQFKSSLTLEIRASPADVKRYVRGQIHRLPKCVQRDEKLQG DVEDKIGEAVDGMFLLARLHVDSLRDKRTKAKVQSMLGMLPRGAEALEEAYDDAIKRI EAQLPDDSKLAKTVLSWITYAQRPLTTAELCHALAVDPDDTDLDEDNILDVEDIVSVC AGLVTVDEESNIVRLVHYTTQEYFLQNREAWNPTAQQEITTTCLTYLSFGPFKSGSCE EDKEYEERIAHYTFLDYASRYWGHHAQTVQEQVSEIALAFLRDNKLVSCAVQTMSIAV YTFTNYGQSFPKYATGVHLSAKFGLQYLLAGLLSGSDGVGGMAADAMDRHGRKPLTWA ASEGHTAIIELLLERDADINSKDLYGRTPLLWAARGGHEAAVKLLLGLDGVDTNTKDK RGRTPLSWASDRGHRAVAELLMKLEGAEIDIGDREGITPFLWAVQGGAESTVKMFLGR EDIDFNAMDIHGNTPLIWAARLGRENIVKLLLEHDDVKANVNLADPHDQTPLSRAAQL GHEAIVRLLLENEDVVAEVDVGNFHGRTPLSCAAEGGNEATVKLLVERADVNADSKDN SNRTPFWWAARARNEETMKVLIDREDVAADSKDLNGQTPLLYAARLRNISVMKLLLGR HDVNINSKDINGYTALSWATVEGYEEIVKLLLERKDVEANTKDSEGQTPLMWAAELGY ETIVKLLLEREDVNPETKNNLGQTALWWATKTKRRVIVELLIGQKAALTNDYYPKDED S VE01_07604 MADAVMDRAVQIAAEAWEVVRHAPAWILVVSATSILPFAFISLY LTLHLIAPLPRHPHPSEKTYITTLPSGGVTPPQPLACWHDDWLSHRASHAAGSSSKDT GHIEPATLAMSVVIPAYNEEARLETMLEEAVSYLDATYGRASSPSPSTKSSKSKTSSS SPSEPQGYEILLIDDGSSDSTVSTALRFSHAHSLHDTLRIISLHRNRGKGGAVTHGLR HVRGTHAVFADADGASRFRDLGALVAGAELVKDARGRAVAVGSRAHLVGSEAVVKRSF VRNALMHSFHLLLRLLTPPATSRIRDTQCGFKLFTRAALPHIIPYMHAEGWIFDVEML MLAESAPVCIPESKHEGGKIGGAVRGVRVAEVPIAWQEVGGSKLNVLWDSIGMAFGLA VLRASWALGVYRRR VE01_07605 MNTLVQLRSFNSPPTTAKVSVPAKIASAVSRPFTSLLASSPIDN QYPSMTATQNTHESLEQRRTMHHEADVVIVGAGVFGCAAAVTLARQGRSVILLERSMK EPNRIVGELLQPGGVSALHKLGLSECLEGIDAVVVNGYNVIYYGKEVHIPYPYDTRVE KSRAMKESRPVGWCFHHGRFINKLREACKREPNITIFETTVKGTVSTDNNEQVLGVKT ETTDPIDGSKKPDYFFGGLTIAADGYASTLRKQYISKTPVAKSKFYALELIDCPIPVP NHGHIILSDNAPVLVYQIGTHETRALIDVPENLESAKSALGGVKAHIRNVVVPTLPEA IKPTFIKALEEGGLRSMPNSWLPPTQQQTPGIVVLGDAMNMRHPLTGGGMSVALTDVV ILSELLHPTRIADLSSVPAMSLAMRTFHWRRKQLTSIVNILAQALYALFAANNAELKA LQRGCFEYFLYGGVCVEEPAGMLACILPRPFLLFYHFFSVALLAMWLIMCDCVGNVLG VWKAPLGVYKSGAVLVKAVEVIFPYIFSELKW VE01_07606 MSLGLPVPSPGALRALRQLALGTSCTVAFTTGLLAEDRRRRIHS AREVQENGRRIKSSRSYHGAAGAVAVANFEDHVLNPPLYSAGDGEVAPKSIASWGKDA SVKSKRLVRPQSQRELKDDLEPVVAKEKRDASHDADLRARTQSHATPIAIRKTGQRQS RQTVLAASMMETLEADNSAGGIELAANAFFESFENGLYIGKEPGRHESQLSKRLVTAC GQLFDACQKHNSIGVYVPVIQKLLSLGPIEEDLFYELHTPEVIAHLLEIKTRKEGEDQ GYLEALHSASSMYMTEFSTKRLENAHPKMRHLGVLLCAKAMKEQLYELTDSLYWRMSA IPSDEAPSCVDYLILANHGMGHHKSVLHYFRRFFVLTEPSKYELDEVVGAVLDSCLRK NALGQAEDVVRTGAEMARSGGFKIRAEWPMKVLGQHWRSTRDLACTRALFGRLETLVD CIDLPQALYSAIIQFCVEDGKDDQASAYLNQHTSANNGAASLRTCGHLALSKAMKEDW EGVESSLKTMKPLLVDHKTKEQHAQVFAPIFKLYTKSHSVAESEQFVRKVMEEYGLVP NIYVSNIMVGKYADANEIDSIPHWLETMRAFGLIPDAVSFNAMLSNYRDEWNTPFVDL LHLCHKMKDTDENLLDQCTARILREAAIRETKGDRFAFVRFSRQIDYLRQGQADSDSG LDFYPSMREAMAKGSPRKTLRIYHSALKREHIHIPPSMFALAIQAAIESHPADSSISS LLPLVSSARERGVDISLGMARLLMHQLEDRSLDYMDLHAVLRKNTLLFADHGLTMPMG VATKVASVFSQRGLNRDALALWEYYAARNGVSRGLVDLSSLTVLMRVHIALENVEGVQ WVMDTLERNEIVPSRRFMLVIKLGIANLRKQQMRRCGDGVPNALGEMLEEALGVVRLR RERHGVESRRVGGRLLDIMSAAVVESRRGGAGEGEELGAARQHVSAGVNSTAEQQRET AGQLHEEESRASRGSPPPPAMWTAVSVTPLDRGVGSSGQKRHETPVMPLVENQVSPKP TYEYIEAEPRHHARQDAVRRVTSGGGVLARKVVSGWL VE01_07607 MSLSVFVGGLFSNGPEQMLRDYLFAPATKAAVRGFSAAPRQLKT RTTSQRLKLLQSIYEPAFSHLRPGSPEYEGLANSGKVWEDHFKPRDLGPWLETQKKLK SKLESVDKFKIFFGERPQLRISVTHSLVPEWAHQSVAIEANPLSLGDALISEGLESQF FSRYEDFGTIRTQALPDLDLPPARDLSSQSPSKVAELRNHIIVSRHVSDSALANPGTA GISLDEIKLLTRTLLRGTDSEEAAKYSWGPRVPLGEFRALPISVRSNPLRVFPYHQEV PACTKRFFEWRDKTHAMGQLHPLIFAAKLCVYFASDHPFPDGNGRASRVMMADYLIRQ GFLPVVFQDLERMDYLGMISEAQDGEPEGLCAYVTQTALDMMFTISVR VE01_07608 MAERRLIRSGFAFEETIGYSRAVVTGSWIIVSGTTGYDHATGKI SPDIVEQTEQIFRTIDKALREADATMADVVRVRYILPDAKQFPLCWPVTRKWLGEVKP AATMIQAGLMDEEMKIEIEVTARRGDSAED VE01_07609 MSSERVSTMPVSDEFKGLTLVDVTPKLEKIWIKYPRLVQLNLLL LCAFLGQTATGYDGSMLNGMQALPQWREYFGSPSAGKLGAMVNGVAFGVLISLTFSSQ LCEKIGRRYPITIGTSIIIVGSILQTAAVHYGMFILGRFLIGIGGGLVAVAAPQLMME CAYPSHRGKLVSLYMTQWPVGYLIAAWTTYGTFKLQSSWSWRLPSMLQIVPSVIQLIL SFFAPESPRWLIYNDRTDEAIDMIAKYHANGDRNSRLVRFEVAEIQIALNQEKEQKAM QWSEFVRTPGNRRRLFILIFVGYMTQWSGNGLTSYYLPKVLNSINITKPETQLLINAL IAVFKVGCSIVSALLIDRVGRRGLILFGTTTMFLVFIIWTVLSALNQEQNFHNKSLAS AVVAMIFIFQLGYQPVGASAIVYVIESAPFSLRAKTSMIFQLCAYTASVFNNFVNPIA IDAIKWRYYIVYCVILAFEITITFFFLPETKGRGLEEIAEIFDGPAAAITVQAEKASE LGHDRGSSDSQKGGQAINHLEVVQGTKTV VE01_07610 MGSWYNIETKGASAPATFTAEISDFKTLKRNPQHESLKHCLVEL YSENVIAKVLRAAVDHLLENNPPAQYPETVPQLGSGKGRYESREIDFWTSGFFPGCIY LLLERFIKHPHALGIQNAEVSLPVLRQRFRGLGHIWSEPLRSQALRKDTHDLGFVIMP HMRPRWELFHDESALETIVTAAGSLYARYDPQVQAIRSWDTFDWHEGVEITSMEENFL VIIDSMCNMDLLFYSAAHSGNTALSDAAVNHSRTLLKSHLRVETVTRRGYAGCLYSSA HLVNFAPATGSIKEVRTAQGYSKDSTWSRGQAWAILGYAQTYHWTGHAEFLDAACGLA EYFVSRLETAPECVEIELPSSGGRRVGRYVPLWDFDAPIQDSQNPLRDTSAGVIAANG MLVLSNVLAGRGEHELSSRYLELSLRIVQDVLDFALAQEKAHLVVSEHGELACKDSIA GGRFESILKHSTVCNNAASFSKNKPSDHGLVYADYYLLEFGGRLLDMGIL VE01_07611 MAGAPMESNSDTVAPEGENPLPSCDECRTRKLKCSRQSPSCSNC RRLDVSCFYDPVRTKPGFKRGTIDKLVRRIEVLEHAVSHQRDGGSSSPRLAAASATHD PVVTNTDLEGLGTANFSALAMQIQNLTSSISFLSQSIVPGSGSPGSQLDASRNNDKHR CKRQRSGSEETQQPRHKKPSCEASEELELSSHFLTGTHLEDLLDAYFMNIHPWIPMIH MTTFRRKIQGLDEAADPPLILHAMLAAALRPMNAASGDRISAGRIEREVERSRNIVIT RATNSLTVEDLQALIIVAFMHIGNGEPLRAWPIVALLTRTVEYLQLSVEEGDADVPEA FLRPKPLPPPSDWMEQEERRRNVLCPDRVSEHTWNIGLTADNVSRRLPICGTHWNRDN PALSPYFGVWDKSAAKISNSVAFLPAHYESPGQSAGTSGADFEITPPGAQKLQSPTAH IDTSAIGAFAYYVESLESLCRINMYFLNQKIDFSNRQEVSSWLTRFKELDLRLVHWRT ILPAKWKDPEVPPPEATAALDPNMTLAHITHNTSMILLHQRIGYPEPQLKGIKLPNFN SAKTCQSAAIETANIAMKYLAHAPETMPLSPQFSFCSYVSARVLLVHCQYYGLALDPK FSILVKCLQEASRRWLFSQERSSTPSLSDQLADHLQFLHKRCLDDPTFYLRVVGSVES DVSRVTEGQLGDDMQRSQNRCEANHPSEQFLSAVATADFATALQLGQPSTSISVEMPL GAPGRNILSDENPEHWTPSSDVPEFRGSKSSTEPQDELSNILQTLTDQSYAVMDRVIS LDDFNFDAASYDLAQMPTVPASSDWAPEIYGYVAREHHW VE01_07612 MADGKQDIVIVGGGIIGCTTAYYLTKHPSFDPSRHSITLFEATR IGGGASGKAGGLLAQWAYPASIVPLSFDLHSQLAEAHDGVRQWGYRRINCGKLTAKDQ KLSTSEAATSWTQLATWFPLGKRKYIKEENRDLPAKDFPDDLDWFNAKSIKSYEDIST TNATAQVNPLQFTMTMARLAEEGGVKVVLGSVDAIGYAPDDQQSPREQYVANEKAGGF IAGNAAREKRVQSVSYIDKATSESRSIPATIVVIAAGPWTPVLLPSAPISSLRAHSVT IRPTKPVSAYCLFTEIPVAPEDADGDGAEQGDPAVPREIAKVMCPEIYSRPNNEVYVC GSGDNVVPLPANTDAVEVSRQDCQNIIDAVASISDELGNGVVTSRRACYLPVMNVGGS GGPLIGETGVPGLLLAAGHSCWGIHNAPATGKLISEIIFDGEATSANIRSLDPRLVV VE01_07613 MARHALATIAVTVSIGTYLSLPILYLRQPPFSSKYPNLSGHAWT SILPFPGGDSLIPDISIKQLWIQGSWIKALERETLLEAVDIQEALLGPISSWATTLLR HEGTSGILGEPAKRSALESDAAVSFIHSPLLYWNGSAAIESTDSILAAINSPITRKSP ANITLTPASVLLEPVWSGDRLVAADALVVSLFYKAGSRAGEIWNERVAALTEAGEGRW DIYMDDDGGTGSKLLQYQSRLVSAQDEAIFFGAYGLVALLVFLGLRNLNSLRSKIGLL VAIAVKGCFSICCSFAVATYFHLDISNIPLEAYPFIALVGALDNM VE01_07614 METPQSLGDEATEHGPVALEEDVNIAFFSNTSRCSTSSRTRSTY TASSDYSQRFPSSATSVDLTTDLDGRDPATAWHPLPRDTTTATTSPSFFDWNNWEDWT QWGGDNDLLRSPTPLATDDGTSYPEFFPHSATATGEAITPIDRNSSDGGSPLGCTHVS PSESLLPPADFIPQWTEEYLNLDFLGGSQLRTVTDTCLNPQFARSSQTSPPHSSDLTE QSLFSTSRDGWAPSATSPEPSHNIPNNKRKPSVSDDEAGSTSAGRKRLPRAKAAHNII EKRYRANLNDKIDALCNRLPNLKAKYNKTHQGSGHNEDEYENVNNDHGSKGQKINKAV VLSEAADYIRELEERIKKHNDQMATMQIRLDVFKALAATKSPEIDTGRHQEPLQWRGI RGNAAHLQYQANTATTNQASPPSRDVRLAGRQNTSRGGYMSKFMVGSLAGLMVMQGLG ENEQAYDGPSARGLFSLPTEYIGQLGDIIWSLGPSNAVEFALLLRSFHTVFKVLLLFG AVIYIISPSFFDSKLQEKVKAVPYLAPKSALPLGSPVEVRRSAWLTAIQTVWVPQNSL LQQLAALLLKSLNLGIRKVVGWHGYAMLTGATEEQEVARIRAWEIAIDAQLTGGDAEI NLSRLALTILASETLPVTPYRLMLKALHIHVLLWELSNSKLRGRYLCRTFAMKFARYQ WVKARKLHNRISLSLPLNDTSRSDALPDYLANLLDLDIDTVMQHRVVQRAHNLAWDRP TDNKVSDCTDGMNTVINDTAIGSPLDALSAWCSCVKLHKALVNFLRSRTGLADSNADF QHDLDLALKISPTGSLVQGYSVVACAVLLNEHRGANIAAALQVLPPLSKSGTCVPTMA DAILSSSINSETHPELRLALQYAMAVALLKRAQYKKEAIALIRNIHIYDNDFGLLGFT AAYNWLDTAFRDNALENETRGALENVAGALKLWMSGTQGRNSGLGKNVKCEIAMLCVD VLSWLVGMRDTRC VE01_07615 MRNYMAAGWFYSPSQIKRYIISRPMSLRPPVTKLRNPISVLREL NSHQWIMFLVGFISWVWDAFDFFTVSLTITDIANDFGVSKADVSWGITVTLMLRSVGA LICGGLSDRYGRKWPMMISLGLFVVLELGSGFCQNVQQFIAIRAIYGIVMGGLVGPAA ATALEDLPYDARGVLSGLFLSAYAIGYLLAAVFCLALVPTTPNGWRSLFWFGAGPPIL IMAFRYWAPETNAFQIIKAERDSKHRHNTDLSGSASKYFDLHTYTREAKVALTQNWVL ITYMVILMSGLNATTHGSQDFYPTFLTSQLGMTTQEVTVITVVGQIGAAIGATCIGYI STFAGRRLTMMTAAVFGGAILPAYILPKTKSLAAGAFFEQFFVLGIWGPVAIHLMELS PPALRSLLVGLTYQLGNLASSASATIQATIGEKFPLPPSATESNRFDYGKVIGIFMGA VWAYDVIMLFIGPEMSQEERDDEAEAGLQLERLRKAGMSLSEVGAASGAWKVERELEL QRVKSVAAAKEMEGVKETDVAGESV VE01_07616 MASSTQNTSVGNANLENLYHIVLTTSHIQKDPNSVIEKIRIAGT YRTPEAAKAAAHSCLFDAGYEREWFSQYEVDPAALESYRIHQRMGLVVFAVASDGTTF RISISTTPNIGHLTTDNEDGRIATDLYYVVQTNVKYANGDEGQDRDVNIEGIFMRDDK ARAFAHGVLLSKEDGITKESFAEYDEAGDNEGDCGFGENAVIHAVGINGENYLISVIK GQTSESIKLAEAAVRIS VE01_07617 MEDPLTMFDNNFHISDSEMPYFSYPDACVDYQVTDFRNLSCLFD PQISYQTEPNVHLDNALTRVSHSNRSSISSISSNESPELHEDLPNFFSEHLLSSPATG QPFATLDAQIPHSGYSSMPLDSHLAITAPNSWASPPPTSPSTCPAIFTSGLEPFKHHT VMNHGQVTPGNSPKDLQPLLRESTKAAPMTRRQSRKGKEVAAVTTTTNNATAAVSVES EKNTKPLKDGRAKVKKPTKSQQPSKKTTLENVAAKREAFLKRNKEAASKCRMKMKTQR VEDAVRMTVLTEDNASKGLEVEKLRSEVYGLKKLLLSHYRECGEERLMEYWNGFRGVG AGEGVGAGRHGDGNVVGSVEGSFEDGGVNIYEEEGREEPRQRHRDDMEDISVCSFDSG VH VE01_07618 MGHLTPTTLRTIDISLSGDNHSAKTPRPVHTYTTYDDIRGHVAI SALTPTPFSRVEIFLLGTTRTAHTDHTVPVIAEPQVTHIFLRMAMPIPPSAYPPPSGS SNTYLLNPGCPLHIPFHFVVPARLLPHACRHEHSSASVPEAHTQLPPSLGSFLLPRDD LTFEVANISYSIYAKLLAPSPTSKPSKILSSAIRKFHVVPASEEAPPLLVLKESQYVL SKSKTMRKGMFRGKLGTLTLTTTQPRAFSLPPPRTCGPLVPFALTDLTLTLRFDPTDP SCAPPRLGALSAWIRATTVHSINPASRIPDQELQGSPYDSHSRAFKTYVALAAQDVPA AAAPLWRVVPAPKYARTDSGYSTAWSLSPTPSPNSSPTPLAASTGPLVSVSAASASGD VDEVDEKKPYYTTIALRLVLAPSRTWIPTFHSCFVSRFYTLVLELVVHPPGATMPFML SLEVPVQVIGQGREGMKEAFVAGGRAGSVGMGEGGDMRGLGGVWDRYVAGGGESVDAY GGCGGEEMGQGDELLPAYVAPDFTRRRRRGR VE01_07619 MSGSTSKVVDPLKVIIIGAGIGGLALAQMLISAPKIQVTLYERN FSIDDGVVGFRVMLSGSTLLMLKRKLSSEVWASLTLGIGVQPEGGEKIEFLKGNGDKL FTWDSDPIKDQFSVSRLQLREALLRQTKPFLKLGIAFENYELLPNGGARVHFSDGTTN ECDLLVGADGLHSMVKKQLIPYATIKGLGMNPLAHFATRYTNLTIEPEESYIMLGAGF PASSFHNRRCPFPSLTPAELKAELIERTSTPGIHPRFAELAQAACTNTAYVHTVCKSD VIHPWTSQTVTLLGDAVFNMSNTLSRGANCALLDAISLAECLTSPTYDRHSPTSISAY VNENIERRSNERLRSFLMQKVVFPGQNSLKGFVRNMTLPLALHRIDDLGHEKHGPGQH WVGDDGSQKEEYASPKWVEELQWEELFAKKQAEGKEGARLQREYD VE01_07620 MDLSSRLSINIPSIAADLEVLLDPDLCASLHNQIIEQVCLVQPS LRRLVRRNVLAIPHSEIHDRNYEPSHAITESELPDILGIALTDFLGKIDVFEFPEGDY HSHPMTPYSSPPILPNLWSFADHRLVKRGQDQSNLILLYSHAQSSTSGGLFLDTNEQV ANWLDLPGSFWPGNGIPLSEVLRRWLFMWEVGKFHLLETGEFGVRSWIDKEVDEAVEA WERLVVVIEARRTVFHRSGSDDDEFRPMIENGVLQRYQGDGFSRHFFAMAKKPPHGLK FVAPGITTWDSTSFQAVYSAAGDNRRRARQSHRLGRVIREEEEHTPMIIFPAANEIPA EVLERRAADVSGSQWMDDLIIVERKLGLYSTPGDGFGNTVTLIADVDNRACFQRCDRC PWLPNGGRKTTLKEMLEIWTVLIEMDVWKVDENGVVGGLEWFQRGTNKRQLEDGTEVD LNLIWGPEVEY VE01_07621 MAMRYVLEPNAARSSNTVEQDQTSVTSEMRHFENDTDHHSWKVA QAIVILDCAKILTTLYPKTGPAYTAVPRTQIGFVGALVLETAALPVAIAEVLMITVVL GTTILENVTRMRAELV VE01_07622 MKLDTTFRLTALAVAGLLSNCASVSDVFLQYRQDNVTREVYNKT LYKPLFEAPSNSTPRFLNISQKDVNPLRGAAGTLALPKRQESTVEDGTCAPGTPCSNG ACYSSSGFCGYSPDFCGANCISNCDAKAQCGKYAKTGKEKYPLNEKFCGLGCDESSGL CGPAPTPRRGGNKAGRKEIAYYESWANTRSCDVVSPEDFDVAGLTHLNFAFAFFDPTS FQMTAMDANADSLLARFTDLKVNHTSLETWIAIGGWSFNDETNFPNTRTAFSDMASSA GNRKKFINSLVHFMKAYGFDEADIDWEYPGAEDRGGKEEDTANFVDLVKEMKAAFGSR YGLSVTLPASYWYLQHFDVKGMEPHVDWFNIMSYDIHGVLDSSNRFSGPFARPHANLT EIDESLSLLWRAGLSAGNVVLGLGWYGRSFKLADPSCTTPGCRFSEGATAGDCTGAPG VLSNAEIDRIIEKYDLTPTYDDKAAVNWIVWNSDQWVSYDNARSFKRKIDYANNLGLG GTMIWAIDQGSSSGKTLDDYHGGVFLQKASVFNATPASMEDTKSLTLVRPVHVKSPTL VNTDLVLIRYVTINVGDLIKSWAVYTLCLIARLDPEPLAISVVMMGIDVVVSSTNSLS TVSARQVSCPKPCLEAVWEPCSSLLQRPPPITWEIGAIPPNDARATSNAIIPKYGWDS TSGFDPYFGTYVVGASTVVSDHGFRVHSQDPLFYQHNWEAQNYSPDPHGLPAAQRPTD VVSAHLARKRWGEEQAQHLHLDVDITITAGDLIPLPTINVGIDDSSSAQQDLMEETAR INNVLLHVISATGNLLGSGDLLQVHLRRHESRTLENTETQRDPTPPHQGHRDALLRRT NPLWNEGLSIPTFHGRPIFATSNVTEPLDSISLEEESAFENSNLLHHGFTTTSEDAYL EEYEGLQISDESHRSLMEEFPGLNYILKDKGVIEGYFEYGLTYLDANMPFVHVPTLHM LPLSSLLLLAICCLGGILSPISDAKQAARIFEKSILQQIQSAILTELEPSNDSLQVIT SDANAFHFTVMMPAYAVKSPLTADGEAGHSMKP VE01_07623 MRILLGDSQRSDNRIVQGDFEENPFSMCILIQGIASAVIELNQA MPSTSSNAVRLLKSADFKAALAQWWEHFSKMDDKIREEEMAISALIYYHFTYILLYVD VSRIAMAAGIPHAHDNADPLEQDGEAQANLNGERVYPHLLKILQLCLDERHKPSLRPL DRGYTEFLTVLIYSVYLTELEDQKTRQPDRNRDRTGEHLQPIAPLDLIAMKIDVHRTM RAVQDRLVCSSWELGQEASQVLDSILGGTSLM VE01_07624 MELGRSTFGIPTASLLCAVFSCIGAFLLGFDTGIFSTTIAHPSF KIYMFHNKLGNAVLTGTIASTYTAGTAIGGLSSGWMADRFGRKRCIVGSAAVVIVGTV IQTAAINIGMIIAGRVIAGLPIGVLLSIVPVYNAELALPKYRGMIVGLFAAMASFGVL CSNWVGYACFFATGNAQWRIPLGCQAPFAVILFVGGFFLPESPRWLIKKERDQEAYEI LMRVHGMLGEDYVSREFTQMHEQISIEGQGGFRECFKTPSARKRIALGIFITVFNNLG GTPVITAYQSQLFTQIGFPGLQTLFFSGFYGLAGFVGVLVNIGFVADRMGRRTSMWIG AVTLLIDLIILMPLSKLYTGSTNEAGKAAAVAFIFVHSFVYSVFMFGTVWVYLSEIFP TKLRAQGLAISTFWGQVISVILQQVGLQIYNDIGYLFYIVFIVCTAAAGFVYYFFLPE TKGVTLEDISAFFGDEVVATLHEPKARIERVLNRAAGCDDESSGAQQEDTPATPKERA VEFEDVSRV VE01_07625 MAGYTNQTLRSGWEFKQVGATEVPEWTCGFLPVAQFPTNVHLDL MAHDKIPDPFIGLNEYKVQWVGEQQWLYRTTFECPSVSGRKTILQFDGLDTFAIASLN GTKILEAENMHRIFRADITGTVEEGVNVLEILFDSAIIRGKQLMKETGFKPVGFTSST DKSRLLVRKAQYHYAWNWGPELTTCGPWRPIHLEQYSARISDLHAKVEISVADKTSSI SISAEVEGGKEGDIIKYALTGPDGASISTSSVKVTENKATDRFEVHDAKFWWPVGYGE QPLYTIDAHLIREGINIHQRSRKLGLRTVSLVQRPIVDQPGTSFFFEVNDVPIFSSGS NWCPADHFVPRVTPERYRTLLQMVVDGNQNMLRVWGGAIYEDDVFYDICDELGILVWN DFMFACGTYPADEDFRGRITEEVEDNIKRLRHHPSIVAWSGNNEDYLFAELFHTSYDI NDPDPDNWLKTTFPARYIYEKMLPEICKKLIPDTPYHPGSPWGGKYFNDPTIGDTHMW EVWHHVSRPWQEYPKLGSRFISEFGISSLPHLDTIESFLIDSPPSERHPNSRTIDHHN KELQHERKMATYLVENFKYGFGLEEYIYITQLNQAEAMTCALRAWRREWKGTGKEYCG GSLIWQFNPTWQVTSKALVDYFNRPKMSYFTVKRDIAPISLGMERKEIKTPRSEFTRA FIDTETRILGWATNVTLKPVSYLLTIKAFELSTGKELFSQTKVQELGANITTELFDIE LPRPCIVDEPVIMSTCLQTEQGTTIARCTNWPQPYRYINMPKPKLVIGIDGDRISARA NVPVKGLAFYVKDVDAVSFDDNMLDLVPGDVQEIVARGLNGKAVTWRYYGME VE01_07626 MGPLIENCPFDVINEIINHLEPDAIRSLRLSCKTLAIKSSSSYG VKALFRSKHIELTEQALRACAVATQAEGLCCHVKEVTLSGIAGGDDDAPRRTRQGGVQ LWRKNKEFLLSQSFIALKRNGPTGRLASLSLKVAIPPHLKARIEPANPRGEYDWRPLS EAAVDAFHITFRALATSQLRIDSLNMFNGPGQQRRSLPCNALSKVDWDSEGLVESLSS MRSLSISLSNRVYKLDEDEKGHVRTDNGTTRGSRNHYDENDVSIAQEERNFTGLASLF QLSKNLESFELHYFSHRGELQGLLYSRDWCHERLLQRLVALNSLPNLTRSTLRGIYAT ETDLLAFIKRTRVSELSLESVTLSLGTFRSIFDYCTSSATSITKLNFDVLYEMGERPS HMVLFLGAGPSRLVYGETGLGVH VE01_07627 MSLASRVANLFSGSPAVERERSKLNFGDDGLSDEELGFAHRQSR LHAAESDAMAQKDVKEEGRPPYLHAMIAGGIGGTTGDLLMHSLDTVKTRQQGDPHMPP KYTSMASAYSTIWRQEGIRRGLYGGVLPALLGSFPGTLIFFGTYEYSKRYLIDAGVRP QVAYLTGGFIADFAASFVYVPSEVLKTRLQLQGRYKNPHFNSGYNYRGTADAARTIIR QEGFSALYYGYKATIFRDLPFSALQFAFYEQCQTWSRQWKGSRDIGLPLELATGAAAG GLAGVITCPLDVVKTRIQTQVNSPSSPLKHSTPVTKHVPASAATATQATINQQKRSIS TSSPSTYTPRPGSINLDTSSVLTGLKLIYKTEGLAGWFRGVGPRFLWTSVQSGCMLFL YQTILKQIEVWSPPEEE VE01_07628 MALGRLRPLFNRFRARGPFVKQTSFILFNFITWIPAVIWFNSNV GDIVWIQGGSMYPYLNTDINRTTKKDACWNSKWEPLEGLRRGMIVSFWSPAHPEVEAV KRVIGLEGDVVFTRKPFPNPHATVPTGHIWVEGDGGHNGKESLDSNTYGPIPMNLVTG RVTYALWPWRTFGPINWWEWKPKTRVIKAKR VE01_07629 MSLTNCRFYEEKFPEIDSFVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIVKCEERY NKSKMVHSIMRHVAEKTKTPIEDLYERIGWPLNKKYGHAVDAFKLSITNPDVWADVSF PNDAVADELKSYIGKRLTPQPTKVRADVEVTCFGYEGIDAVKNALRTAEARNTADTQV KVKLVSPPLYVLTSTCLEKHLGIATLEAAIIDIKKNIEANGGSCTVKMEPKAVTENDD AELQALMEKRARENEEVSGDESMSESDENAIEGTVR VE01_07630 MSTLEALSASTTSTGIASSIPRLAATAGSKLSADMSSPPPSSWL GLLVRLIISIVKVIPVILYWVITFTTLTLPSWLFTLFSTSLTFTLNATTVMLIMLALL STLSWFVRYRYLNMYARLPPEPQRKEPKVDLFPDTQEEGTKSGLSSYLDEFLSAIKVF GYLERPVFHELTRSMQTRKLIAGETLNLEEEQGFCLVVDGLVEIFVKSPTKDNNADSD ASHNGADVFYSDSDDERHEGGGHQGYQLLTEVRNGAPMSSLFSILSLFTEDIKLRHTE DDEMDANHPAGRGGHHRSASDIDHNRGSPPSLAGSPPGNRAQQSRAETLRREFQDSVQ SDPSGLPNIPPMSLDPPETPRPERPKPRRAKSNSVHPDIIARARVDTTIAIIPASAFR RLTRVYPKATSHIVQVILTRLQRVTLATGHSYLGLTAEVLRTERHMNKYTTYELPNFL RGSALARLKEKFIRERERIGPEDSRKGIALHNASAGRRRRSSTSLRKEATMHALGAKT VATPRTQAPNVDEVASPSPGDLLTNIHISRTGGRRHYHVSGSFEQSTPNAQGSDVLSP LTEKTFKPFASPVVQPQLHRQESIDEDGIFRGSILECIFKAIGLTTTQSALRMADSVE ASPYLHSMDQRRTKPVFNNAFGFIDPYEGSGDGDTESVTSSTTSNFVTVSSQGLATEL RDEVEIVYFPKGSVLVEQGERNPGLYYVIDGFLDVGIPNDDADDTEFLGRTTKSSSFT SQKSSQFRGSSRGSKPNSRAKRADSRKRKSSGRTTLSLIKPGGIAGYIGTISSYRSFI DVTAKTDVYVGFLPRSSLERIVEKYPVVLLTMAKRLTSLLPKLILHIDFALEWVQVNA GQVIYHQGDESDAIYIVLNGRLRAVLNNEDDDSEMKVVGEYGQGESVGELEVMTETCR SATLHAIRDTELAKFPKTLFNSLAKEHAGITIKISKIIASRMRALIEDPVFLSGNEKA TAATNVKVSSTGNLRTVAIVPVTGGVPVVEFGSRLMNALTQIGAPNGVTSLNQAAILN HLGRHAFSRMGKLKLSQYLADLEEKYGLVLYIADTNVNSPWTQTCISQADCILLVGIA DWSPAIGEYERFMLGMKTTARKELVLLHADKFLASGLTRSWLRNRDWINGGHHHVQMA FSTDTVPVHPQTRRFGSALKHRVQIIQAEIQKYTSRKVRQTPLYSADTPFKGDFHRVA RRLCGKSVGLVLGGGGARGIAHVGIIRALEEAGIPIDIIGGTSIGSFIGALYARDADV VPMYGRAKKFAGRMASMWRFALDLTYPSASYTTGHEFNRGIFKTFGNSQIEDFWLEFY CNTTNISKSRSEIHTSGYAWRYVRASMSLAGLLPPLCDEGSLLLDGGYVDNLTVSHMK SLGADVIFAVDVGALDDDTPQNFGDSLSGFWAIANRWNPFSAYSNPPTLSEIQARLAY VSSVDALERAKATPGCLYMRPPIDAYGTLEFQKFDEIYEVGYKFGQEYLADMREKGIL PLMDETEEKKALRRTMAPRRASI VE01_07631 MSGKAVEKAVYLGTFAHSKSLKELEIAHDAAIFVDESGKIVAVE RGVADEAAAKSFFPKLNWTESQEKPIQIIKSKEEQFFFPGFIDTHIHASQYPNAGIFG KSTLLDWLNTYTFPLESSLSSLPTAHRVYARVIARTLSHGTTTAAYYATISVPATNLL ADLCLAAGQRALIGRCCMDSLAPDYYRDAGPEESIAHTRATIAHIASIDPTHAIVKPI ITPRFAPSCSSQLLTGLGKLQKETGLPVQTHISENKREIDLVAELFPQSKSYAEVYDS HGLLGPKTVLAHAVHITDEERALIKERGAGISHCPVSNAALSSGMAAVRTWLDDGINV GLGTDVSGGYSPSVLEAVRQALMCSRNLAMGEGGEEAERRKLSVEEALYLGTLGGAKV VGMEGRVGAFEVGMEWDAQLVGMEEVGLEGGFEGADGGAVDIFGWESWENKLAKWVFN GDDRNTLAVWVRGRLVHERRS VE01_07632 MLHLVPCAPTTATPLSPSLLPLAHSLPFVSRQVYAETSTRLWGA NTLVLPDYGAAREVLAYFGRAKLGVRRVEMRIGNVWDLLTMQLMRMMEAVDELWRLVQ EGALRRLRFVYVDGGACQRTALQMWRGVLGTSSRDRDWGQCERELVWEDKKMKTDRLR EVLARHWGLEGGIGGWDDTVTWGDWEPVTR VE01_07633 MAKIHREGVTLLSESEGASEDQHGVNVIFIHGLRGHPRGTWSHI RSTSTTGRIEDTDTRTDNHKNIKTFFRLKKSKRETDDKRQTSFSPLSDIFWPEEYLAS DLPQARIWTYGYNADVIGGLFQANNQNSVSQHGRDFAVKLEREIDNEDPIVFVAHSLG GIITKDALHRSETICKRTRLLVFLGTPHRGSTYAGWGVIASNLASLALQDSNKRLVQT LEVNDEVLDNIHEEFKTILTKYAIKVHSFQEAKGISGMRGLDSKVVDNFSSKLDLARE QETVETIDANHMEMARCSSRDDASYRQICGVLKQFIRTGLSSRETNLADNNDISFHPA PSKPFIVPFSQDEHFVGREDILDQLDLGGQQEAPKKHRRQALVGLGGVGKSQIAIEYA YRARKHRPQISVFWIHASTKTRFEQAYQEIAERLELPGRDDPKANVLRLVYNWLSDEA NGQWHMVLDNVDDGSVFFENNDVVRGVSPHDQATNLQPPLETFLPQSRNGSILITSRN STAANNLVDTFGKFIPVEPMEEKDSVELLKTKIPADKISKADLKELAQALEGIPLAIT HAAAYIRSRPRVTISIYLSLFRESEANQTSLLNNDETKDLRRDHSIRHAVITTWQISF DQIQRTNAEAADLLSLMCMFDRQSIPERLLHNNTDQLLFEDSVAPLVNFSLIREHSEG GAFEMHRLVQLSTRKWVELNGQLQKWRSEAIKITERLFPNGDYKTWSHCQILLPHVRT VMSSKATDQQDLLRVAAINTRLGWYYIQKGEAIRAEPILQEAIVVRERELGVNHLDTL TSVSDLAILLRRQGRYEEAESMIQRALEGRERELGVNHLDTLTSVSNLALVLRSQGKY KEAELMNRRALEGRERELGVNHPDTLTSVSNLALVLDRQGRYEEAELMNRRALEGRER ELGVNHPHTLTSVNNLALVLDSQGRYEEAELMNRRALEGYERELRVNHPDTLTSVSNL ASVLQSQGRYEEAELMNRRALEGRERELGVNHPDTLTSVSNLALLLDSQGRYEEAELM NRRALEGYERELRVNHPDTLTSVSNLALVLDSQGRYEEAELMNRRALEGRERELGVNH PDTLTSVYNLAFLLASLQRYEPAAELYQRACNGYEIALGRDHPTTLACRRHYSCLVEE HSGS VE01_07634 MQRQGNGDAAAPNGRDRLGIDASPRVAEDTPLLAKADDGDSTTA ASSVPWDWESDFEGVPWYRKPSIWWLLPAYAIYALAFGGIIVPKLNLVLTLVCRRYIA AQSTLHPDAPYTPVIMFANNPQCRIPEVQALATEFTLYMSLLTGILSAAICPKIGTLS DRYGRKYFLAFAVVGGLCAEIVTILAATYPDTVHYQWILVGSVLDGLCGSFTSAMAMT HSYGADVTSPATRGVAFGYFSGALFGGIALGPLLAAFISQVTGNILSVFWIAFFCHLI VLLYHVFILPESLSPKRQVAARARHKLEISSAAASPTSRAARAANFLAPLKILYPTGA GTSPHLRMNLILLAAINTLLFGASIGTGSVLVYYTNFIFNWGDFETQMFVGLTSAFRV VVLVAILPLVTYLFRTRYQNHARRRAAEADPDASTTVAADDTRTPGADTLDLVLIRGA LVLETACHAGFASARQGGVFFAFGVLGASGSIALPLLQSALSKHVGADRVGQLLGAMA LLNGLARVVFPAVFGGLYSLTVGGFPQAVFVVLSVTFGGMGVLSWFVRPHVYIEDEEP NRTTASEGYTDALAEELVDEEVVGR VE01_07635 MALRTATRQLSRHASPATLSLTAARRQTRGLATPASTPPTTPSK GPTAIVFMNMGGPSTVPEVGDFLSRLFADADLIPLGRFQKYLGPLIAQRRTPKIEKQY AAIGGGSPIRKWSELQAAEMCKILDQTSPETAPHKPYVAFRYAAPLTETMYAQLLADG FGGGKGGRAVAFTQYPQYSCSTTGSSLNDLWKWREKLEGTGRGGEVKANGEDGSIQWS VIDRWPAHKGLVEAFAQNIEATLATYSEADRKDVTILFSAHSLPMTVVNRGDPYPAEV AATVYAVMERLKFSHPYRLVWQSQVGPQPWLGAQTSDTVTNYVANGKKNLVLVPIAFT SDHIETLYELDEEVIGESGCKDSIRRVESLNGNPVFIQALADIAKAHLEGGVQCSKQM ALRCPGCTNAKCEASKDFFASGPGGAVEA VE01_07636 MADSKEDWKRKDEGDEEEDEEVDESDYKSQKDAILFAIEVSPSM LTPPPASHSKKADTDSPAAAALKCAYQIMQQRIISNPKDMIGILLFGTAKSKGPEDNA TSGIAYPHIYLLTDLDVPAASDVKALKELVEDEDAAKEVLVPAPEGAEVTMSNVLFCA NQIFTTRAPSFGSRRLFVITDRDDPHESDKGMRSAAAVRAKDLYDLGVVIELFPIERG GQVFDRSKFYDDVIYRDPADTDTPSTTASTTASTTNAPAGGDGISLLNSLISNINSKQ TPKRALFSNLPFEIGPGLTISVKGYNILQRQKPARSCYVWLSGETAQIATGETTHLAA DTTRTVQKVDVKKAYKFGGEQVLFTKDEQKELKNFGPPGLRIVGFKPQALLPSYASVN KSTFLYPSEEDYVGSTRVFAALWQKLLDSSTMGVAWYIPRTNANPQYIALLPSRERLD PATSQQIVPAGLWAYPLPCADDLRLPAPGPAPIVSPDALTDKMHVVVQQLQLPGAVFE PSRYPNPALQWHYRILQAIALEEEVPELAAGDDKTLPRARQIDKRAGPYVVDWGRALE EEWLDVVEGMGEKLEVGMKRGGDVKEGKVKRVKESGLDVVERLAKEGKVGSATVLMLK DWLAARGLNVGGKKGELVERVEEAFESK VE01_07637 MSDSTQGAAQANRNISIIALLTAIGTGIAASGVQILIFTLIKNR LVRIYQPKTYLVPERQRTAPPPRSPFGWLVSIFTYKDKEIINKCGLDAYFFLRYLQTQ LIIFVPLACLLLPILLPLNYIGGRSTAKADPLDGNGADPDVPGGLDKLAWGNISPKQT HRYWAHLVLAIVVVIWVCYVFFAELRVYIRVRQDYLTSAEHRLRASATTVLVTAIPDK WLTIEALSGLYDVFPGGIRNIWINRNYDALLEKVRRREGIVAMLEAAETSLIRKCNKA HLKQVEKDEKAMQRKTGHQDTKEERARRAKNAEETAAQIARGEGVTSGDINQVVHSVE DAIEEGNRRKSRVVEKQTAMGGLAAMGKQFGRGLGLVGKAGETLVEGTKNVGGTIVGG AKTAGKGFEGQIDSTNGFVRIDRDPLSPDMRQERSRSRNNSNSSQTHFADAEPVETQI NMPPRDVSFGQSPDTPRGFGEHRGVKGLELDNIPYRFGGGDGSNDSDEMKNSWWKFWK GPSGGFASPMPHGFERDEYIQKKNFGQMITSGGQQIIAPVKTLFTNNEGPQHEYPPHI DPDYVEDEESALWAKYIKKKDRNTHRLPRFGIGILPYIFPWVNTKVDTIYWCREELAR LNVEIEYDQDHSEDYPKMNSAFIQFNHQIAAHMAVQAVSHHIPKHMAPRMVEVSPTDV IWDNMSIKWWEAWLRTFFIFAAVAGMCILWAIPVSATALLGNIPELIRQYHWLSFLRG AETALKAVAGILPAVVLALLMILPPLVFYNFATLQGNQTGKMRELSVQNYYFFFLFVQ VFLVVSIASGTFATLASIADVTSIPGLLAQNLPKASNYFFSYMIIQALSTSAGSLLQV GTLIMWILMPKLFDNTARQKWKRNTTLSTVHWGTYFPTYTNFACIAIIYSTVAPLIMV FAIITFTVLWLANRYCMLYVFRHTEDTGGLLYPRAINQNFIGLYVMELCLIGLFFLVR DDKNNAACFPQAIIMIVVMALTALFQFLLNQSFGPLYEHLAITLEDEAVLRDEAFERA QAARLMESDHDDSDSDHHDDGAARNPRVPDTPVSHDDDIELRKLRTSQSAKSGNGNGG TFNPLNPLRTGATWATRGARTLGAATFGELDTSHARRRRRKDVEAQQLMGEALFGGYN DEIEDLTPDERDALVRVAFQHEALRAKRPNVWVPRDGLGVSDEEVRRTERVGMGNVWI TNRGTALDGKGRVMYGRNPPDFEEVSLIML VE01_07638 MPVTRSEKRQRLSSEQSGPPSNNIAQPPEPELNNSTTRPKKRSK KFSDADVSPTPTNNNTAAPGPKSDASFTLPQEEPRRSLRLFVTSSNKSDVADTPDLEP GKSMSRSEKRAEQRRLKKLQSQSEASSSNNISVASALKSDLISDTPQEEPKRRNLRPR LASSNNSTEAPGPMLDMRSYNPQGTGSKKTRWSSDESSANRIAIPDLQAEMSFVQQAE VGVRKHLSDPNTSSRKGNKRAASPAPDMRSTSPQKDSSRSQKKLRLLKTATCNDVQAP ESSGVNAPSRLKLRLKKPAPGPTLSESNFASSSEGNSTPFSESLAASTPLSQGTSAPV SKEPSSSPLSEIKSAPSPGENTPSSSDYKDSPLSKSNSASFSEDNAVPLPKVNSASSS GSNSAPSSGPRSKKRTALHLEPSAGSISSSTDSNLKNLTPKKRGFEDMDTTDGLSPEK QGQYHAEREKEPQRVAEQQKILATMEDFKKGFQDLLNHIRLPRKNSHMKKNPNEHMFA LKLSPQLCLMAPSPRHVGIYVKDACFESTSEAMITWVTGLLMDVVKRDGLAWGEVAIE LTEGGSLGKVSNWGFNQACPHKLDTCFVNTNVEILFCRKTYSAIITYHHQWKCAGQYE VPSGNMTDVYQAPRPSRADHTTTGGGRSIFSGAGESAYGPSCHTPLAGAQFAQAAAPE FPPGADMRTVSGLHPAPSLAGYLPANPYIPVTRTPAPPSPQAVYGDYGNAGGFPIDPA LIDPAFLAPTLNQPTFDVFPAIATPPYQPSSDPGLPPYFWAPVAAPAPAYVSPWAPAP APVPAYLSPWISPAPSPVQQQFTYPDPDIATEDYVADWVAASLNLPLEPGRGVVYAAP PVPVPVAAPPPPAASGPKKRARKSRAKVRVAAAVPAPAAPATAEEMMEMMTSAPLPAP VPTGPSPSETLAALQSAATSSPGVPVPAAYRHVWFLNGRGRVSKTRDNNPRCRRCRRR HWASCSRGQPCDECAKDNVQCVYGPGVGVEEDEEKGR VE01_07639 MSPPPRPTPTPSQQQQQPPALAPTPFAFTPAKSATPEPMKDPSP YDYSHVTDERIAAWAVSGRQEIVAAGAQSLDDLDILDLSTIFQELIRSGIDGRLSPAD AGSCVRDIVQPDTALENQQDDKVDGASLFLDSLSIVTDNEPYQPRLRKLVAATEISTV LMRQMLDTQLLQNLELTRDTFFKAGIRQVTNLLYRQANHNLLREETEGYSKLVTELFT TSGGEPPTSEVVEETFERIKGLIGTFDLDAGRVLDVTMDVFAAVLIKHYRFFIKLLRV SSWWPRDNILPGVDIENADLPKWALPGNSGVISTDSEKKDDGLDKDARDEAFWVRARE IGIDAFYELGGRRPVDSKTKERIAASKSNADDQSNSDRQWIEATGTLPPLGNQVAAQL LGFKLRFYTSPAREMDDILPANLMYLTALLIKTGFISLRDLYPHLYPLDEDMEALRTK IMKENAEKEKLNRPGGGANALMMAGALADDTLPGGGRMRDIPGSKADAGAGAPVEAEE DKDKLPEPVEQKVLLLVNLLTIGAIPEALFLLGRFPWIPEAYPEVLDRLHRILHHSVE KVFQSTKPSNADEVVLPMKKLSDQDQSRVPKGDVRRVESTPRKSLRWPFPAKFDVGDG VAYKFYWDEWADSIPVCQTVDDIFTLCGTLLNYSGVHIGRDCALLSKLASIGNQSLSK DTSEANLARWQDLLKRLLVPALSHTAANTNVVNEIYDMLRYYPAPLRYNIYAEWFEGQ TSRLPAMTTVFTRARLETLSTMKRISKTNLTAMARALAKTAYANPGIVFKVALDQIES YSNLTEVVVECARYFTDLGYDVLIWSLMSSLGGKTRSRTRDDSALLTSRWLIALSNFS GKVFKRYSIMNPAPILQYVNDQLYRGNSTDLIILQEFIAQMSGVVPDTDFTDSQLLAM TGGEVLRRQTLISLQDRRFESVKTAKRLMKSLTETRLAGQLLISIAQHRQAAIFSVPE DEAHIKYLSTMVDDAQRILTQFNDLLRSNLTVEEFDNLVPDTCELMVDFGLDPALAFA IGRPSFAYKMSGIGPAELPKEITETNGVPAVIDIDGDVGMDGDAADDDVDMIKTPEAG TTDNAVEPATTAEDPWLEVLAPLIESVRVALPDKPWGLLNPEFYVTFWQLSLSDIQVP MDSYTIENARLTKEMAEIMKDRSDMTRPGMARKEEARKAVEVIKEELLNESKEQMSQF GRNRARLNKEKGKWFSNVNKRYDALNDTLLEECFLPRLLLSPSDADYAFKMVKFLHNS STPHFRTLGLLARLFRGNRLRSIIFSCTIREAECFGRFLKLILGDLARWHASKAVYEK EAWGPNQDLPGFAKSIHETTSKPVALLEYEDFRRIFFGWHKNLNTALKSCLGGSEWMH IRNSITVLKSVVEHFPAVDFMGKAFQNALITLAKREEGKREDLSLLANASMPELKKRE KKWVMPQAFASNLGELANGQAKAAKGGSPQIEGKSTLKPTAPEFNPSPKISNEKPERK RKAGEVEDGEVDDARAAKAAATGVTPTNAIASEANATPIPARGGLPKVPAKNERQTGP PPRPDFTRAPANQDRPPSRPPSNLPTRPEVPIPHVHPERNLPARGAGRRDAHQPRAEY PADSSRWPRDGPGHDRRSLDARGLERPSDRPPFDRDGHRDRVDPLRRGAEFFPGDRDR NRPPLDIRGSDLNGRPSREDVIPPSRSAPPQADQSSALADPAVNPARAALISAGSDTA RSGSPRGFRDDRSHPRAQSPRRGDRHGGPDRDVDVRRGDRIPGDRDANQGPSFPPRDV DRPAERSRDAFQPTAAPKRPVDLDHGRLNAAGRPGESSFGRLNPSSPPLQDVPSGPRT SYGRGGSNRQASGNMSRSDTRQQGPPRPPSPDNTPPSGPAGDRSQSGAVKPSAVPVHP ERMRHLGQDTQAKSTQAAPAPPANVVPEGVHPSRMRGFQDTPTFPAATQSPQQTGNVR QEPSQLSASTPSGPRGQQAGPATPTSASSNVPTGPSFPPVDRARGPMRQLAGINSTLQ QAGQTERPKTFDRPNDRGINVRGRGNVSSSSAMPGFPGSGPSTPSGPRPDTRDGGPGR GMGAPEPSPGDQFGGRFSQSMGEDRPDARSGSTRDSGRHERSGRSSHRTSRSHSRDRS HKENIPGPDPRAEMMGDRPDFRGSGRRDGERESSRRASGRSGPSTPGEGRERESRRDG RDGDKRGDGGAAMRGDQGFNRGPGYQSQPQGGNMPPSSRGEGGRSRGSRSSRGGADER RESRSGRDDGSGSIGKRRGEDRGGQDRGRDKRARQA VE01_07640 MTDAPQANGLTTPTATGYPAPKFGQLQKNPQNPPRPSLVEPSRE AVTEYVQERTQTQPENPFAALIPDQHIAIIPSFTLESGVTLHNAPLAYSTRGTLSPDG DNAMVICHALTGSADVSDWWGPLLGPGRAFDISRFFVICMNSLGSPYGSASPVTNKDG NPANERYGPEFPLTTIRDDVNFHKLLLDDLGIKQVAAVIGGSMGGMLVLEWAYFGSNY VRCIVPIATSSRHSAWGISWGEAQRQSIYADPKYDDGYYPFADPPTAGLGAARMSALL TYRSRNSFESRFGRNTPDPSRQQTINDSQTAPATSEGHWAIHNDGHKTTRPPSSRSRS HSALTTPAESPKQPEPQHTDPQFHGASSTTTPSPPPARQSTSRQSTSYYYSAQSYLRY QGAKFVARFDPNCYIAITRKLDTHDVSRSRSPSITAALAQITQPCLVLGIESDGLFTF AEQEELASHIPNARLRRIDSPEGHDAFLLQFEQVNEHILGFLNEALPDIMGREGSVAV GEVGGVTRSSTFGEAEVEDITAW VE01_07641 MSTTTFQRVHRTPKVKQACDCCHARKIKCDGTCPCSHCAVAELQ CTYLTVPKKKGPKGPSKRTPRAILKMQMEQQRNGIPPAVSPTQHIPPRSSSSISSPPS SGRTSNEYGVSFEPSPLLTTALVEQFAKSFFKHKYPLTPILHKGDFYAHTLPHFRTCP SKYALVASLCAAARTQVNHDQQADPSLSLGATPLTTAYFINEVRRARAMHDYVETPTL EDAQASFFIFAGLFDCDKHNSAWFHLREAITLMEALRLHEEATYVGMPAREALFSRRT FWLLFITERAYALQRHRPLSLNATIELPTATTVDDDPDAEIISGFLDLLALFRNFNSD FVSTWNSSSHSPLFSSSSASISAAQLAELQDTLAQSAPPEGSQRTEIQKADLSITRLW LSTMTWQLCVAKGLLSSSPTTPPSLSFRYPITLSASLAAVAAPLTPTAFDANGPGILE KIFDIGCSLADVLLLHPPRAEAMQCGPRDSMREMMRVMDRAMGGRSRYRALLGEKAGE AMGGDSPSAGLDAGEGVGVQEGLRRGSGEVKGEEGEEGEEVDMKDFEALRGASVAWVG ALGEAYGGEEGRVYSR VE01_07642 MGSEMDSETVSFNTYRPSYHFIAPHSWMNDPCGAAYIPEIKEYI LCYQWHPGTVEPGNSAWGMARSKDLITWVDCFPPLRNGPPGSYDPHGVFSGSLVSRVV NNRRVVYLFYTSISALPIHWSKPYIAGCESQSLACSTDFGHSWHRYQENPVLSEPRHG ASTTGWRDPFVSTWPSLSALRDVHPSTNYMLVSSGERGNGPELILYESDDLLSWTELC VLFDAPSETPISHHASSLHIGKNFECGSFFTLNGKEYIITAVEENSSRYVLWMCGALS LTPNGKPTFTPLSHGAVDHGIYYGPHVFRGAKNEVMLSGWADEDLDASPALKITQGWS GCLTLPRELFTLTRPLVPADPEAVDAHLWTRDEELGIMTTLGVRPARQLRGLRSGSMI YSLEALRHLRSKAYEIEARFRGLAGNELLTFNVRQSPNDEEVTRIIFSLATHTVSVDR SKSSLLHGRLTPDSGPFKLQDGEDLHVRVFVDNSIVEVYANGRFALSTRVYPSRTDAL GASCAFEGLDTQSAGVWMQVWEGLVGAWPERGREVVGLDARIGEMEIVDEEYEEYEDG WSEGEEDAEFEVYGAFDRGKEMGGVGVGVGVGVEARAVVA VE01_07643 MSRGGRGGGRGGMLKGVSWEYDPTQTLDSAPTELFPDYTIPFAS PATPTEQRAVRLYKDLREQIHHGPLYTKAPPRDPNTAPKVFGEEQENELFEMRGRTDT DPFVGVPTYTMKYDRKERAIPMLSGRPFIKAFFPAELHATLEGSDGLAARGTISRRKK LILAREPGLAGATEEERKRALLAKLDGAAEEEGEEEEGEEEGEEEDYNYEDDEEEMGG DYDAEQYFDDGGEGGDDDEGGGGGDDY VE01_07644 MENAPIDGLEALEKHLKVLVEKPDTPFDAKLFDDVELQLTPTNT PPLLNRLLPDLTATLTLTPHDPTPVASLASKLLAPLNFTTLLTIAPPPSLTLALSSSP PSGLLALAILEKAASSPSNVALLATHPDLIAQLIRTWLASPAVGVGECATQLLAALLA TDCPEPPLRRDDGVITFPPPSKAGQGQGLLWRRIFGVKDIYASIFAMCSAATPENDAD YLPERQRSLAQGRLLRLLPSLAVLDLSTLSRAHFPDAERSYGTSGKGLLHFAALEMVD REDVLMHVTLLEFFGELVREVSGVVLGREEEAWLRGLVEEAGVSDQMVGGVLEAIVGE EGVTGELVELLRRLGIRGAGET VE01_07645 MKFTTLTNALLLAGTVAAAPGTAIRRARAARQSKPLNPVDGLTA LGGPNNSHVQYSGNWAGAVLVGTGYTSVTGTFTVPTPTTDGSGSAWVGIDGDTCGTAI LQTGIDWTKSGSSITYDAWYEWYPDYAYDFSGISLAAGDVVTVTVTATSKTGGTAVIK NTSTGKTVTHSFSNEASTGSLCETNAEWIVEDFDSGGTQVSFANFGTVSFTGASAVQS GTKVGVTGASIIDIKQGTTVLTDCSLSGSSGVTCKYV VE01_07646 MSGTKVVGETSPRSFLFYIVADIGPSESGYSHRPLAVAYRQGHG LSPGRYDTRAELRVHHVLEDCARLASILSDPTNRAALEAEMALARSWYQGRLQRPLPH PPVVPDEMQPAFSNEKWKDTRTGMPDRPELEWSGGIREFPFTSTCLRLALNADRRYFT RLGDVQEQSLDTVFHLNNVEYGAIVFDVTDLDNVGYGILSSRVIYSFEGYDFTEDFEQ DMYGIMDGWRPTNMPAIELEKSRARPLSGTGYMRQYGMHNVTSAIERLRRHSVIKGAV INYVCPPSNSVAEPRGPARQSVTPDEEISRLIQAARDTELVIEDFGDTIGQPEFQSRL LARLQRDPGALDGPQSVTQLLGLAFSGQSHLNLVALKCLSYDTIAATLSSTRLGEVEA LSICLDGISDGDSPEPLINALDLHESIRTICFLEGPLRTDEVKSTRLFAQLRESPHGR SLLSSRRVILTGAFSAPFQRKQWLPDPAGNTTLLKDFPVQKLFVRQQYLDLSDERSPP RFRPCHFSLADALLRPRFLVDGFLRYCQSIITDRFLFSLAATPSNLVADEGQFPGLTI DPIPAENCAIPESARASEVNEEGQRVDLWPALRPLEPGSWIVLVSREWHTTRPQRRKI VALGSLDGMDPGLPLVRYAFLRVKERIELPDEPEEAVDALTAPEYLEVVGGVKEFLRQ TAPFFDEGTVDARLDELKSAMKEQWPGRRGPGKPFLSVFDEQTARSVMGDFLRDAMRV RENLKIAMFEMPEEERWYPELLAGLPKSRPRKRRRVDPSARSSAGSSARGYSVFRTLY DPEMQETVVLGTDTPALPPLVSPYYVSDESDL VE01_07647 MRFTQVFAAFMVTLAGVDALTTPQPASSVKVNPLPAPSSITWGT SGPKRVAGGLVVRTIYNQAVSDAWNRAFKAITQLKWVPATWEAPIPEFEKFPGTKRTT PSPLIIEVSITIDDYEADLQHGVDESYTLDISEKSQSIDITAKTIWGAFHAFTTLQQL IISDGNGGLLVEQPVSIKDKPLYPYRGIMVDTGRNFASLGKIREQIDGMSLSKLNVLH WHLDDNQSWPVKMNVYPEMIKGAYSAREVYTHGDIQGIVAYARARGVRVIPEIDMPGH SSAGWKEVDPDIIACENSWWSNDVWPLHTAVEPNPGQLELMNPKTYEVVEKVYNELSP LFPEHFFHVGGDELHPNCYNFSKFSQDWLAEDSSRTQNDMLQHWMNMTLPIFTKPKNS RLIMWEDILLANFHATKIPKDVIMQTWNLGLTNIKKLTGLGHDVIVSSADWFYLDCGH GGWVGNDARYNENVNPNPDVPNFNFGGIGGSWCAPYKSWQRIYDYDFTEGLTAEEAKR VIGVTAPLWSEQVDDTVISSKMWPRAAALAELSWSGNKNAAGKKRTTELTARILNFRE YLVANGVQAAPLQPKYCLQHPHHCDLAYDQTIMH VE01_07648 MLYKSIITLSFLLGNGAFALVHPGMLHTDADFMRMKAKVDADAA PWITGWNTLTANSHSSNTYAPSPQAIVYRGYDGTHPENYSKLYNDVHAAYALGLRWKI SGDDSFADAAVKILNGWSSTLTAIRGTSDAALAAGIYGYQFANAAEIIRSYSGWAAAD QTRFKTMLHDVFFSINHDFLVRHNDAELDHYWANWDLCNMASMLAIGIFNDNTTMYEE AITYFKSGLGNGRIDLTVWKLYTVDGVVLGQCQESGRDQGHATLDFALLGPLAQMAYN QGDDLFGYESNKILAGSEYTAKYNLGEDVPYTSYTNSDVTQSVISNASRGTIRPAWEL IYAHYHDLKGLDSKYTGQMRDLVNSESGGAEGGGGNYGPNSGGYDQLGYGTLAFRLE VE01_07649 MVEITSIDLLRGWPSADLLPTSILEEASKRVLNDPALSTPSLSY GDEPGYEPLRESIATWLLQQYNTPWTVPERICISGGASQNLACILQVFTDPTYTRNVY LVAPTYHLVCRIFEDNGFVGRLRAIPEDDDGVDIAYLEASLVKHEMVSNGSDQKATKR PQVAQKHYKNIIYTVPTFSNPSGRTTSLDRRQQLVRLARKYDALIIADDIYDFIQWNS TDTNPPPPLIPRIVDIDHVLDGGPTTPFGNAISNASFSKILAPGLRTGWTEATPTFIR GLSQCGSSVSGGAPSQFVASVIAQTLRNGTLQDHIEKVLIPSYKRRYQATLCALERYL LPLGVVLEGKTKGPMQGGYFVYFLLPPCILAKEFVLRASHDEAVLIADGNMFEVHGDE DAVPARHGVRICFAWEQEDFLAEGLRRLGKVLASMLEPEPSVVNGRSAINGISIES VE01_07650 MAETQTSSSKSIEAPESGTFENSEKNGSSDVVTTNISGHVQELD RQFGLWSICATGIVTGNTWTAIGGAIVVAIYNGGPPGVIYEFIVVSICYWFVGASIAE LASAIPASGGVYHWATVAAGPRAGRVCGWFAGWWNLLAWIFGASANAAIIGNGVLYCY QLYHPEMEVKRWQVFIVYQIMTWFCCCIVLFCNRALSTINRVGSFFMLAGVVVTVLVC AIMPFHNGKGHASNSFVWKDWSNETGYSNNGLVFLTGMLNGAFSVGTPDCLTHLAEEI PRPSSNIPKAILAQIVTGFITGMIFMITIFYSINDLEGLFKSNSVFPLGNIYHQATGS RSGAVGLVIVILLPIVAASIGCYTTAGRMLWTLARDGAAPGRNSVGAISPKFKNPFVA TLVCGVVSCVMGGIYVASTAAFNAFIGAFVILSTASFLAALIPHLLSKRSNIKPGAFW MKGWIGFAVNIIACVYMAVFMVIYCFPYTMPVDAEGMNYASLVTGGLSVFVGIWWFIG QKNYVGPKVVANGLAPEMADDYKDKV VE01_07651 MSAATVALACLAPLGVLIATQVRPVQALTIAYCAGINTASRAGN YSTYQSNGLCQTFCQQSFAFAIVQDNLCWCSNYAPGASTTSKDKCNTQCPGYPDDLCG GTDLYGYIKLEKDVVGTKTTDGTGVTASPAKNPTTTSPPDNAKDDTSSWTPTPITSVE TVTGQVRVVTITPTAPPGADSSADSGNKKSLTAGGAAGLGIGIAAFLALVGALIFFFM RRRKRLAAESDVGPAPPTPNTGYTPQRTMSENSRYVLGTDGRRVVEGWDSGAGDVADT PVSAGSRRSRLMPVDPRLDPFAPVYQQGGASGSRESVGSIQDNFDYSRRVVRTGPPIL RATNPDA VE01_07652 MNNHNVPKLSDPSLLKLDVNFVNGEWVKAKSGKTFDVYDPTNSK VIGTAPDMDSADTELAIAAAATAFKSFKTWTGRERSKVLRKWYDLMVENQEDIATLIT WENGKPLADARGEAAYAANFFEWFSEEAPRIYGDTIPASVPGNRVVTFKEPVGVCGLI TPWNFPAAMITRKVGPALAAGCTVVCKAPSETPFTSLALAELAQRAGVPKGVVNVITA ATNTPAVGKTICTSPTIKKVSFTGSTGVGKTLMSQSSSTLKKLSFELGGNSPFIVFDD ADLTSAVAGAITSKFRSSGQTCVCANRIYVQAGIYDAFTSAFVDAVAKFQLGSGFEKG VTHGPVINAKAVAKVDEHVQDAISKGAKLLAGGQKLPELGANFYAPTILGGMNAEMKL ANEETFGPVAGLFKFETEEEVIKLANASDVGLAGYFYSQDIQRVARVAEAMEVGMIGV NTGLISDPAAPFGGMKESGFGREGSKYGVGEYMVTKMVTYGGNSGPIKA VE01_07653 MASSSLLRSASQHRLCQCARTAARSTRPHGATGRRYIGMKYLAK VADAEKAWAEKAKEIRAGNQKSMLSILEERGLVHQTTGNRDTIDNLMIDKRVGAYVGI DPTASSLHVGHLLPLMSLFWMYLHGYHSVSLLGGATAKIGDPTGRLTTRTKEHSAVRT ANMVNMHYQLKKLWVNVEEYGRKFGYNWEWAWHRELVNNNTWTNKLTVIELLQIIGPG MRLGTMLARDTVKNKLSKGDGMSYAEFSYPVLQAWDWWHMYNTKDIQMQIGGADQYGN IVAGLNAVKYISSNHPDPDVRQGKDADIAQPFGFTVPLLTTAAGDKFGKSAGNAVWLD KELTSTFELYGFWMRQSDADMPRYLRYFTFLPSPEIDALVEEHKQAPHERKAQHVLAR NFVELIHGPAEAKAAEANHRLLFGKPSLSSLMETPKDNTTAESEKKGPTPITLNNAPS INITLPRSVLTKSIGKIVYAAGLAESASEGHRLVSNGSLYISGPSSQKKQAMDEAELK FTQIKNWLIADTKKFLVGDEMLVLRRGKHNIRIVKIVEDNEWAEGGKKYPGEGGQGGQ KGEAGEETAELGAKDAGKERKKKSFWSEFAPEVDPNERKSVLYKPAPSGQRKTIIRRS PTKPYDEKEEGSSLQNLNIGRPNSLLTRISPPRSSRREPSQQNRTSHRQHPRSFQEDS SPATTKRTKYGHLSDFQNAPYLTQLPPPPLPLAAFHSLHRRNLTSRGHLFVIHQHDHP IAGLHYDLRLQFSATSSLSWAIPFGVPGDPNSKRRLRLATETRVHTVGSHLVEGGSKG GGSMIVWDGGVYEVLPRRKVDGPERDPGSAEGSQSSGLGVVENGEMAGENRDTGDGLS ENSKLVESFGRRKIRIRLHGARLPEGYTLDMFVAPGSQHLREPRVAVRRKKERRVVNV IETSSEGEENDPAIPVADAVAAEWALEWERKEVEKREVRRVNAYLGAENTIGSLYQRK WFLCLDREGSGFCKADRDGVWERRKGEGGVLEGFEPFYVRGVEEERSVVTGMKAEHVM RDAGIVGFVRRKGWKAILD VE01_07654 MEVFQAQYIPDQEDPKPEHLFAGMATKALISSWPPVGQVTETSN GKISFTVLLETDEGGVSAAWEVAVWYSSGDSWKETPLTRKGALDKLKSVEGRFHSYFT GSLDTTSTVTFTIKFKKAGEETWRWVKEHQGIGDGVVVWKGQASPTAAVEDFAELIED LNSEFKVQKVRSQSPGTELWSVEAPVASAEGEKSTFTSTKIGKPWSGDFVRWFALIRT WTAWLAPRQGSSFELDKEAIVCSFLERRGGRHLVFLAVSGIDDVSALFRSDAAGNITL EARNDGPKAGIARIIVALGNDFESANAAAMYHARDLVQDLSLATSEEKQELMALKDDV KPQWMENWFDGLTYCTWNGLGQNLTEEKIYNAVDTLAANNINISNLIIDDNWQSVETP AGSENQFQQTWLEFEANTTGFPKGLKHTITNIRSKHPNIQHIAVWHSLVGYWGGISPN GKIAQNYKTVEVEREDSLPANLPMDGKMTLVAPSDVGKFYNDFYTFLTGCGIDAVKTD SQYLLDTITSASARASLTHAYLDAWSIAGLRHFSVKAISCMSQTPNIIFHSQLPSNRP PILVRNSDDFFPEIESSHAWHVFTNASNALLTQHLNVVPDFDMFMTVHEYSAFHAAAR CVSGGPVYITDVPGEHNMPLINQMTGPTPAGKSVIFRPSTFGKTRDPYQGYQDPVLLK ISTYHGAAVTGTGMLGLFNTTSRPVSELLHISLFPGVVEAQLYVVRSHVSGLVTPPLQ VVDYRPESLIYASLDVRGYDILSAFPLRGFVRPSSKENDTLWVASLGLLGKMSGAAAV VSSEMTLLETGRIEIVSSLKALGVWGVYLSNLPSLQPSLESTILVTILGQVIPFAAVS ISAHSPNVLEIDIQRAWTELGLKAGYSNEVQAKLSILP VE01_07655 MSSSQTPSPPSHNATPLEVRDYFAGVLFNFHDVSLEQAYEIAAK WEYGRGSELTYYDVATFRSIFGAEAGTLLYGYARKELRTSRTGPSGNLGNRGILEKPQ KDIFGATPGL VE01_07656 MHSKAILLSLIATASAQQVGTQQTETHPSMTWSKCTGTGGTSCA SQSGKVVLDSNWRWVHKVGDYTNCYTGNTWDATLCPDDATCAKNCALEGGDYPGTYGI NVSGNSNKLTFVTPGPYATNIGSRTYLMADDSNYQMFNLLNQEFTFDVDLSQLPCGLN GALYFVSMDKDGGMSKYPNNKAGAKYGTGYCDAQCPRDLKFINGQGNVEGWKPSSNDA NAGVGGHGSCCAEMDIWEANSMATAYTPHSCDTITQTMCQGDACGGTYSSNRYAGTCD PDGCDFNSYRQGDTSFYGKGKTVDTSKVFTVVTQFIGNPLTEIKRFYVQGGKVIPNSQ SKIAGVTGNSITTAFCDAQKAAFGDNYSFKTHGGMASMSKALSGGMVLVMSLWDDHYA NMLWLDSTYPTDSTKLGSVRGSCATSSGVPKDVESASPGASVTYSNIKVGPIGSTFKA P VE01_07657 MDEQEELLSLDLEDDDYLYRIRRGHRIVYVSVLHADIVPPEHRT EGSRILSHLRLLPGWDGEWRTLTARKRGGGVECTVNESEAHKLDGGAVSACAGPAYNI LEHERCGRIIVSMARVLVGGTVCVVKIARFRHELEALQREVRVYGALRDRKFGLCLGF IGYVYEEEKSRVVGFLMEELYGRHPDIGDLGACEDTVEQLHGVGVVHGDLNKYNIIIS GNEAKLIDFEVSTFLEGGHHEKAKDELRQLAHQLLDTSEVGLR VE01_07658 MSNNTISVSLAAGAIALALVTISSAASLRLIAPRWHSRKDGQLQ RIYEDADGVATAETTAAYSTTGPKIAICLLALLGLGVSVAIAVLSTLDSNEGFFLENW FNATGWVLISLQALAVVYARAPVMTYDLGIYGSISAWFLLGGQCAQSIILAQVRSDIG PLPTFILRVAQLILTTAVAFANLTIPRRPGVFIDGSRPIDAMNTNSALSKYSFEWCNA VLRFAAKKGTLNMSDLPRPNADTRSADLAASWTRANLPGSLMLRIFLTHKWAFAGQWA LAFVQAFGNFAPQFVLLQILRVLERRGSGEPITSEAFVWVAALGVMTVASSWVEGWLL WLSQADLSIPIRAELSALVFEKSMRRKDVKSVAKTAEGEVDEENVEAGAMKAEIDDED MGQKSRQAIVNLIGVDAKRVADFAAFNFFFPGSFFKLVISFAFLIQLIGWRSLLAGLM TMVIILPANIIFSKRYSAAQDRLMKARDAKLAVVNEALQGMKQIKWTATENQWGAKIR KVRDRELRDLWSSLLNDTGLILCWIASPIMLSAASLATYAVLEGGLSPSVAFTSIGVF KQLELTLSIIPELTTDLIDAFVSVTRIEEYLSAPEIASDRKVSDTISFEHASVAWPCD DDTDEADKYVLRDIDIAFPKGELSIISGKTGSGKTLLLSAILGETDLLAGSVHVPLSP PLSSRNDAAATRDNWIIPSSIAYVAQIPWIENATVKENILFGLPLDEERYAKTIDVCA LRADLAIMPDGEDTEIGAQGINLSGGQRWRLTFARALYSRAGILLLDDLFSALDAHVG RHIFEEALTGELGAGRTRILVTHHVALCRPRAKYLVELGEGTVEHAGLVAELEREGVM GEIVSHNETREEVEADEASTAVASDSSDVDTSGELLTRVDTKASAKKFVEEEGRETGA IKKVIYLGYLKSSGGVPFWSVAAVIFSILEITTLGRSWILKLWTGSDEEHTAHAMFTY AFQAPVVKGGNEEFHDNLVYYLALYVSVSLLSCFLGVFKHYYIFLGSIRASKQLFEDI TYTVLRTPLRWIDTVPIGRVLNRFTADFVIVDARLAYDLSFGIMDVFSVIGIVIAGLF VSSYIFVLAFVLAAICLHFAMRYLAGAREVKRLESNAKSPIFETFNAALAGVATIRGF DKADVYVKRMYDLIDSHSTTTWHLWLFNRWMGLRMASVGALFCVAVSTTILLIPSISA SLGGFALAFSLEYSAAIMWALRHYASLELDFNSAERVIEYANLPTETEKGIDPPAAWP SSGRLEVSDLVVGYAPDLPAILNGLSFSIAPNQRVGVVGRTGAGKSSLTLALFRFLDP RSGKIVIDGLDISTVKLQALRSRLAIIPQDPVLFSGTVRSNLDPFDEYEDAELRDALQ RVHLVSAPTSRADSPSPPGSSSASASQGQTNTNPFASLSSPISESGLNLSQGQRQLLC LARAIVARPKILVLDEATSAVDMGTDALIQRSIREEFRDTTLVVIAHRLSTIADFDRI LVMDKGVGVEFGGPRELMEQGGVFAGLVRGSGEGEALEGVIMGEGGKKE VE01_07659 MDNFSKSAREDTSTISAAKLQGIKQVGGGIQETTGATRGNPPPG EAGTGINTNAKWLASLKLSDAIALWELFKSRVELHPKHRLSPAQVLTLTSLRAADIET VRTGQWYFGLVTCEVADIGSQRQHPRWQVRLDQGVLSVGGCWQGATGIAERFRDASPL GFEGRQ VE01_07660 MKSAPLIVNWHDSSAPIYSAHFEPNGKRLATAGGDNNVRLWRVD SDGEERSVEYLSTLSKHSQAVNVVRFAPKGDLLASAGDDGNVLLWIPAESHHPQAAFG DDALEDKETWRVKHMCRSSGSEIYDLAWSPDGVYFIIGSMDNIARIYNAQTGQLVRQI AEHSHYVQGVAWDPLNEYVATQSSDRSVHIYSLRTKDGHFTLEQGPHRIASHAKIDLP GRRGSPAPPDIGIRSQISNESSYLGAESPKPSEPSTPTAHGLPMNPPSTISHSRRSSF GSSTSMRRSASPAPSMPLPAVMPMEASPKPGHGLGVKNANIYANETLTSFFRRLTFTQ DGSLLLTPAGQYSIPHPGENEGHRAAYEIINTVYIYTRGGINKPPIAHLPGHKKPSVA VKCSPIFYTIREGPVTTKNITIDTSSSEESIPALPEPVISSPTPDTPPKVQKSPFLHP SDPGPTSTPKKLEVATGAVSPGPPMAFSLPYRMVYAVATQDSVLLYDTQQQTPLCIVS NLHCATFTDLTWSNDGLTLLMTSSDGFCSTLTFSPSELGEPYTPDSSTTKPDVPTQQP ISLSSQNTPIPTPTSAVCPPSPFPGPSRHRTPSNPPPAEATATQSASAAVSGRPASPT RTNSTSSIISTQSPFAPSAFGAPNVSYPTGTVVSNPPLVSGSVPGITAGSFVVPAVNM TTPPQTPRSAASSVSGAVAGGVKRDAGGVSEDESGKEAALVRDGEGKKEGPAPKKRKI APTLIGELKR VE01_07661 MAKSEKSTTTPSRPAPARKQASSAAPSTSKNQRSILGFFSKAPT AGLPLLKKQASPPATLEGAQTDGPAAARTPAGKAVGKVGKAVKKMDRTPVASSDVIEP PSSQGGGDKNAVVQSSPSRRAKRPVSYEEPDEDDEDDEAPVAARRSKSRKKVIDSDDD DDEDVFVGEVDEESDDDDMDDFIASEDSDAAPSKSKKRKRPSPSKTPSRKRSYAPSPL PPVVDDDVEMGDSQGSSAQRWKYDPDDTKPLQPRSSNIIRKPKPTSSDLAKKQKPSKS EPEHRYTWLANLLDMDRNPPGHPDYDPRTVYIPPNAWTGFSAFEKQYWQIKQKFWNTI VFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMVGVPESSLDHWANQFVAKGYKIA RVDQMESALGKEMREREDSVGGAKAKKVDKIIHRELACVLTKGTLVEGSMLEDDMATY CVAIKETTTTADDLPTFGIAFVDTATGQFFLSEFVDDVDLTKFETFVAQTRPQELLLE KSCISVKALRILKNNTSPTTIWNYLKTGKEFWGADVTKRELDCSGYFLTEEPGADEEW PATLTEAKDKEIIMSAFGALVQYLRSLKLDRDLLSQRNFAWYSPIQKSTTLVLDGQTL INLEIFANTYDGGQAGTLFKMLNRCITPFGKRMFRQWVCHPLADAKKINERLDAVDML NADSTLREQFTGQMTRMPDLERLISRIHAGVCRPDDFVRVLEGFEQIEYTMSMLGAFG GGDGIIDRLISGMPDLIGPLEYWKTAFDRTKARDERLLVPERGIEEDFDDSEDRILGI KQELFGLKKEWAAKLGTKGVQFKDIGKEIYQLEVPVKNSKAVPKDWTMVSSTKSEKRY YFPELKTLVRALQEAQETHGQIVKATAGRFFQRFDKDYTTWLTAVNIIAQLDCLISLA RASAGLSSPSCRPSFVDTERTVVDFTELRHPCVLPNVTDFIPNSIRLGGSEPNINLLT GANAAGKSTILRMTCIAAIMAQIGCYVPAESATLTPIDRIMSRLGANDNIFAAQSTFF VELSETKKILAEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHVGAIGFFATHY HSLAAEFAGHPEIAPRRMAIDVDEAERRVTFLYRLEEGVAQGSFGMHCASMCGIPRKV VERAEVAAKEWEHTSRLTVGLEVAKRGTYVPLGWQSDIAWMLKEGKLGEGADRGVEVL MKAIAGI VE01_07662 MYLHLPSYTRYSPELLNRYVASLPKTAEADIKTINAGGIPQTTT LAVGDLAVVDGFRLNAVNFCRVGSAEKRPWWVGKGFWAGRGAWEFAVSEMGPGKGLKM AWVWDAIAEKVRQGKFGGGMTPRVGEVVQTTAVGPKKKL VE01_07663 MAPQLMPRFSTIFLLFAAFLLHHVSAYTPLSDTTLKNLPSPGTD FDIHTGALLAPILIPRVPGTEGSTKVLNHFVDFFQTHLPKWTVAFQNSTSKTPATGSR DVPFVNLILTRDPPWAQPGNVGRLALVAHYDSKVEPKGFIGATDSAAPCAMIMHAARS VDEALTRKWEKMVKDGVDENRGQEQGLQILLLDGEEAFVDWTDTDSLYGARSLAEAMH AEPHPALSIYRTPLHSISLFMLLDLLGAPGPAVPSYWKTTHWAYKHMATLESRLRSPA IATAKSTPATPFLVDAEKKNGPWLGPMMQDDHVPFLKRGVEILHLIPHPFPDAWHKIT DDGEHLDPASVEDWAMITTAFVAEWMDLEGYFPAAKKQVGRAAEEAGRKTEL VE01_07664 MRTPPLWLALAHVLLHANAQQLPYNPTSIFLAPNNEQAYILLPP SSSAPAGELLALNISSRIDVAKLSPTVLSKSLPFLEGSNDGIAYVPAMKPSGDIGVYA GSCASNSSVWTYSSGSAKPGKWSETAVSDSAATTSSELHNTNFLAAGLYFSTKPEADA ANTPMFVLGGMCPTVANTNASTWQASANYTNSLLKLTPPKSTGSAAPYTVSLGAADGQ KLVPEAGHTITSLAPTLANASSSAGADYVLIGGHTAGAFIGMDRVGVLALPEGTWTFQ SVSARAFLGGGELAVIRASGGGMPDSRSGHTALLSGDGGRIVVFGGWVGDVNTPAEPQ LLVLQLGGDGEWSWEVPKAAGSGLGGGEGIYGHGAVMLPGGVMMVVGGHTISSAPSKE KRADSKFQTLLLDTTTMAWVNNYTNPSFSSPNPTATAGTTDPAAPSGDDNSRKVKIGV GAGIGGVVAIAIAVLCIWYARRAHAKRVGERHQKLHALSQAAHCEGRDSFYGPYNGEM VQRQNSQNLGNRSMSVGGGNAGGAAYEALSYGGYDYEDDGNLSYVVPAQRSSFTIPRK PTSSRNARGYSQPPLVSTNQYSSFDFGTNHTRANSLGTAGTIHPIYEADEDNDIADAG LLNVGQAVEYPPSSSNDHSDPFTEPHQVSTGDIHPYQLHPPANPNSLDRNTPSPDSPA KEREREVDEWVADWAAADALMRSHSRSQSLTQSGRLSPSKESTSGRTASNLSEQSAVT LSRSGSQATRSNSLTAFFTGGAAGWNPFASSGAAGPTSGTVARRVEYVHGGYGPSSGR VTAEGYYTNGSISPTSDRSGGTLTAPPPRSAGSGSISGGSASFVTAQSGGSFPALRVE AESLLPRPGEWESGSPSKSKGLKKPPGWLGSLKKVFGQEEWVSGPGVDEAERYVDSPS PTRAAFGSEPYRDDDTVPRRAASAGSMLWRRKQGRGDWEDSADEGTVGPRPYSVAGLE TAPPGEEEEWDVEKAVRGRVVQVMFTVPKERLRVVNQDEGVEDDESDIGMQREGSPTR EGRDEEGRMATPEQEDGRASPLTPIKRIASPQTPSNKAAPDTHLSSRSTSPAKSLRGK RVQEIVDQMERRGSM VE01_07665 MATDRLNNLTQQQLTEAVQQIVDSPKFWVNNGHIPVEIRRQTKE DILKGKWGPAPIFSPYAATHDGYSQVRYQNVKMLVHRVTFRHMYGTQLNPALEISHIV NCGSRSTS VE01_07666 MCWFSQDFYCWCGHYYIHRAPCAIPICVFAGGAPIRFSIEYECP ACMGHPVQPTPPPSPAATASSRFFAPHDALGEQTGTRARRRTLLVNTSDHYSHPQQPT YSLYSPITFRPELVHVVRVAELPENSAECAICGGPLSDCAFDPDAWDKASFPSTFLAT IDTSSESPVSYAG VE01_07667 MAEYRGPTTKDASSLEDQTDHDVSSTSERVDPDSGVRRGLKTRH LSMLALAGIIGPGLLVGAGGALHQGGPAPLLIGFGVIGIIAFSIMQSLGEMTTLYPSG GAFTKLADRFVDRAFGAAVGWNYFIIWAAVLANEYNAICSIFNFWSDKVPLWGYFLLF WFFFLGFQLLGVEAFGEAEFWLALVKLAGLVAYFIFSIIYAAGGLKGQTEPLGFRYWH DPGAFTDGFRGVASVFVFCATFYAGCESIAVAATETKNPSVAVPLAIRQVFWRILFIY MGSAFFFGLTCPANSPQFSEAARALKSPMSIAIQNAGWYGGVHLINAFIFVTCLSAIN SSIYIGSRTVLFMAQDGKAPAILGKTNKRGVPTAAIVFTNLFGALSMMNVSTGAATAY SYIVNLSGVSTFLVWGAISFIHIRFRSAWAVQGRRVEDLPYRSWLYPYNAWFGLGANI FLALIQGWTTLAPFTAGTFVDAYILLVLFPVIFGVFKWVNKTEWQKIEDVDLDHGRRA DVDIASVEDENTVDTGKGRSLWRKLWQGF VE01_07293 MEYAQYQQQPQGHHPQSQGHLQNPYPNSGQLPGPGAPLTSPTNQ PLHQQQHALHGQTSPILPSQQQGAQGQGHPVHQQMYNQPPYGVQPQGMHYGMPGGIST QAAAMAATAAASGQGYPFLSSDPSLPNTSPRMGGLNLKKDAARRPRSPHQLTNQLGHP RRVSTQLGSPGAPAQPLNHVARPAAPPMPAQAPQHPQSPELVAGAGAEESPLYVNAKQ FHRILKRRVARQRLEEALRLTSKGRKPYLHESRHNHAMRRPRGPGGRFLTADEVAEIE RKQAEGEEGGAEAVPATATPAKQGSASTQGSAGAPGAGVAPGANASAPMSGGKRKAED EEEATPSKRVKARAASEDDDEEEEDVDEDG VE01_07294 MVMHEYTYVFAFGTMFALLEAFNNGANDVANAWATSVSSRSVTY RQAMFLCLVFEITGAMAVGARTASTIKNGIIPIAAFHGNAGVQLLAFACASAGAAIWV MWCTRNSAHVSSTYSLVSSIAGVGVAAVGAKNVQWGWSNGSGLGAIFAGLCMAPIASG CFASIIFMLIKITVHSRKDPLKWAVFTSPFFFLIAGTICTLSVVYKGSPNLGLDKKPA WYIASVTLGVGFGLFILAGLFFVPYVHCKVIKKDYTLKITDIWQGPALFHRVPPADAT QARVPNYAVIQHDNDEEDSGDLKVPGQEPTKDLDVIETTPAGSERDVSNEKSAPAPKT LAQLEDESAGINAQAHYRLLLARAEAKHHAFLRTKRGPIGWAMRTLHNHPMGSGEIYE RHNLWAFFVRLPAHLVCALLYGVYYDIHGSQIGVLGTPEGRRMAVVYDHATKYQNEVE YLYSFVQIITACTASFAHGANDVGNAVGVWAGMYGAWQSGQTVKSKEDVPSWQIAVMA LTICVGFITYGYNIMKVMGNKLTYHSPSRGSSMEMGAAITTLIFSQYKLPVSTSMCIT GATVGVGLCNGTFKAVNWQRVGLLFFSWVVTIPIAGLIGGGLMALALNAPSY VE01_07295 MRRFDPPLRSVVLTILLLIFHATLISGTHLHGNHARDGSKTSAA VALVEEGLSALSRVNKARVENPHFNKYKFQSGKITPKLAAALQPSSIVNNGTTQKRQN QANSTTETAAKSYSISPELADAARIMAEATRQVAKGNHSAVAATMKKKYAHKTNDTNV PPSHKTPEGRLSVYGDDHEAKSKRASAWWMVDMGSSGSSPFAPAGYKVWRNVKDFGAK GDGVTDDTKAINLAVSSGGRCGPDCGSSTIYPAVVYFPPGTYLVSSPIIQYFNTEFLG DAVQVPTLLAASSFVGQGVITSDVYVSDNVEWYLNTANFLRSVRNFKIDIRPASPWSY LCGIHWQVAQATSLENIEFYMLYESDVPGNNQQGIYMENGSGGFLADLTFVGGHFGAY FGNQQFTTSHLVFVSSVIALQVHWDWAWTMQDFVIESCGTGLLIIGGAGGPQSTGQSV GSLILVDSIIANTPKGIVTTLFADNSTSFLLQNVGFFNTVTAITDDTTGKVLLAGGDQ VVVDNWGFGLVTTATSGDGKTSFANGVRIPVMKRSTALLGDAYDKMAPNLFTRRRPGY LDVAAGKVMNARTLGAKGDGVTDDTKILNSILEGAANTSSVVFIPYGVYIVTDTLRVP VGSRIIGQVWSQIMGTGDMFADETQPRAVVQVGKAGDVGIAEITSMMVTVKGATAGAV AIEWNVAESSLGAAGMWDTHVRIGGAVGSDLSVKNCPKQSGIINSRCKAASLLMHLKP KSTAYLENVWLWTADHDLDSKTLDQIDIYSGRGLLVESDKAWLWGTSVEHNVLYQYQL SNAKDVVMAMIQTESPYFQPIPHAPAPFTSGQFPNDPTFTSCVGGSARCAVSWAVRII NSASIYVLGAGLYSWFDDYSQACVDTNDCQERGLEIQQSSDIWLYNLCTKAIKEMVSP LGSTPTYAKDNVNGFLSSVLAWLQGSTDIVGEREFPGYRVWSNALLDSLYGPVLPDSC RSALTEVIACDNRTETYQSPGIQSWLGTANDTNTVCAASCGKSLKSWFDSVSIACAGY RVNNALPTLLGGRIWSGWNQTCLLDPITGKYCGEVIDGFTQVSKIQDMPPKEMCSYCW IEHFAIMQRSQFSSYDTFIKSQLEYTLEQCPVGGSTTIPDSPLDLPDPSTYCQTNKMI VTAEGDTCDSIAESHSLASAALYAGNPDSIYDCSSIAAGTSLCLPPACAMTWTIKPDD TCSSIEYNVTATTLVSVIGNVQMYNRWVDRDCTNLHTASDAAFGHVICLAPQNGEFAA NSTVVPGDTTIPADAPGYSHFISPPPVGATVAANTTLNCGTWRVAGSGDTCSTIAFTS GTTITIFLEVNPSLGTEIANCTGKLVEGVAYCALPFVVWDEI VE01_07296 MVASNRLLPYALWALLICLLGLSNAQDAPECSASLPCTAGCCSK FGFCGLGPDYCSKDVCVNNCDRKADCDPGGYGKDYVLKTTCPLNVCCSKYGFCGTTKE FCGTKTVRRPSCDIDQKGKFKRVVGYYESWATGRPCNRIYPEQIPSDIYSHINFAFAS IDPTTFELVPADVADIDLYKRVANLKAKDINLKVLISVGGWTFNDPGPTATTFSDIAR DETAQRKFIDSVLRFLQTYNFDGIDIDWEYPEAEDRSGRGEDFANFPKFMKNIKQTLK SYEVSVTLPASFWYLQHFDLKNLAPHVDFFNILTYDFHGVWDKLNKWVGPYLNSHTNL TEIKDGLDLLWRNGIDHDKVSLGLAFYGRGFIASSPTCLEPGCTFESGTDAQSCSAEV GVLLNSEIDGLVAEQNLKPVLNKDAAVKILTWGGNNWLTYDDEETLQMKADFARSECL GGVMVWAISHDTQDMKYSNALSGVAPRLFNSKLYRGSVGNGDNGYVTDETDYQQCRWT GCNEDCPGDWIRMMRKDDGARRDEFMVNGAGCGGYGEHKLCCPPSANVPTCGWYSHRN GNCDNGESCPAGTKEIGSNSEYCHPSGFYSPIRSYQAACCTTDTDSMVLYSQCDWSAK VSLGNWPDCSKSSCSNDVVAYSGDGSGEATCWGAWYEQLGDSQKNKYCCDQPDDNKKW SDCEWQGKTDIIDEDTGLHICTGECSGGLIMVAAQQAGCSGGGAEVRCCKPSYKSLQK RYQNSEDDEFAYYLKRYMENPFCSANIFNSNGLRKRIDSPVSDLAVTASNGTVIKRQF SPDIVAQDYAEKMAEELFIGTPRQSTIDIWDNTVVPYFDNLSYANLHDYQMGAGRNEF LQYGSTQWPWYITCNMATLQIAMSGNGGDSDPGSGSCTTTCVCVRADCCSEDDSECIN SSEDGYDTVSKRAAKNYDWTAFDYRTGARQSLPWRAPDYPSPSNLNKIKERSKFAESY TYDPNCASFRPVVVDSLQDGKIVDGFESKSALNMTILVSGPNSVY VE01_07297 MLPVWGDNALQGYLAGNEDASHRVPYDFFTISLQQIDASGATIQ DRMMIALGSNTNRDVMTLLVKDLNLVKERLWTTTESPIGDTMMENLVYGTSTITACPN QGMDKIRKVLIVYRWHMEREPHRRLKNVMNNLRALLHEADLHYERTHNGQKSYAVEHF DAWTKTTFEVMRDRIKAWGQNWLDELNIPAITNSYVGNSIRQNLVIAYGNIPDIDTTD FYVPDYS VE01_07298 MSIKETFPKPSRELSAHTLPMFNRDKDTQAMWWFSMMNDSMCRY KNSGRYAEGSWGYTVLRTTYSDESNTLWPIALENLRRWVTQYFVHLNRLATNKSDSSV NEELGRRFILEEVDVDLEKINVPDLDNASQDDIKALTNAFDSWLCNAVGDVDSNAEFN IQDSARFCDFLVIDEGSLRSLATLPKETPSLELVSREERRARDVLYCHSYVWLVDSQA VKRFQGGGDGDNYDGWMKLCTKDIPDAWFERTRASGKWLYTFERTEIPHGSGKLWYSP S VE01_07299 MSAVIQHTPIANRRKRKRRRRQDKSAVFARLVLDEHVRGDVGIV SEDLYNELFPAQDQHDETNGDGPVGIPELAHIAISPKAPFNSVDEISWTVVPVRPSSA LATNTIQFAPSSVALQGFAEELQRTTPSKLLSHSRSGIEIWALDVLPLTLDTVFVTLE AGLAKRLENGEGTFHGEHPLANGNHANGKSAVPEDRLSSAIREALSSLKVVHAGDFFP LPLAPHSITHVLPPPAKVTLCEPVAQGILSPNTRIIVTQTGHHTRTVRKASNMSLRRV LDQVTEVDEDTSNEQFFSAAEDRYKTDAAPEDDSMTETEAEDQDLDDTDNLSDDSMDE MISLQAPMLPSSNISGMATPLGPSRRTNGIATPGSVFSNYTATTARAGGQRGRLFKAQ GLMSPLPEELLRPTPPADDDEEARIYVDVNSLTKIGCFSGDWVRLETASEPPEQGMGL WTLGSFGQAQDEDFIWRPVKVYSLPEGYSHRAVTKIPSSRGSKKMSFFDSQVSKPTSP TVYLSPILLANLDSTPYVRLSTLKQTQTVGKASLPKITNTSLPPVAREVTFAKIATPL ATEKTLQTAAISGLKRHFTQRARVVKTGDIVGIPIDEALGRTLFQTSSGKEDAITDDM LVNPDQQGTSLTKSTGVIWFKVSHITHARREEVEDPEDEDIWGGVAAIDFSQTQMAAA GTEKSRLPPTKDSPWEHYFKLRAVSKSSSDALAPTMPEPQPQHITPLRRQLRELVAAA TSARSIHLKLPPLAILLVSTQRNIGKATLASRACSDIGLHSFTIDAYDIVSEGGAGGD VKTEGFLKARADRALTCGAENTALVVRHIEALAAERMIAALKDVLADSRVIIATTTEV DKVPDGIRSLFTHELDMHAPDEGERESILHSIVDDRGVSLSTDVDLGGVAVKTAALVA GDLVDVVDRALVARHTRLESLATSAASAALPVSVRDVQLSGGPSRSLTSADFNHAVEH ARQNFADAIGAPKIPNVTWDDVGGLAHVKDAVMETIQLPLERPELFAKGMKKRSGILF YGPPGTGKTLLAKAIATEFALNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVV FFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSDGDDGGGGVFVIGATNRPDLL DAALLRPGRFDKMLYLGVSDTHDKQATIMEALTRKFTMSPTLSLPRIATRLPFTYTGA DFYALCSDAMLKAVTRQASAVDAQVKAINSQRAPDDTITTAYFFDHYAKPADVAVVVT EEDFMAAQQELIPSVSAKELEHYTKVRAQFEAVPEANGGADGKKANGVVEKKLVNGRP RSSGKGKGKGKARMEEDTDEGVAVGGFGRAEEDEDLY VE01_07300 MGSHPELIHISQKSLDLHSPSARSDLTDISNLKAVDTVMDVLLD SGKYSDMRITCQEQAWNMHRSVVCTHSPVFAAMVDGGFKEAHSGVIDLPDDKPDIVEM MLRFLYQGDYGDTRYSTKPGEELVELNADALVVNVEVYVIADKNSIPALKGLARHKYT ELVAEIWQRDTFMDSVEMVFQRTLPGDSLRKFVIKTVVLHIHALISEDWFVAMLEGQG DFAVEVLRGILELG VE01_07301 MLRFIYHGDYDDEREQKNHAATDLPHETKVETESCKYEISKILD NPPQEPGRPLWNKDALRVTIEVFVIADHHHLHTLMRHAAKKFEEVVGRLWKTASFAQS IKQFYDSQISELYRRPIRNVIIKAIVNNAPVLLKEKTFQNILSHYGDLAMEVLWTVVK VEDK VE01_07302 MRALDALFAAFLLSAAQALSPAEWRSQSIYQIVTDRFARADGST TAHCDLTKQDYCGGSWKGIVKELDYIQGMGFTAVWISPVVKNIVNKTADGAAYHGYWA DDIYQLNANFGTADDLKDLSDELHARGMYLMVDVVTNHMAYAGSPEEIDYSTLHPFNK ESFYHPHCPIDFTSQSSTEVCWAGSNAGTGFVSLPDLRTEDDDVQAEFNKWIGQLIAN YTIDGLRIDSAQEVNKGFYPSFQKAAGGIHILGEVFSGDPPTLCSYLEVMSGAMNYPS YYWITSSFQGGPLTALVQGIKEMNASCTDTTLLGSFMENHDLPRFPFKTTDVSLDMNA IAYTMLTDGIPIIYNGQEQGFGGGLPPLSREALWFSGYKTTGTLYTFIKQLNEVRSRA ITVDKDWVKQRISVSQPDSQTLLMRKGNDGKQMVSLFTNRGAGGEEVMTLLSADTGFT ANLDVIEVLNCIDAKTDGSGNLGVGIYNGEPLVFLPAANLKGSGICTKLTGPSTVVST TKKAVPTRTTTSKGSGSEATATSTGTGTNSGSGSEESGTGSSQDTATGTEQTAPSVSS SAANSLLASGPLRGFRIISRLLRFWALV VE01_07303 MISLVAFDLDGTLAISKQPLKDSMGEALADLLAVAHVSIISGGD WPQFEKQIASRLPARADVTKLWLMPTTGTKLYQNKGDGWKVVYAELFTDEQKKDILEA FDASLEATGFTPEKTWGERIEDRGSQITFSALGQEAPISAKQHWDADFAKRKVIQVDL QKRLPDLSINMGGTTSIDITRKGVDKGYGLKRLSEATGLPLEQMMFIGDAIFPGGNDY PAKQIGLPTVDVKDPEGTLSAIAAIVACLS VE01_07304 MASQQPEVASRPISGSFAGKVAIVTGAGSAGDGIGNGRAAAILL AEQGASVLCVDREKDWANRTVEMITEEGKGKGLAFYGDVTKATDCEAMVEAAVKAFGR LDILINNVGIGGARGTAVDVDMVEWAAGMEVNVGSMVLSAKYAIPAMQKNTGQVRGSI VNMGSVAGIRGGTPHLLYPTSKGAIVNMTRAMAAHHAPDGIRVNCVCPGMLYTPMMYA GGMSEEAREARRKRSLLGTEGNGWDAGCAVRFLASDEARWVTGVILPVDAGATCAVGS DLPKTASVSS VE01_07305 MEWPEFTNPFVGIKPPPGVHVPEREELLGSICAERSPRSGFAYP PEAPTFWIKYGFAVYWNEYIPGKTATQCLEETQDEAEKETIYRSMAFAVSELHRIPIP ESRRRLAVISGERFRHNIFESHLLATRHYENTEQFQNHINTFLRLTKRENRVHGLHQE PMVFCQADIYHGNYMIDADNRVTAIDFDLSSIVPSSLAKFSARFHNLGVDISQWVDVP ATEGIDNTEALLVAYGPMTMGSSSFYRVGKRTRGGDDETQNRINQALQHEVRDYPRSW GPTMGEVIAAQEANDKLGVEVDPSVRRYTAEEIKEIKGFGWGKPKPRILRKLVEDDLP VE01_07306 MASTTTESPAVTPKYPIIDSHIHIYPASEVNTLAWFDPNLPLST NQHSLEEYTAATTSPPELEGFVFLETDREHDLDSGEADGSGWAGPLMEVDWIRRVAVG EPKEGEGHDESHAKLVQGFVPWAPLPSGAAVVERYIEKAREVAGEEAGKRISGFRYLV QSKPPGTMLGEGFIEGLKLLGREKLTFDLGVDQHNGGDWQLEEAVEMVKLAHEGVEDG EKVRIVINHLCKPDFSFYALPPDELHANPRFQKWREAMFKLSQAKNTYMKLSGCLSEL PDSLKTESANHIFAAILPWLSVILAAFRGRLMFGSDWPVCTVGVGEDAWKKWRAVVER MCEMGGLGMEEQIMLWSGTAIVAYGLNPST VE01_07307 MASPPPGGVYVPVPTFFVGKTAPNYNAAAAPFDAETQSKHSIHL AKSGIRGLVILGSTGEAVHLTNKERFEVLSSQRKALDEAGFKDYPIIAGTATQDIEGT VEQLQEAQKAGAQWGLCLAPGYFAGAVTQEGIVKWFQAVADRSPIPVMIYHYPGVSNN VKVTPSTYATLSAHPNIVGCKLSHGDVSYHAQIGANPSIDHSHFHTFTGLGQQLLPIL ALGCAGTIDGSAGFFPKSVVHLYDLCLKEGKTAEDIKEARTLQYKISAVEELVVRFGT VGIKEAVSRVLGLGERDGTRLPLVGGIPGGDAEWENWKGAVGELEAVEKSL VE01_07308 MPPTALLASKTAAITGGTTGIGRAITLGFLAQGCNVAANHLGLA SDASLLASLIEEAKALKEKDAGAGELMEVQGDISLPETGKELVRKTVERWGGLDVFVS NAGVCKFAEVLDMEPDLFASTVNINLNGAFYACQAAARQMATQGRGGSIIGMSSISAL VGGGQQVHYTPTKAGVTSLMQSMAVALGKYGIRCNALLPGTIRTQLNEKDLVGEKERY MEGRIPLGRIGETSDMVGPAIFLACGELSGFVNGAQLLVDGGMFVNLQ VE01_07309 MVKDFPKIKAIRTYVIDGVGSGGDYHNVEGGHWLVDTSISTPMS RWEQYRASRLSWGINVLGSFCVEIEGTDGTVGFATGFGGPPACWLVHQHFERFLIGAD PRDTNHIFEQMYRASMFYGRKGLPVAVISVIDLALWDLQGKVRNEPVYKLIGGATREK LDFYCTGPEPTAAKKMGFWGAKVPLPYCPEEGHAGLRKNVEFLRKHRESVGPDFPIMV DCYMSLNVQYTIEIVKACLDLNINWWEECLSPDDTDGFALIKRAHPQVKFTTGEHEYS RYGFRKLIEGRNLDIIQPDVMWLGGLTELLKVSAMAAAYDIPVVPHASGPYSYHYVIS QTNCPFQEYLANSPDGKSVLPVFGDLFIDEPIPINGYLTADQLDKPGFGLTLNPAARL IPAQYLLTPNPERPLGGPLQRAQIEAAPVAAAPVVSQEASVPAVTAQEAAAATEKSTP TVDGGKEQTSIVEELVNGVNGLTTSA VE01_07310 MDLQHSPQPSILSGSGLKRDSDGYNDQYGSGDESLAGDGDVNEN GDGPRKAQCRPVKCDRGQPSCRWCSRNGARCEYKERKKPGLRAGYGRELEQRLDRLED ILQTHARILETALAPQQGNQGNHLNQGNQAALHDGQILGNHLSRIEGQPFIQQKTSAF SGSTPQHADFHNSLSPPTPSMQSMHGDAYMQQQHQAQQHQAQQHQQQIVQNGSQSAAQ FYNTAQPPVESPTLNISESTTEAPSDSDLPPYDLLYALVDLFFKHVNTWCPMLHRRST LDSLFGPSQLEEADRILLHAIVATTLRFSNDPRLTDERRNHYHDISKQKVLLYGLENS SVKALQAMVILALDLVGDSNGPPGWNVLGLITRAVVQLGLAVETTSFSVAPDYQSIYT LRAMVLPDAKDWIEDESRRRLVWMIYLLDRYATIATAFEFALDEKEIDRKLPCRDDLW NKNVPVETRWFHTHTHAEFSQPPPPENLGAFSYYIEILGILSRIHQFLKKPVDISALP DVEKWQGEYRELDNLLTSWKFDLPPDYGSMARLFQPGSSRTITCGWVMLHATYHTTVI RLHSSAAYPTTRSPIFTPSYSASQRCHGAVENIAALGEFVVANNMLPKLGPPFAFTLW VAARLLLVHGSTIEHKLSPQIQFFVDTLHAMSRYWKVAARYSTILQRVLDEHSDSETS AAANGEERVTPSSVKILADMRRCAYDLDFLISRQPRHIGALGRLGGQGQPNRTPAQNE LEYLDVFDFFNVPRLPPAVVGGEGLGGGGGGAEGGAGMQVEGELAGANGNGNGNGGEF NITNFMVDANSDWLFKNQNQV VE01_07311 MSNLTITAPSTTTGPAFPTYTFTNSSIASTHIRTTTDSNGDVET VTDITVVHPTDTTGTTDSSPAGPTESTGAGEKNQKRRRMPKGKKRSGIN VE01_07312 MCFYTPTPRKPPNGNSSTTRSSKRSHATSSTRSSPQIHIHSPLP HPTTSPLPAHLYTRPTAPTWTFPAPTTTATATPQPNEGGWANIYLPPTTTPALQETGR RRSSVLVAEIEAIRRLARRVGDAARRGSTSSFRRERRGSTSSLRREKEREKEREKERE KEREKEREKEKDRERDRERERERERAREDARRGEKVIDEEARRLALRNGEAVRELVHE RDRETRRLGASTSNGLGFLNNHNNNNGGGGGPYQDASYRQSTPYIQWSGSHHHGGGGG GGSLNGLPGRGGSFEVPHQGQGSNHIHVHTAPLVQGPSISTPSLGGGYAAAGMGEGGH VE01_07313 MAAPTGAQLIAQALHELGIKVIFGLVGIPVVQIAEEAIKLGIRF IAFRNEQAASYAATAYGYLTGKPGVCLVVGGPGVLHAMSGIGNSSANAWPLLLLAGSS ESHLVTKGAFQELDAVSLLTPHVKLAVRSTLESIPQSISNAYRTSWYGRGGTGFVDLP ADVIQGEGDEISKVIVPSPARAAGDPERIAAAVKLLRAANAPLVIVGKGAAYAQAEGG IRKLINDTKIPFLPTPMGKGVLPDSHPSNTASARSAALKGADVVLILGARLNWILHFG EEPKWNPDVKIIQVDISAEELGKNNGDASLSIIGDINLVTSQLSSGLQNWQYNTSTPY ISAIRASTVKNEATAAKAAQVDTLPMTYGRTFAVIKETLNALSPPENGDIVYVSEGAN TMDISRSVFNVEHPRLRLDAGTYATMGVGLGYAIAAHCAYNLPDPQAESGSSSRKKIV CLEGDSAFGFSMPEIETMARYTMDILVFVVNNGGVYHGDSADSDAWLALQDKTAQGAA GGLRSTSLGWEVGYEKMAEMCGGKGYLVRTPEELRKATEEGFKATVPVVINIIIEAGQ AKKLEFAWQKSTQKKAKNAKL VE01_07314 MSEAPAQSYSTDPHLYIYTSLTAGSSHIVTATSRLETILRANRI PFKGIDLATDEKARMIWGRRAGKDESGRQRKLPGLVQEGLVIGDLVEIEDWNEYGELK QHVRIVGVSSTPPRPPGFGNPIKAAAAAAAAAPAAPVAPAAPAAPAPPTAPPLPTGAK QPIPLAPESKPVPKEAEVPKTPAAGGNSMTLAMRQMGEEAAQRAKDMKKKTLQAKPEV FVGSGTGEAAAKDTKESATTETPQIVEPITILQDPKTSAWNVSPASTPPVSQHALNEM KSLQSPTSTAWKVADISLPVAKSGESATSTASAEKAKPVEKKEVAAEEDDDEDDDDDD EDEEDDDEDDDDEEDEDDEDEDEEDEEDKDEDDDEDEDDDEEKRKKDEGTAKNELKKA VE01_07315 MAPVAKVTKKNLGNVVVIGGCGFLGHHIVNQLVDSYTCKVSVVD LRTTRNRRPESDGVQYFDADITSLESIVTIFKKVKPDVVIHTASPVAIAETANSFLYK VNVEGTQCVIDACRETGVTALVYTSSASVISDSVTDLINADERWPMLRGKFQTDYYAE TKGLAEEAVLKANHTGPSDLLTAAIRPAGIFGEGDVQMLPNMLDLYYNNKTNFQVGDN TNLFDFTYVGNVAHAHLLAAHLLLATARLSVKPLDHEKVDGEAFIITNDAPIYFWDFP RQLWAIAGHKKGTEGVWTISKDVGVPLATVVETSLWLIGKKSKMTPRGIRFSCMTRYY NIAKARERLGYKPILTTRQGMERSVKAFMAEKESAEAKKTQ VE01_07316 MDQAEIPSLLRSLSSEEDALRKMAVFKLQSSINDPSFADVFIST GGLSILRRLIMSTGGNTLAYSLQSLSRLLEVDMGWEIFESAGAGELVERVVELIVTHP LVNILRGAMSILVAIVSHPQTTRGSIRTPGAFGFRTLKPAVAVYPQFFEMVVSQLNSA DHALCANALMLLNALMRDAITNDGGKDGTSKSSGTGEEWPKFIKRLQDLGVVKGAYRL MQSSALQDLAHPLLEFQALTKLLLRKWRDMRVDLERPDHRRALKGIHLASAPEKREHV TQMGRVEEVSDAAKKGSRKHNPEKWRRLGFVTESPAWEFDPMGYLGMMDLTDYVRKQE DNFQKILLEQSSKPLHTRCPIARCSLAVTAILYDHFGADKSETEDAKYYQMLESPQNY DMIFRPLVLQWSRLHTAGLLAFFRLWKATGAVQDDFDKVAELIRILIDKVVGSAPRTK DITEAEEEIAEFEYQRLREVQMEVLKFSFEDQWGHHLQQVREELHNESLQFVKEQRIR CLLQGSWFPRSATRGDSNSVASVRSRPWRFARLSHNRRFLHYADFEAQASYAPPLTEL GEKLDVGTISSVVSNVTAGGEDDTSSISSNTSTLKKEDLSAPPKRNTRNKITINSYLP TESADEEQVERVLLTLDPQTHSLASEWLDGLLMLLNQAPITAETNKLVGLVVDYGLKI RLLNVRLEDGMEAGEGKGLIPSREGLDEDYYYEV VE01_07317 MNVTLARDLSGYANTVQGSTTTSLTNPSSEIDKRHDDETYPDGG LRAWLVVLGSFFLLFATFGFQASVGLFQLHWSLNQLSDSSPSKIAWIPSVFIFLSLAL SIQTGAIFDRYGARYILLFGSIGYLVTFFLLAQCTNYLGFMLCLGVLGGLSSAALITV SQGVISHWFKKRRGQASGLAMLGSALGGITFPLILKPLLEKSSWPMAMRTLGLIVLLC LVPGNLFCRSRLLATSSSAVVNVRCYLDSTFNWLTISAFGFELLLIIGLGLIPTYAAD AGYGQRAGFYLIMTMNIGSTFGRVIPSWFSDKVGRFNMLLLMAVFTLASMLFIWLPFG LNSLVALYIFVFLYGFGTGSFVSLSMGCVGQLCRGNDFARWIGAMDSVVSIATLVSIP IGSSLQSNVGPQAMVWFLVGVLSLSFMACSISRAGYMGYKWRWNAKV VE01_07318 MLRLPGRLGARRAGSSPHYLVRAASVVTNNSRDMLAAGSRRLIS SSPRHVQQAAAASVRVEKHHESNFQLPQKEVVPGGVPYWQKVGIWTDVPEDKFLNYQW QIKNTVQGESKLLQFLEGVLPERLPSPRDPNSPLSQIKTRNDFLNEVKEAIRIAPMAI RITPHTLSVADWSNPFEDPIRRQFVPLRASLLPDHPKLTLDSLGEEHDSPVKGLVHRY PDKALFLATSVCNVYCRFCTRSYAIGADTETVTKNSLKPTRRRWDEMFDYIASTPALS DIVISGGDAYYLTPDQLYDIGIRLLDIPHIRRFRFATKGLAVCPSRILDPTDSWTSAL IAVSNAGRKMGKMVAVHTHFNHPSEVTWVSRAASQKLFAAGVVVRNQSVLLRGVNDDL GTMKALIRGLADMAITPYYVYQGDMVAGVEDLRTPLRTILELESQIRGSIAGFMTPQF VVDLPGGGGKRLAASYQSYDSKTGVSRFIAPAVKGGETVYEYHDPEWSLPKAEGAGKG GNGNMTG VE01_07319 MEPVTPTKSGGGRQLSGSPIKMQSKKGLFVDGVWRCNCSPRMPA SHFQVKKESVNKGRWFYTCQEPKEQSCGFFLWDDDAKSREMRTVLHNSRSEAGPSTTP KKPNSRAEDTERSGGYDTMNGGKVIDLDKDDEDEYGEWPLGAEDEIEAVEAAERASSM KPPETPRKALKTSDFSTPGSKRIRSGEGPWPTPATTATTRDEDVFRTPISARLEPLGL ASASKRGLMSPSASPTPNRRLDFTSVAETRGSRSADYDITAEVLQLLEGQPIEDETIA NLRNLLNRYALKNSGIEKGRDVTRLALKARDAKIEDLQQQVATLESKREMDKAIIRHF KDDMSASVTRRGRGRGRGS VE01_07320 MSSIAMRRFTAGPSVARALRTQARAFSSTRPAARIITHAPLRAK ESSPFLGNQYPVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTVAA QGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVIELENYGCPFS RTEDGKIYQRAFGGQSQDFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHNTNYFIEY FALDLIMEDGECKGVLAYNQEDGTLHRFRAHNTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTMEIREGRGVGPDKDHIYLQLSHLPADVLHERLPGISETASIFSGV DVTKQPIPVLPTVHYNMGGIPTKYTGEVLTVDEAGKDKVVPGLFACGEAACVSVHGAN RLGANSLLDLIVFGRAVSHTVRDNFEPGMPHKEISADAGADSIAFLDKIRTSDGPRST NDIRTSMQKVMQTDVSVFRTQESLDEGVKRINEVDQTFPEVGIKDRSMIWNSDLVETL ELRNLLTCAVQTAEAAANRKESRGAHAREDYPERDDKNWQKHTLTWQKPQGKVDLSYR AVVNHTLDEEECKAVPPFKRVY VE01_07321 MSAHATDPRENSVQDLNASKVAAQPAAPQNAGGNELSGKQEHYL KRELISQQVTAEINELSSPTALQRFGAPFRSEFGEVSPLDSDLPILRYIFVHHVREFP FLDKAKEKEFWQDKLQVFLESFANKRISSSEDRLEETKRRKLSLKAQKLVELMMVSGI PTSSGYEERIKFAEMGVVESGAIEQGVLSTLPEGNYLYGWDVNIAGVRITSVKRNIRH HPHAEFILRIRKKGELPYFTGRRYGDFLKLHRNLRNEFPGKILPAPPKKNKQNSTASN LFGSSKGEDSDSSSLSSVSTQATSAADGAATTTASMRMLAVEGHRRSASGQTLGVDGS SPRNSIDNRSNRSNRSISNSNNTNDHDPVVLPRESQRISLRAFLRTLLGNPDIARSKA MEEFLTHHHITPSDDDVNDIDRRRLMDEKRLKEQKKFYEIARKRAAELDIYMEEFRRD IIETNGLTKLFKEIKVKESIQDMSLQYQKFCEWLRIEVAATIYHLFLAEDNSPEVFAQ VKKAHSMIPYTLIKNAIRIANPAAVMSSILDIFLAQPFGARSLMQRIFSMALNEGVRA YQKSIDSLVKNIDEPVFSDKLRLFTYADEEIKNIIRAESESDQVDLIVSILRSELIEP PLNGPQIAKIFNAYVAWNSAVDNVDDEMKQGAHLFSYLKQLLKLFTRQRDKSMLLGLI EEPVTLQLLRDLFTIFYEPLVRVYKSANVYNSVTDFSVFIDDMIQVVDKCHEQDIATD PNQTVQAFIDLCQRHEHNFYKFVHEVHLHDDGLFEKLMGWIEGILEFLRQGPKGGKLD INALFAGAASVNQVDPEKVKKEVDELIGWQEARKQWHNEKTRQKMAADGTGTQPEAVP GGIAFSSSDFGIDQTDLEDMDYSEEDVDDEENDDDELDPITAERKRRARRQDILRRTA GEPQKPEVSEVHKLQENFVSMLRMVLSD VE01_07322 MSANEAAPEQRKRLSDAIGIGSKDRDLVVGDPRKSLHDLRILSN TTTSRLDNTYYSVLEKLSVLQASIASLKELSLIARQLNEDFDDDSQDVAREIQEQIKA FGDFKEPQTKIEALQARIQTGRDKVNKLGARVEVIRKRAEGWAKVEDQWQEKTRKRIK LFWGLSATTVVVLVGLLIFQYTPARSPVPGIMDGLNAQNIKSGVLDFERGLVNESLTI ETEVSKVLEGLRKSPNDTLEEDPRLKVFDEL VE01_07323 MSTAVADAASQPAEKPAYGMRKNGKQWHALKSAFRPKAGNDTYE KRNAERVAMNVVKAKEKEMKEEKEAERQRRITALKDKRAAKEEKARYEKLAETMHRKR VERLKRKEKRNKMIKS VE01_07324 MDATDRQTGAESPKSMVLEQAPRLQINGASALMDAVEDVLFGSI AGIAGKFIEYPFDTVKVRLQSQPDTKPLRYAGPLDCFRQSWRQEGMAGLYRGISAPLV GAALENSSLFVSEQAAQKLLFASGLYNSDSPLPLSALFCTGGMAGAVTSLVLTPVELV KCKMQVPTATGPDGLITKHQTVSSIIRNVFRHQGLMGFWHGQMGTLIRETGGCAAWFG SKETVSMLFRKYNEKKRGTVDPHVHGSVHPPLPLWQQAVAGASAGMSYNFLFFPADTV KSRMQTSAVNGAEKQPSFWAEGKALWKQAGLKGMYRGCGITVFRSAPASAFIFIIYDG LKRELHFS VE01_07325 MTTYIPSLTIPSGILLNPATSILLPVALGTAVGFSSRPRENQKN YMALKQPPCRPPPEIFGPLWTLFYGLMGYGAFRACNAGLSPLRSPNVIQATKHGATLY TIQLGLNLLWMPLFFVAKRPIEATVDILALTGTVGYMTYLWGKVDAVAGWCMVPYLGW LCYASYLSTAVGYLNNWDLSDKTVDSPPSSKPGHTKFVDEKP VE01_07326 MASYQYSREPPLTRSPTERDTWTSRDDARPSDRPERPDSFYRGR SPVWLFEFTFTSHFLKSGVMLMFIIAATFWYYFECHLLITPLAGADRQRRPRNRTRSP LAVDRYEPGPRLPRDDYGGPRDRDERRRPSPGPAHIDRYIPGQDSGSAPITVNPLADP SKLQFQVGFSYFGEWWRTNEKIKEEKERQKTGRRREPERVRGQRESQEDRDKEKARIQ VAYDAYKEDLQAKMAKTFVQEHKNEQWFQERYMAEVREPLKARLNDFRRLNYTRWERD LDAGMFDEYSLEGIPKGEINSSGALIEKEEGETTATNEVLGVGDLLPSKGSDIRDEDA FLPTLLIKTIAPHVNRDNVVSFCKEHLGEGEGGFKWLSLSDPNPSKRYHRIGWVMLNP GSDASAPSYRPEANEEDGEAPEQPAAPVSVADKALEAINGKQVKDEVRGDFTCHVGVH VPPLMPRKKALWDLFSAPERIEKDLDLASKLINKYEEDFGSDFNAVLKIEEKVDELKS QGLIKPALPAPKKAKAERNSDEAMADVEEGEEDEEDEGAVDDEVDDDELLVKKKQLDL MVEYLRRVFNFCFFCVFESDSIHELTRKCPGGHLRRPRNTLTTSAKAAARASANGEPL PDRKKSDAEEGEAPGSPETDRKFNRNSTASKTEQQLQRAFNWVKTFEEKILQIIEPEN IDVRKLGGRPVEEAVEEEMAKHVKQEDEHKYRCHVPECTKLFKEEHFWKKHVEKRHPE WLEGIKKEFELVNTYVSDPAHIAPSRSDANSNGHFPPANGHQPAGTPRGFNLNSFGMN GIPPLGSFGPPGQFPALFAGHSVQAPLGWAPGGDDRSGGPIRRGGGRFGNPRTGPYDR RPADRRWANESGRLSPPGGRNGRPGATGAGRWGDGGAGGAAPPPREAVAGRSLKSYED LDAVAGGAGGELNY VE01_07327 MTRITPRTCLYPLAASLVVAFALSISLTFRSNHHCYAATCGEWL FPLQARLHVAVWYSWLSLFVTFLAVRAFSPELRRFLRLPVPGLEKYVALSGLLVGVWV VVLYGALIGVWWWRLRDYFVARGHEGGIEKGNGTLAAIALLGHLCDVTLGMVLVPISR HSALASFFSLSVSTTLMFHMATAYTLFGLVMIHGFLYVSWVPTFNALSAQLRMVFPVL NPTYLYHETWPGNTSALGVWRASLIFSGVLTTAIMTLIAVTTVPVVRRKHFDLFYYTH LLIIPGVIIICLHASTIFYCAAPGLLMWVLDWGMRLYELRRRLDGKITTVGNGWYCLT LLLPRHRLDGCACTSPLAHFYLYHSGSSMRQLHPFTTITHLASQNAATPLSEDDFLIC FLFRKQGRTTQTPEPSTLDNRFTLLRYLRRTRTASPAEWTDRLASLADKAALTTDSPL HRDAAIPDPEGPFTMLTSPRAWINTAHAALGIRAEGPYFTPADPARYHTVVCIVAGTG ISGALAIISAFAAQKTRDAENAAETDMCVPGTCSAVQEPVPVPVGGRRMWERCVVVWS VREADYIALPALIDHGISNLEVQVHLTGNGRPRLDVVKTLADVREEERKTWVYLSGPN AFIEAGEVACRASGGVEWFGARWSI VE01_07328 MALAASQANSSNNAFKDKEKPMAVRTANIVAARAVADAVRTSLG PRGMDKMIQTGKGETIITNDGNTMLKSMSVMHPSAKMLVDLSSAQDVEAGDGTTSVVV ICGSLLGAADKLLGKGIHPSVIAESFQRAAKASVQILTNMSHPVSLNDTTTLLQAATT SLSSKIVSQHSNLLAPMAVNAVTKTIDIKTADNVDLKNIRVVKKVGGTIEDSEMVEGL VLTQGVLKSGGGPIRMEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERTYL LNMVKKIKKAKCNVLFIQKSILRDAVNDLALHFLAKLNILAIKDIERDEVEFICKSTG CKPIADIDSFTEDKLGYAELIEEAQNSGSKIVKVTGTKSAGKTVSIICRGANSLILDE AERSLHDALCVIRCLVKKKALIAGGGAPEIEIATQLAKQARQLTGTEAICWKAFADAM EVIPTTLAENAGLNSIKVVTELRHKHANGEVNAGVSIKSGGVKNDIGDENVLQPLLVS TSAIELASETVKMILRIDDIALSR VE01_07329 MPTAKGADWEKYKKEFADDEVEEKKITPLSDEDIQVLKTYGAAP YASALKKLEKQIKEKQTSVNDKIGVKESDTGLAPPHLWDVAADRQRMSEEQPLQVARC TKIIQDEKNSEKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQILLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVDRDIRWELISRLCPNSTGAELR SVCTEAGMFAIRARRKVATEKDFLSAVDKVIKGNLKFNSTATYMQYN VE01_07330 MRRDLAALIAAVGLASASQTVFSIHDDIDAFPQYSIVFSPSPIS SSEAADLLEPPKPSNGAEPSAIPLEYTRLLLHSTPHLCSIPRPPTEERNLTSEALHKA SLALELSRANTHGWELLAPLGKSCLYYTSGWWSYKFCYNDSITQFHAAPPQPGRPQFP PVRDPRTPQFVLGRAKKVPGQDSKTHGKFYDDGSSEKTGGGSDLELRGSQEEDSEYYE DDEIKGILQDNGDNRYLAQKMKSGTLCDLTMRPREIEIQYHCLATGVDRVAWIKEVTT CSYLMVVHTPRLCKDVAFQPQPQAETLEILCKPIIGEEDEEGTIGSSSTDSAPQEPAA SGEAAAETSKNAADILKSLAKERAKDKPLIIGGTVVGGGEYFPASDPPLLQPPSFWAA NTRANTKKPIMIAKSDTKLTPKGENAAAKAPPSAPDSAKLAASLNARLESDGVVTIEI LAHGLGQNDGYHIMNDEDLKKLGMSASVVEGLAETLRSAAGENEWTLALVEGEGVVGR EVRGVIDAKGKEEGGKEWAWEDVEELLFGQKVEDRTGEMGAKVGKEEKKTGAEKKTGT EKKAGTEKKTGTEKKTGKEGKAERQQKGGQKEEEGSEEVYYKDEL VE01_07331 MKLFLFIFTTAIAIISIAFSDTILARIGPLTDLLHRNHNNQTTV TTSSSNSQTTGSTSFEGLPVIPPTEIYGEPKAEAMSVPRAIRKAFLAIQQSEGVGAKV RRSIGTPQLRNFSPFLMLDHFTSSPGSGFPDHPHRGQETITYLLSGAVDHEDFAGNKG TIEAGDLQFMTAGRGIMHAEMPRELSDGSPVVGMQLWVDLPEKLKMCEPRYRDLRASE IPQVKTDDGKVNIKVISGQSHGVDSVKELAYTPVWIFDIEVQPGGKITQPIPAGWNAF AYTLAGTTSFGSGEEKTEVGQFHNVVFEQAGDTVTAAVAADATEPGHFYLVAGLPLDQ KVVQYGPFVLNSQEQVYNALRDYQNHQNGFERAKGWQSEIGKRMMQ VE01_07332 MIPRGRDNDSIQVSQRSNALAFGPRHVFSASSPYRASSVNLKFR AHASVLAQVDGNGPARPRGLVGPPIGVLGVDGGGALLGKRQNIRSLIPPEMNMSARRA RVDGVNVVIRQKRRQSSASVRSVASSIRSRRRMQGPKPTLLNLPTEVLGVIFKDFEQK ELRDVMLVHSALTEAAANLMYHTPLFASTYRFAQFAYTVSHHKHYGDRVRVLDVSGFA QVAQFERQPEAGWREWKFRNHDLYQGRSRLHVPERRPTRRRMTHRKHPQPNPFLEAWA LSRDIPLGGLCHAIQSCQYLNTINISRIQLAEDFLVVDDKYPPSAWTDTIYVSDLPKS WSWNSQELRPIYNIYIIDQLRKLKHLETVIANNGVFLSTLMIQELVDGAHPSLKYVDF EHSGMARAKPWAIKGTREEVVKVIRDMEKTTPRSPDPRRTYLAL VE01_07333 MSSHAIHHALLRPCVLHILRAAGYHSTKPSVLDALTDIAGRYMH LLATSTANHAAADPTELGISIADVRLAMQDCAAIVPEKVWEDQVFDGEEDVRGVEVFV EWARGEANREIRRVALEGGEEGGGDYLMALKKKHDKGDEESRYTGTIIGHEAEPRAIP VEGGDITSLKEWADILHRNAAKQTVSSEDTSRRQSSELSSVGDGDQDMEGMVFS VE01_07334 MTTSQQTTYLNAIHGTSTTLTPTTNANQPGTSANTMGSTTASGH GSHPHDHPRNGAPILPTRGIGPPQAELEGEQMADPGEGAVMDAQLGKKSAGWGEEDSL TREMERKKREQEGERGRWGEERRWGRDVDGGGEARGVDEDTGAV VE01_07335 MPYPFTLPTTSPLRLPSHFDSPTHPSLPQHTSTLRAPLRSSLKH HKRLPPPQRASHLPSLLNTLEAYYPALLALDAGLTPSPSLDVVLTSTPRFSWRPTLTS SLPGTKRLELSSLEYEVFFTLATLSYLHTLLARTALHPLYTTTAASPTPKVRTTLITK ATKHLLQSAQIHLYLAQRADTLRTTSPLTPPALDISPPTLRALSSLAHAEATLLAVLK DDPYPAALAQERNENDKEWMIRAPSIPKVRAHLFARLCLAGAAHAETASSLLAGNEAT EGKLLGRVGAGKVDEGLVRYVDDLRRVGRARACRFLGIDRDLDGKTGEAIAWLRAGMA ELGLSPPSSSSDDASGGKKRSGLGLSRLKSSISSKREEKRVEKSGDWGADAGKAEEAR VLAALETKWVRENDTVNSQHIPDPGALTGEMPSGREIYTVKAWEPVVLGGEELRRLRG PPGGEGGGEGEGGSSDSEEEGGSGGREADRVVVGAFPGSGGYY VE01_07336 MLLAKYVSTENRTPILDITRPVTALHFIKRAAARALAAPASTLL RAAPRTSTPLSIRQSASVISAFKRYNSSTTASSDEPISTDASEQSSVSSAVAAAAETG ASGFGMDSVDGTRPNSRPPMDLQPTNSVYVGNLLFEVTPQDLEREFAAYGEIVSSRIA QDPRGLSKGFGYIEFKDIESARNAIDQRNQTIFEGRRLIVNYMAKTRSTVKPKNPPSK TLFIGNLAFEMTDADLNKLFRDIANVIDVRVAIDRRTGQPRGFAHADFTDVESAVKAA EALEGKEVYNRALRLDYSMTTRQSGGGGRGDRAPRGGDRGDRGERGSSF VE01_07337 MNDITPTVLQPTPVATIVDVLNPTKEQRDSAYYSGASTNGDGSK HNSIAAPQNTGSITPSPSNGYQSSTADMTPSPIATTGHAPQPLASPVSGGMSITSMVT SPTTPSSMDESMLAKLNPAYNAPGQRVSTTSVADSRRESVDGRINSGFEGMRLQGNSP YASNNQSTTSIQSSLQQQRNPGLSVSNSDRLSAPRFPNAYQPNSQRYNVRTAPTITGP AASAVANAAEPTKGQPWAFPDDTDRRISMNPSEIESHATSYLESRRSSIAESMASSQY TTESRLPHGQRRLEDGYPQTEFPQMNRGSSEFHGAAHHHHSLQHRQVGDIRDEEGGSP GSTQPYSRTPELRKSHKLAERKRRNEMKELYDELREMLPSERGNKTSKWEILSKSIAQ HKAQEDRCQNLEQHYRQMQSDNARKESELQRSRDEVAELRAEVRTMRHEFSLMRGDMA QPHAVPVSQAPPPVDNYSNDPYRGHPRQEARPEVGLPPLRSLNTPIPAPFPQQQQQQQ PGDSMTGVQYHQERPATNGYAPPYQPRV VE01_07338 MSTSFTGNPIPGQQQQERQQQDQEQWRRELLELQARISAQLAAC PPLPAASSQPTMSTDRPPIHRRQRSQNEISRSLSMAGNTSRAAFPGRNHVGSMGMSRS VSQHSGGPVAMSRTKSMGAPPSSMSRSFSSSGRTAPGQQMTGGHPSSADIDAWQSHQD GPYTYQQYHQAQPELAQVPELDVGEDPSNYFSRRRTPYLSVTTTDFPPNNFMINNPAQ ARLSPSRRSTFSNPAPNTPSSTTLTTDNTFVSDMSRQSSTCNEALQSFQMMNVHSNTS MFSDISSTDDAFYSGSTQYYPPSDLKQVSSSEEQNLLLAGAGGAGYSQQAPYPLFAPQ KMMSSTSSRHVERMQRTESTDSNISSSSASRSRQQLQRQNQLATNRKLAPKGGSDDDE SQTVSPLVRIKSKDGQEDKFVAQISKTPYQRPKHERLHCDLCDDREGFRGAHELGRHK DRQHKDMVKKWVCIEPLDGVKDEFRPINSLAKCKACTQQNKKYGAYYNAAAHLRRAHF VPKPRGRNKSGKADDKSEKRGGKGGGDWPPMKELKRWMKDVYESSDVVQQDDDEESGD EDVLLADLEDPTMATPASQMAFDQSFLYPVDAPMFDYSSSGGSSFVTPNEGIDMNDMG GVMNASFAQGFSHHGEFNQSMLMPSSQQSSEFDASMVMTADDLSSFIDAHQPHHPSFA TQNTLLSHQTFIDPELASDFLSYDATM VE01_07339 MSTQPPLRRRFLPIPIETTFKSHKQLTIDSIDPSTHDTDPAGED SNPTIRVQLDEPPKRRFLPQPIETTRRSNRATAVKPAEDNFITTTQDVPPAVVESPPR RRPRFAPQLIETTRRSKRVNDVHHTPPERTDVTPGTNHTYQSGGRSRTKPDQHLLSPH DIRASSLPPPLRPYRQGSMRPHPNTRRGTRYNSYHPEIGSPLSDSNSYTTEEEADNAE STPSLTESFGSSEDSNRLQMARTRESCDENYTGYLLTLAAEQAEEQLRERQLREQELV AYVNSDFHDPVSHFYDLESEVGSDEDSGPILLPHEKVARKRSTVSGFTAAELQQHEES LARMRAEETFRMVAEEAAKPSFHDPFWTNGINDKGILAAIPKDEELKVMREAASPPML GEDLIFRMCPSPKFTRFETDQRIEAPPPKKDDGGGLWGGYCVAEDPSQCIAPHHRHPN LLETPDNERDDPFNALNLNDGAGTAAPKYHQCTPSRGMHMLSGLDERLKGEVAKAKLE DAICVEFNDTFVTQVYNYLSLGYPMLAREYDEELAHISHIPSAELRVDDDVKAPVGNV GIKPGTGLTDATGAVGVGTPRWMALKRYIHEWARQHPNLSTNTGEPIAWGVRARRGSW AI VE01_07340 MSDSLYELLAPHLGQTSSASLRAPSDPITSTYLTRLTTLPLSSL SDTEPQSLAQTSHSLLLSLQALSSRSHKAVIASSDHLSSLQTTLPAIAESTTKLQDAI PKLDEEALRFATTYNKNTENAILDRRRKALLLSRNSDRLGDVLELPTLLSSAISTSGA TSSTNNTSSSATINYASALDLNAHIRRLHGLYPESRLVSSVTVQAEEEMQAMTTNLIS SLKAQGLKLAAAMRTISWLRRIAPELDTPGSEVGSSHEGSLGGLFLVCRLANLMAMLG ALEPLRELADQELSNNASSATKKDPSTAWSGGQQTERYLKRYIEIFREQSFAIISMFR SIFPAAAEGEVEGVGGKGKGDSDMLQPLPSSLSTFPLHLVGVLLDTLKKYLPNVQDRA TRDSLLTQVLYCAASLGRLGGDFSMILTFLEEEGEDTEEWVDVIRKHRVLAGKLESMV GARES VE01_07341 MRDFIPPIPFLAKAITPFSEYIGATTLPLHIHEVVISYFAYTFI NKKVAPAVSTWLFPQKYPALSAEKKFNWNVHVVSLCQSLLVNSTALYVILTDDERNNM TWQERVWGYTGASGMVQGLATGYFLWDLVITIQNVKMFGLGMLAHAVSALTVFSFGFR PFVNYYASTFILYELSSPFLNFHWFFDKLNLTGSRRQLVNGILLLTTFFGCRLCWGTY QSLRVYQDMWMALHHTPGDTYGKVDAPNHDEIMKYTKEEFIPLWLAVTYLGSNLVLNA LNFYWFAKMIDALRKRFVPAEKASSKPKLAMTGANGTVKIEADETTVRRRNVPLSEAD IVPIP VE01_07342 MASASSSQVEKEVNTLLHQQEDSAKHGRPADPEAVDTIITKLFT SNIGSIAIRELSQRLILLVQTPGFVLSDRIEICTTLLGKIEQYQASQEEQIAELRMIL ANTYEALEDFHSAAQMLAAIPLNSSQRKISSEDKAATLIRIVRLHLECDDPTSAETYL NKFKNIMHEVTNPTSLIHFQVSQARIQDSRRDFLAAAKGYEDISHDPSIGEDEQLHTL SMALKCAVLAPAGPARSRALKRLYSDERAPQLEEFAILENMHLQRVIAPAEISKFAEG LQEHQLARMSDGLTVLDRAMFEHNLLAASRLYANIGFGPLGELLGIGGEKAEEMTAKM IEQGRLGGRIDQIEEVIWFEGAREGGGGVLRQWDFNVEGLAEGVEGIMGQLQAKHPEF VVKNLVV VE01_07343 MATASPTPLGSSHFGNQQMRRPSSRQALRQVPPARPTFVRREAA AAANGNLAEQLPTSKTRRYSDHDSSDDDLPVPMKFSALTNALLNDEASMLGSASPALA ANENNLSGSTAVAQRHTYTADPPAHEQMARERTASPAMVSRTHSPYPRRVVRLSGTPG SASLRRTTSLSNSVRAPSEQVPSRPGSPLDLSTPAPYPRTVRIPITASSNHGSSFGSS GRASNRVGSGSGARSAALEQEEMEDPATIARPYNATSLGSVTRYGNSTANRTRYGEEM GMQSSMRVKRLGKAGSFLSGPARRGKRRQSEEEQGPGQDAEGAESAFSSQERQSQEPE NQAAEGHDAGSGEAASSQESEAPKSSFYSASQYRDFASGSPMSGREALKQARPSPPMA VYNRPADPVVEQARPPPSDNAPPAQLAQPVFKLPAPRPEMPSSHDQENEAPPTFKRNK APPSVLLDKIDKVPLRPQSSDLSAMRGTVSPVRQPLAARSQNTPRRPAPPPPKMSLLE TATATAGAATTSHSSKKRNNIRVNGKSYTRLEILGRGGSSKVWRVMAENGKIYALKRV SLEDADENAVTGYKGEIDLLRKLEGNDRVVTILDYEMNDEKQMLSVLMEMGELDFNRI LSLRYNTEDAVFDPSFTRYYWREMLVCIAAVHSHDIVHSDLKPANFVLVKGLLKLIDF GIANAIQTDETVNVHRDTQVGTPNYMSPESLMDSSELPENKAPGAMRGHSSGPRVMKL GKPSDIWSLGCILYQMAYGRQPFAHISNQLSRCRAIIDWNYAIEFPSRGLGGVTLPQS LIRLLKRCLERDQHKRPTAAELLSDQDEFLNPRELPEGALPMTEELLGRILCSVVGKC RGREVGEGEVMGVWAGAYFESLRKNLGA VE01_07344 MAKRKITVPDHPLKRARGDQTAEDLTSAKSVRQQAEPYRIITAK FPIAALTASWSVGSNRPINSQHVHRLCQIFMEQGLQREAEENRLLIACSRDEVQRMID HLGEGGDRMGTPPPWPFFKDWEAVNGRGAEIMVGQHRVEAVKAFLRKLEEDPNEGSHW WICDIYDKDTLPPRIRTRLRANRVGHTLPDNHGQIWMELATLAEQDKTLFQGSSTGVK KEMLETLGLSGCVGFPIRRLVTLWKNNSWRDMITRWCRTGVGRSTFNISLWDNMARFR IDDFWFDPFDEVLKTISKLSGGLDKAVHLSDWAALVRLPRPCSSSNMHDLFYPNAPTD VLANLSDQGHLYRRSGFLVGLEHGHYHNAARYVLGNAGQEYIDIQALLRTGRREGKIL SEVISHVTRWINCEPTKVIGRENNKPQLWQNFIPALTIEHNSQAPRKSRKLQRDLFAT VEGRLDQLEGSLGGDIGDDTYLERFKSPTWRDILITVRDAAGGQLQENLATLRLPGPK STLYEQEPALIKALSFAVGGLPWVRDNPALRANGCIEELMRHISPIVIEWAAQNSQKG LTAQTTGKVPRWPLSIKELVEKQLQALTRMSVSPTAAMESEENPSVRTPPGISLAAGV KMFKHSSAVGWACRRREGAQRGTNRWHERVSNNQIRLVGSPPPLGTCKDSGSAASPEH SR VE01_07345 MYLGVGQRRCITLVLSAFIAIVFCASYWQWSGGEHVRLIPSFHD ADVAGAGKGGVLTKPGTAKELSPDEVVLAEYDEAPIREMCANSSWADSRNVVVNCESR VGGVGNVRQEFLVCVRQAIEIGASLIRPNIMLRSEGLIEYQNGPVQNMSYLFNLELFD ARLRSACPYMPIYNDLAEVEHVGEIARVDRPRNLPEEQGVQLSFTEWARLNRQPEGKI TVITMPRITGQTPVCDDPSPFVANFGRLVEFRTDTQRLASTILSALLTRFSPAFLPYY GLANNTFIGLHFRTEIDAVNVGYTSFEEQTAAYLSFVSATPIRAIYAASGNTTSLSLF AVEAAKLNPPATVVAKGDLLEGKDKQALEALTWDQQALVDYLVLTKAAQFAGVSDSSF SWGIAYARQVVSAEAGTCQSVGGLEKGVQFRDELSTVFGRPRDWHINKLWP VE01_07346 MFIFKENTLPHPALAMYSLWPLTFLAAFSASIDALNNSYFLVPP GGGPFGNYDANSKYEVGMNLNIKWQSDLETTDLVLQQDYPATRKAYVLISDTKSKSVN WEVSFYNFATSDGNGNDAVFYLTLFGAGHDDTIITTCHYFNITVPKVSSTTSAPTVNP TSTSSSPSSTSSSPSSSSPSSSSLAAAAATEAAATPPTLEPEPNPHPGPSTGTVAGIA VGATLAGLLVLGGLGFLVWRHFSKKKSTGQCTLGHQAPPPVEEYYKPPVAGRGWNQFS PPPQTLAEDPRGLYEVPRQPLSRSQGPNGIYEAA VE01_07347 MKLPWKWCTPFLLRLLALSQETIGASASAFSNLGSVHLVGGFYV GLAASPSNVLAGSYSQPRPHVSLDGTTFVQLSSHELSLPIMPLHLLGKKSWNVYNADN IEKVKRDEAIAQAKEEAEEQRMQEIDAARRIAILRGETPPPLEIDEAHDESGREPRER GPAREKKKRKRAGEDDTDFEMRVAKEQKISASEDTQIVLRKPTTEAPLLDESGHIDLF PSERPKAPKDDTKKLQAEKELAKRKKEYADNYTVKFSDAAGFKKGLESPWYSSGKAGL AVDEPLEVPSKDVWGNEDPRRKEREATRIVSNDPLAAMRQGAAKVRQVAKERKQWQEE REKEMLEMEKASSRRKARDDPDDELEGFSLDRSEDKSKSRHREDRKSSHSESRRRSHR DRSRDRERSRERRRHRHRHRSSDRDRDRADRHRH VE01_07348 MFNRDNLFGKPPNAGRERQPSGPPGQRNSPHDTQMGGYEDPRGN YGGGGQRGPPPPGPGYPSPQQNRGMPQRTAVGRNAGISKQLQLGKVEDKTTQDRYVYG NITAVSPQDFPPSQDGGDLYILVNRTHVVTARPTAGFPPGLIGLTGPQRSWCGISLTE TVLAELYDPFGEQGGQSYLAAMDVEIGFAARKTTETPYDQDMLEAYFNKLFGNQVFAP GQQLVMDHKGIVLSLKVKTVQLGNLGMEKPTASSGQVVSNPQARGILTTQTNITFYKD ASSPIKIKASAKKPPANSIIAPDFKFEDMGIGGLDAEFGAIFRRAFASRIFPQGLIEK LGIQHVKGILLFGPPGTGKTLIARQIGKMFNSREPKVINGPEVLNKFVGQSEENIRNL FADAEKEYKERGDESELHIIIFDELDAVCKQRGSGGGGGTGVGDSVVNQLLAKLDGVD QLNNIILIGMTNRMDMIDDALLRPGRLEVHMEISLPDESGRQDILNIHTMKMRDNHVM DNDVNLAELAALTKNFSGAEISGLVKSASSFAFNRHVKVGTVAGVSDDIENMKVNRND FLSALGEVKPAFGVSEEELSTAVRGGILRYSPHIDYILQDGELFVNQVRNSASEPLLS VLLHGPSGSGKTALAAKIAMESEFPFIKLISPENMIGFNEMAKIQYLNKVFSDAYKSP QNIVIIDNIERIVDWVPIGPRFSNLVLQTLMVLLTKQPPKGRRLLIIGTTTQRSILHQ LDLAASFDREMAVPNVNTIEELERICQETVAFDSPIELQQSLSVLRDTCGSGSVGVGI KRVLLGIETARQTTGMERVNRFAEVISSMRASGVME VE01_07349 MGEAPAPFPIAQSAPGTMMAEGLRQRQLDRLALRGSPTKALRAT TSAEDVKNVTSFPAQPFARSDVTMPGTGDMAKESSKINRHNDDAFETQNSPIRSNGSF GEVKRDVSAENANAVIGGKQFTISKVGNNGRIYLRPRIRPAHQRYPQPPFAFPNSSPN TAGLDEAHARKERRGSYRDTMLSADSSMSTPANPGLYDTNSTPAQSSTNRHRRTHSYS TVDDQRSAIQDSDLGAFKVVIERPSADGRRPKTSGGHHRTPTLEVPIPSYKLGTPRFS NRGTPFMRGSSYAGTEVEHRSSFMSQRDTDMLARMRRSNLPRSSHSMRQSVVSHPGDV ESTYELRLPPTGLLRTSPNIMPKGQIVPEMYDALTYPPRCEDRSVIRRMSETDMITAA TPARLVAEVTQELSYELVASFFITYRSYISGSDLLLLVMARLEWGIDRAFDGLVVTVR CFTVIRHWLVNFFADDFVLDYDLRVQFCGLVNTMVKKQALLRTDSKTTNDILSNIKRL WRDSCAVYWDGPEFACDLDALVPIAPGGIAGSRNPQLTPKFWDDLLLSQTPGQDFEDP TLSKSPMVDLDLLHDFPAAPSANRFFATAPPEFPIEFNKAQLQQASLPRGNRTQLPYP EPLSPRSITSVEALSCSIPPLSSKTGQFSAGAAMGAHPVTAASQSDSTLPVAHTPKAL RPKSYRPGQGFQRHRSVSNSSRPDRNLEADAEEAANGEAGLTLVDRFAGSLVRGLVFP PGHVYLPTAEHSENRPISLPNKKTGAQKQDTNQQGLGMKRMFGTVRRAVSTRADNKPE PIPTSPLTLGFPGLSLPVDDIELASLGLGGMATEQSPLQPPPKPVTMRPDILSKFVES DFGKAIEQHKINAAKANESPEPWVRRINVTAGSDFLRRSLDKATITACSTSLSGLVPG HLDSPQPRENTSFLLPDNEDAEQTQNNTSFLLDDGNDGYVDRDIAMMSGALPSHPSTD AFTDSFNNRSVAPTPPVTPPEIFLGSPRRSSHILGNYGRPSPTAQVPSLTLDMLSPSS DFDTRPFFRPLNNPSMHSRAKRHKHRRSTSLRRIASFHSGYTNQMTERSFDATSISDS VDRASVGSTHAPGNRVLRRRPGGDLRAVQNIGELPLRRHRSSGSLTTYSDSIRSSYLL GGGSTSYADVRGENDRAQSNPRIFSVGALADPEGTSKPRISLLSTSSKPALRPSFQAE AAKLAQIPDDTDDDGGVEAALLKLEGRYEKRKSDISSQSLSPEFIPPPRQETYDNDDQ TTGELIIEAEKHQHKHNHVVEEAIFDNDGASEVDQPGQRSCFNSQYELQPTVYRPPVR EVPDISRESQNSIPLLQRDGSRYGSDDDESRNWRNTSVLLGPSHDRETVDHHGAEKSE TLVDFVNKTESSNQIPAGGTLPRQMSVNESFLQIGDDNDANNYDDYDDNHSDLSSEMS LEEVPTNDFLGNPSSNTHPPIHPGAIITEVEIPPISKQTKEPSMTMEQALLMSPTGGQ YQGLFPPSPDITPTYGEGGKDIAMRSWYSDDHLETQQRQNINGAISTGPLYSEEHMPF ILHFDPKIVAEQFTLIEKEALGEIHFRELLEMKWSHEAASACQSWPKFLQILQQMEED DTVSHGIEICGARSSIMTQWAISQIILTQDINERARTIAKLIEIAVHCRQLGNYATLF QLTVAVSNPVIAELHETWKHVPAAEVQSLREFEELIQPANNFRRLRDEMESVLGKRAC LPLVVIYAKDLTVLKDMPSYIASTPTDPPLINVSKCRAQATVVQLFSRYLESSTGYKF QTVPGLTDRCLWIAGLSPTEIRSRAERLV VE01_07350 MTPAPRRRTRATGFSPGGPRELKDAPRRHARGGRRTTAAGKAAP KASSRTADPMDFDKVEDSESGADAPASPRRGRGQTRSLRARTRSSSPLVRTEVPDNTT TRSPLRDADAASQPRRARLGRGIGKHARVEDTLEEVVGPAEETGGESSRSSVARPAKK LRAGDRGGEAIRRQMEREKRLSPPPTAPAVTTPSGVRASVLRVGASALKYLGFRTESP RPVAEGDGVSSPSPEVSSHEAPLSTTESPSGQEVVKSTGGPVQPDGGALASAVLHERS GEDLIREQRRAADLRKLLKLGRRNVVPLKAPSECGSDDEEAAKAAYRFTEELRPVSLP SYDASTRYVGWAEGNSGMLVVEEEESDDSNEDRKGKRTDHCYSISNLSPSAVPSVPFS PSAAPAVPVSHSAGPIIPVSHSAGPVIPVSPSAAPVVPGPVVKPGRKLVRIPIRDANI LIAVKAALGWFNEHSDTVPTPDELVGVLGKYRFDQLASTTGRTYRAPSPSDPYEDFEF ESDTGEPIDRCDGEPLPPPRNPRRRKITARSAPDFSGPADGHLPVSLQGLPFDMLPKE WQLHKKSWKIKQRDLIHEAQLADRRDRGIDEDDYQMGLRAHAKQENQRRLRESQLVAA GKAVNDRIRLEQLKREKRALRAIAEKKRAEAFMAASEPARFLSQERVGRSPMRGSPVA RAQRYASPPRVPTISEEADEEAAAATPRPVPKMGTDKPERPSPAAPAPAPKTADEPAP APMVANEPASAPPARRGGKKPRVNPPEWAGLEINPRTHLPEGMVGLVYGVNYFSDSDD YSDEGESPTPPTPAANAVVSDVGVDVGALVDSIPSHELQALFASPDVGVTDFDITSIV DAIPRDEFEALIGIPLPSAPESHDNFAPANFAISDDVMAMVDNIPDRDFDEMMGGILA GCSSAGSDMGPVGVSS VE01_07351 MPKTPQSPSGTPSVRQHTHSRRPSNSRRSSSDKKESHASSSPKR SPRDAPILRLPEIPSGPSSGMATTSKGNEVSATGDTQTGHRASDTVPEEGGAPKKSPQ PAERNGKEGPPLQGKGGKNSSEELERLREAMDFQLRLTQKREKLVKPELERGEKSGEK AGGKSRVSPIHEEVTNSPSLEAAFASPMDTATSSTESVKTVRGETPAPLATRTPSYPF PPMKTSSFLGGHKPFTTLSPTVVPGRDLGGSYMDTREQILSGSATPSSISNFQPPEEN YQKEDINFPNPNLYDLSLLLSAEPGLDPWWNSVVEIMRDLYKADRVTLSIPADSTDVE NVPWGQKATYSAAQEDAFSLSYLPRGSSLGPSTGGTYNTSNSESVNADEPGSFSMPPA SATHPGLPSRHSFTTIDDTKGQPQSRTDAAKQEARPNPQLSRSKSYLGGPSSQHPRAG AGNSAQTLRDVQLDLQELEGQQAEEEARRPPSSWESHDTSARETKGRVFPVLQALDFE ADSLIDSAGVTRVLERGKVIALTRDFPFIDSNEADLNASKAALRNSKLHESIGDSSSK GRPSPLSRSQSAMNTHKSPWHSGRTAKGQASGGTKLSGLGLYAQDLGMDPSNLRYEEH EQAPPSPWSQSPAPSPAVRADPNENPFFADAKIDEESFNPTQTPQDYSSTQQLESIGI DRSCSVLHIPLYHVLLSKSVQTFRLDSTIMDSRYAGRGKPSKTDETETTPKAQPAIRE KKLPIAIISILSPIIPYPSNFRRSLAHLAPHLATSFSLCRHYTNLENEIAGLSRRRPH NSGFGAVAQNVNRTTNERTSAKFSPTEDETFQTSGAGSLTSPSDYSGQSRSVHGSPPG TPLWDPGSVGLFMDRKPSANSPGFFGGGGGDGYFGAKSSAKTRPSVGRLDTGSAASVT GARRSSKDYSPPDARQALKALRDEKEASEQAAGDQREGIYSAKSRQESDASSTEPQMT GTARHVRIEGAREQGTDTGEARDAGKNRAGGSESTMSPRRIALRTATSSQNINRSERQ HTQLHSYGADFASTFQSLQPTSMTTPNKASWALGTSPPSRSGSMYGPVDMPPPSDRLK TLMLDTLPAHLFVALPQTGEIVWVNNRYLTFRGQTVTELHQDPWSSIHPDEREDYLKA WTHAIRSGEQFSMQVRIRRFDGNYRWFYTRAVGGRDTRGVIVQWYGSYMDIHDQHIAE VKAARQEEIEASEAKHRLLANLIPQIIFAATEDEGITFANEQWLSYTGQEFDDVLGLG FMDFVHPDDLARCRIPTDTRPTPSSRKSRRAFDAAMPPSPEKVSRTTSHSNVSTATEN TVKGMHQALSRTNSSSSESVYELPSADLSELARNGVIKVTTDSNGRLSYTTEIRLRAK SGEYRWHLVRCVEVDNINFGSGAGSWFGACTDINDHKLLETKLKEAMESKGKFLSNMS HEIRTPLIGISGMVSFLQDTVLNEEQLDYTNTIQTSANSLLMIINDILDLSKVDAGMM KLSYEWFHTSSLIEDVNELVSTMAISKHLELNYVVDSDVPPMVKGDRVRIRQVLLNVI GNAIKFTTEGEVFSTCRVYHDNDAFLNENEIMLEYSILDTGRGFTKEEADLIFKPFSQ IDGSSTRQHGGSGLGLVISRQLVELHGGHMDGTAVPGKGSTFIFTAKFGLPTEDDHPE PPTTPLMKRTTESQTSSAPEVSSTARPGPSILNSGLTQSPPTIPPSAENAATSPQNIS WNSSNPSSPSLRTLATHSSGSSGSHSLCQFNQSARTGSQDSTTMKLSPPESRQASTDH SVPSPQSSASSLPLPEHTKLFRPPLYSILVVCPQTHSREATKKHIEMTLPKNIPYQIT PVASHEDAQKLLSGDDPIIFTHIVLNLRLPENIIIMMDQIFHTPSMSETSIVILSDPV QRQSVMKKATYHDYDQLAKHGRLNFIYKPVKPSRFAVIFDPDKERDLSTDRNRSSAQH VVASQKQNYLDVEKRLGNKGLKVLLVEDNLVNQKVLLKFLSKVGIHVEKAMDGVECTE TVFAKPHDFYSLILCDLHMPRKDGYQTCREIRSWEREQGYPRMPIIALSANVMVDVLD KCVSAGFNSYVTKPVDFKSLSKAMIDLLDPVIT VE01_07352 MGPLLHLTAVWLGIISIVLVSPGDASPTSAVNPASIAADQLAVP LEPALTTPNGTIIKVVATNDDKPSLEQSFLYNATNFNIKTRPRDAIPTSADEKTLLKI RNEESVLKKRREEQYAHAPMSDQISRFRRGPRTPLIKRAKAKMMIVGDSISQGMEGDW TWRYRLWEWLDSQSVDFEFVGPWKGTREPANPAPPARPRMEGDPLPDEPPMVYGGYAD DVNTRFQQSHFALWGRQAAQTKGEIQKMVADYQPTHLLVLLGFNDLGWFVTGPDGTLA SIKSIVDNARAANPNINIILGDVVQRKAISIRADLPKITDEYNALLRDAVPKWNTLAS PIAMAYIRDTYSCETQACPSGYDGLHPNARGEYQIARAFSVALFYGFGIGASPLSIPA NFPGRDTPVPFNVVASASPYGITVTWTRIYGARKYGVRSRYWGLTDWEESSVLTNRID NTWVINGSHYEYQIRVDNEFDGTSDWSEVVDAVADPETIRGPSIIKTLPTATGAQVSW NAVEGAEFYVALLFDSNTPGSWLSEVVVKGLSYTWEAQNVGRRHVVAVQAWNSVGGGL PAVGRSVIPGTADNVAAPTSLLIYSLDPTTVKVYWCGDCNQTSYVLYIRSLKTDLTQL GDWKGSNVSASSSTTNVASAEVAFLFPGVWNYEFAVSGLNGDLESPMSYGLQAAHPPD SVGSGNCEKYCIMALPEPDPVPETKPGTLPYNPVLGGPDRDLSDQICAMTWQTTNPPT LEKAIIVSKTWSDSRAGEYLDAYLMKLDNSIDKTDDWFVPFVKQSIRSCSAQENGGTT VDCGFIDASSCTIDASPKCSDYCPPESMFIHASVVNFFTAYKNFYQGITQHTLVTLAA TVTDISNTFAPIDTSVQTLFSILGGVLGTMSSVGWLVGAGYNSANAGGAAISVISGLI SNTQLNGADQTDVKAELSTILGSTLEKVFGKINETVSNIVNPGEGKKNNTLIESVFAN GAFLNNKAISFSVNAMIEVYNNTMNQYLVVTAMKSWSTEGTYGRGYAILSNDGDTHGY GDEASCIAIGNEAMIWYKNSCVGFGTYANLLPGNTAVTYTDFLDSGMITELKKYVPDL RLAIINSWECYVDPSKKDPETEPGTFDFTNLGSYPPCFFDLGGKYIHPTNFG VE01_07353 MRSPRTIPPPKTTPTTTSNHPYTLPSQTSSPLTHYGPHHIILPT GSAPRPVAYPPLNKRHIPLVPASGFDIPPKGVAAAGKGEKESDAAGSMSSDPKMSQAT LELLRKARKMVPPMLEKFHKGQMGRIAVIGGSEDYTGAPYFSAMASARLGADMSHVIC EPQAAQVIKTYSPNLMVHPLLRSSRHATTSETSSSLSKSIIDLLPRFHVLVIGPGLGR DKLMQDVCASVISEARKSGLPLVLDADGLLLAQNRPELVHGYRECILTPNVVEFARLC KAQGIDPGSFKGEGEGAAALAEKLGGVTVLRKGARDWISDGERTVVGDLRGGLKRSGG QGDTLTGSIATFLGWRKAYLDKLWEHDGELDKWELLQLAAFGGSCVTRESSRLAFAKR GRSLQASDLTDEVEVAFRNLFEDDNAGSDGGYIGKGRLSKV VE01_07354 MTRKAFIADVATARNSTFGDIEGVQIGDEGEDFTFVFTTVSGDS VLIKALATDTSAYPKGNTYFLGVESVSAPPAFERELQSIQGSFLGLTVQGLLEKTAAT LRSLATGSEEDPLYVDDDDVIMGGSFQDDHATHHGYGGQEDAGDDEDGDEDEDDDNDW SPGSPFSDMFMSSSKVTLDPSILRAIQGRIRQDVTRAKLAGFRIGILAGMRADSTDSI LSMSIKVAHLGLSEQAIEAWDLQPHQYIVLLIHYAGPYVTFQKVMDVAVRQLQIEFRI GVSNHYKPCLSDAVAAFSTSRLQASDILSNTTELDENPEETADRKFHALFISSPMNQL LNDRFLSLLKIRKNDGLGWEGAKRAYNMYQSRATMSSRQLVDHSTADEDITKEDLPPI ASIDHLEDSEDENYSLPLIAMQFSLRYLIRCTEFCLVCHSKTEDSFEALKPYVCSNPL CLYQYMALGFGPSIEHSVATQPYVVDLLVSFAYASAHARSMRKYPTGMGLVVAQPVPN AIVQFTAGAPSTSPPKDPPSGESKIPGIHVVDFILGKKEVVMDAEDPCDVVVGDWVEI TTQDATQEPGRNVFHFRVASYMHPHMHLINPGLEYDNASSGGIVMPQVIQENGLGGVP FRKSTTASPKDRIRVKLAAFKVKFDELTALQKDATILSLLRNMPSIDDMKAYLQATKA SGEPSFRKWTDRMCPAALGLLRWIVASNLSCIVQVDRYPGQPEADALSNNASRLGQRV TNLEGWVQFRFAQGAPDKEQKFHKALSLKKGSISEEFPTLFAWHGSAVHNWHSIIRSG LDFNQIANGRAFGNGCYHSQHFQTSLGYCNSRSGVFPCDAGLRYWSGSNLKISQAICL NEIVNAPLEFTSNNPHLVVQHVDWIQCRYLFVLCGDVPGAAVKGNMPHLPIDSKAIQY IKQDPARKVTGVNGEVLGIPRSAFPPSRTFSIENGGIWAKKPDPPGNRKKKQKHRSLT NIFFKNRADDDSDLTDDSDLEDINFLIDDEESHSKVKANGGPQTDFIPGSLDRSTITL LGSPSYATSAATNMLARDLQVLLKVQSATPLHELGWYIDGDSIDNMYQWIVELHSFDP KLPLAKEMKDAGISSIVLEMRFGKNYPMSPPFVRVIRPRFLPFMAGGGGHVTAGGALC MELLTNSGWSAVSSIESVLLQVRMAISSTDPKPARLTKGGRGDYGVGEAIEAYLRACR AHGWEASNMDDFKSGVASGS VE01_07355 MAWDSESARRRQSNIASPQRVESPAQSLATETYTPKSTHVADPT LNPFLAPSFNAADYLNATLPPLKARTSTQRVSKEVPLADLSTQTQTLLSQLSAHTTRL TNTLTQLTDEIIRSGSRLAYEVEVLRGETVGLSESLTEGLHEDISKFVPGGIDSSPGR KSSGTGGPTRRKSSTSLDAKAPTNTTQAAANGDPPYIQQLRTLTLVRGRLDTVIKTFG DAMSWTFPPSEVSVSSGFLSVSAAEPGSEAQSIEEKGQQVSKKLRDEIADILIRSDDP VDNIEAAAKRVEELKELSAVWKGTAEEKARVRFVESLAKMVEDRHRSLLREQQEQEKG ARNKPEVKATGTIPTVSATDSKSTSSYGFISQLQKMRGGI VE01_07356 MEDDSDIVPGGGSSRPASSPIVLAGMCISGLCRPGRLRGRLAIA LLISMLLATAYMVRGNTDHIKNYVSSSLSSGNSKSKPEESKWGIGGNNSSSNGSIDGN KFVLIIPVRRPSVALCKTLVSAAILNYPPPTLIGYGNDNGNEADGGATTNTLNFLLSR QIQDGDLALVVDERTWFQLPGQVMVDRFDSHLQRSNAQLLAKYGAGKFKERILFGAEK SCIDHKSEDTACYASPLSPIPKDVYGPSTDVPPASNYRPRYLYSPNMMGRAGDLRDML QYAQLKLDTRTSKESTQYLYSEIFGDQELKREKVRRDSLGPISAFKEWLIRNNPKNDP QTLLGIEKLDLTNTGSANNNNPDFGIGLDYSSSIFQVLDNSVDDIQFVTFNHPTIVNS PSRISASKFSQPIYLPQDLNSTAPPFKLHSTPVQGPVSDLPLLEVDKLPVNKPWADVA LATNIIVPGTEIPSSMSLFGAGTPGLEDKWWKKMWYQPKARALMRRYMRSYTGHIAAE AAARGGEYWWDFRGGKGGIWTDKGEFLEWQEVCGQYDEAVFGDKMGKLGEEDKVAQPV YNKFGKIIADKSPQ VE01_07357 MATSQSPILSPVMDRDDNAPPVQPLSKRDKRRTALIDRLNDITM QFSANKDQYYREQLGVVQQDIALILHAIPYVEDPTKESTVELLAVIQKLTRGDPRALQ SVKEGDLQGVGGRIYHEFRDEIQDAMERRDAALSAYAFEHKSNLSELNTSSAFLTKLA GREHSALASTIRDRLINSITERKRKLNRDMTSNEGIDNSNAYHYHPAQYSVLNPSSPG GVLGKRSSRHRRDLDDLPAMLDPTKRKRRAVDDGRASPVPSRRAPIDLHQIGSNTPLN RFTEKAQDPEYPLYSVDKLFTEKELSLLERQAAEAAHKYMVRHKFNEAATRSQSDSDG SRNGDADQRNNDSTPDSPLVAPAMDRTTRSTRGIGGATSGFIGSTGIEVIADLTLPST FTGQLNQMPRLPPPLYPSMTKFFGSTKGDNMRPNLTEGVAGDELNQDMNRIEWGKRVN SQLKPGASLDQDVETDKGTIPGDRRFLAAAIAEPGVYPVWLSGKKREERIESEFGVGK RAAARLGLDAEEVGSTAMSKQSSRGGSEAGGVEMSREGSRRKAKNN VE01_07358 MTLFILTETSAGYALFKAKDKKLLKNENLATEAGTAEGVCSMLK LKTFQKFDSAAMALEEVASLVDGKVTPMLAKLLDSIKDEKKASLAVADPKLGQAINKL PSVTLTPISDSASNELFRAIRENLPALIPGLLPENISTMSLGLSHSLSRHKLKFSPDK VDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPEMARIINDNLAIARIILKMGMRT NAATTDLSDILPEEIEAAVKAAAEVSMGTEITPEDLDNIQLLAEQVVGFTEYRQQLSS YLSARMQAIAPNLTELVGELVGARLIAHAGSLMNLAKSPASTIQILGAEKALFRALKT KHDTPKYGLIYHASLVGQATGKNKGKIARMLAAKAAIGLRVDALSDWSAQGEGKGDDV DEEERSALGVLSRAKIERHLRGLEGKPLLPRGVAVGPDGKALDKPGKWELKEAKKYNA DADGIASDAPAAVEEKKEKASKKDKKLIQEVESESESEESDEDVEMEDAKSATNGTPK KSKKEEKKSKKEKTPKKGETDEEKYGALAEAAGISVAKYKRKAERGEIKLNADGTPLV VSKKDLKKQRKAEEKAAAADGSKEKKRKRDASEDAEEVKADKSEKKEKKKSKKSKA VE01_07359 MEGLFFNVNNGYIEGIVRGYRNSLLTSQNYNNMTQCETIDDLKL QLGPAYGDFLSSLPPNPSTSSLAAKTTDKLISEFRYLRANAVGSLAKFMDYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNMEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFVTTDSDIAGTPTSEVMSEMLEFEADR RSINITLNSFGTELSKQDRKKLYPTFGKLFPEGILMLSRADDIEGVRLAVEGNSDYKS YFDQTGLGQGGGVGAGNMSGGAGSDTKSLEDLFYQKEMEISKNAFTRQFTYSIVYAWI KLREQEIRNITWIAECIAQNQKERIGNYISVF VE01_07360 MPPQIPLLARFGALSLCARPAVKPTVASPVSKISVANASTTTRR HRDPYALAQARQRKAANVKRRDELNAERDAALGDPVRGISTPFVESFKTVTPIQGLDK DTTRHLNHFIKPSEVETALQHSFILTQPVISENRDIIDPELEAQQIRKHAEDHERATT AVQRILALENGNQKDRTRANIRRCIDTFGRHNTDGVLRPRAAGVLEAQSKIEKTPRAG PDTGSSEVQIAILTAKIQVLADHMLLKGRQKDKVNKRNLRLLVHRRQKLLQYLRRKER GGDRWQNLISTLGLTEGTWKNEISL VE01_07361 MAPSQLPPIFNATSQDIEMLLAAQCHLGSKNMQVHMENYLWKTR PDGINVINIGKTWEKIVLAARIIAAIDNPADICVISARPYGQRAVLKFAHHTGAVAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTEFVD VAIPTNNKSRHSIGLVWWMLAREVLRLRGTIANREADWDVMVDLYFYRDPEAEENKEI AEEAKVPGAEEVGAAAIDTGFASAGAADWEVSGPAAGAFAATAAATGAAAAAGAGWDG EAGAEWAAQPAGTTDWAAADPKAATEDW VE01_07362 MATYTYAVTSPIAMTSKSQYYHPAPISRSYAVSPPETADSVTSG SATGPSYSVSGYSTTPSYASSEYETRNSANGIDLQEYVSERFSNMNFDPLPLDRSLAT QAQASGKLNNKQREIEELRAKAQARLAKSRARMQTGFQDVKEVKRDLEWSQKHVSALT SKTSRKHPQEYKQARARYPSPEY VE01_07363 MPPRSIPATPRVISPSPTPSETSPDGYSGPMTRASARKRVNTPQ AIHEDLDDESSDSSTDATGRRPRPKSRSPVRVRKTSGISKAQPNGVPEIQDEALLSPA KLSPSTAWSWRDFSRSPSPLGLIPIHKQFRAFIHRHEVPRKALHVSIGFFTLWAYVSG KQTSAIPPYLMAALVPIAATDYLRFRFPYLNRIYVRALGALMRETEYNSWNGVIWYLL GTWTVLYFLPKDVSVVAVLLLSWCDTAASTFGRAWGRYTPRIRKGKSLAGTAAAFIVG VVTAVGFWGWLAPRTGPFPGDDDWPFMFTGVLRLPGAIQNGLSLTDSQSTIGGGVALA IMSVWTGFAAAASEAVDIFGWDDNLTIPVLSGIGIWGFLKIFG VE01_07364 MPAKDVTKKDPRAWDALTPPLSDWTLGAIKTMGFTRMTPVQAST IPLFMGNKDVVVEAVTGSGKTLSFLIPVVEKLLRLQEPLRKHHVGAIIISPTRELATQ LHTVLLSLLGFHAPSAAKLTPSEDAIPFPASTLSVVPQLLLGGTTTPAQDLSAFLKNS PNLLISTPGRLLELLSSPHVHCPQSSFEVLVLDEADRLLDLGFKEDLQRILARLPKQR RTGLFSASVSEAVGEIVRVGLRNPVKIAVKVKSLSGDDKRTPASLQMSYLLTRPPEKI PALLSLLENLDPKPQKTIVYLSTCAAVDYFQHLLIAVLPPNFSLVPLHGKHPPAVRTK NFTKFLNSVTPMVLLTTDVAARGLDIPQVDLVVQIDPPSDPKAFLHRCGRAGRAGRKG LSVIFLQPGREEDYIPFLEIRKTPISELTTPEVKISEKDVRTITDRLRKEVLDDRALH DKGQRGFVSWVKSYSKHTASSIFRVADLDWTDLGHAWALVKLPKMPELKKWDGDKYLG VKLNMSEYEYKDKIREKARIVAMEEAKNAGPYVPTAEQLARKKERSAWSAKHDAQDLK EDRREKKKRKREAERVEKMTAEEREKENELQSLLEQVRKKTKMEQKALLELDKQEFKG FD VE01_07365 MPRSRSRSPAPRRSPPRRRSRSPDRQEKPRDRDRDSERDGTRDR KPLDRPKKSGFKWKEKRRDEDRPEEPKRLERGYRNRSPSPRRREPAAKGSSVEDKFGV ATKFGPSVADKFGNSSKSEKGSAADTSKPPARAAAAAAAAPVASGEPMIIVHINDRLG TKAAIPCLASDPVRLFKAQVAARIGRQPHEIMLKRQGERPFKDQLTLEDYGVSNGVQL DLEIDTGD VE01_07366 MLTVAPTLRVRRPSPTTVEYIVSTRPPSTLSFRLLLGILTTIRV LLLLSIALLLFCKWQLYPSAPSILPYSPTPEVLSLDYLWHSLALLHASQLGLVATHVA SLVPLFILLPLAAAFAYVSILRIHSQESLLVLRGLGIQTCSSSSTYLTGASTQFIPTQ KIQDLLINEAFRGFEVRYYLVVVVEGEKEVVVVFPKTLPRRRVVEEVWRGGRQCLYGR AKAVDKAANGKA VE01_07367 MQELPVYRACGVIKLKSKRTIAKLYIINERLVAITKRRNVSVGL LPRGALAYPPGICLAWIDHDQFKTYEKNVDVPHPASPRNRIETTGESGSESAIEWWLN SERRTDVQIHRYLIDKPGPEVGPESTEFRDSAINVSLRVSPKKVFGGLEMRTSQLRNW RKSLKSLGDSKNRHFHASPPQLLTHFEIERRKKAARLGLQNMATPPVEKINYKEWSHE NLIKRVTELEHNLKLKNAHYPVATPAKSYKKVRTEKAFDPSRYSTRLIALKLAYLGKA YNGFEHHLNNKTRLPTVEEKIWEALVKARFIFPKGSSLGQHDGSGEINWDGCDYSKCG RTDKGVSAFGQVIGLRVRSNRPLPKHTAEGPKDETDDFRHTKPRDGAVEMASPALRPS IEVARSQITPELSIDDPEFEEALNFDPIADELPYCHLLNRLLPPDIRILAWCPAPPLG FSARFSCKERQYKYFFTQPAFAPTPENPSIKSTNKNTNVKDGWLDIEAMREAASYFVG PHDFRNFCKIDGSKQIENFERTMFFADILEVDDTTPTLDFVGKKEFLPATSITRPNEQ ISPKVYTFTLHGSAFLWHQVRCMVAILFLVGQGLEKPTIIKELLDVEKTPSRPAYEMA QDSPLVLWDCVFPHEDDPSRENAIQWLHVGDEPGRGDTKWGTTGLMEDLWKVWRERKI DEVLASNLLGAVARQGGAIEGMTSDHWAKVVRSQKVYDGNNKPKLTGQYTPVMKKPLM ETPKVINEKYAVRKGFEDSADLKKQGFRRMNNPTDNGDE VE01_07368 MKILEAQSAVLTNYEVFTHLSELRERYAKERKNKRGPGNLQTIV MELMDYFEKPPSPLGSKPLPYNKDTIRTLLERLRPYDLTKAEIIMIMNNRPMSPAVLN ILIQEFDDRFYEDVDGIRDDILNIVAEVLGTPDQKDRQIMAAEASGHREKDNEAKLAR NEDIKMEGQQ VE01_07369 MTRNIVILGGNSHPQLVETICNTLGVAACDRILKKFSVGESRLE IQDSVRGKDVYIIQSGGGKVNDNFIDLCIMISACKTGSAKRVTAVLPLFPYSRQPDIP YNKVGAPLTRNPTDVNRTDFSFESRPATPSLSQPQSQGLANGIGNLHKKLGKSSLEDN MSNGITPPRRQDTSDSSNGSVREEKGAQTPHFTTHDYENNAVINNAAFFQPKPGYKQW VAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGKPLLKRYIQQHIPNF KDAVIVSPDAGGAKRATAIADGLNMDFALIHKERRPTRITDRQNATMMLVGDVAGRVA IIIDDLADTSNTITRAAKLVKREGATYVYALLTHGVFSGDAIARINASAIDKVIVTNS VPQEEHKRLCPKLEVLEIGGIFAESIRRVYHGESISVLFQYD VE01_07370 MDPSYASSDDEADVMAAAMGFSSFGTQGPPKKRKFNPKSDAFIE GQDLEAVDKGGKKGQGSGGNQIPLGRPRQLGVPSQAPTGAAKNDEEIALDDDEGPQYV DEGDDGPRYLDTSRPPPIMDGIGRNEDEILLDEDEDEQGPGYVDTSLPPPNQAAQEAQ EKIDAILSSTGSSAAPVPPKQKQKAKKPQSSGLGAFMNALRTPVVAPPGSSIAPAPGT TTILQTPAVASLPQRPPPPSPSTMGPPGVSVGRGQNAGQRGQRNELWYIGYYDPSFND NPWRGLEKENGLPEVGTWVERPQRGQGQGQTA VE01_07371 MSDPIAAETVAAAPTTASSIQIRAPKERGAPSSPSSATFVPPRD SITGTWHVTHSTLPMWRKARNVAITYAPLSEDANPKLDDSVTYQGLTGSGIKTVSGID TPAGDGAWSWRGKGWLMIASSHWQFLGYGGGGEGREGEGWAVTYFQKTLFTPAGIDIY SRRKEGLGAERLKGVTAALAENESEEIRELAKVLFEVKRD VE01_07372 MFSRALRRAVQEVRVPSSTLPPTFLLPSQARYFNSTEQHVEPPT SKAPLASHSPISTEAIASATEIKAAQPAAPSTPYAVTESVKELLPLLNAQPSKFITAL IHGRPYLLTVGDTVRLPFHMPGVVPGDVLRLNRASAIGSRDYTLKGQPHVDERVFECR AIVMGTEGEPARIKIKKKQRNRRTKTVISKHKFTVLKLSELRVKDLAEIEQ VE01_07373 MDIAARRISANTLVTAASKRIALAEYAIPTLCAQRSTGRRNLTA PSLRTKAPSQRQHSLLTPITKRQEYSSSSATSPPPRFSYGIAASFTAKDKRFKPKDNV LHFEPRPIPVKRRTNRKDRPASGQDAFFVSQLGDSGDVAMGVADGVGGWADSGVDPAD FSHAFCDYMAYEANNYDTESGDALSAMGLMQEGYDSVVNDKTIRAGGSTACVAIARTD GSLDVANLGDSGFLQLRLNAVHYNSEPQTHAFNTPYQLAIIPRSMRMMTQAFGGTQLD DMPKDSAVSKHSLRHGDVLVFATDGVWDNLNSYDILRLVSKLMVGSNAWTHSDDGINV TDRLSDYILKDDSPGSDHVKSLQSFLAMGIASAAKAASINRRVDGPFAKEVQKHYPHE MWTGGKVDDICVVVAVVVEDGK VE01_07374 MVGREEEATGLLDNNHEAQSRPSVDSISSASTTSLVFERIDEQN KSQAKASRLQAQNGSRPYSDHMDASSIDPEEEKQQDLENGLLTSGKGSSRWCTKLVWI AGGIFVAAWALGTVIFLIQHPVADAPHNPNAPNIGNGNKVTLPQVLGGQWRAQRHGIS WIEGANGEDGLLLEQGASGKDYLVVDDVRGDNVTQGSSPISVTLMKERDFTSGGERLS PSKVWPSKNLKHVLIATDVESNWRHSFYARYWIFDVETQTAQPLDPTNLRGRVQLASW SPQSDAIVFTRDNNMFLRKLSSPSVIPITTDGNKDLFYGIPDWVYEEEVFSGNSATWW AEDGKYIAFLRTNESQVPEYPVQYFIKRPSGTDAAPGEENYPEVRQIKYPKAGAPNPI VSLRFYDIAKGDVFDVKTTDEFADDTRLITEVIWAGSTGKAIVKETNRESDILQVVLV DVLSRSGKTVRTVNVAEIDGGWFEVSQKTRYIPADPSNGRAHDGYIDTIIHENYDHLG YFTPLDNATPVLLTSGDWEVESAPSAVDLKKNLVYFISTKESPIQRHIYSVKLDGTDL KPLTDTSKEGYYGGSFSSGAGYVLLGYEGPAIPWQRVISTPSNPDSYEKIVEENKGLE RMAKKHDLPENIYSNVTIDGFNLQVIERRPPHFDKNKKYPVLFHLYGGPGSQTVNKKF NVDFQAYVAANLGYIVVTVDGRGTGFIGRKARCIIRGDLGHWEARDQIETAKIWAAKS YVDASRLAIWGWSYGGFMTLKTLEQDAGKTFQYGMAVAPVTDWRYYDSIYTERYMHTP QENPGGYDNATVSDALALQKNVRFLIMHGVADDNVHMQNTLALLDRLDLAGVENYDVH VFPDSDHGIYFHNANKIVYDKLNNWLINAFNGEWLRTTNAVPIAVDTAADREKE VE01_07375 MAPSIEQVVPESVVQTAEHIQEKLTAVSLGNSEEQKPVEKVEEA PAVQAPAVETAPAVQAPAVEAPAAEVPAEEAVKPAAVPATSYIKAPLKLSGAIDSWKN FEVTPVIGREYADVDLAAVLTAENSDELLRDLAITISQRGVVFFRAQDNLTDDLQKQL AQRLGELSGKPETSKLHIHPVANAGREHGGKDDEISVISSEQAKKIYFNSKSKFYNQG VKKQNGKIGWHSDITFEPIPSDYSILRLVELPSTGGDTLWASGYELYDRISEPYQKFL ETLTATYAQPGFNEAADRNGFKLYTEARGAPENVGDLLEAIHPVIRTNPVTGWKSVFA VGQHVAKVNDVSELESEALLAWFVRLLVDNHDLQVRHRWQNPNDLAIWDNRSVYHAAT PDYLEGNYGERKGNRAVSLGERPYLDPASVSRKEALAAESAASA VE01_07376 MKIKALTRSAATAQAPGSDVARQPRNLDPALHPFERAREYTRAL NATKLERMFAAPFIGQLGKGHVDGVYTMAKDPNSLHRFASGSGDGIVKVWDLTSREEI WNVGAHENIVKSMSWTRDQKLLTCASDRSIKLFDPYNTPSGTAPLATWLGTNAFTGIS HHRSKNAFAASSGVISIYDLERFTAPPEVLKWPTSTDTITTVQFNQVETSILASCATD RSIVLYDLRTSMPLAKTVLNFASNAIAWNPMEAFNFAVANEDHNIYVFDMRKMNKALN VLKDHVAAVMDVEYSPTGEELVSASYDRTIRIWKARSGHSRDIYHTKRMQRVFSTKFT PDSKYILSGSDDGNIRLWRAESSRREGIKSAKQRQALEYNAALSERYQHMPEIRRIKR HRHVPKVIKKAGEIKSEELKAIKRKIENERKHTKKQFQKRKPEREKMVLVNEK VE01_07377 MAPVDSDRIIEAEKLVKTEPRKAEALYKDILSKTPSATNDAAVR EFETALVKLGELYRDEQKTDELVNLITTSRTVLSSFAKAKTAKLVRSLLDLFHKIPNT TDTQISVTKSCIEWATSERRSFLRQNLETRLVALHMAKQSYYDALTLINSLLRELKRL DDKLVLVEVQLLESRVYHALGNVAKGRAALTSARTSAASVYTPPLLQAGLDMQSGMLH AEDKDFNTAFSYFIEALDGYHTQDEPAKATAALQYMLLCKIMLNLNDDIAQLMTSKQA VKYAGKNLEAMKAVARAHANRSLEEYEAALGDYKYELGSDTFIRNHLRRLYDSMLEQN LIKVIEPFSRVEIAHIAKMVGLDEQQVERKLSQMILDKVIIGVLDQGAGCLIIFDETE PDEGYESALATIAKLSGVVDVLYTNQASQLE VE01_07378 MADILPSSPDPLNDTPTFSSPSKSIRQSRVRRSQQMYGSSPTKQ TFELDVGNGISPQKIRVTVEAENNKENSYPEFSDLRSPSPSPYYASSRRPNTRTTTTT VPLKGLSDSEEDSPAAPPKRGRGRPRKSIGTPVPAKTRNVTPGKSPRRRRTLGSLVDG DDEEDWDFAIGKSVEVGRGKGRSRSRSVKSVTRKTPAAKSTGPSSDLPESSVANKRGG RQSLLPQEIPILEDLMGHSSPAEESEEMHPEDDMPLRAVEQNTMGSNSEHSTIRSTST AFGEDVTVAQFDREITPEAEGWSSPRVVSAIPRHLQATASSRRGSPLVHQAVEEVPRS SLSANKIGSGYDRSQHEEENYDDEAEDGLGDLQEFDTILESEGFSMISVDSVPSLREH FSSPSIQGKPFESLSSFEEREVDHRRSDNRPSSSGMSSLSEASSSKRRSFDNVQTMQQ SLVGVSASNRSARSQPTTSSPRDQDHEADVSENFQAGSPVTGSALLTQPVEAITTSNS DSEHPTTPWLPTPEETPSPDNDSAGSSAQEPSQEKAASVTHRESVHVESTADSSVNMT QMRSSPPSFAAPRYTYTAHLRQRKSLGLTETPSIVFSSPSLPPPKQRPPPREQLPSTT DVNGVPRPLLSSSVIAGRALQEILVPNSASTHSSFLRSPFKSPNARRHPLSPTEEPTK EAAAQSSKLDSSVPGLGPMSWRNLSRSRTLSGAADERKPGFMKNIPLIVDDPFLAEAS EQLRSPSPEEKDDYSLGLPTPGQARNTQIVNLVTQDTNTVRYSDEMSWQAEYPAMRTP PRDDSEGSRSGKATVGSSRTAERQMESQKQKQRDEWQVDKVETTQHLGLDLTQVYVVP SDLPTINSTPLLTQPQLAPKANVVEDVFDDEDIWLAEARSNSSPSIGKHVDIAPKQAA SALERPRRSKLPSPWRQNSRRLVYSDELAAQDSSPINKNETSIAAPPVEARNVALPAP PAFAPRATLVPREDAKVTSVLARLTSQLAPKAVLLPKSTSKPSDLVSGPPQLGPLRRS IGLSKPQSTPFMAPIERPQAPPIAEDYDQTELSTWDLPQKQNFKPRITPRQSNILGIS ALLASSPVKKPEPSYAEDETLASPTQSLPATEKYNDTTEEADFTQQSDSQFATDEEDQ TREEEEYTQDLPPSPSALSDLSQLSRTSSLDESTRLSTTPARPNPYRRPSAPSPLKSC LRLASTASPIKAVIFSATTAASPSTTAASPSILPKPPRFMEDSEWAKSHWLTLRSIYR DYKKNPTPEHEIPASVRESEFAGKTVWGRESDGSDSLVMEVWMVDVVHLFLDEEEEGG RWWDEGFVCKRLYGLVGMERKREREKREEEERVRRVEEEEEGKKKGILGLGMGWL VE01_07379 MATVSSQPATPAHNLSSSARAESAGVQTETATLPYHIPRGNVEA ELHFYLPPEDGSHPYNWVNKQPEGTPQHNYGSTVQTVTIHDIRGDESSYTLDKDAFAV LQNVESLGETEFTDDDHIKEFYYPEVEKVLLDNVPGANKIFIFDHTIRRSGPEAKRGP VNRAHIDQIASSAAERVKVHLPTTAETLLQGRYRIINVWRPLNGPIVAQPLSFASSHT VRDEDLIPVEHRYPDRVGYTASVRWNEGQRWNYLSGMRNDERILLECFDSEGLKEGGE VKGGRVPHSAFVDPRTPEGGPGRESIEVRALVFGP VE01_07380 MHKLALALGLFLSPVAAEYTTTLLVPGLDLDPQAIGGYVGSVIA TDATATTYALSCPLAVGNACGVPASLLITQGPSTLHYAFPTGSGDMTADCKLTGTQGV CSGSILATGAQHGVVTVGYKSFGMYDEVVVTITGAAPEATGEGGAGAATATGTATGEP AGKTAGGLGSGGGARGEASSATGSSSSAVSSKASTGGMPMITGHAQWVIGGAGAAVAI AAM VE01_07381 MAVRSTRRVPYVNPLAQTWISASPGSSEAIANFHKKMPGFEPTP LVAVDKLAAEIGVKAVYVKDESSRCGLPSFKILGASWAAFRAIIGKTKLPIDTDFATL RKSVKAASITLAAATEGNHGRAVARVASILETSSKIFVPRCLHKATIALLESEAATVV LVDGDYDEAVRMARQEADRYGAILVQDTAFDGYEEIPKWVVEGYSTMMAEIDVQLMGK APDLVIAPVGVGSFAHAVVSHYKTPGRATQILAVEPDSAACLWKSLAADKLTTVPTRK TIMAGMNCGTLSTTAWPVLRNGVDASVTVSDAEAHEAVGNLREVGVSAGPCGAAPLAA LKHISRSHSDIFKLDKDSVVVVLCTEGPREYDVPMDVSIEDPVMLTQALIRIDSTNPG LSRAGGAGELEIATYISAWLEHRGIETHWLEKTTGRPSVVGVSRGTGGGKSLLLTGHI DTVTTAGYDGNPLSGDIRDGSVFGRGAYDMKAGIAASLVALATAKVSQLSGDVMIAAV ADEEDVSIGTEEVLKAGWRADGAIVSEPTNLNVTLAHKGFTWLYVDIIGRSAHGSRPD LGIDAICKAGHFLVELDKYSQDILKGPGHPILGTGSIHASLIQGGEELTSYPAVCTIS IERRTVPGETPDIVVAEIRRILDRLTKTMPNFKYETRIGMSRNPFHISKDEPFVSGAL QNIEHALGMPATLRPERFWTDCALLAEAEIPALLFGVNGGGAHAATEWATVDSIRKVT RALTLTALDFCK VE01_07382 MVLKTPQWSSYSALLHLCTKHALLAHLVVAFSVRDMAHEDDAEL DILAIEHYRKALGMFIEHLGSSNRELWITFPALWLFIHYEQQYGDSPRALQRHLEGVR DVVDSHGYALFPGSIGGSTTMNVAGEEMPRQILDRLALWTIYHDAAAATFGFGGGLIR LLKEQYPGSIERIRPSSSTAIRDAWGSGYPPEENFWDLQVIPLENLMHESILLRYELS LLRQGNENGLDAKGLISIGRKLKQLEQEYSSLIEAALSRKIERTTILSNMCVAAATYL AVVIQYERLAFETCPSAAVSKTLQACASLHEYEGDGYMRRVAWPMFAAGLEIDDPIHQ SWLLERFDNIKGTNMRRAAIVLKAVFLEKRKMKGPVDYLSWIKAGKFQGFVI VE01_07383 MASHGPPSDSWASPFSIDLLLKVANVTFLHPFVAWMIPLCLRAQ VYPWTSPVMQSAILYASLLTLFFFLGVLNRQIAYSKPRVVDLGEEVIVITGGASGLGL LVAEVYGMRGATVAVLDVAELESGEARGVTVYKCDVGDAAQVAKVAAQIERELGTPTI LINNAGVVNGGTLLDTSIDRIQHSISVNLLAHFYTLKAFLPGMIRCGHGTIVTISSVL GVTGAARLTDYSASKAGVAALHTSLTAELRQYPDIKTVLVTPGQLSTPLFAGVQTPSG FWAPVVEPVEVAKEIVRVVDGGGSEVLAMPLYARWVGWLGVMPGTATNVGDGGALANC LARAKSLEDIPRALAAYQEVRKARAEQIQETALSIGVYKALEDGTEQRERDLKIAERI DPKNPKHIA VE01_07384 MPPKATKKFSIVSWYGGNQVTSDNVSIQNVLNYKEDELESRHDF IQLIFPLPWASQHARRDVMATKAQFNMIRGSGNVGRNVRGNMLTALQHILAMFDLELT PTKLCKAHGTALPWARHRNKGRRIRRITPVENDGKDHAAREARFQALANPGNHHHRRI TRIIRSLRLAGRVEDADSVYRFFRRFAREHPTIPRRTLQMWGVAANSAYLYVDPAEEY KTAPDDIKDGEPSDREEEEEEEEEEEEEEEETPIEGGGGGGVGGGGGGGSSGGSESGS TSSSEKAPSEHGGDGGGFGPGGDTPPPVGPDEGQPPTIGDPRMSDEDVQKLTPSERDL HHARIEHRKQNMEIEIKLEYRNANGEWIAVDEDSLTMTLIDLRIWQIVLRDKEEERRG EDAVERRIKEGRDPYESSSDSSEEDEENDGKNFLRADIKRFGKNGMSAEDRQKLEPWE LKLHLAQIEHQSDYLEIEVKFKFRDGDGFWKGRGPEPITMNLRDLKAWQIEIDKLEAQ WLDEVNRGDRRRSASLSTTSSQESDYDVGDPRMSERCYAQLGAEDQERHHALRVYIET FRELEYVLGFRDRTTFQWISVHMDPDDLNLDELDLWSAEMQQMGDAYVAKQDADEAAE KAAEEAAAAAANPPAVQSRQRRKRVRSPESMVDEEYMADRVRRGLDPFGDSPEAIVWK AMYLQREKEWEEKEDKRLEKERLEEERLEKEKEKDNGKETESDDPGGQLRTEEAARAM GAKSTRRTAPGKSNSSRSKRRRVA VE01_07385 MAAPSKNRTFPPYPAKNPHRSFPSQTHPEHTSQCHASALTIAPA TLPFPASYDGLTELSHHGFGAYISPSPHGVPLFNAELHAHQSSLQERDLSYQYSSSPS SSEEEIYGEFSPTYATAPPSTTRTTPLSQTPQYHLSHPCHNFPPQTWESGNPFTPTTF ALLDDEQTPVTYLTTTSPTTSTPTPVHQGQEKGGFYIGTPSTPPSPLHPHVSSPDLTT PTTEALARYKNAQETPLTPLYYHTSSAVTSPMSEAGSEFGGVPVGEVENNEASEVVHR LMADQQGTSRFPASLTGWTGFETPAEEKSGQGGQEGEELISPRSTTTTHTFKPQETPQ YAETPSPLAPAHKHTSPLTSPPVRRTYQNSNAYRHLVPPPGQLSPLPPAPRLPLPEPR YSEDYSINADDLHVARARQLLEEHMKNHWGSVLSANQALQAITGTTGMGSSVNSSKAH METAGATLMHIKNRVPQPRPLLPGFGTPMQVMQRVQAEKVGKGRSLRVVYEEYFSRPG ARAVELCSLSIHAVSGSFGNSTSGGGGGHNSQPAKLQNELLAHFTASEGGTAIQFVEI DIPNPTGSYNRHPFEQWAFFAIAVPMVCGPGGVDKGFVGSWERGSIFIAAPLREVAGE GKAVQDVLGDGRGCLRRDFVFGPGVAHRVEFWEKYGRWVDIGRQALGREGGVWLCAEV EGVEGRMEEWEGGEGLLGAGVRRDVERWGRVRRAMEGGVCRIVTRVVEREGKVEGRRR ERGEDGGDWEREGVRVVEGGSSVKKPRRGR VE01_07386 MSTNGITTDEKSNGTTTNNESVVSKSQFLSHLQTYPLLTSAYTT LTTHPYAATPLSLTHRTIDTLTPYATPFVTPVAPYVTPYLIKLDSLADSGLSAFDARV PAAKKPTSELYEQTRELALTPYTLSKEGSDFVWKTYEGEVKRADAKGVIGYSRAVVGT GFVVGGEVIHWARGEGKKVVNGEKA VE01_07387 MKLPPRILSTAPLRRIARPAGQRVFAARSRYASSTSPLSSPSPT TGHTDAAGQSHSHTHSQQPAQQPKTHYTLFPLTLPSGPPPAGEFAIDLPSLRREYLRL QAAAHPDVHSGASKARAEAMSAVINDAYTTLKDPLRRAEYILSLHGIDTKKEGEKMGG GLGLGVEGGIGGGGGMEDMEFLDEVMEVREAVEGAEEGELGELVRENGERVARCEERL GEMLGRGEWEGARGEVVRLRYWRGVGERLVERT VE01_07388 MQLTTLLTLTPLLLALPTLAAPLPTALAQLLSIAPTSSTCASAP FPDECRTAEQAGPFLISSMASNAVYSPPELAALLALIAFESGEFKFSHNHFPGRPGQG TRNMQMPNFNLAYALSLDKTKDAAAAIAAGRQADALSDAEKDQILALVEGDEFGWGSV AWFYNTECGPDVHAAVKAGGKAGWVSYLGCVGVAESAERDAYWERATAAFGL VE01_07389 MGKPRMIILIRHAQSEGNKNRDIHQNIPDHRVKLTNDGWDQAHE AGRQLRALLKPDDTLHFFTSPYRRTRETTEGILSTLTSDDPSPSSFPRDKIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFG EDDFASVCVLVTHGLMSRVFLMKWYHFSVEYFEDLRNVNHCEFLIMRKDEDSGKFILE NKLRTWSELARERLLKEKSEKELAEDKVSMDAIPSPMSVTNGGVAGMKPGDLPPTPSV PKRKQWGGCPNGCDHGRYYYRRENGVASHNSSTNLLEAPPVRRAFHKRFQSSSEEDED DPRGRLSNPSKSDSKSSGEENGTGKVAGIQRSLDGILLSEPTEAFVTDKLTAHRAKPR DKAVAAVLHAGRDFGGSASGSTSRATSDAEAEADTEGLGREGDGGRRRTKSKREKKER GYKDSGMGRGARANTLGDQSEEELDACANCGSLKGNGSQQRRNDRASARAQASSDGDA ETDTLFIGNIETGHTEETLGAAFGAHGTIVSVRIPTDSDTGNLMGYGYITFSSIAEAK SALETMRGFDEGKQPLRVEYASPQYALEGAGGDVGGCEDGRCKRDVESSEEENDREEK SVRGSVF VE01_07390 MSERIPESVPTSQHPSHSTSRPKKRALSPSSATAANISHLFAKP DAALALANSASSSSSSAFSAAPPEIVANVQGSSAGAGSGEFHVYKASRRREFARLKAM DEEVLGEKMEEEYEREKREREERDREATERRRKKREGRRKKGKGGKEVVAKEGAKVGG MKPRADVVMGDAAGVSVAPALEAAQEQGLIIHDDD VE01_07391 MASRNPRRTSILFEAFRLGGAKALSEIYAAHGAVRNAKPVAFPN DMMWWDDERVETTVDRAYVESHLRPEEILRLDQQLGFGDGLTDDTYFEWIVTKAKRIF LILVDIGVPDQIFGAVDDSWDDDDLPLQLDQVVRLQLRRKKDERLDRKFFERQFTYLM RHLKPEENLVYEDVEIVPLEPLDRRNVGTQASPIHSNVDKVCIPGRPDDIFIRRRISL GDGPGQVTEADFLSGIERMRARSNQHVVSLWASYIQQSAGYLLLTPIHEWTLTSVLTT LPPSMKILAKRDRRVLVLDWMHCLADAVASLHNLGLAHGQIKPSNIFLDMDNKIFLGD VDVFGLDPVNQFDQENYDYGAPELSTHIHTEPDEPQRLINRMRRRSSATSPEPSPRRL FPPSPPASPSRTNIITTTLYLPTPTAPPSPAGDPIKGDIYSLGCIYLELLTLLMKRSS RTFSRHRASKPSTPPTRSAPRADCSFHHNAAEVETWVSSLAKDARKKEDRLFRGITHL LNLTLRMLSPHPMDRPSARFCEERVYEILTNNAGVGGTHCGSARMWRVVDGLVEVARG VPGVGGDTPGGGRGQSWLTGRGGSRSGSASQSGSKSSGSGPMGRGQGGRPKARPWKAP IYSDTGGNNDRQTGRKAQLSNITAAKTVADIIRSCLGPKAMLKMLLDPMGGIVLTNDG HAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAGEILAQAVPQLERNIHPVV IISAFKRALADAIQIIEEISLPVDIDDDEAMYKLISSSIGTKFVSRWSELMCNLALKA VRTVTHEIGNGKKEVDIKRYARVEKVPGGEIEDSRVLDGVMLNKDITHPKMRRRIENP RIVLLDCSLEYKKGESQTNIEISKEEDWNRILQIEEEQVKAMCDAVLALKPDLVITEK GVSDLAQHYLLKGNVTALRRVRKSDNNRIARATGATIVNRVDDLTEDDVGLLCGLFEI EKIGDEYFTFLTKCREPKACTILLRGPSKDILNEIDRNLADAMAVARNVMFHPRLAPG GGATEMAVSVRLSQLAKGVEGVQQWPYRAVADAMEVIPRTLVQNAGQSPVRVLTELRA KQAEGGSSWGIDGETGKLVDMKEYGVWEPEAVKLQSIKTAIESACLLLRVDDICSAKA ARMGGPGGGGGGEE VE01_07392 MPQPQGTTEPTTKPQQGSHRPILPVARKPGTPEASNAILYGATS RDTIAIRSSQGGVASIQSLSASLLTSPSNSRVDAVSKSITITVTSAAALTVTSTEKAV LYALVLDRGAPENFHSGYERINPWTSSLSSNRAELSV VE01_07393 MISSTLVKAGVAAIAAATTAVAASLPAISAVGNKFFDPSGKQFF IKGIAYQLIPHDPLMDTEQCTLDAKAMKTLGANTIRVYHVDPTADHDGCMAAFADNGI YILVDLDDFATDIDPLAPTWNDSQYKAYSAIFDVFAKYTNTLGVFIGNEVIARANQSE SAPYIKAATRDMKAYRDKKGFRNIPVGYSAADIAELRPMLQDYLVCGDTADTADFFGL NAYEWCEAGNDFQTSGYKTLDDMAQGYPVPIFFSETGCNTARPRLFLDQNAILGPDMN DLWSGAIVYEWIEEKNNYGLISYGPPQGEKTGTNIEDGFLRKGTPTPVQPDFSNLQAV WKTNNPTGTAKSAYTPKLTAPACPKSTAGGWLLDGDVALPTLNQIFTASPTNKPTDVG KNQNPTATSTDSSAPTGTNGAVAVGRELSGMTGALAAVVVGFAALL VE01_07394 MTTTPNNLSSFFFVPGLPKHLCPGMPWECVPPAACARDPTTGRA FCCDPKSVCFAGVATCASDGSTFQCGTGTNTWCCTSDTESCTGSTGQTNICWSKSYDV LMDIGSIPLNETYSSLSSADPEATSWAFDPKSLIAATQTTSHTTSSTPSSTPSTTPST TPSSTDTTTAPTTSASDASKAGASNTPSPTPSDLSSTSSSKSLSGGAIGGIVVGAVAA VVLVALAVFFLRRRRQRAESENKEGIPWAALPPQGPAHELPTGKQEPVHELAGARAVV ELPGNERYR VE01_07395 MSSSTPPSPPTLVNIGTHSLALYTHGPSPASPSDPVLLFIPGLS SSRLVWAAVIRLLPSSLRSYTYDRSGFGSSELSPLAPTAENIALELSYFIERAPITNP LIIVGHSWAGVLIREFIALTGNGQHIAGLVLVDANHETTLPPLKKWLAALKPLGEGLE PYAARGIEAEKQLTQEEWEAFVGDERSEKFQLQAEKEFAAYEPSFPTLQNKELEKKQP LLGGKPVFVIGGKRSWDGVRVYEAGVERGNGTEEERRHLREMIGVVDEENDGLIREHL RLSTRGEIVFARESGHFVQVTQPDVVVGGVKWVVVEVQASS VE01_07396 MEQASGPDKITIFTTDNSSNLTTTKTSNLPILNPNTTTHNHTTS NSRAHTHKPPNMSVHASRADLIDLDSIPTTHASTQATAFLSQLDRKKRAAATIVPTSD TAVRAALRAISEPITLYGEGPGDRRDRLRELLAEREEVEAEDEDTAMGEAEADADVEE EFYTAGSDGLLEARREIARFSLPRAKARVDAQKLEAKIPLRTHVTFRKALRAKLAPFE LQGSQIAGERPVGIVRFAPDGGSVAAGGWGGSIKVLEVPTLEAKRTLRGHTDRVGGIA WAPQGGEVELASGGGEGNVHLWGREGETPLATLGGHNQRVCRVEFHPSGRYLASASED TTWRLWDIETRDELLLQEGHSRGVFAVAFNTDGSLLASGGTDSMGRIWDLRTGRTVMI LDGHIKPVYALDWGVDGHRVLSGSGDGWVKCWDIRKVACVGGVGAHKSVVSDLRWYKG DGMARSGESGEEPKKGGTFFASAGFDKRINVFSADDWGMVCSLSGHTGNVHSVDVSKD ARWIVSGGYDRTVKIWGRNDGEGL VE01_07397 MSTTAIPLHPPTALPASAAAHSLAPSALSSLSFLASSARSAAYA PYSRFRVGAAVLLSDGTEVSGANIENASYPVGTCAERVALGSAIMSLLDHGQNKRAKV EVGEVEREERAGVAEFKGGWKKGTFRALAVTSDAVGVISPCGMCRQFIKEFCDASMPV YMFDKVGNFHVLTVEQLLPLSFTMDSMPDLVATAKAREKAA VE01_07398 MVCILPLLTGLSSKRAHDLHATLPSAAQRTPISTLKLPGQDMHF IHPLDAPSIKQFTGMRHLSLMTVFRVAVGPCMGLVPASEQFLLDPDSGAFKRALSKLF NEELRSLQNLRRNAAQLELKAGGHWDKTIKGRDPVRVGLGSWLFDLLSYSMGAVFWGE EGPFEDALFREHLRVFIQNLETLRNPISFLVPRELFSAREYVRKKLDKAAEDEAYGDE IQEPTLFKRLSVLYETLELPQEGFTDCHLVAIVGLMSNVINIITWAICHIVADSELMA SLVSELNAAVDHPHHTQDTKSLVIDMDRVRSSCPLLLGTWYELLRVYGDSPVARYVYE DSIFEAKYQIKKGSIIMTPIHLHNFALDTWGADVDAFRPSRFLKDNGDVDADLVKHLN VFGFPGMHQCPGRYLGLNITLSLVVKALLSFEMVPGDGDALGKGVVPKRKETMLGLPA MRRDPEVIVRRRDGIKSVRVGLKM VE01_07399 MSFGFSVGDFITAIELANKIRKEFATAPSQLKGISNEVRSLSIV LQDVEVVLPEQKLSSQQKIELHNIAKGSRNVLSDLEKLLDKYGELNSDPARVGKRMKR VWKRFNWEPEDIKELRSRISSNITLLNAFNGRLTRDNLVNLLQHQQDQECRVIIDWLS SVNYATQQSDFISRRQEGSGQWLLNSNEFQVWVNKREQTLFCPGIPGAGKTIISSVVI EHLSSRFQNDATIGIAYLYFNFRQQQDQRLEDLVASLLKQLVQEQPSVPDSLKSLYEL HKNKRTRPSFDEVLKVLQSTIASYSTTFIIIDGLDECQLSSGSRRKFISEIFNLQANT GLSLFATSRFIPSIIEEFNGSASLEIRASNEDVQRYLDGHMPYLPSFVMRRPDLQEEI KNKIIKAVDGMFLLAQLHLTSLIGKKSPKAIRTALERLSTGSEAYDHAYKEAMERIEG QITDSRELAKQVLSWITCAKRNLTTIELRHALAVEIGKLELDEENLPDIEDMISVCAG LVTVDKESATVRLVHYTTQEYFERTQIFWFPYAQTDIMVACVTYLSFDVFATGSCSTD EQFKARLQKNVLYDYAAQNWGHHARVASTKKYLVLQLLKRDTRVAASSQAMTALRGNS WYREGVPAHSTGVHVAAYFGLGEAMMGLLRNGCHLDLKDTYGQTPLLLAAANGHGEVV ELLAAMDGIDLNSKDNEGKTPLLRAAANGHERVVKLLLLIGGVNPNSKDDDGETPLLA AAAKGHEAVVKLLVAMNGIDLNSKDNDGMTPLSWAVAYKHGAVVKLLVTTDGVDPDYK VDSYAVIWRSSVKIPAPSLTSASSSSF VE01_07400 MPPKRKAPLATADANTLDTPALKKATKGKRTTNGAPSAPAAQNK YKYSGPGSMVNQGPVDITFVMWPGIKGTEEEEVEDDKDDEEDDKPESGSLQETLDGIE NERRKAVNEAINARMNNNTVSSSEIRNIRDEKSKPFEKKAEQARKKFLKAKTMTAEEA RATVGVDDATRNEKTKAKKNCQERLNEICRERNMAVHNALNSDKKSYISVREGQARIA KAEKRFLKKMDECRGAVAKYTPLTMEEAKEIVPNVEVDDEGNEITKKSAAQAKKDART KTFVPPRVVTDEGLPVSRAGMEKFIEINQEIDKRNQDVHGLYIYNDFSGYGVTEVMEN LLAEFNKSIFKKDISPLKKWAIVEGLTLYLTMGDLRVWMMNDDSEGIEEVFNMMGVMF VTALEMLHESALIGPTSPLPDNVGVLSLLFLNFVMIGCTDYDLEWAHEVVRAADEYGV VLTPMKQVEDVTQDRLDELRDICEKTKKGKGLFWKTEYPKFKRDHPGGRKYDITKMST AQKAQYEFGNVSEDSEVEDLD VE01_07401 MDIGEMTRMALDIQICDHDHATIGYALDTDASIMVSQVETAEDA KHVVSAAKFGMKIKGTRSAPPDQPWMVLCLLASSSVTAAIHAFSTTRRASCGYGDSPF PPPRTARGQVDGCPFSLLFFSATCLATEARRFCMECRS VE01_07402 MVKFRTENDNGFKRLVGDLMRWDGETRSTTDPIYKPSYCLPFVK NRRFVGRATILETLEKKLFSQKCEAVALVGLGSIGKTQVALQFAYLVKANKQGYSIFW VASLSEASFEKAYAELAQELGVNKSKEDEDVKDLVRRHLHSEKAGKWLLIVDNADDME VVMGSNEKRGIYRYLPESESGRVVFTTRSREVAVAVAGSHLIDLREMSQEEATVFMEI SLARKELLQDKATTVELLRELTYLPLAIAQAVAYLNQNQISLRKYLGLLRGTEQSKVS REFHDRTRYEGSQNAVATTWLVSFAQIQRSDKAAASILGLVSCIEPKAIPRSILPEVG PEEVMEYAIGTLRGYAFLSERGDEEMRRSSEHELAKAYLDDRRIKEAIEIFEHVVAVR KRTLADEDHSRLASEHELASAYLDGEIVKEAIQLLEHVVAVEENVFGEGDPNRLMSLE LLTDAYTRLESGSRPD VE01_07403 MADEYAEAEFERVPSEEQSEDVEMPDIAPPLVGVDRPYGDDVMA QGCQLEPHQSIEKGFKETWPSKFIIAVDFGTTFSSVAFVRLDLHRDIKTIEAESVRCI DNFPDMPSGISADVLTSHQSVPTELMCYAQKDQVWPEDPSNLDSSDNESQCSYDDDWA SSVDGDSNQDGERDVVSARAAKYEAKNSIWGWGVHSRLVKPENIPLELRHLTNFKLQL DDATSTKDLRKKSAQELRRLKNAKAEDIIAEYLGQLFKHTKKRLGTFHGLQQDSQVEF VLCVPTSWTDKACRIMQSALTTAIKTSQLAKLEQGMIRDLFIVAESEAAATFALEDCE SLSNIITNESFLLLDCGGGTVDAITYTLIRSTPTRMQEVVNPKGVSCGSSFLNKNYKK LLEERLEHANIIGNDMSLERIIAAEVQRFENEKRSINILDKKAHIDAIHIPGLQAEQD RELRIRRNKLDLSWKEMYKVFKDCLDGTKDLMLEQLNQAKTNGVNVQTVILTGGFGES PSLRNHLKKILRKQRNLLNQEIDFEPSRYVQSAVARGAVLRALRKEFGPARITRSSYG VLRTLLYDENNPQHRKLWVSRDRADGELYIMNTIQWVLSKDTPVGTKYEKSFKSRHIF QMHEDFLCEEILYVSSGAHKSGYKYGHKENKGAEEAGRILVNLTFLKTTGRIKPTTVR NAEGKLHSFYEVQYDLWLIIEGRSLRFEARSPINKNEVKASASFCIAAGFVPGTE VE01_07404 MNQQRSRKQRVDLQGPRVDSFLYDESGFQILAYLERSIMYSMPL AFFAPDVIFYYSEHLQRAISVAEIDSKLHLFWSHWHSVDDTPSEWRKIYQLGLKGLPK LDEEWKEWVRARAIVLKDAAEGAPRRLRSASALPRSLLNQGKHPSKSPAGDRSDSPVQ NTGNYIGSPTQRKRMRIYTTSNSRKTPNSPTSERSLSKRKLQAYAQQHNDDVTEIKSE LVSSNRSSPSVQLRSNIKQSRLSQMMSELDDLRDERARAVLILDTTRDENAQLMVELN NLRDQTAQLKKELDDLKDEKAEDTRYWERKYQKTKQRRNTLKRRNKNMQAEHSIGQNE ASETNLKLRAENDSLQESLDSYKATSRFAGEGFVHDFREKNLRKVIEHIDLLLLKLNK VLQGQDGLFFAEKLSDVNGIDIIALFKRGFGLDLSANNSSGGTITLIEICATKLRSVV LCLVSAALCTWVFEAEVGALFQESGLVYSKLKSLLAAQDRKLTNCFEFAAHERDLNED CAAIADEWIEADQQLTEIFKQALYAKVRLLLSTDIYECALHVPGTPFDRNRMMSKIEG AVRDTKIEKDRVVDITIFPGLIRYTSKDEGFNYNRFLVNKSAPEGARAKVLIRAEVLT K VE01_07405 MNPPEDSSKVTTEDYIGFMKEYGIEFEGRILPEKWGEYTAMFED IRRIGELGPKEFIEMFHPDDKYLDEKRIKASELVNEAWNCLKNMDSEYGWRKEVEFTA FERFDSEVVCHVCLYRRWKPKFEAKPIDRVEAERLQKRRGRRHLCTCTLLQRAGPNVD SQYSRMFAREIDKHMDHEDLPEHLKKRLRRRPDRVIGLGATHTLRHYLPNLRTKYCPF RSANVIYPFIVIEAKTAESKDASFGSILRQTAFVTRTCLRLQQNLQEDTGIPHQCIVW SFAIIGEEWRLYAAVPDGSKVQIFDIWHGSVLFPEGSLQLLLIIDYLCDWASDIYRMS IIKCITGGGPNTLNSRLSPSGTDISSLAGDSELIALRAMSLPSRSSLAPELSNGDMDG LASSSSSEFPEVELLVQVNSSALLNRPDTYSWQRWATAEQNQWPWVQKATIRHSSRVE LRSLQVTMPEEIHLLRACLESWFPGLSVKDAAKKVLISLQDDNLAVTTSVNATYWQND QSKESILEVRALVYFRSRLSSEEWHIKRQVLSILCSEKAIEAISHIANLSFSIRRSFN GRDRSQCEQFLRAINSLNLVGGTRSASLALGKSQLSLQVVTDSAGSPIFEWSKFTPQR DDGVTGDEFCGILSRTLNEPQKYVPDLLTPYVKNKSFMYTIPIDSDCRGSPVTAFELL PFRTKGILVKNSKTTSVKSIRNFCFLITDENVQFDDEARLGQLLEETYKAGELFAMVK ANETYDQADRTFIRKWIRILKGQLPQDTLVE VE01_07406 MIRLWLWVFVPVLLAVCHVLYNLLLHPLRKFPGPVLAGATSYWK AWKEVVLQQTMAQELFKLHDKYGEIVRIGPNELHFGKPSAFHDIYHGNKRWDKDPGLY STPGFKSGSFVFLKYAQAKERRDVLMPMFSKKAMLNIETLVWRNATHLASSIAKMDAA KSSIDLLYAFRSFTLDTIMCFTFGNCIDALDAPAFADPLILAMDASLRTLPILNNFPW VRNMIYAIPPWLVMKIIPNSAKLAPRLYQVRDIIQKQLHTVLQSLEKLDEAPHQTIFH RMLDAQAHKSKSVPNLTELHDEGFTLIFAGANTVADTMVMGHYHLLQNAQLLVQLRLE LLTVWPNLHNPPTLRDLEALPLLTATIKESLRFIPSGVSLTRVVPPAGAVISSQSIPG GTVVGMAILHVHQSEEVFEDALVYKPERWLDERIKDLDQWLVPFSRGPRMCFGVNLAW CELYVAFSTMIRNFDMSLDGTTPGDMEWTECIAAYYPKRHLHAWCRPVQS VE01_07407 MAKGKVKVKGEDLQSSSSQTTKYIDGEGAPDDFEFGGSLGAASL MVGFPLLMWYMWIGATYYDGQFPLPDANQTWGEFGRHLVQFVYEGAFPTAKAWAIYWI FFVLEAIMYCYMPGVLSYGRPLRHEGGKKLPYYCSAYTSWYATLATAAILHVTGLFPL YTLIDEFGPIMSVAILSGFLNSVIVYVQAIVRGRTHRLTGHIVYDFFMGAELNPRIGI LDFKMFYEVRIPWFILFLITAAVAARQYETYGYVSAEVSFLLMAHFLYTNACAKAEQM IITSWDMYFEKLGFILTFWNMAGVPFTYCHCALYLANHDPAEYRWNRYALTVLFVVYL FFYWMWDSSNGQKNGFRQMERGQLIRRKTFPQMPWQYIENPKAIETQAGDRILVDGWF AIVRKPNYVPDMFFAISWGLITGFKSPFPWFYPVFFVVMIIHRTTRDIARCRRKYGEA WKQYEREVPYLFIPYVI VE01_07408 MSELVDKSSVMSLIPIYKLSPGGTWFKGRCLLIGDAAHAMQPHA GQGISMALEDGFLLARLLKDTSRSLNDVYERFDQIRRPRVDEIYWLAARNMQIRKRTG LWGLWFKELGIWAYFKTTRILGLSKKVSTHKHLIYDIGDEEF VE01_07409 MHSILLFTAALFATGAISQYSSNRDSCNWREAVPSCYFTNSEEA KTGDLVNGWQLKAWAIEGEHENDCPTLVSPDDCCEEDGARSLLGLKRLWCKDSVEAPK HELFKRCPPDEEDVEKMSKPLWDKFREICSQGIKPGSAEDVKFLREKVLPYYLNKKWL TKSPPNGWEREWDKILKKCHKNGSNYCDKAVRQKAGDCVKGMAGSLMLKYGATAMAYC PILDEKLANWDRDDKPQAFKFFTAYCKTKGKSC VE01_07410 MAPLSHSQARETPYSDWFDGTFYTDTHSHPTPRDPTSSIPQTEA KKNWTEEKSRGSRHILDTAPFSLWDDDAHDYTRLTRENSRWIFDKYNATASSDPLIET YQAIPWTPPSPQNGAEIWDVFKGVGSVHSLNFIWPTLTVELATDSRIYINESLLNKIG GWALHYHQGEPFWKSTVPLAQQRQMAATSLRGDETNYLRTGDGVIGPGIRVEGRTMAS SAGVRIRNGARVRITLADHGFEDDTRVYHPDGDGGDPIANIEERFPDHD VE01_07411 MPPPWTSQNLINDFWSQHLSPNTPPVKASAVLPKPFYTTKISKR PPTSSLPSVSVRASYDTAVAACTKKVAHIVRECQAMNLKYTDPYFDLDDKEDCMYPLS MPERKPAEDVHPNRGLNLYEENGGGERKGHLLLFGNAPEGGTRKAPLPPSVKRVGDIF DNPQFYVDGASAKDVRQGGSGDCWFMSALMALCNSTGADSLLEKVCVARDQEVGVYGF VVFRDGEWESVIIDDKLYLRKPDYDDLAERVRKDWEAAKVRDDDAEDWRREFQTNSGA LYFAQSTHEDETWVPLLEKAYAKAHGDYGAIHGGNVGEAMEDLTGGISVMISTRDILS KERLWQDLLKVNTEYLFGCASPVWDDPDDDGGKDGIQANHAYSILRAVQYKNERLLLI KNPWGRKEWKGPWSDGAKEWTHESIKDLGHSFGDDGIFWIRYQDLLRKYDEIYRTRLF ADEWRVAQQWVNVDVPWAGDYLDTRFEVTLEKGAQTVIILSQLDERYFRGLVGQYVFL MSFRVHKKGEEDYLMRTHGEFYRQRSVSAELFLEAGTYEIRMKVAASRNDKSHKVEDI VKGNWLDRREKLLQVGLSYDIAHAKGQLEYDTSEEEEEEVVEKEAAKQQPELPKSQPE PSKSQQEPKVDDGPIVEEADATPETHHQPHDTTSQDEATASGDDNDWEDDNDPSSSAP TLRRRPTDLDDRRDSSRPRDFRPRPRDYPPSRRQRTFSPGPPRRRGTYSPGPRRAISP GPRRYPPGPPRGFPLPPRGYPGPLPLRNYPPPGPPRGFPGPPPPPPGPLPLGGGGGGG GGGGHPQPFRRGSFDNDLPSPHRSVAGSFEERREMEFDGASLYYDTAVNPRAWGAAVV VGVRVYCRDTDAGIKVVRGEGGEEGGKGGGGGVKMDVDDPAVDAVGGGRGEGGSGSGG LTPRVGKKE VE01_07412 MASLDFLPAATYEAPSPVQAPRRRRSLNTIMEDDEESMRGGDDR EDDRGRRGSPSPKAPSWSDWRSPLSDHFPTPRGNHFMIAPLMPASPSSPADSEYSQTS SGPWTRDSVGTQATDFDDLYDVSSDEEDSYKARQARSSIVRETSSRKSTASPRQRNSL PSLIIPQSNEQWKAMAAFKKLASPVPPTPPPKVPMSPAVFQYLAEQSALAVPSSSAPP SLDGSLTSEQMALMSAPPTPNTGNEESGDVDQWGGVQLQPAAMATLQAFALDDMYDIP EQVIELPIRSPTTEMQQSPPPINTSIHRNNSVVLSPEQQQSMNSLTAIEIPSPGGFFA SLSNVSRHTWHIMPSSLNNEAPPSSATAEHFYKTPWMSEPIERIVEVTESMSDGCPTA RPILLKTDSEETIKGLDSPIEEIIATEIISDYDVTYVRKLNEDSLEHFDRTQLWLTAQ TSYLSALINPTEARDDEVAIQRRDSQIVRGGKATVDSDSETASKKKTVRFSEVPTSVL GVSIVVGRPLPQLTRLESAYFRSFRTFVALSRYRDTFVHRTPRFEALQIQRTNFPEAY RNQLLGKFQLSVVPMSAKKRMSSNVARGDETAPEDPAKLKADKEREAKEQMSAATWNV TAMKMLNGGRLIAAPVAKRLARLSRMGPSANGTPRDRARILDLGGQATCDWAWHCATE YPNTKVYTVTTKTSRQLSNSNIHGPANHRQVTVNKLTSLPFKDDQFDLISARNLAHIL KACSENGEDEYDACLAECMRILKPGGYLEFNMLDSDIMNAGPLGQAKSVEFGFSLKTR GYDATPTKAWLGRLSRAGFVGVKRAWMVLPMGAPTPVARPVSRDSMGVERPLELEAMV TGSTAAAASITGLVGGWAWEKWMLKLQMEIGVAEENLLEGVGEIVEEGRQAGAGWRGL SGWARKPMRG VE01_07413 MSPPAIIAPSILASDFADLGAECSRTIKQYGAQWLHIDIMDGHF VPNITFGAPVVTKIRSHVDRPATANATGTFDCHMMVAEPKKWVKDFKKAGCDLYCFHY EAAIDSTAAESPEETSDKKTNPKELIRFIHEQDMQAGIAIKPNTPVDVLWDILENPVA EERPDMVLVMTVEPGFGGQKFMASELPKVTELRKRYPDLNIEVDGGLGLGTIDQAADA GANVIVAGSAVFGAQSPGDVIAKLRQAVESRRS VE01_07414 MLFLSLLVVPALLHLSAAQDRLACPREDFVSGKCKVQNACTYPD PDNCGAYFHCDWSADGQSTTRTYMTCPKSTTGYLEWNNNDKKCDVPEKSTCSATAGVD KAVNGVLHGASKILPQRDGVVKNKIRDEPFVCPSADFTSGKCKGQNGCMYPEPKNCRN YIQCDWRADGKTVVVTQKGCPNSADGYLEWNDKEKKCDSQENSTCPKKSAVNPMAGGF LKEVGGILPRGDGARTYTRDPAPFQCPMKDIMLTQCRGAKDCVYPNPGSCTTFIQCSA GSNLMSGTPVIKDCLPGHEWNNKEKKCDVPEKSTCPVNATTEKNTLEAKSKDKLTCTG AGCPGTVIGEVTNGLEDVLRNGGAPNRRAAKEEPLVFKCPAADIIRTKCAAHTDCVYA RPGYCNEYIQCNVDAGGKTGTPVVVHCPRDFEWNEGIKECDDRSRSTCMQKTLGDVGK GIEEAMAQIWPGSQTKQEESNDVHTGAAFTCPTQDITKTKCKGPKDCRYPDPESCSHF YLCFVNGDGKTGTPYKYACGQGLSWNDNLKTCDWARSSTY VE01_07415 MRVKTSHDTNPVVEIKYSGSKHGYIGSGASGIVNRAPNGDVIKS PWPGSRNAEARRDITTESLIYERLGQHPRLIQTLGFDPESCVLTMEYMPNGTLKDFLA ANNEAISTAQRLRWARDAAEGLQVVHDAKIVHCDVEPKNFLLDEDLALKISDFSGSSL EGSRASACAGRRYSRPGFDFHRQQTVSDDLFGLGSTIYFIITGQIPFEELPSDEVEKS YKDQIYPDVSGINCGSIIRQCWDSEITSAQEVYDYFRDMEYKE VE01_07416 MSDSVRSRSRGHQENVQENERTRRSQSHLPPLNFITVHYAYFIV TCLVCSLIFWGASNPAQSISYTDSLFLVVSAMTEAGLNTVNLSTVTSFQQTMLFLLIL IGGSIFVSIGTVLVRKRAFESRFKHVVKLQKERRRGHRRSFSISAMRNGPILRRLRSR RGPEEAVDDDLPKSWADSSSQNATAGKSISDPMEMRLNRPHAGTPGIVVTSESAADED GHREAMQMSGDHISFMPHDSSSTREGRRVVSSVGPGARPSSASFGGPFTGTRGRQGKE MEEEKEKEEEPPDSWFPGYLTKHTTGRNGQFFGLSRAERDHLGGVEYRAIQLLAWIVP IYFVLWQLLGCLGLAAYVAHNKASVARENGINPWWLGVFNGASAFNNSGMSLLDENMI PFQTSIYMLTTMGLLILAGNTAYPLFLRLILWSMLKLLTRIYPSEDQYADYKTTLRFI LTYPRRVYTNLFPSSQTWWLLLMLIVLNGIDWAAFELLNIGNSLTSTIPERFRVLDGL FQALAVRSGGFYVTSIANLRIGLQVLYVIMMYISAYPVVITMRHSNVYEERSLGIYAH DEPYSPGGPWIRTPASQLRRALSKAITSPFPSGAYNAHDFMRQQIRGQLAHDLWWLVV AIWLISCIEVANFEKDPVTYSVFNIMFEVVSAYGCVGISPGLPNKSYSFSGGLHTCSK LILCAVMLRGRHRGLPVALDRAVRLPTAAGDDDELEEEDSVVRRTRHIHHEGGD VE01_07417 MHFKLSRCVLAVFTLASTISASIHRPSKNRGCAAEQNNAVEWDT AGLSGLVSLHLCPGGATDISQSISVIAISVANSGSYNWRPSRSIKSHKSFTIIIIDST SHSVSSEDFGISGLEKGSMTSGQGYNAITTSGMKTVHEAASTQMAVTHETAAPMMPEN SVVVEHPMATVETVIPEHSVVVEHSMATVETAPPVMPEHSVVVEHSMTTVETAAPVMP EHSVVVEHPMATIETVAPVMPEHTVMVEHPMATVETVAPVMPEHTVMVEHPMATVETV APVMPEHSAMVEHPMPTLIETAAPMMPEHSVVVEHPMLTVVQTAPMGHIVGLASTFTR IIVEAHGNTMPIGVETAAQQPSLTTFIPEGSTFTSEAVATEPAIVITTESTRFQGNQT AIAIGTIGTNTRVNSPVFTGGATDNVGSGKVYGVIGAAAVGFVIGIL VE01_07418 MANRRLEILVHTTAPSLGQEDSLYRSLAAAYLDFEPQGRIDLHE EDDISSGNDESGGDESPERQLRADIRNSQSNEDQSHMFSNGLDSCLPASQLSSAPRRE YLTRSKITTAPSSAIQFLDISFTSVDGMNSPRFRARDLNRSRDAGQKGFEKGMQREQQ TSRSIIGSLAEQVGPRRETGGTSTSQLESSPSIPTCSTPSVIRDTFLSQFESPRYSSP SPVRVPLSPPPDEALGSEIGNGGRQSGRTLRSRAHISEEHPKETTSPLSPAPTRSEEA PSTTLTSKLRPEEYSSPSLPRPLSLGQYDLQVRRTPPPDSPHRSPKKRSLASVPLPTT ARKSTRLLIDLTHVSDTPPVPFDQGSRQANPALPPSEKPVRAPSSSIPSQRPSRKRHA SRIPGTSAIYPLPATGSEEVSTTFLTVPLANLAAEIGYARFRPASQSREIRKHERGYW GIDVYDSEVGWDDRAREKTWEFLREYIGRGKAGWGVWCVRDREHVGEGGEDGGRKTGL EGEQWRIYCWGEILSEVYLLLYLASSRRIRSAGACWFDGGGECVVTMPSDIKPGQEGG TK VE01_07419 MVTPDFLCANWSANSTGTATDCKEVGRYSCKSCLLVVYCGSNCQ KSHWSTHKTDCKSFLGKEKWTPDWVLENRKPAFIGAGGPLGVQFGGEKYLWGNIPAFD VLQLGSNEGNDYGEQLSFLFAASGDFRNIVKTIALLPKSYSQPVDITMNDLDLDIVAR NVIMLLIALTVDNIDEAADCIIHIWYSALIRKSDLNVLQQRIRPLIKDVCEKIKSKLP SAILAKTWTFGQRSMRLVLQKSSWDNLLFYINIPEGLTAERANQIRTAVTLPESRKDY RDRHLLLQSRFHRIAKNRYWTDGLLLPFGSPRIEFKEPNPTFFQTADTWPIRDNADPL NGWSLKDVEDNSSGAASNDIYGKLFYYLRTELRAFVLRLSDLQVSFRLFQLNASDLPN HLESSSFSRIEVANISDRGYLGIHRTVFSMMPLLQGPLVNPHATLITLFMNAVDESMT NKDQMALITKHSPEAKRLLRYLPPIDMGMLMNPGSPDIIKYLCAQDIVATYDHIFNRY ENDMKFSETAQYIGAAVQEKHAVVEKWPFKLKLRAGQPGAQEEFDRLLRGGVSGKERY VEWKRISMGGDKTEDQKLG VE01_07420 MKASSVGAVLLGLIAPSFAAPAVINARAAPFNIIVTDPNVQTLR PVFLLCNGATTSDDFIKATYALSSDGTLTCTQPINAGPGVPPPVGFADELGGRLAAYY GTGGITTAFSVGKDNQLSFKNEKIGAAKWIARPSGVDGTVFGYFGGPTTQDYVVVTLA ASFIASTE VE01_07421 MSTVTKQFYLVGDDPSTARSIEVDSNQTLKSLQEVLGDEFHIIQ PSGISFQSADQAIETVAEVEEANSSIGISVDGMPIREPDGPPPLPIVGNFYEIYPDHL GNHERLFRKYGSVIKTVNNGKVNYLTNDPAVAGYAFSESQYFTKGINSDHPLFPLKDN SALFLGDTETENFRLSHKFVPPCLSPKAVRHYTPLMQQCVRESFKVFDAADEADESWN VYQYMLKLGSQTVGKFSLGLDFGHFESRDAPLHRAVKLIAEILALNKKVASRGAWYGK LPFGDPARLKSGQQEMYGIIHKAMNALRDEGAPDLPLHDAALQASCAADYLARAVDET GAKLPEQLAFSNLVVVTGAGFTTTSSLLSWLIYSMVTYPGEQDRLLQELVDNDVNSET NWDTELIQSLAYLDKFVKETQRIHNPSYQPGRTTKQEVIVPGGYRLPADKILIPALYA IQTNPDFWDSPLRFDTSRWEPEKSKDRHRCAYLPFATGPRGCIGFNFALQEVKVLLPE LLYRYEFSRASAETIEYDPEFQLIRPLNFYVRARKRTVWPEKSK VE01_07422 MSKTFSLEDVAEHNSGNSLWIVVHNKVYDISNYLEEHPGGGEVL KECAGTDATVAFEDVGHSDGAEALLEDMLIGELADEHRQDEVKIYRPTYEKIAAPPIL RTQSPIWSIASWVAGAAFIGAAGSGVYFLGISSVRDAPAIKAQLKSLLNGGYKQHSMG QFWLGYLSAGATFSTAAYIAGGKFLKLLDVSRGIGKTPSRIHQTSRVIVKKQIVALPR LPVLDPKKYRKLPLALKEKLSANTYRFVFSLPSADAVLGLPTGQHVAIQAVVDGKTIS RSYTPISNDKDPGGLELVIKVYPNGLLTNHMAGLKVGETLEFRGPKGAMTYKNGLCKH IGMIAGGTGITPMYQLIRAICEDDADTTTVSLLYANNSEEDILLRPQLNSWAAKYPHK FKVWYVVGTAPEGWKYGTGFVTKEVIAERLPTPSVDSKIMLCGPPGMINAMKTNLDAL GFTKPSAIAKATDQIFLF VE01_07423 MASWTPPATAGRPIAILGAGVLGRRMALMFTAGGHDVHIRDPSS DQLSAALTYITETIPSIAQPGVTPGTAHAFSSLSEAVKDAWLVIEAIPEVLSLKISTF AELAALAPRDCILATNSSSYKSSAMLDEVPEADKPRVLNMHFFMPPAKRVVELMTSGV THASIFPFLYGELTRVKMSPVVVKRESTGFLFNRIWAAIKRECLTVIAEGVGSPEDID GVWTQMFGSAEGPCKLMDQVGLDTVAHIEEHYIEERGFDRSARDFVVREYVEKGKLGK KSAAGGLYPPQAEEEKGRGLYILDLGLTNLSAPMSSGRVLVGSIDGKTPLTTIASGES LPDGIATLGNRIYWTSMGPPSTNTGSIRSSLPDGTGVTTILAPGEVHTPKQITADRTN GYLYVSDREGMRVLRFRPDGTDLTTLVQTGDFNNPTHKSDQTRWCVGIAVDPVHRMVY WSQKGPSKGAQGRIMRASLDIPRGETAETRTDIEVLFSGLPEPTDLEIDTTSQTLYWC DRGELPLGNTVNCASVTRNAVSGEGKSELERKLGYKILLAGLHEAIGLQVDVANGFIY ASDLGGGVYRFNIDGSGKQRIYEGECAFAGIALA VE01_07424 MVAPIPFAQAALVVLLACVQPSAAEVTSVMPMFILDSTPVSLDA AIISVSPVPTWTYQSIVTYSVDCPQSASPDNDACRALSIYPAEVWHTQGSMWGGTTTA RADDSTTTWTCNLGSGVSMKTKTIGPSCVKSINAAGGTTRVETTWLDSCYISAHSVPM LVTAGVERLPAEYYVTYAVSEWQSITSSLLSSMGCPVSSTTAMTTTASTAASNGGASE TGGTIPTTGATATQDQVSDTVAGTAGPSPTATGESSGTFREMSLLIAVGAVAICLII VE01_07425 MASRWPLAVSLSLLPLAWAQSSGWVENQANTTMCIWTLPRVGVI RDTAYIDGGQLYWQPSLKDGSPAELLQDGFDHGLVYTLNFSTPFKTSDNFSEVLTSIT SVTGASDDIKPIYVDGALLANDGEFLAYGGLSLATVAVTTPDAGSTFGYQRYWYGGQD KKFEPTFLLNTEITAGITRYVGFGAGVSVPSENMGYYFGGMNSKSHGPVYYPSGNATT DPTTYSRDLISVDMTNQMQEVWKNETIKSIVPGRASPEMVWVPVGKNGLLVVIGGVVD PVFANASSSLTAERMANSQLKSPGFITTVSVYDIESKTWFQQDTTGGPAKTAWTQGCT VVASAPDGSSHNIYYYGGFDGINLRSPFNDEVWILSLPSFTWVKAAVGRSGHGRAGHR CIKPYPDQMIVLGGYPANGGTAQTCVTGGIVQLFNLTSLQWIDSYDPRVWSNYTVPSV VTAAIAGAASTKWNNASLATVFETEYDTSKITPWYPYAYTSTPTNPTNPPVPTTTTVP APGGGTPKWLAPVLGVVLTLVVLSALAIAFLLYRRRRYLRRRDSVAATSEVNRNRILS WVWGHDPKTGTVTSDETPSTAFDDDSAGVGGGGRHLSTTGTVPSVSVNVVEAGGDMVY ELPGTSQAQELSAAREEVGAAGLAYVPIGGGGGLHPSPSVASTASRSSELSADGAGVV SPRGDSPGLTDAGAAFGRESPSIGLGKGELDGGAGAGERRRSGPVTPRTERWGSLGSL GSLGEGGNGEMSATGGLSPDVGSDGAGQGQGRRRKSAFGEMLDEEK VE01_07426 MRSLLLLLSLPLLSSAAATLPTTAPQARAIEVGVDVSLNGQQAQ RCPDHCSATTTTETTTCYETVTETAPGGTITLPGVTVTETGAGVTVTETGPGATVTET QPGGTITLPPVTETTTCFETVTETGPGGTITLPPVTETAPGVTVTETGAGVTVTETEA GTTETVTETAAGGTVTLPPVTETAPGVTVTETGAGVTVTETGAGGTVTETETTTCFET VTETGAGGTVTTTKYDHGKTETVTKTKTKTETEYKYKTKTQTVVVPTTVVNEETATIT ATYIETDIITSVETDILTDIVTQTDSYAVTTTDTYEVTQTSVTTYTQVITLPPVTYTT TAEGVITTITEPGVVTTIITTAYVTEVNTVRETATNTVHDTVRETDVVTSTVSAVITT TLPGVVTTISGTPITLPGVVTTITVHETDVVTRTISAPVITTTLPGVVTTIRGTPVTL PGVVTTITASVSFVGTRISTCAVPAPTIRNVKPQPDNYTWGCPPGQICAPRHPFGCDV FADPPSRSYVCDPLDCFDAPKPTLVEWKHGQTGYYPLTPGYFALSPLAFGLSYDIFSE DIVVEVVNGVPVATITTGNWASQATITAAYTAPALRRRAPETAPAICYPQCNNIVTTG QSIGKTPALCAPGSAFLNYVSACKQCVANNGDATQKSLQDYVIPQYQQWIDFCDAHGS ESVVTPGGGQGQNGGGEVTTQTPGETQGGDGIPVTPTGWRNSTMTTRSSRVPTSTIAE PGTTETGVSSSSSEVQTDVPTGTTSSGGGGGGAAGPTGGNGGGSTPPGPTISAIPNEA HRVGGSVGGMGGVLGLVMFVVGFVMFG VE01_07427 MSTIQLSPADASLPKGSLVLVTGANGYIASHIVDQVLLAGYHVR GTVRDAAKAAWTTEVFNSRHEAGTYSAVVVPDMAVDGAFDDAVKGVHGIIHPASVLSF SADPAAVIPDTISGVTSLLRSAATSSTVKSFVLTSSSVAAGNPAPDNHSFEVTTSTWN EAAIAEAWSVTSAPFPPSHPNAVYSASKAESEKALWAFAEKEKPSFKVNAVLPDANFG PALNPAGGLSTGGWITGFATKSNVEFVKSLPPGWYVNVVDTARIHVAALLSKSISNER IYASAAPYTWNQILAILRRLYPEKDFVDDLPGAELSGMGVPTERGAEVLREYYGRPGW VSLEDTVKENVPTLE VE01_07428 MGSIEEKELPLETKVSDSQVESRDDHYIDPAKQVKLLAKLDLCL IPVIMLVYLCCFLDRSNIGNVGVAGMPETIGASPSQFSIAVSIFYATYVTFETPSALL LKKLTPRYVITGLCVIWSLATIFSGFIHNVASLYATRLILGACEAGLFPSLNLYLTMV YQRDEQAKRVSYLFVCAALSGAFGGLLAYVILFMDGLGGFEGWRWIYIIEGLFSVVCA VVVFFGLPNDPGNAYFLSKEQKEFMAIRYERNKAYSGSQDFSWDEVKIAATDPKVYVS GAIQFCQDILLYGFSTFLPAILKEMGHSTLQTQYLTIPVYIFGGLCFMSFAYISDKMT LRSPFIFFANIFGIIGYLLLLFVSNNSVKFFATFLCAIAVYTGPGLNVAWLNVNIAPH YRRATAIGMQQSMGNVAGVVAGQIYRKAPYKLGHGFSLGILCLSQVLIVGKAVYIKRC TAKKAKIASGEIEDTRKVKTGDWELDFKYHI VE01_07429 MLNFNSYLLDLEARIKAYETKAEEASVTPSSVAATSEILDAESV TAESSAPLQLTISRDTDKDDIDKNPLIEGTAHLVLSPGGGERQYLGDSSSTSLGLRFQ DFIKTFQSELNNVVEFDAPTQSRTNFSIRRNSFQDGQPKISLPPFAFAKRLYAAQYAY LGTIFSFTDMDLFEESLREIYDRPVDVSDKDDCLVYCEVLLTLAFGQMYSVNQWTSND GPPGFEYFQQAMQLLPGLHEQGSVTFIKVLSLVGYFFQNLNRRDAAFLYIGLALRMAI SMGLHQEVPDQNLDDRAREHRRRLWWSVYSMDRILCVKSGNPLTIADQDIGVLPPSRL PDEPEMCPATVLLHYTELSRILGKIMINLYRRTRTENYSLVSSVQAILTDLSNWHTNL PQVLHFDFTKLDKEISREAVSIYLHYSQCINMTARPLVFHVVRSQLQSKESKNHTSDW NTGLSPTTIAVIDTCISAARNSIAIMSTASKQNLIATYGYMDGEHAFSAAIILVMVNI AFPYNARDRAAMNMALEVLNGIADKGNAHIKSLHRLLLSLYPMTRLENSDESVIQKQA PEALAPQPDPFAAFLSQIDGDNANAGIFSNIDTNLFEAAGAGDERIWEEGFEFFDANM DFDWTQWNS VE01_07430 MIESGNNAALSTRLLARNNKITLTSGLAPKYLQANLIILPSRFA SDFLLLCHRNPVPCPLLASSRTPGSATALKSHLPGISDAAIASALDICTDVPLYMVYD DGKLVKSHCSDIAKEWTDDHVAFLIGCSYSFEAALTAAGLPPRHTAMGRNVPMYATNI PLCAAGVFTGGTYVVSMRPYRRADVEKVRELTRPYVSTHGEPVAWGWDAVEKLGIKDI NAPEFGDMPLDLDPADDEIVPVFWGCGVTPQLA VE01_07431 MTVGQHQPAAGRLRISIDRGGTFTDVHASVPGRSDIILKLLSVD PGNYQDAPTEGIRRVLEIATGRTLPRGELLDLTPIESLRMGTTVATNALLERKGARSA LLITRGFKDLLVIGNQSRPNIFDLSVSKPDVLSEKVVEIDERITLEGYAENQNPEPIE ESEDVVRGITGEHVRIITKPDMEAVNAQLLQLKEEGYRSISVVLLHSYTYPHHENLIG NAAVKMGFSVALSSALQPMIKVVPRGMSATADAYLTPVIKNYIDSISSNFQGGLASKT TRVEFMQSDGGLVDFRKFSGLKAILSGPAGGVVGYAQTSYDAAEKVPVIGFDMGGTST DVSRYSGTYDHVFETTTAGVSIQSPQLDINTVAAGGGSMLFWKNGLFVVGPESASAHP GPACYRKGGPLTVTDANLFLGRLLPEYFPKIFGPNENEPLDVAITGEKFAELTAVINE EQKSNGRIEFTPEEVALGFLNVADESMSRPIRALTEARGHNTAVHNLACFGGAGGQHA CSVAAVLGISRIIIHKYSSILSAYGMALADVVNEVQQPAADVFNDTTQDMFQSKLEAL VKQSTEDLESQGFAGKDIHHELYLNMRHAGTSTSFMILKGGDWNFASEFEKRHLIEFG FLTKDKAILVDDIRVRSTGSSSHGKEKSPYMQMKEVVPKKVSEQKSQGISKVYFGSSK GSVDTPIYKLEDLEVGSQIKGPAMIIDKTQTIVLVPEAVANILERCVLIDLKEKPNVD VTFESMDTSINPIQLSIFGNRFMSIAEQMGRTLQKTSVSTNIKERLDFSCALFSPDGG LVANAPHVPVHLGSMQFAVRYQHKYWAGKLVEGDVLVSNHPTCGGTHLPDITVITPVF ENGEIVFYVASRGHHADIGGSLPGSMPPTSNQLWQEGAAIEAEKLVSGGHFNEERMIE LLLKEPAKFEGCSGTRCLQDNLSDLRAQVAANQRGISLINGLIKEYGLKCVHNYMYAI QSTAEIAVRELLKSTSENLGSVLEAVDCMDDGTPIALKVTIDAAKGEAIFDFAGTGSE VFGNTNAPTAITHSAIIYCLRALIKSDIPLNQGCLNPIDIRIPAHSLLSPSKTAGVVG GNVLTSQRITDVILKAFRACAASQGCCNNLTFGTGGKGEDGNHVDGFGYYETIAGGVG AGPTWDGQSGLHTHMTNTRITDPEIFEKRYPCILREFSLREGSGGVGLHRGGDGVVRD IEFLVPVQCSILSERRSHRPYGLEGGGPGALGRNDWVRQGEDGESRVNLGGKATVKMA KGDRIVINTPGGGAWGSETAEKVKTNGVQKEVFVAKGSVDRYKTRQETN VE01_07432 MKLTNIAAASAAILSTSVLARSTVYVTVVTCDTPVPTSTRSTVY VTINTCVATDGSLPTATDVSPTDVAPTGVPTTDVSPTDAAPTATSSADDASPTEAAPT DVSPTDASPTEAGPTDVPVPSGNPDGPYPITGNTVNCRSGPGTDFPIKKTFAKGSIVS ITCQTPGTKINGNEIWDLTSDGCFVSDFYVKTGSITYVKPKCDAASLPEAPTPPDHGD AGEIKNDYPYGASTCGDVDRWYYYACQCTSFVAWRINDRLGLNFHNKYKGAAWGDAES WDEAARQTGVLVDNKPVPGAIAHTNAGGGGAGHVAWVAAVQGDMVTIEEYNYVNVEGY STRTVPASTFDYIHL VE01_07433 MGLFRHDISLGSEFIESKIRKISAELTGGNHDTAKGSKSTFGKK ERELVILKRQKKAMADDVDEALQRYPTVEGAYSSALLTKVISASIKWKNAPEQRLYAD GVLSYYKSVKPGPSGNVVEKYCHLNAQWLHGMCAKCVHIVPKSLESDELAYLFGVREV DLSEPRNGITLETSTHEALEKGWIVIVPDKPRYGGEAIWRCIVVQKSMTSNLLYCRST WKDIDGRPLEFLNSNRPARRYLYLRYVMTYLQQQRLGNVEWFDDAKARGYHWGLPGPY LRKSMLIALARRFSDQGLPECFYESTFTVADGSPQRSAEEENDLAMALYYKMQDDYAE VTGYREEYSDKSDGDESDDDESDDDETDDDEWGDDSDSEEETSDGRE VE01_07434 MASSLMKAAAAAVLFSAPALAGLGKPTLFSDGLSPHVDSVFWEH LTPTQSTWDRWGWGWIPQTCLNHANDNNVSPYDMEIYNVHYTDCGSAFVFCRHNAANL GIIDMIDLFGRLPIHERQYITNVIAVPGGGSAYETSAIVVFQGPVGTPSVFQHEVGHA VDAYHNSVGSSETSLFKDAINADSCVPDDYSNSSNAEDYTQVSVLALYEIVNPGGLDP IGNWRCLERQKNAVNQLQGDAMIPGGTCNFRWADAAIVSMGPATGNGKRAVGAKPTPN LVAGQPGVKELPFEHTSKVTQYKNLHFNEAESAKASNFAVERRQVAFRG VE01_07435 MYLPRSLLSTLYLHLQRTHHPLSPPVLILVALEPDALCGCRILT HLLKHDYIPHKIQPIAGFNDLERAGRENVRPMLASQGGSGGVVVCLGVGARNDLGAML GIDAESEGEGAFGGVEVWVVDAQRQWHLENVFGGAQRDGVLEDGSPGPIKQQPGVDNG KIGRGFKPGKGGIIVFDDGDIEEELGPERAAYFALDEMPAIDERDDEGDSESEEDEDT PMIEARPGQKRKSWSDREDDETDEDVDDRPRQRRRSNSSSSIPESPSRPQRRGLMVVD GPNGVARTDSRSVSPSPAQPAKQVSSRTLRRRLIKLQQKNQMVLDAYYSLGTSYSEPI ASMMYSLASELGREDNDVLWLTIVGVSSMELYGRSATGVAISLKNSSNRSGWRGTRGS RIRQLLREEVRRLNPPDLNDRASLNTSTGLIPTTARSPTDTSIRLSPEPKFLLIRHWS LYDSMLHSPYLSARLHVWTDVGRKRLHKMLAKMGVSLVQCKQSYTHMDMDLKRGLRAK LLKYSAMYGLDELVPSQESDEVGGDKEGWGFVRSWGWRATLSAQDVGVVVGSILEVGK KGVTTLENGGWDRGREVKEVTDESGEIEAEEWVSRFWDAYDALENIEELKAALPTAQH LHRAILRTGTSIIEKRQIKHLSSFRLCIVKDGPDVALFTHPSALTKLALWLGEAFAEQ DLQRTGKLGMGGRGTPLVVACWNAERGVYGVVGTGGGGGEGMSWSDREAARKRKEEKA GKAKEREAARKVKETIREQKREAKRKAREEEGEIDELETESESESEEEEEDDEDEEDE KEHGKGFGRNRFGNAFQEVIEETNARIRVDSFEHCVAEVAKDSLSVFLEGLSMKSVVG VE01_07436 MNSPPSPRAAKRARTSPTSPQSPRTLGPVAEASPDQPAAKTTGQ SSNFRNVSACNRCRLRKNKCDQQLPSCASCTKAGVNCVGYDPITKREIPRSYVFFLEA RVGYLEGLLGEAGVPFEPPETLELASRPGPEPNTQKGDDDSSSNQGPTGRRGTGWSEK QEEEDKLDNLVSNVGMISVQGASDPRYLGSTSGISFARVVFAAVKSSVSSGAEKGGAR APAAKAGPSGTSMRDSFFGLQTKPTIKPAPFPDRSLALRLMRFYFEHANPQIPILHRG EFMKMFDLVYGDRNHVRTPRESYIMNIVFAIGAGIYLGDPNTEPDAPPTDPAPNTSGL GAANRQCQPEEYHASAIMHLEACLQSTGTADKPEGFGGGLEELQAVLLLAGFALLRPV APGLWYITGVAVRIALDLGLHYEDGKDIDTGLREQKAGKTGNGEPESYSREKGRREWI RDFRRRLWWCTYSFDRLVSTCVGRPFGISDQVITTSFPSLLEDKYITPHGFLTEGAHE TSSKHVAHHYFRFRLLQSEILQVLQHQQAQAVRATSADPCNPFLPAQQASPFLAPFGS FRAWRLDMDGRLWAWKSASPTRRETGVQFSHEFLELNYWQAVLMVYRQSLSVPAQFAE EFDGAAEVGAGAVGEGEEAVFLKVAEAGQRVLRLYWRLHPVYGGDIISLCHMAFPDCA KSTVDFNILAATSVLGDLVEKCPPAEACRDAFDRMAKATVQMCMSTSSLSAAPGLVPL RAPDAPAATPAPRPRLQFGSPGDLYTASPPSGGGNSGGVKSESTGGEAEAPRGHVLDP SIIPGEERESSFLGDGGMGVESGDTYGGYGDMGMSEMNSMEYLGDGGGGLELGFGWGA DGEGHDFGDGANQLDFFDGFYFGTGV VE01_07437 MAGKKNFGENGKKVSGNAQKQEAAAAKAAAANQVKAKAEDEDWG RGAKNSSKKDAEAAKKLELARKKAEKEALLAEEEKSLKSAPKSSKAAPKKVSRGLDLA QLDDAPSGPAKALNATGIDNALDALTLTSGDSTKVEKHPERRYKAAYAAFEERRLAEM EEDGSGAGLRQNQKKERIRKEFEKSPENPFNNVSVAYDATKEEIAAIREGEKKKIEDR LGN VE01_07438 MQNQRLLVEFNGLKTASPAGVFLSLSPSDPALWTGVIFVREGPY APAILRFQISFPPAYPTIPPLITFSTDVFHPLLAPLTVQLYTSSEDDSNGVVVLEDEK LPPGGFSLRHGFPSWFKRTRTKPVSNAEADPKNKPQSANSQVTPLALSSSSSTSRDMA DPEDGITVYELLRYIRSTFDDASVLDEVPIEAAGNSGAWRAWKAHRAHEAQVAQVKRL SGISGNTNSPSKRNLVPKPVRQPGEWNWEGVWEVRAKKGIDLSVSEASLYGNSTSNDE LINFLEADEDAVETMKENMVRSLRAV VE01_07439 MASGSFESAEMEFWSDWDEDVDIPSPLRIVKRPSTGTNTADAGT SSPLRVTKRPTTVTKADSVIPNPLRIIKRPNNIAKTDTGTNSREVINIPRRSSSIYNC ISSSPPDSDGGCLTIHKLRKPRPVVPHGSFCDSSPVEKGHVTGEIPGGIKLTKEVKDP KLNQRTEGRICFL VE01_07440 MKPSPSADQRRRSNSIAGRASLGAGGRTSIGSATGRTSIGPGGS GIPTRAPLGTSRLSAMRASTTQPSYDFLTGTEARKEGEGLSRPPSRGGGRMRTPSNEN LRGSTTNLPIHSAAAQELNELKAEVKALKYKISSSAQEEELAGLRREAELREVRRKGE EDFRKMQAAEGERNKAVRALEEMKAEVERKRDAEIGDTGRLEKKARDAEEGRRVAEEE VEELRTEAEERVRGLERRLADEQSRTSTLQRTVQELQQDSEAKDVAMQEVQQILQKKD AEIGALEAEVLRLKAQTGDVDTLDIIKRELSEQVTHIRTLEASNREQARELKHLRMLH KSVEVVEEEKRQLQRKVGQMEDLQQELGEARLQRQRLEDERLAWTAYLESQDGNVEFE SPEALARALVQERLEAATQLEKLGRLEPALSERDEIIKSLEGEKARLASQLDKANKEG GGGDVKARQRLERQRTLALKEVEYLRAQLSALDGEESTMELPSYDAAKAERITSLEEM VAAYSTEVATLHAEIASAPVTTTTLKRTRDEAPDEQERLGSLTRKNRKLQDELSTAQS AAQKLTKELSVTKERLTAATAHKQTRVLELRDNPTANAEAIKMSTLNALKEENAALVA QLLAGPTTTRSSASAKTVPLATLESAQRECRELEAQAASSRKAMARLKQVWGAKTAEF REGIASLLGWRVEFMPNGKMRVSSIFYPGTEDEERSIVFDGEAGTMKVSGGARSAFAG KIAESVKFWVRERGEIPCLLAALTLEFYEEGTRGAGA VE01_07441 MAPLPHPSTNDITPIPTTYSSLNGPPPGQIAGIVLGSVFGFILI AYLLYTTCSGSAVLISDAETVSEVEVRRRKRRGSRSSRGTPVVERIVVQERTERRMGS GRSQRSRSVGSVEEVVVIEEGSPPPRRSRSQRGGGYREVDPREFGGGGRPMREVRRER R VE01_07442 MSSAHILYDAANTLSSRAELGGPAESRPPIYKTIGIVLAIASGC FIGVSFIFSKMGLLKANKKYDEIPGEGYGYLKNAWWWGGMSLMIVGEICNFVAYAFTD AILVASMGALSVVISTVLSAIFLKERLSAVGMVGCLLCILGSVVIALNIPASSSVTNI QEMQHFVVQPGILVYGGIVIVGCVFIGVWVAPRYGNKTVLVYLSICSLIGGLSVVATQ GLGSAILAQIGGQKQFNQWFLYVLFAFVVVTLVTEIIYLNKALNIFNAALVTPTYYVY FTSATIVTSAILFKGFGGTPSQIITVIMGFLTICSGVALLQLSKSAKDVPDAAVFSGN LDQIRTIAEQEQPESEPKADAIRGTAAIIRRLSTARQNMELAEARRLHDDMQSDLQPV SENEQIEWDGLRRRRTTYGSAHRPFTPAYAASPHPPLGMSRFPTDEELAAEERPTTGS LTPSFLGTIRTRARSMVPGSIRHVDDHNGAQSPMHPVALTSIAVPGYYDTTGLDEQKT AYDAHAGGGGEPHIQFAEDPRGGGSSHLAAERPPQSARRQFSFQNVFRKGNATPNIED MSDREIRARERERERELAGHKMRPGLGSRGTSHSVKKAAGGASEEERAGLVRPRGDSG SDVTRSFPEYEEEEGWEAGMGEVEKPPPVPKHGGEAAYEAIRGGGRGGRGGGGRGRRG TDGSESPPLPPLPPFEKGGGRGSFI VE01_07443 MAADVPDQYYTEVARLESFHTAQPLPKRRGSNATGRAPKSMKWP HSWLGPEQLAAAGFFFLPSHENPDNVKCFLCRESICGWEKGDNPLEEHLKLSPGCGWA VTSCIEARVGDMHLENPMSTRMVEARKATFGDRWPHEGKKGWKCKTKQLVEAGWIHKP TPEGDDYAECVYCTLALDGWEPADKPFHEHHARSQDCAFFTLCNQPESPIAKKAAKGR KPRASKVSRLSTQSALTEAPSIVDMDVDEGDSVMTTATTASQSTRKAPKGKKVPAKGR KKKEEPAEVSELPEPEDEVDVVPVEQPKPKRGKKRASEAMEDTASVIEAQAPPPKRRT RGSIAVQESVMGDVSMEDVTVTEQPPAKKAAPKRKGRQSKTSRQVSTASQASLRAHVP DDDEIDKMLEEDLNRPLTDEEDAVEAKPVVHEEPKASVAPTRRATRTSKVAKTDYDMF SAADAEIDEAALEAELEAMEIEESKPLPKARGAKASQPRKVSAKQTAAAKRAAQAEAA AAEAAAEAAAEAAEAAAVAELEAAEAELAHAQAEEAAAAAAELEAAEAELAHAQAEEA AAAELEAAEAELARAQAEEAAAAEREAAEAEAEAEEAAAAEREAAEAEEAAAAEREAA EREAAEAEARRIEAEQAELEQQLKPAPKARAGRASKAKAAKPAATRATRGSTMSVNES MVSALSHQDDSVATAHDDSGNETDASQATVVRGGKKRGSTLTKKAKGGKKAPIRNIED IIQPSIEEPQPQEEEPAPQPQRQRAVVSKRVANIEDRVKSPPPQVVAPKPAKARAAAS KAKGKAPAPVAASPSPAPESAPVEEPTPQPAVRARAASITPSPSPQSSDAENRPPSSR PNASAEQAAPIRIPLAPTPGTPSRRNMVAGLKSGEPWVGVDLDEVFGHLRSAEKEKEN FVVGGAKGGLGRGELTAEERGMTVEEWVGWNAGVAEERLRRECERMVGCFEREGGRAM RALEGVEVVE VE01_07444 MQYLSLATLVGIATTVSSHGVITSPTPRIAGAVSRAACGTAITL LHKQDVTDHVEGMPQVAAVDSTYHADECNLWLCKGLQLDEEASGPVQTYSPGQVVPIE VYLRILHAGTANVSIVDTASNTVVGSELLYWDSYADEKLPAVPANNTLFSVTIPSDIE EGKCTTAGECVIQWWWYGVGARQTYESCVDFVLAPTAPSSRIRRN VE01_07445 MPSDQRSTYTNPDAKAYNLPELADAQTVRKFHESLPEYSPTPLV SLGELAEKFGVKSIFIKDEGNRLGLPSFKILGASWGTFRAIASKLDLSLDSSLDDLSE AAKNASIKLFAATDGNHGRAVAYMAKLLQISAEIYVPTAVSSHARELIAAEGAEVIVI QGDYDDSVRIAAEKSTTSPSGLLIQDMAFEGYDYIPSWIVDGYSTMLHEVGSQLEEKG LKPTIIITPVGVGSLATAVVTHAKSGGRSITILAVEPDTAGCLHTSLKASQMTPIKTP GTIMTGLDCGTVSTAAWPVLRAGIDASVTVSDSSCHDAVLYLTRHGIFLGPCGAAGLA ALLSIGPTEPESIGLNSDSVVVILGTEGPRPYFDSL VE01_07446 MTVSQQRPQEIIYDEESHRQRRTKESNIWNKVDEVGPLVFVLAI LLAVILFFATGYTLYRILPPLHDYSMDRYLETLRELVKIVNELEAGAAAKKGCW VE01_07447 MARYTSPYNKNTNGHSPQAIWQKVRVSLFNADRGDTDSILNLIP AEKVVAVKKPRSVRTGGNPG VE01_07448 MIIRAVLATSALLISSSTAAINPALVGTWSSKSAKVMTGPGFYN PVNDSFIEPSHAGISYSFTADGFYESAYYRAVSNPTSPNCPKALLQFQHGTFTENANG SLSLNPIAVDGRQQLSDPCKNSKNSQYSRYHQPELMMKYEAKIDDYKKINRLDLYQFD GTPIQPLYQAYSPPMMLPTVTMNPTDKADAAKETGAKVKRGEGLAESLLEPLNKDAKH IKRHLSGAMDAKSPSGMADSVWWAGVGMTLLGGAAYLL VE01_07449 MTSFFRDAVAAVASYIPGRSRPDYEPILTEDGDAEPTQQPVAAE EEEEGPSTARKRAATIILTIAIICLTFLLIIAAAFGWARSRPGDHTLPCVRTIAGEIN NGYQCHPEISHHWGQYSPYFTVPSEISADTPASCEITFVQMLARHGARYPTFTKAILY KKLAGHILTTVGNFEGKLEGKYEFLKSYKWRSGADKLTIVGIQQLTNMGYKHYQRYQA LAKDTIPFIRSTDQDRMMASALWWIEGFEIARREDPEHTGPDYDEGYPALLIPEDPEF NNTLSHDLCTSFESGPFSHGGLDAQSVFAATFVPPIQARINEDLKGVNLTSREIIYLM DLCPFDTVAPNHVPSQYEAPLSPFCHIFTRSEWLSYEYYQSLGKFYGFAQGNPMGPTQ GVGFANELIARLTNSPVVDHTSTNSTLDGSHKTFPIDKNTTMFADFSHDNDMMAIYAA IGLLNATEALDKENLQTIDETNGYSTSRMVPFGARAYFEKMKCGGQDEELVRVLINDR VIPLQNCGVDSLGRCKLGAFVDSLTFPQHDGHWDQCFE VE01_07450 MLAIRSIAAPARRQCLRANGVAMLSSLQTRTYAAGASTHEKVAK FHGKKGSDGLYTVSLIEGDGIGPEIAQSVKDIFKAANTPIKWEPVDVTPRLKDGKTVI PDETIESIERNKVALKGPLATPIGKGHVSLNLTLRRTFNLFANVRPCRSIAGFKTPYD GVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVCKYAFQYAEEIGKLKVR AVHKATIMKMSDGLFLSTAQRVAKDFPAVEFDAELIDNTCLKIVTDPTPYNDKVLVMP NLYGDILSDTCAGLIGGLGLTPSANIGDECSIFEAVHGSAPDIAGKGLANPTALLLSS IMMLRHMGLEEQAVRIEKAIFETLAEGKTLTGDLHGTAKTHEYAGAIISRL VE01_07451 MADYERTVLAHYNLPTAFPTEWPAEKDESDASDDEGLVKKKKTS STGRASKSRYSALERATSDRKSYVPGSQKTGEGLENLVQKDEPDPLGTGESVVRILRG HGLPVQDDIHLRNRYLLSSTTFSPSLFLSQVHHSASTQQLLNGLEVLSRSIDQKSASL RVLVESNFERFVRAKATIDNVYTEMKTRGTESVPIIPPRHSRHSSRISFRAGSLAEAA PGITTIPANNKKKNALSKESEYGVLGIKAPLVDVAAKAEEVWGPALGGREKEDNLKVV AGALERHRDIYEAPGLIAESIKRKDHETLVEEYAKATRIADEARKLGESLVASQTPPT DLQLQHIIVAARMWYDVQDQLDNFKREVWRRLAAVQYGAGQPGGSSSTRDQHMDLISI LLELGVEDNPIWVWLLSRYDHLKNKITAMSERSKVEIEVLRRRLANGAKPTPQVLASH LQSLSRQGLEIKSATQDSTEIIELWEKMLTFLNSMLSSGGVLGEVLEFSQTVQGFIDG KTQRTLPMGLNGESRKHHRLSNQGIVDLRKGTIELIDLIREGVNSFFVDPPIEDISLL YSPIPPTPMTPKSGGLVPPALRDPRFAFDATSAPPPSPKLGEAWEKFAFWPPWANSLS GVHYLAKLLVLVGSGASDMASVSVIDKGDGAALERLRALVGSARERCVTAVCAAWNKD AENIKVLEDWKRSAEKKELTRMPANFSAFESAVLSGMQKILYIPEAITKPNSEEVVLP PPAKILQMVRSQFVTTLYKALSGMVENAERSIKKPEDDWAIDGGGIATPLSIGPEMST GIETIAASDRNIRMLLTLSNLQALRADVVPSLTMQFENAFSVKLTEEAKTIRDVLAQI DARLFQSYTRPQIDELRGIIKAGILAPEWEPSPSEKPKDVKPYVYEALLSLVLVHTQV ATTASSLTPQILSYLLEQVSRDLLDAFKQRSQYTLASLMQATLDVEFVAQTLTQYTTD RAGQLQSDIYQELDKGTDNEARVKLQGELPEMRAVLKRLREGSRSEFACFKKVRKPTE RVKS VE01_07452 MQSHMPHLRKVKGSSQLIVNDEPFLMLAAELHNSSLSSAEYMRT VWPNMKAMNINTLLGSVTWEMIEPEEGKFDFAELDEVIGDARRHDLHLVLLWFGSFKN ALSTYTPGWMRKDVKRFPRVHVSEAGAVVRTEELVSPFNQRAWEADAKAFGKLMAHLK EIDEEHSTVLMIQVENETGLLGDSRDRSRIANELFEKPVPQALLQHLQQSSENNLHPK FLQRFPLVKSLRAGEATWADAFGRGPAAEEMFMADAFSKYISKVAESGKKEYPIPFYT NVWLNVEDDGSNLDTDLPVVVGGGGRPGVYPSGGPCPHTLDVWKYNTAPHLDFISPDL YFHDYESVCIDYKHAGQPLFIPEQRRDEKGARRTWLAYGTYGALGCSPFGIDSELASE AAITRHYGLLASVSDIVLKKQATNPEDVMGFFFDELHEDPKPRLWVRRFGNFEITIDR AFVFGKEGPGAGLIIHLGEGKFLLVGWGFNFLVKSVNPKATFASILHAEERVVSKDED GQVVLKRGRVLNGDEHRHGEFLMMANEDPDYGGFPIAVTPGCRTMICELEVYDVEEDK DDY VE01_07453 MISGSEGFVATEKLTITDFSAFTKARFVAAQRRSPAIENLANVP GLDSSNSVSPVAATAATVVYGIHRLAPLPVIEQIVHSWFEQIHSVAPFLHRRAFLTRL RSTEATQDGTFAALVVSLCAATIASLRRKSSQDYGTLVNPERCWEVIDEMNMHQMPRR HFTLEWCQMKYNLSVSNHLINDAQCFTSMSEAVIGIKYLIHYEMPNMTSASQELLKRL YWLVFAAGCSADLKGFPYVGLLTPQDNISALKPLELSDDELDPSQNPDPYGPWHGNDR SYVPGLNYLSKLFLLWNQSQQESPPSIAGLQHYMNLIKRALDYLPPELIWRGGLSRPP QSNFGTCVQTANLYITQLHIQSNILEQIIHLVGSSVVQETTLSLITDRKSIVEDLLEI LYYMPQETLEANGSSLIPKIRDIGTALFDDIRTGGEGQSLSKKASDNLKRLLTKLENL DAQSQFYLEMQPLSAIT VE01_07454 MSEKEEGSATAAIETVLAHNPPTTPVGADVHWWQQPNLRNLYLL MPFLFLGSTTLGYDGSLVNGLQTMPSWQDFFNHPTGAALGIIGAIPGFGGLAILPFAP YIVDNFGRRAGIAIGCLTVFLGALLQSFPNPSHPNEIYLAGRFIIGIGGTLTNGATPL LITEISHPRHRGRATTIYNTLWYLGAIIAAWVGYGTLSHMTGNIQWRLPTGLQLAMPG LQLLALYFIPESPRFLISKERNDEALAFLLKYHGDCGADAFARWEFSEIHETLHMEKA AADSSGWYELVRTPGNRKRCFLIVCTAIFSQCSGNGLVSYYLSSILKTIGITSSTKQA LINGGLTIWAFLVSLCFAFTVDKLGRRFLFLFAGLGMLVTFTVWTACSAVYAKNGSTE AGSAVLAMIFLFYGVAGLAWPGLTVSYTVEILPYNIRAKGLTLCFVFTQLSGVFNQYV NPIGLQNLAWKFYFVYIAVLVIECAVIYFFYVETKGPTLEEIAVLFDGDDANVMAEPM EGKKTVGHLEDMS VE01_07455 MQLWHLSLAACALFGSARAASTLVVPNKIAVGPSIAQFVSGSSA LDGPKLTNEVNATSFDWWYFDAVSSDSEAAVVIVFYLSTSLGFPFVPSGSVLSVDIFA TFPNGTLIFDPLADPLIGGSATITTNGDGASGTWGGTGFSFEGAPDLSSYTVTVDNPV LGIKGTLQLNSVAPAHYPCSPKVAGANLEVSPHIGWANAMPDANAIADFTILGEKLQF NGVGYHDKNWGDEGFTTTVASWYWGHGRLGPYSIVWFDVLGNDGIEYVSAYVALNGAI IKTSCSGIKVRPTGRNSQYPPLSTSGAPEGYQMTIDLGGDYGTLVVNITTTTTLAPAT IYQRWAGDMTGGVTGGPTYTGPALYEQFAFNS VE01_07456 MKNFLLGLSALFLAFVPPGLAHTAPSSITFSPLLYPGPVDVQFT SSPSAADGPRVFPINATTFDWWYFDAVSDDGTQAVTIIFFTSSYIGFSFNPTSAIDPL NIYVFANFPNGTSTSFPVPATSVTITTDGNGATGEWTGTGIGFQGAADLSTYNVTFDN ALLGLSGSISLASRGPGHYYCGPLEAGLDEQVLSNIGWANVMPAADAVVDLTLSGQPL KFNGNGYHDKNWGDTPFTSALQSWYWGHATFGDYNIVFFDMVDAAGEEKVGGYALLNG EVIGSTCTTGMVIRPVGTPYPPTQSTALPTQLTINMTLNDGSTLSAVVTKVATQIDIK LYTRWIGTIKGTVHGVTQSGSSVWEQFAVNP VE01_07457 MAIRKEVRSVLRWTCDQICASDLIAFPLDYNSPTCYDPQPAELI IRFVDDLIVSCKPDAANAILGKLFQVPEFCNMPQGERTFEFFWSYFLGRPTNTPWPQW DEKRLAEEKRLSDPENVRFYDGWSDASWITETQGIAIGDDYDVHQWKDSSDCWTQSIC AHLLCQPKDGRPPSRETLQEAFEALEKLSTMTLPAGYGNIFNDRSYFIITMYLGHFQR ACEIFQQIGIYRFGDEFFCNPAFYQLLMTFMSDPPIKRYNAEEAKEIVQQVCTALDTK LERPSGVSIHKEPIESPVSDLLQRLSIAAFSVYHGDYLAAGINSPADILLPAITAERI TEIEQHLGAPLPPDVKEIAMVANGFYGGWNFAGGGWSGISKNVSVCPADDCAMWMGDD GEDDFQSTQTNETRTRDDGSTYEELVDTQYDDETELGNVYVSSAPADCDGYIHFMCPP ETWRTFQKARRGIKSPDGEYAVFYHAPWQDSSDVHIFKSLTEWLTNVMLSIETEAEAS E VE01_07458 MDRKRKRELRVLNAQAWEGEKGVFPVSKSLDSSLKKNTAFIKRL RTAVTAATLNTFLQEIRTLSLSKYLSEIISACYEGLCRLKSPGEIEAGVEIVSALHQR FGPAEFTEYLGWLVGKGLATPEKGLLKNLAADLREKEEKERLTRQRVLLRVVTELWLV GVLRTLDDASRPDDASRGKESLSGAAKVSEAKVKAASSMKGGGSEPFPLEVLKDLLGH DRDHANLPLLVIFVKAFGWDILGVKKAGAEGRKTVQEDGATDAHGDTMDEDDSDEETI SATSNEDVPLASPELQERFRNILRRYFDDLKAHIVRDQKTLASQARRDAEAYVKSGQV FEDRQANYEKQVKVQDRLVDNAQVLADAIGAEMPDLKDTEDPAAAGDGGIGLVKTGEY LRGQGDGAGIWEDEEERRFYENLVDLKGKVPGMLLEDTKKKKTDTDEQVGKRGDAADS GTEAAKVEAKPAEADDQSTAIANKTVGAQVDALIARLPDLTNKDLVDQAAIDFCFLNS KASRNRLIKAVQEVPKGRSDLLPYWSRLISTLGKYMPDTTKGLVDHLDMEFRSLQRRK EKDFLGQVRLSNIRYLAELTKFGVVPEHVIFHCLKVSLDDFSRMNIEIICNLLENCGR YLFRNPETSPRMTSFLETLQRKKSAQHIGQQERMLIENAVYYVDPPVRAAILQNERTP IDLFIRKLIYLDMNKKNYTKVLRQVRRLHWEEPEVTKILEKVFSKPGKVKYGNIHLLA ILVSALHRYHQDFVTTVIDDVLEYITLGLEQNDFKYNQRRLAEVKYLAELYNYRMVDH PVIFDTMYKIMTFGHGGVPNPARVNPFDMPDDFFRIRLVATLLETCGIFFNRGAAGKK LDYFLSFFQYYIYTKDTLPMDIEFIVQDTFSLTRPQWKLASNIEEASRAFQLAVAQDQ KTSGVEKAVEVEEPEIDESSDDGDGDAGLPAGDGDESSSEDDEAEANGDDEVSRHGSE SEEEEAIVVTRQDEQYDPEDDADFEREYAKMMAESLESRKFDRKPMFDVPLPMRRSNR ETTSTVESGSDEHAAPVVAPAPPNTMAFSLLTKRGNRQQTRTVELPSDSNFAIAMKTQ QQAEREEQQRIKSLVLNYDLREGEEQDGDSSLKPIQPNMNIYRHNPGIEKLAGVSHSK TDKSGSNRSGQRSRKLQLSDVDWT VE01_07459 MHFSTTTILTLALAALASSSAIPGRRSPKGGREKGNNGNGKGNG NAAAGVAAGGVAGGAAGVAVGAANNGTAVAAGNATAVDAAGACPPAVTVTVTETVGAN VAAATDNAGNAAADAAAAAGQDGKAAKKAAKAAEKAAKADAAAADAAAAAAAGGAVAA DPAADAAAANVNANQNANANANGNNGNANGNANANANANANGNNQNGNQNGNANANAN GNNQNGNQNGNNGNQNGNNQQGNNQNGNQDAAGAADAAAANGNAANAAANGNANANAN AAAGKAAVAADPADAGAAAGGGVGDLLGLLGGAGGAGGAAGGAGNALAGLGAKAGDAL AGVKGALGGLAGGAAN VE01_07460 MGGYLKRWGKLKLRDQDDDLPQSWWFASTAIPLLAATIGPLANL LSIAGLVSKWRVQLPNNGQLPEGADDNGITIPDPKWELILNGLSLAFGFIGNFFLLCN FTRRVRYIIALPATVLMWFLSSAILISIIVAMSILNPPIRPGQTWSQGFWHAIIACVL YNLGFGMLLINMVGYLLGKYPQNFYLTDYQRTLILQTMMFFLWLGGGAGVFSRVCGFS FSNALYYCDVTILTVGYGDIVPTNNAGRGILMPYAVLGIVFLGLVINSIHKFARGISR NKVVKAHEERIRADTVSRSVTNDGQLRDRLGLPPPKRRDGNRTPHSLRSVSTESIAQY GELDISGRVVTFKPNGAATSSASHGHRKLTFKPATSKMNGPGEVLKNGPETLVEKRQR LIYLKDEKDRFEAMRYVQRNTKRFKQYYKLSMSILAFAILWFVGAVVFWIAEDREQGM SYFESLYFCYVSLLTIGYGDFSPKSNAGKAFFVFWSLVAVPIVTTLISDMSDTVISGI NHWTNTLADWTIMPRAGVVREFVDSHPRLKNWIEERQHKKEEKKRVERGFVVQNQDQE AGEAAADDVENPTIEKFIHEGMSSHEILRRLAIAIKKAAQDMQVSPPKRYSYEEWAEF TRLIRFTAESREQLKEEEQQKGFINWDWIGEDSPMLSDMPESEWILNRLIESINRYTA KQAQEDRKGDDRNERNRYYTPSGDRQGDEREASHKQDPIEREAEAVVNTGHGANN VE01_07461 MSNNDRDMAQPICQNCTTSTTPLWRRDEIGSVLCNACGLFLKLH GRPRPISLKTDVIKSRNRVKTSGAAQGQKKKSLFDASNGLGDARSQANTPPPTGLGGH RRTSHKSQNGHSEGSHSPISRTGTPSMYGNRMAPFNGSELTDHQLHSPSLPQMHLRAS SPGRSESSVNGDRHLDVPQTYEQLLAANASLKTRVSELDLINELFRGRVTQLEQDEAN ARRGEEMKRDSERTLRQHLEESQRRENQLKRRLDDLEREVAEMNEGNESRHKKIRLDV AVEDSEVSTPTSAT VE01_07462 MASTLTSYRPIEHLPPAGRPQDRAFGPASTMDPNPQLTAAGGAK PRSTSAVSSTLHHSASMPQLPALSSLASIAASADSHPLRSVTTNSLYILHTSIHIQSG TVRHDVSKFLHP VE01_07463 MPRTRPPTTGYARLAQAEDSTDEEDENYQQSLQPAESRRYASIK PPRPNAGMYAEGSNAQQRSSRTRHRGLSNSSGVDIKAINARLERWADEIASKFKIGKV KGKSDEEERLEIHHSVFQAPDWIRPATSEQLAAVGQGQMTAEQFEATVESVRVAIEQG VHPKMISQGSSGSYFARNCEGKVVGVFKPKDEEPYAAGNPKWNKWIHRNLFPCFFGRA CLIPNLSYVSEAAAYTLDCRLETNLVPYTDIVHLSSKSFHYDFWARRNFYRKKKPFPM KPGSFQVFLQGFSDATIFLRKHPWPDQLNGGFRVSDAPRKKRPWNDPCRPSGAASDDE DDGIPNASTAEEAEQRKFFWTEKLQTAFREELEKLVILDYIMRNTDRGLDNWMIKVDW ENESVSIVSDPPSMPSGEDTPTAQARPYRQQEPMYPGRSGRGSPGKEPEPSMSIGAID NSLSWPWKHPDAWRSFPYGWLFLPVSLIGQPFSQKTREHFLPLLTSKLWWTETQLALR KVFSQDVDFQERMFARQISVMKGQAWNVVETLKTSDHGPLELTRRARVCVWDDLVDIP VAVPMRAPSLDMRRRQREAELSDEAGEEMDISVANASAPADLIRFGSPPAELPNPNRF ELSPRPSEDNAKLFSPMSPRSDVASPEGAASRPSGDQGGPSRPLMGRSSTQFSRHVPQ HPLSQVHRKEQRKSSFSSWGDSHGQLMNDNDDLEGDLGYAAAEDMEHNQRKVIVERLE TVKSKNPVFTWC VE01_07464 MASYGQANGHLRSEDAAYASTVPTNIHPKWDAAALLDPRASHKS HQPAARNEQTTANPPRTPSMMFEFDSPQGQPGFAQYSNSLSNGNGFAANGHAHNTGHM LERIHNVSDRAFLPQKRRKLDMGDKSYESLQTTFSGGGQEGVVGEYIKEEREARKEPP RKATAPAVDLTEDDEELTVLGTSDDKEVCYGRIEGADINAFKVPTAKEGTRALSRDFW PHVKVNLRRKQDKTAIVHVVDSTRSVFGCLDVNTAIGLVPLIDSNLGIRTSAKILARQ KKPGDLPPGADCSCRFNLDLTLYGAKKHATQIGRHLSQKQLWLRTPLSVEAGIELCNP HASLKQNLVPRPGGRCVGYAPASAASMRTVEEIRSDVLGMFDSLQQSENLPEMTQNSL VTTELLPHQKQGLRFMTNKEKEYVYGSAEETNSSLWQQVQGSSGQRIYRNVITCQEQR EVPKQVQGGILADMMGLGKTLSILSLLTSSLDQAEAWAQKTPPAPVEDEVRLQRNTKA TLLVSPLSTIANWEEQIGQHIKEGGLKYHIYHGGTRCREIDRLANYDLIITTYGSVAS ECNRRIKGKPGPYPLEELNWFRIVLDEAHMIREQATLQSKAICRLQAQCRWAVTGTPV QNRLDDLGALLKFLRLKPFDEKRAFAQYILAPCKNADPEILPKLRLLVDSITLRRLKD RINLPPRHDRLVRLAFNREEQELYDIFSKNASDRVKVLTSQQEKSLGGKAYVHILQSI LRLRLICAHGRELLGDEDLKITAGITKDSAIELDDDNDADKPALSHKQAYEMYNLMRE TNADACSMCNAKIGRATSSETEVEGKDDMIGHMTPCYHLICNGCVKEYKKALESTSTD KRHSNCYICKQYIRMDYFALKAGQVEEDETARAEIKEGPKHTKALGRYNGPHTKTIAL LQDLLASKAESDLMADQPPIKSVVFSGWTSHLDLIQMALENNDIKYTRLDGKMSRTAR GAALETFRLDPSITVILVSINAGGLGLNLTTANKVYVMEPQYNPAAEAQAVDRVHRLG QKREVVTVRYIMKHSFEEKMLELQDKKKKLASLSMDRDHMKNSTNKAEATKKRLEDLR SLFK VE01_07465 MTLFALPLSWQNGRISTSASSFPQTAGQSTNRKRKRSFPLNSPP PPSTLSTLPNSVFDYPSSPAPSTNLDYVTSNPLSLNADETRQYRAAGLELDKELPSKT YTGFPHRNLPWDAGLATDDEDRESSKAELSSIERGSKPSHLKVRHLGVLTAILQRCIA EGDIPRASKAWALLLRAQVGGKGVDLRSTGYWSLGAELLIRRGEQLPAGNDGTSREGD LQETPPLDPQDSGTVSWGTAEGLERAKDYYARLILQHPYKRQYSTATSALDFWPAMLS CEIYGIQSKQQQSLHQLVMRQRDGNNGSTSDHEDSLSDEESENSADDSLGATQEEVYF ARRAKRESRTAQRQQVRSWKKKEEVRMHAKTAAEKVAARMDEIMTTPPFVDSHVLHRL RGYLALYIGDLSILSPWEQKLGGESEGASAWVRRSEAEKGELLKKEMVEVARAHFDVA KRRGGHIPDWETEETAVGYEDESA VE01_07466 MAAPPADRAKNKATWDLPQWREMIELVDCPEVYDVKFYPYGKPT DDPIFAAVGGKKLIVCRPTHAKDKRVETIQAVVDEELNADNCSIAWAQAEDGRPLLCY AGSTALIKIIDVLTGELLQVLPGHGGGIFDLAVPRTNPHILASCSEDSTVRLWSLRKA HKESPCIAVFAGEGHLDAVLSIDFHANGHYILSAGQDHVVNMWTVPKLPDESTIIEKA EAIHYPHFSTSEVHSNSVDCVRFYDDLILSRGHEEGYIVLWQITRFSSAAEVPPASAA PTTYDQSARTRSAFFKVPEGDKTAQRQYQRLLQFAIPQCNQWYMRFGMYTPYSSLRHP VLAMCNSASKVFFWDLSRLVDYQKFITSPETVLKPNWLSLASQTKKSGKSDTHRLLSQ TPIDESVATSSVSSFSTETFAAAIDIEANRGAWDEKYATGNPWKSLKAHKIEGIPRVT SVGRAVAWSEKGDFCVVVGSSGIISVLERWARNTPDIKPDRSTVNPGNAS VE01_07467 MASSANPYQNYSHPQASDHDDDLIDPDTASLDDLDDPLDDAPNY SAHAPLTGNIGSSSSSRPLNESYLTSAMPGDDRRAPTNTIDESVWDTLSRDLLAVWSK MREVLYPKYLFGGSMIDNTTTLRGAYEGFRAGGIAGAREEVRNIAGRVMDTENLLSQG NMSQGLRDWDLWGPLVFCLALSLLLSFNARPEQKSVVFSGVFAMIWIGEAVVTAQIKL LGGNISFAQSVCIIGYTLFPLVIAALLSALHLPTIARIPVYIVLIAWSLAAGVSIMGG SGVVKNRVGIAVYPLFVFYVGLGCLCFIS VE01_07468 MEGSKTPEQAIAHKRSFDDQQDEDSATMKASEDLKHTRISDKEP TEGEASKDDVGIAKPSSDKSGNTSGFTKETTPERSTRSGGEDDGMMEQISSPKKKRVR EQDEETKESDKISKERVPSNGSATSADRSDRLEPEKKRHRDTLEGGAEAAAVAASQQG KQVTNGDEGSKTADKPKGNETDSDKRLQKGEGETSTDMPQTSSSAFASSGFGALAASG TSGFGALAGKPSIFGGGSATTASPFGGNLKEETAANKSTDEPKAGEASSTFGGAFGGG SATGFGGQASGGFGGGFGGALGGGGFGGGFGGGFAANQSKTLSTFGSANPDTTTAGKP AKAFGAPESDEEEESGDDGDAEGDVGSDGEDESSTFEDKKKPKLTKVPIHDGEEDEVT LSQFRAKLFAMESKEEGWKERGVGNLKVNVHKTCVEFDEYTGAVVPGSFDVSLRDDDD DAPPVIAARLVMRQENTHRVILNTIINRALKLEEKPSNAAGKGYMFTAFDGSRPINML LKMNESNAKLFISEVSSVQSGLL VE01_07469 MDTIGEARLPNKAVLKRKRAETGGGEGGTGENPVVEKPVATVDL TKVNGVPSKPRKTAKSHALPAIRRSASASSSSTTSNAIWPASFTTLEKTHRALNLVFT FCCARKHLATTFETIKTTVEGQIKRELKVEDVAKIVALRPTGINFSFVDEASLDVDVR EYEKDDAFRGNAVKSSRLSETVDGNENTAQRAGKEILLFEFLDGDLKRGSQQKSEKGP KASRKSKGDELILPKLSQKQMTDLIEKRNTKFHNAINAFLNRCESANDNPEEILEKEA QAYIPEPSNSTADALESKISTLPKSIPKERESISQIIKEVKDCVWYTGQIVPDGHRVF DPQEAIYGDLNFILSQNLVNALYNTKGITQFYSHQAEAINGLNDGHHVIVATSTSSGK SLIYQLPVLHELERDSSTRAMYIFPTKALAQDQRRSLKEMMKYMTGLEDTLVETFDGD TAMTDRNIIREEGRIIFTNPDMLHITILPQEDKWRTFLKNLKFVVVDEIHVYNGLFGS HVAFIMRRLRRICAALGNRHIRFISCSATVANPTEHFKTIFGIDDVRLIDFDGSPSGR KEFLCWNTPFKDPADPSSGRGDAVAESARLFCQLILRGVRVIAFCKVRHRCESLVAAV KFELSELGRSDCMARVMGYRGGYTPQDRRRIEAEMFEGKLVGVIATSALELGVDIGSL DAVITVGFPYTIANLRQQSGRAGRRNKDSLSVLVGDCFPTDQYYMENPDEIFTKPNCE LQVDLQNMLVVEGHVQCAAYELPIRPKEDSLYFGKSLPAIAEERLEKDDLGFYHCNER YRPMPSKFVAIRDTEEDHFAIIDITHGRNIVLEELEASRAFFTLYDGGIFMHQGNTYL VKEFNPDRKIARVELVKVDWTTQQRDFTDIDPIETEAMRRITGSPSRAFYGTIKIQQT VFGFFKVDRRGRKMDAVEVDNPPIIRFSKGMWLDVPKHALDILVERRLNVAGAIHAAE HAILSLMPNFVVSMPGDVRTECKVAVKEFARKETARKRPARLTFYDAKGGAGGSGIST KAFEFVDMLLPQAIQRVEACHCHDGCVECVNSEFCKHANEVMSKAGSQVVLKSLLNME IDVDSLPMGPEQFSPAGIETVVLAEQILPRGRGLIEENSSAVSGMESLVPGKSSGEDA DLHTVVKAEP VE01_07470 MNQQSASNHLSAYGTRSVITNEHSASDKIGVSDRLGASVNLDAS ANLGVCVNLGASEYLGASGHLGASDYLGADKPRASSKLSVYSTSFSTTGLKSTSDKLS AYSTDYSTTDLKSGASDKLSAYDIPSVRRNQHNASNKLSAYKISSVTRSRFSDVLQVG RKEISLDKQCLDPDCNDFCQGATDKSFKTEPGSKQDDFSLNMDGVLNVFGPFGRSSLP PIPEHTTPTKASQAAGPNLRDIGLNTSNPSISDPDLLVYPDLRKRVGRYFETRKPTPE GWTWSVDGRLNAAAPLYAPTQSSTSVALTDSSTIASGSVTEFSQAGPSRPSTPQMGPY QMGLYQMSLGPPTPQMGPYQMALYKTSPPPEAPLQMALVQSGPSQMGPLTAGLNNPLD PIAVIGKMREIQTEGMQPFGPSRYLSHPNGNPTGNPNGNYLGTMSAASNQMTNLPDHL NTCIWVEGAPADMTYYEMLRSIRHCGKVYSVHINPPREKHFTAAAKIAFCTRLGAENF FHQAHSGYGIVIRGRRLCVRWNRNKYRENFNRGESRVLRISGPSDYINYPVLAEYFSR LFYFNLILVQEVSPGVMIWEFSSILAQAHSAKQAIEREPAMMNLVRIKYERDPCE VE01_07471 MGIFHRGGKAPDGEEKGTAPADTDVEMNSPSSAPAAVSEKRRLP GQHVINEAGLRVTKGIAPDGESGRSWIHPWHFLRICFISSCRASMFVNILWPFVPAAL AVRYARPDLNVVIFILSYIAMVPSANLVGFAGQEFSRKLPRVLGVLMETTFGSIVEIV LFMVLLTQGEYLVIQAAILGSILATMLLCLGLCFFAGGLRRDEQTFDEAISEVGNGLL LIAGLGLVVPTAFYNALQGSTDITPADLKVAVLQISRITAILLIISYAFYIFFNMRSH HSIYDAIFLKDEHGDADREHDIIKPKLTLTESVLALAISVALVTLMAISLVMEIPHIV ENNGVSDLFMGLILVPLVEKAAEHITAIDEAWDNSMNLALAHVLGATIQTALFNAPLA VVVSWGLHRELDLNFDLFTIVVVILSIIVVGNFLKDRKSNYLEGALLVIVYIIIAVAA FYYPNPAHHGGTAEGETAAPAEGH VE01_07472 MSLPPSSPRLPSPPPPTEIQIGPKSPSIQDSSNNLENAMEQSII DSNSSRRIHPGTKGADMAAGPPLVPLMELDSAFQLQEHLKALHHHHTRPSASTIVPIN RQTASLLATPPFGVDRALWLYELCRFLINKCNDLIVGFLFDTPACSASTCPEMRASEW QFLCAVHESPKSCCAIDYCCHTLDWATNIVTSQKIFPSRLSLTSGDVMDDKSTGVKHL TNIFRRLHRIFAHAWFQHKGVFWQVEGQTGLYVLFKTVCDNYELLPAENYKLPPEAEG LEPVAEPKVVHTILKPEPPVLSNLSTTGEEDLHLAAASRTNTRRHIRSSPSTGAAVTT VIEADEEESDMAQRLKTLKIKEEEEAKQEEDEKIKDSEVSHQEPDEELAEMTIIVDDS PEKASEEPESAPEVTKEQEGEDKIEIDDSKPSENASSEPESADEKKVEELKVEEEAET PEAAKDSEKEPPVHTLNLDEETPAESGEGDATVTL VE01_07473 MDIYEDDEPPQLVDAETELVSNEVEDKVGDNVKVPLTIVTGYLG AGKTTLMNYILTEQHGKKIAVILNEFGDSVDIEKSISVSKDGDQVEEWLEVGNGCICC SVKDIGVTAIETLMKKSGAFDYILLETTGLADPGNIAPLFWMDEELGSSIYLDGIVTL VDAKNILKSLDEPAPETTDHDDHHGPALTTAHLQISHADVVVINKADLVSEEELEVVK DRVGAINGLAKIHVTQQGKVPQLEGFLLDLHAYDNAEGMDMAEKGHSHLDPRISTVTI KVPPLKEEQLSKLDAWLRSILWDSKLPDSSTEPATTSSEQSPFEIYRLKARLPMSDGS IKIVQGVRDVFEIKDALEQTQPELKEGAAPQSLRGLPNGFSSQQQSIGPSRNAPARMV NGKMTPSNGATWAFGGVPMGTAGLPSQRQTTAPMTSFAQSIGSSQPAAPLDMSEFPSL SNNPSHQASSSAQSTWAMPGARQLGQAATHRPQHGILPAQQQSQAQQQTQQQQDDLFS SSSQLPSSQSGFRFGGQNAVGQSSQPQESAAEEFPPLNRNANGEIGQDRNLGSLQSPG FGAPSSTLGFPAGASGAQASRSNGLLNAVNTGNRAAPTNPRDNSVNVPGPPASQNPPS SLEKEIGFSRPPLSEAADSLSPHGQPATADAKSVPYNQPIPPQSQPNGFEADPTDEAG PISQDPLPGMSDRDRYGLKGLIEMLKGPYPDQAALITGVDIAALGFDLNTTERLSETI WSPWDDVPARPDIPQHTIPDCYQVHNVQPIENKLSNFSDETLMFMFYNNPQDIQQMIA AQELTNRNWRYHKKLSMWLTKDDMMQPQLLGNGTERGYYVFFDPKLWSRERREMLLSY IDLEVVPNGPAGPIS VE01_07474 MALTKSSHPEHHLSTLSRSDFPEQPQFFQDSVDSLATSSLTDSQ PSPEKNPSIDTLLRVRSNSKQRQSTLSTTSSRSSRTNLLTFAALARDKTTSAIANFSD PVTRAQVSRKNSHNRRTAGDSEKSPERKSDSSRSIPPQAKESQSIIATPRPGLQDTEP PSQSYEDTDANTPTPRKVGAPEQSTMHQTSSRLLRMTEDDRPFTRDFKDLFATLIVSL PLESHRRMFNKCEHSFLSEEAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTFSMAR DMARTVCQRFVDARFIESADGKQIREFNLKGTLWQLTPKGIHVLDRFCSKNGIQQRHV VDLVNSPKNTMQLVVLERDSVTDEISQDRGTVEVLFRRFIGANGPNIKSSVSAADSDS LSEYKDGLAGVRMAGERKIGSPQRTVLQSFTGKAAVDWLLDCCTTVDKNETMKIAALF LEHDLLWCVLSDRSYTSQFPGHKFQPTKIAIYQMTQKGKDVINMSSTHRLSNGDVDSP SSRVGVSRDSNTQKLDKILTDAALRLLFREQLRDTHCEENLSFYLDVDEFLKSCTDAL QGMSPSSRAKNPDKSLDAIKETMASAYGIYNAFLAPGSPCELNIDHLLRSQLASRMTK AVGRDDAMIESLKEVIKLFEEAQLSVFKLMASDSVPKFMKSAKYEQTLKNYDFDSIPA HPAPGQPRSHGSVNRSSR VE01_07475 MASADTPQHDALLLLRQSIASSTAALPTLTSSPPDTTTAADTPL SSAQYLHFPHASTSLALTTPTRFLSSSTPVDLRSIYFAWLKREVAIPDYNAAALALNT ALAADGGAGGTVQNLAFVERLDLITWLEGASEESEYIRPLAADAAAAAASAQVAAGKA GGAAPAAAGAGARNRTVDPRLQEIYNGERRMGDRNSVLRGVKPTDFSHVRKIAQTFIG RKPGAPSSSTTTHSSARPTALPHNPKPARRPDPIILVSPSASSLLRMSNIKSFLESGL YVPPSDSLSTSTSTILHLSRIIPSIDTTRPIRFIVVDSPEQFKPEYWARVVAVFTTGQ TWQFKNYKWQAPQELFRHALGVYVGWRGEPVPDAVRGWGRGVVGVALDPWSGGKETRW RDREGVEAVWRGVEEIMRVKGWSKESGPVNA VE01_07476 MAGPIPKISITDVAGTEISHDGDADDEYFETQEEEEGLSPQSTS TFTQVIVSEEVTAAEGSGQVTGQITGRVAEVETKVEAEATKPTRDSRRKQSTSRKVKV SQATGTITGKLPNSKDAKQVKVSGQVTEVTATEELTDASGPGVGPSTPRNIRSIKKAI SAVFDGSPFRSSLSNNEGKPLFKDSGELNSSNNSTPKPKPVSRTAADPPRSPMLGYEW VWFPEGYWAERELITRPTKNFRPPKWVRKSLQGSGASTFGSPAPSAPRSLNASEVWAR NPADMEDEEDGEAEGDGPQARRAISPRGIINSDKGTPTPKALLRKLQQISLSRKASTS GKGKGPEESRPESPPVDLERQTTNTLRGTSRFFSQFLATKKQNERAMHPLSPNEHSLR LPRKRFGLAPWHQNDSGDTVGAATATSSIRELLFGKTPVSTPRSDAPPAEPRPDQYFG VEVPGTRTVDDEDQDAQYNTPRSPSQGSPGRRRQSSAVGSPLTQAGSSGPSRLSKPDS GSALVEP VE01_07477 MADDQTINLPQLVIILLLGGFAVRYFFFSAPQSPDQPSASSRGG VRVREADVDRVQQMFPQVGRRTIMWDLQRNGGNIAATTERILSGRGLEEPPQTFRPPM PPAAATSSSQTGAGTRTEAPKPSQPDLISRYNLGAKIAASSSSEDKETTGGSPGKSPG GKAWSQNKTERQSLMQQRREEMILAARRKMEAKIAAENKS VE01_07478 MRASVLLLAAASAVVASAETVELFGAGDAGGEFVVYPVGSDAAA TTYLLGCPIKSVPTTGENCLFLEPLKYTIGPSSVQMESTMEDLTLTQQCALAGTTRAV CTVRYSGPGTLNFESDTDKTETTMTLTGKNAETLWGPATVVHDISSIYNGAATSTDGS SPPATTGPGVTSAPTSTPTGTAGTGTTGTDSKAAETSVQTSASTGGMPQITGCAGWAV GGVAAAAVALAAL VE01_07479 MSSNKRSRPLEQQVNDSSPMPSSGRLSAKRRKVGGSGSSPSTPN AVEAVKRTLGRALKSVRLKENEPVDDDSNREQSPTKQLLEDEAAVESMSPKPTNEAAA EDIPVSEPGNRSAGRQARKPRRYSTEVAERPVLEPKSILTPSKRGRGRPRKSVAFEQT TPAEGEVDLGFKDIPIDQPLPVETPTQRKRSTSPKNSDPQEQPQELLQPEEADTAEAP QEVIPQKRGRGRPRKIHDPIELSQPEIVVTADGAEEAVPPKRGRGRPRKIHDPNEPSQ REKYTKSPKIPIIVEPDDEEETDEEVCAICENGDSEAPNEIMFCDSCDLAVHQECYNI ITVPEGEWLCSDCQPEDPEIETADVHEAVPEIDAESIFEIGGSEPPAIPGLKDHLMAM QRLLLDKLTGRKRLKLHDLDDEFQKVYQVVEQTVTSGEGNSMLVIGARGSGKTTLVET VISDLSIEHRQNFHVVRLNGFTHTDDKLALRDIWRQLGREMEVEEDLTAKTNNYADTL TSLLALLSHPTELSEDSANHMAKSVVFILDEFDLFASHPRQTLLYNLFDIAQARKAPI AVLGVTTKIDVVETLEKRVKSRFSHRYVHLPLPRSLAAFWEICKEGLQVDMDELVDGG FDPGLPGQEEFLEYWDSMINSLYNDDAPYKAHLQRIFYRSKSVPAFFSTCLLPIASLT PRSLPLTSASFPVQSTLSPPDSKLHILQGLSDLDLTLLICAARLDVVLDTDTCNFAMA YDEYTTLTTRQRIQASSSGIGALSASYKVWGREVAMGSWETLVDYELLVPASMGAGPM GGLGGGGVGREGKMWKVDVGLEEIAGSVGGLTSVMTKWCKEI VE01_07480 MRVSFRACGLVLLTVAADVTSAIKVDVHDIASIKAAASKVAYGL AKFYTGNVTNTPETIGILGRPYYWWEGGAMWGAFLDYYRLTGDSSYNDIAYQALVSQV GPNHDYMVPMYYKEEGNDDQAFWGFATMSAAEQDWRAPPSPIPSWLQLTINLWNTQAA RWDTLHCGGGLRWQIFEYNNGYTYKNTVSNGAFFQLSARLARYTGNATYAEWAGKVWD WTRESGLIDDHFNVYDGAGIESNCYDINRMQFSYSPGIYLYGAATMYNATSGSSLWRE RTQGLLVRTNEWFFTPFPNATDIMWEVPCEGIQTCNYDMLSFKAYLSRFMWATSKIAP FTAPAIGRVLRASAAGAALACDGSETGELCGQKWYTGTSDDIFGPGQQMAALEVLQGL LTPYAEAPLTAKGGKLVSSASPSKAVVGGEAVVVGDVPPAGAVEVPVVSATGGVERRG VGWGVVWVGVGAGVVAALCL VE01_07481 MQRASSAVDFSNLLNPQSTLEEQQEAARQKLAFLSQQQKQQEAE MAAVSMMPNMGSGQQGGEERADLPRPYKCPLCDKAFHRLEHQTRHIRTHTGEKPHACQ FQGCTKRFSRSDELTRHSRIHNNPNSRRSNKGQQNVNPNMQNGQQQDMTNMMPPPSQS FSRSAPASAIGSPNVSPPHSYATYATAPPSNLNPYGRSNGSSPNNGQQHSLDINMLAT AATQVERESMPATHLPQHGSSSRHHPYYSHSSHSSRNHLPSLSAYAMSRSHSYENDDE HYAHRHAKRSRPNSPQSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPYIAPYGSG YDLPGIRNLSLQHAPALAPMEPQKLDQQYGNPSTVAPQGPRQGMTISDIMSRADGAQR KLPVPQVPKVAVQDLLSVEGGFSTSGQSSTAGSVAGDLERI VE01_07482 MSLLSRYLDDAYNAWMGSVMGCCSARPRDPDRTSIRGFESHNPR TIYHHQPQLIPGPSVPLPESRPHTRSSSQEWITRTRSFASRASSRGSYSLKRKLNMYN GSTTSTKRPYISAPSDFRHVQPSPSPPPHLPRRSFRPLELSIYLPTNQLSPILPHFDD DAATPPRQTHTRSVSALSNFSIPRKAVPSYYQRPSYDAEYSSADTTPESVAGSFTHPL RPRPSLPGSLSTQQLLAALDDEPLNYPPPARLRSNTATTLPILSEQVERVKSVLLERE ALDKRIRDVDGLIEERRSMSLKSRPASIYTSSEEPMPHLTSSEIERLRPRTTTPLHSP SSTTSYTSIPPPPLPLLLPRPPLRKKKSFSRVSTWLSGGHSRHLSLDSVTNAPVPVTA RDGFYQCVDARLGRGSLSSIGSASGEDLTGPTSWTSVSEVGTLVKGVEGEAERLGLRA SRVGVAF VE01_07483 MASASAAATAPNPSPPPPSTHRLPTQTAAQALAAQRAEEDVKMG VEGLDALLGVRRGEVMEVFGAPGVGKRGFGLQFAVAALRRGEGVVWVDAGHPLPGPRL EAVLAASFATTTSEAASQPPSQPPHPSPSPPPTASTLLKNLTTFTPPTLPHLLALLAH PLPPRTSLLILSSPSSLLPPPPIRQHTPSTSTSNPITPLINALRRLAATSHIALLVLS QTVTQLQPHGPAALVPAIGGAAWEGGVGGRVVLWREGGGRFVRVVRRGGRGVEGRVGG FVVTANGIEDAPIMEGDRELVSGRKRKAEEILEGGVRLGDDDYGWDEGDEVGMPLLGP QTQGSEDVLVVPEEETETEEEEGWEGEGEGSGGETPRGGGEDGDARGEEAMGERVGLP LSP VE01_07484 MDQYANSSSNNNNNKSSSSNLPTTSSSIYSSLPSIAPQQLQQNA SLPPQTLPPLQAQHAALSMHSMYGQPLSAPHTPTSTQPNTPGSTGNGGFQQMSSQPAP RQYHLQPNSSYQQPIQPYSSNSSGMMPQGSMSMSHPHPQAIAPAPGPTHNRLVPLRPM NPSTPLHAQHPMHQQMQGGMGSPYGQQGPPHEEVPTHVVGSQGRRGILPSAPGRPTVT ATGPGASKNAQIPAKDADGKFPCPHCVKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTF SRSDILKRHFQKCSIRRGNPTGASHLSHAQSHLKKNQPAHKNTLPAATEGDMMRNMNV LNGVPTDPGLHPYNLMADGRMPDGQATPQDDQLSQGHLSRASSIKRIGSNDGRDRRTM AGPSRSGSGRTSFEQNYNGEIPSTMGEIPSTMASMNPQLAAYPIPNGHHGGISNGHNG QQQYSHQGPPSGQNGHQYGHGGPPYGQHYEYPGQGNGSSMQQQQQQQQQQQQQQQQQQ QPASEEMGSMANGRGSMPIYGNLSNLDFI VE01_07485 MSTSAVVRPAAQLLLKPTRTHHVSLTFLTPSIVKTQTSQFSTSR QSFFPRDFNRERGVSTVRRTGPRQPLSVSNDPLPEPVLDPKKRSKLRVDENHGLYQFF HSKEKAMNTPEEDNSHGRPWSAEELRHKSWEDLHSLWWVCCKERNRIATETHERERLD AGYGDYEAKARDVAVRRTQRAIKQVLTERYYSWEDARKLAAGDPEVDLSGEGPAHTPS LDAFELEEPTAEELEAEAAEAAAAEGTEKGQPVKPQTV VE01_07486 MDEIAPEYDVVVLGTGLTECVLSGVLSVKGKKVLHIDRNDYYGG EAASVNIETLFKKYGNYNAGEEPWKKYGRANDWNIDLVSKLLISSGELTNILVSTDVT RYLEFKQIAGSYVQQSSGPKATVAKVPSDAKEALTSSLMGIFEKRRMKSFIEWVGTFN EGDSASHKGLNIQACTMKEVYDKFGLEATTRDFIGHAMALYTTDDYITAAGGAPETIE RIRLYGNSVARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNLDEVTYDGDKA VGIKATMKERGDEGDGMTFSTKAKKILGDPSYFPGKVQVVGHVLKAICILNHPIQGTD NSDSCQLIIPQSQVGRKNDIYIAMVSSAHNVCPKGYYIAIVSTMAETNANHHLELAPG FERLGRIEEKFMGPPIPLYEPLESGVNDNIFISKSYDATSHFETTTDDVKNIYLRAEG HELVVEGLREGTNLVGEE VE01_07487 MASNPPNPPPGAGKRTKTPPPTTDDSFTIALTPRNVAMQRLRDL QDPETAAINEHYRRTGQLPRVLGQSPLAGSLAAPTSGIAGTRFTPVNRPAANAGGTTF TPVNPAGAGTTGAGTRPGDTNIPGVTINRATNGSVAPGANPRARGPRGRLPAPTPMGL PPGVIVRQPGVEPRRNMQRYGAFIEDSPEPPPALRPDVPPPSDAELRARLAMQTGQKG VIKYPIRYMGILPDELSEDFDASTGYNPPPPGQRGTDAEERAWEKVRVESLRGVRFGE WNTSWLMRMAQLKGVGVELEGGEAEVREVLERELTEVKMAEKAVAVQEALVGTDGGRW AKVVEAEVEQERYILVDEDKDDMEVIKSKVATTWQQQAKMDLPASDVSLWLSAVTWGT KPSRMVRWAGRNAEMDDGHWLGIYVYRLTRKGKAAEVERNEQYEREARRSAAGR VE01_07488 MPTWLITGSNRGLGLEFVTQLSQDAGNTIIATSRSLSPSNLAGL KALDGNAATLHILECDTSSPPSITALGDQVTALIGDTKIDYLLNNAGVNVASQQTGLT VTPEAILENMQTNVIGPAMIVQVLEKHLGRGGVVMNMTSGLGSMSDAKGAETTKCTAY SISKAGVNMLTLHQANALAERGVVVVCVDPGWVKTALGGEGAVMEKEDSIRGMLGVLR GLKAEDSGKFFAYDGKEKAW VE01_07489 MSDEKTTAAADLEAQATASSTTLPAPNNTHLEVEKIEAASIASS DYEHSEVEAMDAGRQTDLAIDRVMSRGLVKTASEAGTLKRTETKSSRITRVLTRIATT KEKLEPSPIPEQNLDEGVVGWEGQDDPNMPLNFPDGKKFLLLFLISAITFISPLASSM FAPGVSFMNKEFHNTSTILSAFCVSVFVLGYAVGPLLLSPLSEIYGRRPVLACANVTF VLWQIGCALAPNLNALIVFRFFAGVGGSACITIGGGVIADLFQPEQRGFASAIFSLGP LFGPVVGPITGGFIAQRAGWRWVFWVLLIASGVVSCGIECFNKETNHRVLIRRKTEAL RISLSRPELRSCYDSAGPPLPPRTVLMNGFMRPLKMLTMTVITPLMSLYMAVVYGLLY LLFTTITGVFQKQYHWDPEITGLAYLGVGIGFFSGVAVVAKISDATVVRMTLANGGVR EPEMRLPACVMFACFIPVSFFWYGWTAYKQVHWIVPIIGLIPFGFGMMGVFIPIQTYM IDAFPEYAASAVAALTASRSVFAAVLPLAAPAMYDKLGLGWGNSLLGFIALAMIPVPA LIFKYGKNIRTKYPVKL VE01_07490 MDPNPDPASHIHASRQRPHPSSSSASSPPFTLPAPSSALPPVDG PSPSGHNRSTSLTSLKRTFSKILPANRSERGGTLRDSQRRGDVDETVDVNDKGEEPGE QRRVKGKIVKGEGRRSRSWSPRKEEVMGSSEVEGVAEATGPGKEAEKESEKGGNAFQR LLGLRRTSLPATSTPAQPSTSRLSLRRPKPKKAIHFASPPTQIPLPTPPSRSGTSTPP LDSQQMYDQKRLRREQRRSYRSSEDYLTIAGANPRTGYWDVNTAIGSTSSSEVADRAR AREAEIAENRRRLEAAKQELQEALAMREAEDRERDAKRARRRERDEARRREKRAREAG RWRAEGDGWRMVAEPGLSPIVGSLAGSPRMDRTPDDQLTEMRVPEEVVDKGYFGGEGR EGRERGRSPEEERERRRLSRSPAAPSRLSRQVGDTNGVPAQSTPMKRKALPSASRVVS GDIPLYVASSSRKGGSSRKLSNDRVPELQSGQPSPVLERANPGRAAIFPSPRRQHHKP PFIDTGPETPLQGPRSPAISMGQSDNRKGSRGNALPNESVRRSPDRPNRDPFGPIPRR RPASPPKAVNTPPLDTQPAVETPRLEESTPVGERDVEPEVLRTEQQPHAVASSGVEAE NRELEGSNDTIVRHSIAEALPGALPVDDRQRVPSDARKDLNERDSQINSEQSPFLGIR PEGQPEEPATAISSQSASTSPALTPHQSHQSLKDTDQGKRIKHIASMNELPPFVLKHP VTGTSLPIAPSSPKILEEVTNRSSKEIIGGDMKVASITTTTTITPTTGPGPTPPPLDQ MDGSFDPRPSPKRRPMPLSRIPAPQVSPQSARNHLPSGLSPRQATGSATSLAGEVGAT LTKRRIPRWYQKLLPGFGREVEKSTHTSTSTSPSQPPTSPRYHMGDPGGHPGAQNAAR VALLNGAQAPSLDRGVQGQRAGAGVGALGAGPGGGNVGMSRLGSPVRIERGDKLVVWH AAPVVGPRRRNDIAGGGENKHVGTVTPNASSKQKRDASQPGGATLQQVLIVLLSTGRY LILAAWCFVEPVFDPRSALRARWERQEMTWRDGVVVVGAGVFGAAALLAAVLGVRVVG GVVRVVGVLGRGCRFLLGG VE01_07491 MPVAEGAPRTLYDKVFQDHIVDERLDGTILLYIDRHLVHEVTSP QAFEGLEKAGRKVRRPDCTLATTDHNVPTTSRKNLKNIETFVEEEDSRLQCMTLEENV KKFGITYFGLADKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQTIITKRSKNMRIQVDGELAPGVSSKDVVLYAIGKVGTAGGTGAVIEFCGS VIRGLSMEARMSMCNMSIEAGARAGMVAPDEITFEYLKGRPLAPKPGTDEWDQAIKYW TSLKTDEGAKFDVDVRIDAADISPTITWGTSPEDVVPITGSVPDPETFASETKKAQGR RMLEYMGLTAGTKMEDIEVDKVFIGSCTNSRIEDLRVAAQVVKGRRVAPNIKRAMVVP GSGLIKDQAEAEGLDKIFLDAGFEFREAGCSMCLGMNPDILSPQERCASTSNRNFEGR QGAGGRTHLMSPAMAAAAAISGKLADVRKYARPPSPLPLDTPKLAAHTPEPPSGKAHI DERVLTDDEEKEAIGDQPQDSGPQVNTTSPGSAAAGFPKFTTHRGIAAPMELANIDTD AIIPKQFLKTIKRTGLGSALFYAWRYLSAGVENPEFVLNKQPYRDAKILVVTGENFGC GSSREHAPWALLDFGIKCVIAPSFADIFFNNTFKNGMLPIAISNPADLSAIAAEARAG REIEVDLPAQEIKNEKGEKICSFDVEEFRKHCLVEGLDDIGLTMMMDERISAFEKKMT EQTPWLDGRGYLKRGGKVVGAVKVPTTNRGEVLKEPLEW VE01_07492 MPRLVRRKPLSERLKAYLNPLDFLLYVSEELETSELDTAAFGRA LGMGLNFALLLARANSGKGRGREDDVFGDGGGGGGWVGWLARSLTTLLTLLTLTNATY TFLRKRHYRLFETPLSAAPTTPSASLVRVSSPPSSSPMRLLSHLLPSPASSRAHPDPT IDVWEIAVWDPLPLALEVYTLFSPGHAVVYWMLLPVGGGERASLTVVTALVLQGLLSV QMGVLVRGFQQKERDGRVIQKEVLNEYDTKFVHPTLHPVVRDVGTQISTSVSPPPPTT TSTSTGTDAAPEFDPDASTISITAEEVTTHAPTTILRRGFKINPNPNYAAHISPHAPS AVPNRNSLPDSIPPFGTPQTPRTPAAQIGYQVAQPPSSSAQQLLQQGGFAQPQQFQQP QFQRRESTPLRTPGAGGQRQQPQYRASMGGRIASEGPTGSGGEDEILRRAVARATSSG LSRVPSNSGSGDEAVRSRSRVSESGMRREEGGRRQGGGDGGSLGVYSHAHSPLKKASS MYDISRTREGAPRNGAQAAAREVAEERERVRRRGV VE01_07493 MSAAAAAAHKDREFLAVIGDEDSVTGLLLAGVGHVTPAPDSQKN FLVVDAKTETADIEAAFQRFTTERKDIGILLINQHVAERIRHRVDTYTAAFPAVLEIP SKEHPYDPEKDSVLRRVRRLFGE VE01_07494 MKFLAVVAPLLLSASALAIWGDKQQQSSLILEEGDKKIPGVSPL EHCSADFGGDILTLEHVNLNPNPPLAGKTLTIEAIGTFKEDIGKGAYVVLQVKYGLIK LLSTTADLCEQIKEVDMECPIKAGETKITKEVDLPAQIPPGKYTVTADVFTEDDRQIT CLSATVQFKGSFGAFELV VE01_07495 MTERTFSIPPPIAQSQPVSQSPVTANPRSAPGAATDSVDEEPYT IKCICDYSDDDGNTIYCERCDTWQHIECFYPGNVEEASRDDFSHFCADCDPRPLDRRK AHERQREQRQDKLRQDDVDKKTKRPPSKSHKKKPKPSDVVTNGIHDHDPHHGAKAGSP HDHTSHAKKPKSHQRSQQSISAAKRSPSNHSRNHSHAHPLSPATTPPDLPQDFIIHTY SKQFQDLCENDPGPQDLQANSFASLAVTDSMSNWLRDPQKLQEDVGIQNSQDVFRTIK PEVDFDNYPRPKLQVNSKTISTKPELQLRYLTVSETLRQKETIIGELKGLVGFQSTFV ELEGETYTKLCHPPPFVFFHPHLPLYIDTRKEGTQCRYVRRSCRPNSILETYITNGSE YHFCFQTEQPLAANAQITLGWDFKFRNGKRFVHLLGIEDDNGTEPEITEQEYDELSDL ITSVLSDYGGCACDAGNDCAFVRFHRNFRSKLQQVQTQPTKPKKQRKPKQHVSPISTG HATNSRDASEGRQEVYDAEDDSRSASGSIKPRSRDMTPLTAGLDANGTELVSDRDKRK LADIEKTFEQMDKQPPRKKKRGSDGPILPTPANGHPPNQRTKHKSVSRTNTSISTSMQ ANGTTQFQKDKNRHSRHPSESPTTEVSPKTMGAASSKPASRYGSVPARSRQLSPVRKS NYVDADTQTDPEPDAWYTQTPKPKKKFVPLSRRLVENQRKAFAVQESRIASLMSQMEM SRMEGVESTAGSHPPSPEAKTAPKPEGVPLANGVRVGVGSVEPPGSEDGKSVADHAEG AADVPMPDAPSASPEAAKAPPPTWPGVLHPPPPATASIPADQKSPDLKIQMPASATPF SAAAAAAVASPSTASTPFPLYSPAGSIAQSPMGTSYPSAFSPSVLSSVGQHPPPIKKK LSLSAYNAMRKKLPEASKSETPKVELSKAEPAQTESAQAELPKAEPVEQESKSLPPPP PTETKDASAALVGDGEQKGVSETPVPVPTPTPAAATDAKASEPQISEPAKDTTTTDDK TLSNGDTTTSGNDNAATAAV VE01_07496 MPPPSTLSLPEQLSLLSLFLCSIAVVGNTFHGDGEPLIASLAFS GMAFSATYAMIRWLGPTFMKAGLRGKDLSKVHKKEIPETMGAVCAVVYLLVAIVFIPF PFYKDIVAATSGGGNRDVVVEVVNIETGRFLHRFPHNKLASYLSALLSLQSVVILGIG DDLFDIRWRHKFFIPAFASIPILIVYFVDFGVTHVVVPTPLRPYLGELLNLGWGYYLY MAAIAIFAPNSINILAGINGIEVSQSLVIAGLLAGNDILYLLPPSPPLHPATDSHLLS LYLLLPFIGVSLALWTQNKYPAKVFVGDTYCYFAGTVFAACAILGHFSKTLLLLLLPQ IANFIYSAPQLFRLIACPRHRLPRFNARSGLLEPSVTPWTREPNRLQSLLISVLEKVG CLGVNRDEDGKVRETTNMTILNLWLVWRGPLREDRLLNEIVCMQVIVGLGGLFVRHKA ALLIFTVDNL VE01_07497 MTPAAAAMGEDLFGEPTGFSIQQHGHKDMVEASAFNSYGTRFAL GSADGKIKVFDRLRNGSWGLCDTWAAHNAEVLELHWLPPTIHPNMLGSISTDGKFRLW AEDPTIPPLNGRRFNSKANRPIYELRSASRAPFLSFDVKHNPELRHTFLALLDRDALL TVYENDEPENMTSWTQIDQFLVCEKPARGEEVAFKVSFDPNLEPSYNAIREGVPRDSL SLIVAGMNTARIWRTKVISHDVSLGSGSSREFYRAADLPDHKSLVRDVAWAAGSIRGY DICATVCKDGIVRVFEVRTPPKEGQEATDAPYGAYPSNKGGNPSRMSVELKNGPSGIG AGLATVRSGPGGNRQSGGVSLAGQVPHTVKEMTKLDGHHGPVWRCQFDDDGQMLGTTG DDGKLLLWRRQPNGQWCLNGELAMKREHRPIA VE01_07498 MVFSSLLKTGNDQRRHRRLSSSGSPGGDTSPSPSQKSSVTTVED TPYIASEAQLEDVESEPPELTDLNNSLAALAAIFPDVQVEVFREMLSSFDEESRLAVV TEALIKNKMKWSRGRYKVLGKETAQKPSEDTVEVLPKEERFRTDAYKLAVKNAAYNEF KGLSHSTVKAVLAEHNHSFIRARPTLAALHAKSWRFSITAIFSRKKANPEQNQFIVWH STGLGSIIPTLKSSGCVELDRELFESFIKPLQVRSQAEQIEKDHTLALELNTEQAEEA EALHDCECCYTSSTFEELSACNDGAHFVCFQCIRHAAKEAVFGQGWARNVDSQLGSLR CLAPVTDECHGCIPQELVNRALLGEKGGVDVIRKFNERLAEDTLLKSQLPLVRCPFCS YAEIDDIYLPETQKAWNFRQHSNLSLFGFALFFPFIGMIPFLIPLILLAILISFLASF NGRVQNFARRHIMASYTRVRRHQRGLRFQCQNESCLRYSCISCSKAWSDIHICHESSL LALRTQVELAMSLAIKRTCPRCNTSFVKSSGCNKLTCVCGYQMCYVCRKDIGNGEGYR HFCEHFRPNGGKGCMECEKCDLYRCENDEVVVTKAKEDAERLWFEKEGGGDEDLKKTL VQKYDPAKDGWVGRMWRSRPSAESVLDALVEFVIE VE01_07500 MAGGVQGFVNKKHQQYGGTENETPLSQAEIQQRKTAVRDLGLKA PGPAAGRRSLSTSGPGVPFRDGVTGPARAASPLAMQQAGAQNGFATQGRTQGNGQGQA YTQRESMWAESSLGSDSLFTVKDKSFGHFYSDDELQGQHVEGQDQDVGLSDQRSDSDP EEGEADQNMAGYHNANPRQPGISQASIPMRGVITRRFEPKMQQQQRPVTETAPSNGKR ASNGSVKNYNQKTMPGRGHQVQKDGDHQQEVDAFAPSEVDEDTIHSIGGNGGKHPRKG SKRQAEDISNIDFDHKTLYEMSYADLEKQPFDEDPNQAENSSLTGLSKPLDDRMKMFQ NAPAENQAAFLATLSIDEWEDAGEWLMKQFGTVMGKVADARRERRKIAAEFEERLAAR DAEVRNKIDGVEDALNFLKDGGNDLLRGKTPI VE01_07501 MAEIIDDKSAHCIPFILARHAIHAASSPSAPFFIGINGVQGVGK STLVSLLLSTLHDDCNLVTEIISLDDLYLTHADQVALAEANPSNPLIQHRGEPGTHDI PLARSLFTNLRSQTETSIPRYDKSAFNGQGDRTSTPLRVNEPSKRKLQVIILEGWSVG FRALSDSEVAAKREASRSNPDSTLWKNRLEDLLFVNDKLREYDVMTDMLDAFIHLDAS ETAFVYAWRREQEEVLRKARGVENAMTDEQVVKFVDGYYPAYELFTEELRKGVFKGDE GKKGSQLRLIVGRDRRVQEVQQI VE01_07502 MSEIRYDGQVVVVTGAGGGLGKAYATFFGSRGASVVVNDLGGSF KGEGGASTRAADVVVEEIKAAGGKAVANYDSVTDGEKIIETAINTFGRIDVLINNAGI LRDISFKNMKDQDWDLIIAVHVKGSYKCARAAWPHFRKQKYGRVINTASAAGLFGSFG QTNYSAAKLALVGFTETLAKEGAKYNIKVNVIAPIAASRMTETVMPPDMLANLKPEWV VPLVATLVDKDAEETGSIFEVGGGHIAKIRWERSSGALLKADDSYTAGALLAKWDDVN NFKEAEYPSGPADFLSLLDKSMKLPSAPKAEALDFSGKVVLITGAGAGIGRAYALAFA KLGAKLVINDLVNPDTVVQEIQKLGGTAVGVKAPCENGEEVVKGAIDAFGRIDVVVNN AGILRDKAFANMDDKLWDPVMDVHLRGTYKVTKAAWPYFLKQKYGRVINTTSTSGIYG NFGQANYAAAKCGILGFSRALAREGAKYNIYVNTIAPNAGTAMTRTIMPEEMVQAFKP DYIAPLVVLLASDKTPNPTGGLYEVGSGWVGSTRWQRTGGAGFPVDVVLTPEAVRAEW ARIVNFDDGRADHPDSPADGLKSIMANMENKSSNKKAKKPARKSEPNPEILAAIEEAK KAKATGTEFKYEERDVSLYNLGIGALRTELPYIFEGSQDFQALPTFGVIPPFSAEAPF DISAIVPNFNPMMLLHGEQYLEIRQFPIPTSATLVSYPQLIEVVDKGSAAVLKSATTT VDKATGKDVFYNEQTVFLRGSGGFGGNPKAGDRGAATAANAIPKRAPDAVVEEKTTEE QAAIYRLSGDYNPLHVDPEFAAMGGFKEPILHGLCFFGIAGKAVYKTYGAFKNIKVRF AGTVTPGQTLVTEMWKEGNKVIFQTKVKETGKLALASAAVELA VE01_07503 MTDVVSELPHINDHPQLKKATVQPRIVRSPPFSKEVEGAAPVEG ETLPRRNPDLQDRLVTRPFPDVATLFDVVKVAARKYGNAKAIGTRKLLKTHKETKRIK RMIDGVETEQDKVWTYFELSGYTYRTFIEYEKMVIDIGAGLRKLGMVKDNRVHIFAAT SAHWLAMSHGAASQSMPIVTAYDTLGEEGLKHSLVATGANAIFLDPHLLLNIVNPLKE AKEINHVIYNTEHDVNPAHIARLKEAHPHLTVLSIDELIALGAENPVDAVPPTPDDLA CIMYTSGSTGTPKGVPLKHKNVVAALAGVNVIIERYIGPGDTLLAYLPLAHILEMVFE NSCLGWGGTMCYGNPKTLSDSSVRNCNGDIRECKPTILVGVPAVWETVKKGIVAKVNA GSPIVKNLFWGAMSLKSMMMSYGLPGAGVLDAVVFKKLKEATGGRLRICLNGGGPISK ETQRFISLSISPMINGYGLTETCAMGALMDPMKWTDSALGDIPGSIEIKLVDYPEAGY FSTNKPSPQGEIWIRGNSVFEEYYKNEEETKAAFGPDGWFKTGDIGEWDTNGHLNIVD RKKNLIKTLNGEYIALEKLESVYRSATVVANICVYADQQKSKPVAIIVPAEPALKKLA ASIGIKEGNLEQLVHNAKLQGAVLKALQDAGRSGGLSGIEIISGVVMSDEEWTPQNNF VTSAQKLNRRLIVDTYKKDIDAAYAKNS VE01_07504 MRKKAKSFLLFSQPIPSQNLGFVDQTATSIDLTIAGRDLTIYQS PTILSSTRGGGTTGAVLWKITPLVATWLSSPTNPFTTHSVFTPSSTVLELGSGISGVI ALALSPSVSSYTLTDQSYVLKLLRQNISSNLPSLTRKSKPSNNSNSSKSKAKAKSKRG ATQAAATEGGEGGEGGEGDSNIQIKTLDWETDEVAGLLPGGGSFDAVIACDCIYNDAL IEPLVQACVDACRLRERERKAHRDSDDTTTTASNSANNSNAQTNSGGGGGEAAELGNV EGKTVCIIAQQLRSDQVFEGWLTAFKESFRVWRVPDEVAGVGLRGGEGFVVHVGVLR VE01_07505 MESGTPTAGEQAPLAPLAPARSYDNPIGPQLQPIQAVRPVDRTS EDLPTDSHALAAAEPEEKGYAQTHSASSVRDLGWHNDSGSIPRPLIAGLPNDDLWVLL RRFNKQIQHVKTTPTPPMDALDLNISPIEEFSPDKLRATIERLYMTMIVGTMTAVKHI ARLRSWRERPRTMAFLAVYGVAWVYNLLVPTIAAFMMVLILVPSTREIAFPPAPLALI DSSSGGVQSPAAGVLGSDDSLTGAPESHPGEAVEQEASNFVTAFSAIVISSAAGKGNQ PPPSSSSSAEGEESTLDAAVPDPTEAPLKAADAQTKAAGGKHGGKGDKTKEPMSAAMW AKARPVMRAISDTTDTYERLANALSPTAPFPLLAPRIRLAAILSPLLIVSLFTSAHAV VKGTSFAVGFGFFGGPIIERAIEWLDAKNPDWQRMLRLRNSLLKGVPTNAQLMITLLR VGEKHKAPLPPPPEGHEELHDVPHETAGEGLEHLDASQSEIDAAVNPSPEMGKEKALA KKEEKEKPKKRGRHFVAFLRSTVRGGVETMIGADRLKAAAGAPHAKKRLGVVPSSPLP PAGPRSFPARYKGSRGCAVLDTDGMKPVLRWTKEGGSKEWSVDVSGIKEVKKVGGLGW GGKMVVGWATGREVADGMVVVGSEGEFLLTAVGMRDELFNRVVAVGDQMWESW VE01_07506 MSTHQTHPSTTKTPHSPLSVTPLEPDAQAPILRSPLDDTSADPH SAVFKSEQAQGLAHRAAGEGAGGKEEGKEGWKPKYGRTQSWDKQDWKRALQMSGVGEG EKAGFSEKNGGAQ VE01_07507 MEESPSKVNMLPTLPPVAGVKRPAPSLLPAFEPLSSSPGFPRPA KRQALYSPSQRNAYSKYPTPVPTSTTGILSSSPPRVQNKPSIQRRQSMHSERAPLSAV PTVVLPENGDEFLMGRSSNSSQYQLSANKLISRIHVKARFIAATSSLGTSKVEIICNG WNGMKVHCHGRSWDLAKGDSFTSETESAEIMLDVQDARVFVAWPRRRQAASETTSAWD ELDSPRRQGALVGLGVAMPSSPLRMGQRLVSPESPTPAGNAASGNLPNIFSQSHSTNA TVEVYEDASSDVEPEVKLQSSQEFEPFADLSASQSSELSEASEEDPDEENDPIVHSFG PFGANISSRMAAFTAGETPQLESGRASQASSAASSPSPEKRSESESTNNAEPKPFVNH VVNQLAFSRLSSTPLSVILNNLPSDLRGISDSHPENKGLTKEELHRALTATTCIGEIH REGKDAAGKQLESEYYYIPDEDVDESRRAAVVDGLRKPSLRNCRKSHKQYYWKKPRTP VE01_07508 MAPKSTTLTTKVQTGSPYQLNSAQTLKASKALIKHIKTSEKGTA EKSGKRDLLAGDDEEDSDDLDQIPIWLNITTKKHIVDTKRLKPGKIALPHSLNSSPTS TICLITADPQRAYKDIVASPAFPAELRARITRVIGLKKIKAKYHQYEAQRQLFAEHDF FLADDRIITQLPKTLGKTFYKTTTKRPIPVNMQAPAPRAEGKRVPKAQRESAEKVLIE PKLLAKEVEKSLSGALVALSPSTQTSVRIAAAGWKAQDVAENVEAAVKEIIEKFVPQK WRGVRALHIKGPTTAALPIWLADELWVDENDVLEDEVEETEEVAEANIGKKRKRVAGE EETEESKTDKKAKKEKKVLPESNDNNLDKEIALRKEKLKKQKSEAAKSVVDELPIPAA AKAEKSKSKKRKASD VE01_07509 MAPAEVTYSQKLGPHPSYIQVAKPFVFEQKIQGQIIATGANPQR EDTFRLQGVQWIDDTRRALQLPVRTFDTAVIYYHKFRLVHRDTEYASTDAAAAALFAA CKIEDTLKKSREILCAAHNLKTSSPSEHLAPDDSVFDGPSKTIIGLERLMLEASGFDY RNRYPQKYLIKLGRRCQLDKDVVKLAYKMMLDLYRTFAPLKVTSSAMSFACLELSCRL LSKQEDQLAAFDKTKWRVPRAHVMEGMLDLLELYTHFQKATKLGSQYTLERFIGIRIA LNQEAEELRLPRFGEWSEVKGNGAVKGLGLKTPKTPITPASPSEVRVNGKGAGAGAGD VASPATLSPRSAGSGRRGGARGQEGTVRFMLDGGQARSEKEVVAEYFRDEFEEYEVEV EEEAAVVPRSLAGEERRREDRRDGRNGQRDGYRARHERGFKRPRR VE01_07510 MFQLPTLLTLALGLASTTTALPATIDDDFITPLPLIIWHGLGDS FTSAGMQEIGSLASTIHPGTFIYNIHLDASAYGDQRATFFGNLTTQLEQVCADLASHP ILSTAPAVDALGFSQGGQFLRAYVQRCNLPPVRSLVTFGSQHNGITQFQTCGPSDWLC KGANAILRGGAWSAFTQSRLVPAQYFRDPEDMENYLANSNFLADINNEREVKNEEYAA NLRKLENFVMYMFDEDVTVVPKESAWFAEVNGTEVTPLKERSIYTENWLGLKELDEAG KLKFLTVEGGHMRLNDEVLNSTFGNFFGPEGRSFDHGKKQQGPEEL VE01_07511 MPPPPNSALPLSQRLLALAQTLQFAWFVGHLSLLFCILRYSLSY LTFNYYSTWAQFSYRTAFVSAAATYGIVVYKAFRARNRPGSKAQPGLLSFAADENVQY LVMALVWLFSPQYPLAMLPFGVYSVFHVATYSRTNLIPTINPPATVVPPAGTSPSAKP VYKPSALAERIGHFVKDYYDQSMGLVAVLEIVLWFRLLGSAIIFSRGSWILLTLYTAF LRARLSQSSFVKFQFQSLAARVDSLVGGQGIPPVVRQVWETIKGGAKQFHDVTDLGRY AGRGPAPARKTN VE01_07512 MIASHLGPAGRTALLPQLQSSRAVISTTVSRSPWRHEQTRGIRL EFWSWNLQPGSRGHQACHKISKSAYIEALNRKLLRNRHHRLHISNSSMKSFMCSAFYE DKSRVNLQKLKNDATRTASNLARATSGSINTSRKATTDGHSGRTPSSDGLFNLKYEID PITNRKVFKQDSSTLSPQTDTPASHIPNQKFYDHGPPVSQFPGPQPLPKQSTKTALDG LATTASQSQGTYVTDSELYRDGRTVEQELGVYTPFQHNEPDGHFPPPPDPVEQALSNG RPLEEELGDYQPFRYNEPDGHFPPPPDPVEQALNNGRPLEEELGIYKPFRYNEPDGHF PPPPDPVEQALNNGRSLKEELGIYKAFRHNEPDGHPPVSADSAGQTLGNRRQLADELG VYKPFRYNEPDGQFAPPPDPVNKALNEFDQEWDPELKAYTAFRHNEPNGHIPPPPNPV NQALDDLDKKSDPELKAYTAFRHNEPDGHFPPPPDPVEQGLNEFDQKWDPELDAYVPF RHNEPDGHFPPPVDPVEQALNEFDQKWDPELDAYVPFRHNEPDGHFPPPADPVNKALN EFDQKWEPRLENDNFFFDEDFDAERAAQLVPNTAEQKSSGKQEKDTSSKIIKSSRKES KKEKQARRRKMEEDFEASSTQFSKDIEAVAKSDKIRAARERAQEFEIERSELLNHQGH LRGKVNARLREIAELDKREAGKIPASEPSSLKQDQAGSSAKDGEPESFVFVSSYGTDL PPTAKAVKGSKGKKVNIKGEEARLKSAFVKELVSIYQDSYGAAEQQPTLYKIVAYNPD TKSILTTETTSIVPPGHRKPLSPASVLPRLSKPASFLPHIAPLLDEGYEIASGDGHVL VFRKVRDGPPQGSPAAKAETKTQARNMTNPIDGMQSIRPATGNFASPTGFVNHDLPEY HIKSGTESGQESHTYGSSGSGWKDQEWYDRAARRQKGKKKVKRLLIGAVWVGGLSYAV GVVAEFFRTGGTDGMGAVGL VE01_07513 MSKKQFKSQASSSRAVFGNGAGFGGFGLGATRSTLSYLTEPPNL TSISDPNVVVAFKNLLKKDSTTKAKGLEDLRLHVLSSPHEQGGGVEQSILEAWVKLYP RISIDNSRRVRELSHTLQYELLKSAKKSMEKFIPNIVGAWLAGTYDRDRPVSKAASDG LNSFFGTEEKMLLFWRRCQVQILEFAQEAILETPETLSDERTVNADESQAKFDRVVGA SLSLGINLLTKLSKQDIKKHEEDYKKFITGNKILWGFIASKDSFVRRTTAQLVLICLE KQPDFIEEDLETIGTTFIDTGLRSPQLSSSLAFTHALGKLTATFPSVWTTSYKGKKAP LSRLRRFVEKGSQLGPADYWKALNFLLAHLPSGVLPTDITGATDFLKSLGDGISIRDE PRLNIPTAWICYVNTVRQLQKQLTDPKDQNQLLVATVYPLFEHFIRPTPEGNRWATSN STAALAKAFHLCAASEARQSDAPLSQEWNRLANEVITGIQTSLPEQSKDFEKSQASVA SEAHRWFKLQAEICKYSGADRADAELYKHILIEASASIISRSLEVLSARNGKPFGAAG AIEGALRLAPDVVLGSTSSEEAITSFLKTELSKLVTSPSAPYLISSLKLLCTFPGQEA VCLAIWESTISGLINLPNSTQQAVAAEALISFGFISEAAQKNDVVQQFLFSSTSRFLQ NGEAEWRRVFEAAIKFNCFSDAIDARVLDTITDGLDTENAGFFNAVDALEYVVAHRPQ LLEERGTRHITVMTKLLELGESGHPGVVSKVGSLRADIGNIGTSGGLPHDKGSPIVSI IQENLESVGPRSLSIDTLVAQAEGVATSNGTASVSDLFPDKENWQEALRPILFACGKD LSLSLTNILGGTIALASPDDSSSSAPIERDLDGYSAALRMAIYSSKLVAQENILKNVP VSVQIDIIYLLCLTVEVANDQLGLRRGDLLWSSLDEPDIEGDIQDFIATSQRALAKIL DKSDDWLHGEGSDMGHALVSRLLEESKGNSTTAFYAGRVLANVLSSLVESHGWHMAGG EDWLTSTDALKSSTPNGFTAVAILAGLKESLGSSKIVNNLCNRLVSDVTGLSLTSAKA PLLLSLMNAALAVYDQDSLPVANNRLVFAVKQITGWLEQPEILHPVLAAQASRSLQLL LPGISEVYGPYWQITIDFCVAFWNSKPVMRNANIFLPAIHTTLRLIILLRGLEEPNDD LVEALEDAEHEISQGMVTLLALNRPEVETQPWRIVNELIYRQVTKVPLKHIKDLSDLY PLVASDFPVIQSAAFGILHRALPAAQGDISVNVLLEKKDAQLPEELCSLLLEVPQMEN FPDDILSQFPPPIRTYLLSWHLIFDAFSTASHKVRNDYTTQLKAENLITPLLNLLFDI LGHSAGKPLNLDRARLKSDAIRAYDMDIASAEPDEYNMHWLLVHLYYLCLKFTPGLVK SWYLECKSKQTRLAVESWTEKSFSPLVIVDTLDDVEIWAEGLEEPPEDEKELIVKVSK KSREVYAGYEVDEMTMQIAIRFPPIYPLESIKVDGVNRVAVSEKKWQSWLMIIQGVIT FSNGSITDGLLAFRRNVTGALKGQTECAICYSIVSSDKKMPDKRCQTCKHLFHSSCLF KWFASSNQSTCPLCRNPFNYGTDVEKRARRRGGPEV VE01_07514 MDQKGSNQIRDFEYDIGNSDHIEFLRLANEVGCLPHGPDHHILQ RGLGSQGRYTIDALFQGESFKVVPLLANNFEHQHLIHSGLFIKLFPTTFLDYLKYGLH TREVYFSLEDSSSITLLMQGVEQALREAFDPSSTQIEVSPKKNFSQLMGRETVEIIVG EGEDAKEFVVHKELLMDKVSFFKKLFDGGFLESSAVSATLPEDNPDAFEVFVEWVYCS TVKSLHSVGRSKYDQADLAISTVVLAEKYMLPDLGDCAMSFLAKIGEDLVPTMCQMST LYNKTPFTSKAHLYAARTVAWALVKPETNGVSNASIHDACQDSDLLLDAIKEVRGTSG PSHKSAHDHSVCDYHNHPEMLQCPYKEYERTSNDQNECSDYDRSIKRGRHNRRGR VE01_07515 MSQKASSKRNNKQASQVKPSSLPPLFSETFGTETVQITVGDDGF KRTFTVHKNLLASKSRFFSAIFDSNFLEAVTSNASFPDDDPSAFEALMEWIYYDSLKT IGLNSNHTQEEGRENMRKIIATLGLADKYCIDELADRCLTILYHRSREYVSCKLDLDM IAFIYEETGPISMARKYAASELAAAVTATSVLDLRVRSKSAIITALRISEICKQNRDI LDDLFKAITARPQSPGPTTGTCQFHLHPKVAVCPYRGMDEEVAVRPKEDSLYCGCGRS RSRCNDRQARTGKRCPAFGRPR VE01_07516 MLQFQTQGYNGYAVKYSPFFDSRIAVSASMNFGLVGNGRLYILN LTPNGIVAEQTYDTQDSLFDTAWSEAHENQVAVGCGDGSVKLFDISVPQFPVQSWQEH KREVFSVFWNLVAKDTFASSSWDGTIKIWSPHRPQSLTTLPTHSCTYSTAFSPHSPSI LSSVSSDSHLRIFDLRTPTSAANHLVSSIPIHTPLPHVSQIQPVGSNRPPTAFQPSEA LTHDWNKYRPNTIATAGVDRIIRTFDIRNYSAPLTLLQGHEYAIRKIAWSPHLPDVLL SASYDMSCRIWTDGSNGGVGRELGRMNAHTEFVTGVDWCLFGAEGWAASCSWDQRVLI WDAKSFMGGP VE01_07517 MLNVCRRGAASLCRVAQSSSRSALPFSRTSVFASKSIQIPKTAI AARFLHAQPATRYFHNDQEQVFDPKDEIIARSNEDVEIITKFQELADRGHVHPAIIRE ITQTMGHETMTDVQQLTIAETLKGTDVIAQARTGTGKTLGFLIPVIQNILKASPELAG QGQRMRSPRSTASDIRAIVMSPTRELAEQLAVEAQKLCRGTDIKVQVAVGGSNKRAML NQMQRQGCHLLVATPGRLNDLLTDPYSRVSAPNLKAVVLDEADRLLDQGFSKDIEAIL DLLPNRSITDRQTLLFSATVPREVMSLVRSTLKPDFQFVQTVKSDEAPTHERIPQHVV SCKGFENVAPALVEICTKEIAKVAQDPTQPPFKAIVYLPSTANVTLYAGILESLSQSD NALYGTHPLHPAEVSAMHGKLTQQQRTRVSDRFRRAKSAIMVSSDVTARGMDFPGVTH VIQIGVPPNRDQYIHRVGRTGRGDKSGVGYALFTDIEMNQARRILKGLPIKPDTTIET SRIDMTKDAQLGADAAQILVQVGEATKRVSRYDKIAAFQAALGSLQSISDKQGLMDSL YQWTRYGWGFDSPPSIGHGLANKLGLSRVRGLVSGHNSIDDEPAPRPMDGGRSGGFGR GGGGGFGGRSSGGRGGGGGFGGRSFGGRDGGRDGGRGFGGRDGGRDGGRGFGGRDGGR DGGRGFGGRDGGSGYGNDRGGRQDFGASF VE01_07518 MADEDQQKARDIADREVARLWRAWRTVHQMVNDRGYELNEDELS ISLAEFKNRFTNDGVPDRKKMIFTARPSVEMVARYSTPATAANPNPPKADVGTIYIEF LADTNVGIKQMRSFAQVLSQGNFHTGILVTHVHITPAAMKIVPAVASETRIECFLEQD LLVNITHHELVPKHVLLSKEERSKLLQRYRLKDTQLPRIQVGDPVARYLGLRRGQVVK IIRTSETAGRYASYRLCV VE01_07519 MSEKTAPAAETVVSVLAVTEKKRPEKPDDAAFEAAKEKAKKEHK VAQDKFNAAKAKLELAQPKNKDGTPSATQKRRQELQTKLNEIRKEQAGGKAGRNQVFD QIKKLDEQLKSRIAEQKAARSRVAFKNVDEIDRQIDHLEKQVNGGMMKLVDEKKALTE VSSLRKQRKNFAGFEDSQKGIDDVKAKIKVLRDSLDDPVAKARSDEYNKIQAELDVIK AEQDEVFKNIHALRSQREDLYKESQAKYQAVRAIEDNHWKAKKAFQSHEYEQRQKTRE RIKAEQEAFNLLKKRERAEKVLAEASEPAYLDEIRRAQSLIHYLDPSTANEASKPLLA PSGLTAEAQRTVDDSGIKGMKVAKKEDEEYFAGTGGKKGKKGRKAAASPAAPAPGKFT LPPAVLEDCSAMGIEPPMNAADVPAVLAKAQEKLAFWRADQKAQTEKNVAKAQKDIEK LDAEDSAPATNGSKKSEESVVATAIETVKDTVADVAEKVKAVTVGEDKE VE01_07520 MDPDCAICSSPALAQCDCEAKGLDTAVRQAETRMMTTFFADIRA WVRGHAQDYILTYFNVLTTRRRDSHSMHIAHLTERALYYYGTRPHPTEIAAANAELKR GIDEDWRASVQRYPEVLEYFYSLVTFTLPRDDDSAVKDPPLSALTGARMREMSGGGGK GKRQERVEAGRSTPGLGSIRRPPPPPSSGYAYGGRY VE01_07521 MVLEYTVAEIIYSAASIKKSNEESDDDAPSTFTTSGTLTPFLYP FLCSTTTPRTLPRLAQKCFSRQQPPRHLSTTPRALSADSDPLSSVPVHLNNQPISHLN PPPSDYGRTIFSDRATVHLHAGDGGHGCISFLKEKYIAAGPANGGDGGYGGSIYIQAV RGETSLHKLARRNIIKAGRGKNGQGKTRGGERGDDVVIQVPVGTVIREIERVDPVSNE ELKLQFQGDAPEGEVREHAGPYKWDRDKWLLYPAITPEDIATADFPSLPKARRSHLAS AQEMAPINLDLSQPMEKPILLAAGAVGGLGNPHFVSQGASRPKYATKGSEGLHITLEL ELKLLADVGLVGLPNAGKSTLLRAVSNSRARVGNWAFTTLQPNIGTVVLDNHKGRPLT VSSYETGEPRTNYTIADIPGLVKDAHLDRGLGVSFLRHVERARVLAFVIDLAAGDAVT ALKSLWREVGMYERMKIEEEAAKEKKEQIVKWSPMQPSQDEDEPRGSSYHDKVPRSED AGGIASKPWFVVASKADLEGSQENYAKLKEYLERVTKGEEEHPSGQKDGYVKDLVALP ISAINGHGVDGITKLVVGMLNA VE01_07522 MGRGGKRGGRGGGRGKGRGGGGGGRDSRDNRVSYDKIDKTNEKF ERYYDSIIELPQEERVEFWAALRRDLPNSFRFAGSKGHALAVQKQLRERYIPEITKIE HYDGTAVEAPQPVPWYPDELAWWMTTPKNVVRRFPPFAAFQKYLVSETSVGNISRQEV VSMIPPLLMGIEPGMTVLDMCAAPGSKAAQLLEMVHKGEEARIRNALRLHATEDGREI SPGLDVVGDEDLNVDSEDFGRATGLLIANDSDYKRSHMLIHQLKRLSSPNLIVTNHDA TIFPSIKLPSTKEDPAQNRYLKFDRILADVPCSGDGTCRKNPNLWQDWSPSNALGLYV TQVRILVRALQMLKAGGRVVYSTCSMNPVENEAVVASAIERCGGLEKVQLIDCSDQLV GLKRKEGLKKWTIMDKSGKVWEDWPSVEAENQKSGANHATARLAEGMFTPTGEAAKIP LERCMRVYAHQQDTGGFFITVLQKMTEFKARPESEAKKSEPKPAVISIVEEIEAQPEP APGANVAPKIEAADLLEGSTSTDLEDANVPAVARENQASDKPDATLPAKRAFDDSDAA PSSPKKAKIESNGTEVEAMSLDNRQVHFPPPPGAELDATTRPGDLRSETTTPAATTSL PAPVKAKGRNQQQFEEPFKYISGDHPEVQSIEEFYKLSQRFPRDRFMVRNALGEPAKT IYYTSALIRDILVENEGKGIKFIHGGVRMFMKQDVQGEGVCRWRIQSEGMPILEGYVG EGRVVRLYKRATLRKLLVEMFPKVTDGCWKELGEIGERVRDIGMGCCVLRIEPSDEED GFKERIVLPLWRSLHSLNLMLAKEDRTAMLLRIFNENVPLVNNHHPATRAAVVDAAVA EAEVAEAETEAEAANGNGEVVEKSEDVEMDAAEAPIETEAGSDQL VE01_07523 MPSLKQARSKRATPIAIVSNKGPWSQWHDLNNIETNSPPPTASA TAMSFADPIAAPEAGSAEQAPRSLTFFDLPSETQNGIIKQCTAPDLISLSLVSKHFRD LAAAQIYRNFHIIFPDEDDGTYESDMDGLAAGLDTLVTSEYDYARYLKEIVLESLSGG AKGEKAYRHYTNDVSCGKFMNTLFLLTLRKAKALETFKWDVRVELSRPVYKSLHSIPA LQHLYLRMHSGQSLYQRPPPLQSLKFVPDDTVGDTIEVSKAIREGSEAQPTKMTNAEA KAILASRATELKTDHGPPTISGFKNLKTLSILDMDSLEYVKEIKACIHNSSSTLNKLQ LSFSEALARKARKPPPADDTGDESDQEIDEFGNMIPPPPAVASPSTDDASGPAKAFRA VEAKQAQEAVLAQIFGLESKPKELPGSDASDEEKKSGAGMDETVNSFINEFAVLSKKL MGAPGMSDLTAEQKEFMKAVEKGAKKYLKGKKGTLGGKSGKVGSDEESTAGSGTEKAT PASSDTSDAGKADEEDKSKNTEPEQKYVGMFDNEGKKDPKAHQSTTDSPHPDDIDVCE PEPDSQDADESTSEPVAEETAGEILTTDTETKESKDSSAPATLEPDEKVHREILEIAL ATRALKGFRFNNLAIDSLQYMVIKEAAEAHNRSLEEKDNTMSDYVRKTRGLTLKSLSI YLIPIKTSVLSRAVDLHVLKRISLLNVGSQAPFWNYLAKENKVSPLPLCKIHTDNVTL PFLKFVNQLENLVELFILERSTKSSEYSFAPKTMVTSDNIRRYVIKKHASSLKRLAVK NENDYTWDANVKFLELLCRKGKNLEELGISFASPALHTFNQYLPGLVSLRALHIINFR NDDTCHWVMREIPRFIADALSSHPRMKLEYLALGNTVGRLAWKVKPAPKSKDKGKGKA TMYTGNTIIEEKQDDSNTDDSDEEDDTAPGLKLETVDCGRFYDVLGVRMWKKEVLLGR L VE01_07524 MGDAEEPQFQTLAQRIAALKQSQAADQSGLSQNGPAPSQNNTVA LVGKRPPPPPVPKPSRPLNDFRSNAVPAIPTANRNIGNEPAAPKDVLPPPVLDRELKE RNPRLSERNLKKPPPLPSRKSSQQSLNLPALPARRPSEQLVVRKASNESFRSNYSTQS GFSYGGSKSSASSIDTNAVRKLPPRLDEAPLPVLPPSRREQAEEKARLAARGQLTSTQ SSPAIPRITRQGENESSRPALPSRPSQAPALPSRSRSNVRGFRDEDDAPPPMPRRPAT SAGRDGAPPPMPSRPSGNTDSAPPPIPSSSKPSMRQIDAIKARQATTSSDEVCLICRD FSGPDEVAAKYPRQSLPRGNSIDYLADGLCGPFTSHTDKARAIFMWCHLNIDYDVDAF LGNRVKSQTPDETIRKGMGVCEGYAGVFAAIAVRAGLECLVVGGHGKGYGYVATGPGQ RIPPANPTGHAWNAVRIDDGEWKLCDPCWGSGALGGDNKYHRAFTATQFTGTNEDFGL KHFPTNKAHFFRADRRVPTWEEYILGPHRGVETLKIYNTENHGIDKATILPPLKNIEL NDGDSTRFQFARLCQHWDFERHGGAKPYLLAIKIGGTHAYAEDLVPLERDDMYWWVDI PHRQLGSPGETVKLYAIDTMNGQDARGMTKREFMERRKTSGMSFAGVAEWELV VE01_07525 MAEIWADQPFKIIPTMVMRDVKVNPTVYHIANEMVLAHNAMIRS LNAMYLQAPYLKSEADSGDLLLYAKFWIEWIHHHHECEEEILFPGFERESGVEGMMAA NVVQHHEFAPGFDIWVKYVKDCLDAKSEERFEADKFRQLIDGFAPKLTLHLADEIPTL LSLDKYDEKKMHTVYMEFENVIKNADFKKDELYPLVVGSHDKGFKGGEKFPPVPQFVI YLVAYWLSRKYRSVWRFNPCDFFGKRRPLFFGPTLEGQ VE01_07526 MSPPSATGFETATIALQSQDGVDTAAAAMGSKFPTPRKFDDKLK EREYLKGRLILAYRIFAKLGYDEGVAGHITLRDPVEPTSFWVNPFGVAWPLLKASDLI LVNAAGEIVDGGPVRLLNKAAYMIHHAVHTARPEVNCVAHSHSIYGRTFCALGRELDI ITQDACAFYNDHALYDSFKGVVLGEEEGQEIAAALGGKKAALLQNHGLLTCGLSIEST VFWFTSLEKCCHAQLMADAAAGGRGGVTVKVNDKEAEFTGKAIGTETAGWFSALPAFA VMEKEVEEGYDQ VE01_07527 MNTLKRRSRHSAQRHLQTQPTALESPFLKLPLELIYLTASHLPT ESVACLSLCNHYLYSSLKTEYHLKTESIFTIDNLHPPRDADSIVMNAFLHLLERDLPL HIICPHCNKLHFTPLAERHLVTERYSPTTSKTWSKCRTRDSLSQGVRYTPPRFSSTIF LMAMKTHRRGCDTSPLLDLLSYKKIESCAGFTQLHISEARIRNDSLLIRDQKVFMLPA SRKNPFTLFGEFGFCRHCVLHSTDDLLCCGIYVPTVEEMDNYVSREGIIYCNYCHTEL RIDFKSYGKAGNAMFVTRWMDIGEGRDVDDVKWRVRLGGGNEWLWDEVIYPRGSICDA FEGGEDFEFDLIMTKKDEMELFARRPLVALASERGQGKVEPSHMIIDGRIVRVPSTEI GRCCDHSHQQYRHRPF VE01_07528 MTTFWTAPPPARNFNEDKATLIVCWWCTMFAVTIIMFRVTGRYI RTEKLFPEDIIASLCIIPILARMALVHVVLLYGTNNVITDGLSQLNISHREIGSRLVL GSRIFYAASLWMLKLSIAEFLKRLTQNIWRSTHELVLRLMYYYLGATMIAVVIADLVE CRPVTHYWQVVPDPGPQCRQGYAQLITMAVANVTTDLLLVIFPIPLIFNSHMPLSRKT MLTILFGLSLIPIGITLSRVPYVIRHQGAQHYRSIWASIEILFATAVANALVIGSFVR DKGVKKPKYKLGSAGSMERTISSRRGRVYGPGAVAFKQWGSDEELVRGLGIGVDADLR PESGASIARPAPVAEPGGGVDRSWRFPSQRRSDVSDVETVGDYDPRHVPSTNRRDDSF FDVGGLLGPESPPPPPPVNKRKGPSRKGSLAGPTLSRRGSAMVPPRRRSASPFDSFPA PVAGPLDDPANTGGAAVFLQDVGGLMEDGPPMTAAQAAQGALARRGSEGGLLKGWSFK KREERGVEMQSLGARDGRRTSVPSGPQGGGANNSNPNAEASRGRRRRREEGMDLNDVG GLLFVI VE01_07529 MAFGMPQFTPPQLKPGEVAYITKGKQIGWGVTARLERLPSGDVV KTPLPDLDQRYFEAHCQDMRLEARIYDAVGLHPRISGIVSWDLKTCCLTMEYLENGML KDYITTNSESLTPQLRQRWAKQASEGLSVLQAAGVIHCDISPRNFLLDGDLHLKIADF EGGSLARSAASAVAGTPFRYPVSDWDAPPRFEEDVFGLGSLIYFIMTDAYPYKDTPSN EVEELYGSRTFPDVTEIACGDIITRCWGMQVSAAEVHAYFEALDG VE01_07530 MLDIKEENILRGLADSSIVEQLDAEEIAELSFYKSINGYNIYRS ANFGIPKRFGRSILCDFSLARNGQVEHCHDIQPDPYRAPEVLLEMPWGYPVDIWNVGV MIWDMFENRRMFDGLDPETGKYENRFQLASIVGLLGPPPPEFLQRGEYSSVYFDDKGN WKCVNPVLLVFWEDCERNLHGSNKKDFLDFVRKMVRWTPESRASPEELLQDPWLLGDV EE VE01_07531 MPKSYSLHSLPSHHEYPEEFSSPSRLTSNAQSYYNSSTNYSSGE PWYRVDRDQHPSLSQRFVDSFKRDPNRQRSISQSINSTDHHGALDPHMAVIATANTAL ARKLKGRHLQMIAIGGSVGAGLFVTSGRMLHEGGPASVLIAFSLIGSMLFCTVHALGE MAVLYPVAGSFSAYSTRFLDPAWGFAMGWAYATQWLIVLPLEIVAASVTMGYWNTTLP RSIFVTIFLVLIISINLFGVKGYGEAEFLFSVVKIVAVIGFVILGIIINCAGNPDGGY IGGEFWVDPGPFNNGFKGLCSVMITAAFAFAGTELIGLASAETANPRKSLPTAIKQVF WRITLFYIVSLTVVGLLVPHDDERLFNEGSANANGSASPFVIAIEDAGIAVLPSVMNA VILIAIVSVGNSAVFGSTRTLAALADQGHAPKILGYVDRKGRPIVAIAIACAVGFIAY AVESGQQGTVLNWMLSFSGLSSIFTWGSICLSHIRFRRAWALQGHSLNELAFVSQPGL VGSWVGFIFNVFVLVAQFWTGAWPINYGEIGIRGQLRSFFLAYLTAPTVLIMYGVYKY RHKTKVWRARDMDLQTGRRELNLDKLLKAEREEKEEWPRWKRWYDLVC VE01_07532 MASTTNSLVLELATAEDVPAIIDVWFAAFTQPTMRKLLPDTPGM REWHRDWHLGNFQTRPDTKYLRIVDPESKDEQGRPRIVAFGIWDLAMPEERGRRFPPW HPDCPQEECEAFVSGLESERKRVMGDEKNYYLDTVGTHPDYQKRGAGAMLVKWGCDLA DENDVAAYVDASKAGAPLYKKHGFVDFSPAGSYVASMARGKKSA VE01_07533 MDAAEDDRDIKLQKLSSTFIADFELSLQPFLYRTTADGTAKVRR NVRAREADRLVGLLEPFQELPQLLDPHLGRFLPVLADALLAYLRAPPLKKGVERPQLV TLSQAICRLLYTFCKIRGEKVVVRFFGAETRNLELLLNTVEDAERSEETGKGDEEVKE WTWEERYICLLWLSHLLLAPFDLSTISSAGTGDAKRPEIANLVWPEKLPSVAERAIPL AIKYLGAAGKERDAAKALLVRISMRRDMQALALLDALVQWAMACLKSSTTLASNSSYY YIGVLSYLAGILISSLSTADMDPYLLKVFRTVQNISDEENVAFKIVHASAIARKTVIK VLRTIAVLALRREYSSKGNEVITNEIVESTVGYLLDALADNDTPVRLAASKALSVITL KLAPDLASQVVSAVLESLTTNILWTTLPDGTKTQDLTAVNPQEWHGLILTLSHLLYRK SPPPDSLALILHALLTGLTFERRSTSGSSVGTNVRDAACFGIWALARRYTTRELQDVV TAEVGAATAHTGEKSIIQILATELVVAASYDSAGNIRRGASAALQELIGRHPDIVTEG IAVVQVVDYHAVALRSRAILEVAPAAARLGDCYADALMRALFGWRGVGSGDAKSRRTV AGGVGELVKLFAGSGKEKPWRRVQELIEQIDRQLGNLKPRAIDERHGLVLVMAAAAGA LPALLDANSAKEWEDLLDAVKAILRVVLSTLKSAMASTSRRPELGLEATCRLIVAACP IIRMERVLGHAGIDIVAWEKILHNKTSLKCIPDDSFDSTEVREIVGTSGSLIDASLRL TDLDTIEAAVAAATSLTLIIPTAASESLIHSWAVAVTDHSSRTRHPGYLFVLASVFTH TQSQDAICALILGQWQQATNIVDRVSILQCLNRAQILDSHTARFIPLIAEGLDDYTTD ARGDIGSLARIEALKATSKAFATIPCDAQSGAMVQDGNEDWFADEDLFGSLYSRTLRL AAEKLDKVRGEAQTALAILIQDPIQHAAFTSSQHATRVYFRHLLDLQLPSSVSWLSTA SIHPHQRWTAHLFDGYVSAADSGAEGLIRASRAALNDFCFAAPANRELVCNALVGSVK RLHDAGDERVLLPAMSVVAFLFDVGILEGGEKGLRGLFLATQRAHFKSGSVRKLEVAV RVYGGLLGVGEEEREVEKVLVRMLRHPFPGVRKAVEDVLWVGRGVGKGGVGVQGVKKA LEEKWESGGE VE01_07534 MWSVRDIVETTLAAAALVLGFCATPHALLQTWAFFRPNGETAKA LRPTIIVNATVARGSSPKPTARQVNKDWMQRLIAKDLRRLVRQGRRRAEVDDRMVELL ETLVTEQRARAPRNFSAFATFNFD VE01_07535 MSFISISDIAKCANFTLKLYQYGFTEIYNSNTQYTEFGQSVHAL HTNLHQLEIIFEKVQSIPPCPIPGTSSFYDTRDLNAILDDPYTTVQECSRLLTRRDCF EEKGGFICNIIWNGFVQDEVTILHKKVMFHNIKIIALLKPLELKLLLDIQSLVIHYGE AMLLVLGQIKELILGDARTIGAQEDVRCQQIVIPPYLLHRFEKLSIDAKPRAASGDTF PMHDGINAFLQHYEEEAAAARLSRSRGVQPASPTQWPRQYVGMMRSIWLIQKIESSAE YVDSCARGNQLLKYFVEDLAKKCLYAFNQHSDRPVNLYRVKNEPDEMSLGLLGEEAFL TWPIPVRSYDRPHASEIDDMKTILRTPLVHLNPERQRSLVLLRQTETILEMITKETSA LERTRELRSQYSREINLRTVTLSPIYADPQNQTSGMDIGLYTSGMPTHDNLLSFQTYQ SLYSFQEAITGYRIVDDIIDVDVIVMADKPTHYKARIQIWSFSPSVPAQSPLPVPYDN PSHATSASTIRPPSNSNASMATARRQSSNDSSARLSSPRKSIFFSSKSSFQSSRATSF SVRSAATTIADVTSLSDPRDSNTEAFIFESLREPLVVMFLRPEKLPPSRMSQGEMGDS GGGLTLLKIPIGALKAVLDKRNCSCQKGGTPCTHASIMGPAKRFGTGALLAEWWRGGM VNLAALGAHYNDPRGGGQKEKLNHVKMTFNGEGGRERKKKFEKMVAGVQEVDRRKGYL LEEEKVGLRLQRRS VE01_07536 MSIPIEQGSNIPMTEYSYSNSPSPFSVAKSYDDGRSVDSVSPIP TNPQAKNRESNTPAYGNGVPATAPNQPGGMGLAPEDEFLLNEADVHAKAVAKPMRLGS LTVMCLIFNRMIGTGIFRTPAVVYSYTQSRDISMAWWALGAVSAIAGILVYMELGLTI PIYRIGGIEVSVPRSGGELNYLKHIFRKPAFFTTCVFGIAFILLGHVAMNAIAFATSV MEASGQINHPNSEAAIRGIAICTAFAACFIHGVWRQGGIILNNILATVKIAILIFMFI LGMLATRDDIFPPVSATQFPPTPSRESRASAYTYAEAFLAIIFAFGGFNQANYVIGEV DDPRRRYKWPAFSAVVIVSILYLLVNGAYFAAVPVEYFSAEDPFNVAHRLFLFTLGTI SPAWEARAPKLLSAFMAVSSLGNIIVMTYTAARVKQEIAKEGILPFRSLLSRSYPSVR IPIRALWGSKRPTLREDVPLPALVLHFSVSVILILATWRLSTSATYTLLVDLYSYTVD AAFGAFIGFGLLYMRFLSSRGWAAQSRASGFQVPAYVSGPAALFFAIANTFPIAAKWV PPDMATKALLLVPWFTTGTVGTVIMVVGVLWWAAFRWVVPKVGRGRVGRFLMVTRKLW LTERDGYKVLEYEDIEFRWVTRDGEDVAGPWMERQVVAGRGETERRMAADGNL VE01_07537 MGFLKQVWTLTAKNLLIAVRRHPLPTSIRTFILPVAFMIFLTYS RFLFAQPNVFGIGPPRPIRSLEDGMAAATNGRDKVIFIDNGLNGGDIDRVIDAVSAPI IAAGRNVTRLPDGTDLAKICRTSFRGITKCYGAVEFASSPSEGPYGRWNYSLRFDGAL GSIVNMQRGDNDHQIYALPLQRAVDSAIASVNTTIDHSKLPKTVMEYPYTTITEEERK KLLRTKFQRTIITALGIAFLLAMVGVVYHLSGFMATERDIGMSQLIEAMMPNEKRWQP QIARLLSYHLSFTIMYAPGWFVMGVVLSFGLFTATSAEITILYHILLGLSLTSWSYMG GAFFKRAQLSGITITIITLVLGIIAQAFGSKTGSGGMVMVLSALFAPCNYVWFMMYIA RFEEHEIPANLNQNAPDLWKTKGTWFFVFLFIQIFAYAAIGVFVERWLHGTQSKGRTT VKENMGTGLAQHTVELSEFTKEYPAKFMRRMFRRSKGTTVMAVNGLSLSASKGEIMVL LGANGSGKSTTLDAIAGMNPVTSGTITVNGTGGIGICPQKNVLWPELTARDHIRIFSN LKSTGASPTKEENAELIRSVDLDRKIDAKSHTLSGGQKRKLQLGMMLTGGSAVCCVDE VSSGLDPLSRRKIWDILLAERGARTIILTTHFLDEADLLADRIAILSKGTLRAEGSSV ELKNKLGGGYRVHLHTTSVDREVPAIDGITAVKQFDQITYKAATSSQAALIVKTLESH GIEDYELSGPTIEDVFLQLADEIRHESETIAGAAPPATAATGASDVRLVGDRNEPVLA TPSSDNQSLDLMQGRPIGLVRQGWVMFRKRLTLIRRNFLPPLAVFFIPIICASLVTRL VKDQKTPTCSPVTAKDAKFETISNQYVYDLIVGPSSKFVGTSAAAFFGKLFQGLPEAS LIANYITDDNLHIMPTYSDFNKYIDVNHQNVTPGGFWLGNADSAPTITYQASHYLYSA IFAQNILGSLMSNITVTTQFRDFESPWQPGTGNSLQLVVYFGLVCAAVPAFFSLYPTL ERLRHVRGLEYSNGVRPAPLWFAYLIFDWVVFLVSLLIVTVIFAALSKVWYHIPYLFV VLLFYSLASIQMAYIVSLYARGQLASFAIAAGSQAIFFLLYLIAFMSVNTFLPVDKVD KAALVVHYTISLVTPMGSLVRALFVSMNLFQTTCVGFELSPNPGSMNMYGAPILYLAV QSVVFFLYLLWHDSDSVVSRIRGSRQKLPESDEVELDDRADKLSRVDTGNSDGLRVRH LTKSFKKFTAVENLSFAVERGEVFALLGPNGAGKSTTISLIRGDIRPSKNGGNIYVDD ILISKHRAKARLHLGVCPQFDAIDQLTVAEHLRFYARVRGVPDIEHNVAAITTAVGLD AFSHRMAAKLSGGNKRKLSLGIALMGNPSVLLLDEPSSGMDAAAKRVMWRTLANVSAG RAILLTTHSMEEAGALASRAGIMAKRMLALGTTDHLRRAHGDAYHVHLVTTTAPHTSP REMAELRDWVVNEFPGASIEEKTYHGQLRFSVPTASLTSDAASGVTDEIEGGGEPVGK KAASGIGALIMALEERKAELGLEYYSVSPTTLDQVFLAIVTKNNVEEENYAAPKRGLG IWEKIPWGKKKAVEGSPVK VE01_07538 MAATYTGSCKCSAIKIEMQGEPDIIGLCHCNNCRKSTGSAYSTN AIFQKSAFTIVSGEAKVFEAKGGSGNPAYVNFCSNCGSTMWTLTPMRTEIVVVKMGVL DGDAFEKLTPKVESFTSRRPSWVNCVDGATQ VE01_07539 MRILLLGGSGQTGRLVIDEALQRGHTVTALVRNPTVIPAQKGLD VVKGTPAEASDIEKAFNAVQGDPPTAVIVTLGSPMEKGSRIMADAHENLIPAMKRHGV SKIVTMASFGVGSSFPNITFIMRWAISHTSLGHSFKDHDQVDEILKKSGLDFVMLRPA RLTMSKKAPVRYISDDGTDNGKGLGVFAGLGGISRASVAGCLVDAVEKNTWNGRTPVI MN VE01_07540 MDGVSITKFSRTGAPKSKGGCATCRNRRVKCDEGKPYCIRCVKA KRTCGGYNPVKGRSRQDNGHTAPLQYALIKPKKLPLLQAAASSLTLRAPSSAFLGESE IEKRYFSYFQETATTGLDGTWGWSLWNSLMLQSSHQEPFVRHSIIAIGALLKSHEAAY LAGVGPRSVVVPYIARLHRSFALSKYDTAVKSMRKAMSVGMSSPRQTLLGCILVVCFE MLIGNSYLAIKHAHSGTSILEQWRTQTLTPIQEERPLLSPAPLVVEDEIVEAFRSLSI QITTLGNDNAAIYTKKTANYDWVNSTAPLTCTDLRETHVYLNEIVCRVYHFITTIPIP SGSIVSPKKLDYEPLQEDSSVMTNMVIYRTAFEVTENVRAQQGHLAQEISNWMRLFKP LLESLCVKEKANGATFNCASHVAAMMHIQAIATMILTAGVLVTNEMEYDKFDTLFLEL VDLATLIVKLRQQSKKGNSWVGGPWIDIGLTPQLFVVVTRCRDPIIRRRAIKLLEGWY IEGFWDPALIAQIGLFIMEVEEEGLEEVDFGWGKQSIIPEWSRAVISRISEDSQKRTA LIQCVLKSGGADGGPVWREKYVEW VE01_07541 MDTLNVSHQREYMAQMARQREALLAASARAGKAPAKKSREDVLS TFKKQAKRAEKGRMQAIRSSETQIQSTFVPPAYAACVLPVADLEKMGVDELRLETHHR GRYVLLRAVAGPSRMTALVGVGEDEEGRVVRVQIYQQGDENEVWKVGGVVVVKEPYFK ESGDGDTGIRVDHMGDVMALPANHPLVPEKWRKGVDAVLVREWVDRAAEAIKGERYWE ALDQCKSALLASPPPTPEEATEIKLKLAAAYLKVGYFEAAESAIDSVDPTPESLKLQA EAFYNLARYDECMASLGKLPEQDSTLLEKAKTRLVEQETGAYDFRSIFTELSTLNPPT VDRATYIGPVDIRVSPGKGRGLFTTRAVEAGELLMCEKAFAYSFFDQSAPAEMHKTKL SMVFNTEEGSIIFGTLGTLITEAVQKVARNPSLHDFVSSLYHGSYKAPTVNQIDDHPV IDTFLIERIISHNCFGCPPTSLMAHVTPGPPKRAYSSGLWPLCATLNHSCLPTARRSF IGDLQVVRATRDLPADTELVWAYNEVSEDPAQTRSALANWGFVCSCSLCTEAMRTPEK VRKRRELLRTDLRACVMVKNPDDIDVPKAERLVAAVEATYKAKPVEAPRESMCGLQLM ITRVHKNRGEAAKVVGAALKVLRLLGFEVKGAKVPRGKEEFEVVKWGYMAHGVVETWV QLWVAYATVAPELCADAERCARICYKICVGEDETFDDSYGRKARKAMEDDAAAAPGVS TA VE01_07542 MAALPTTPFTLAGGCFCKAVTYTVSVPDVASRPTLPKPPKFPLG PQTEDCERLPIISIDHCNSCRRIAGTVIQSWFICLQSWTTFYLLPRFTSTRTGASAEI LRVRTTAEALIPDKDILETTYLSYFSSSKDVHRTFCGRCGTGLTYHYSGEDDEMAAED KWGPYFDIALGTLDEESTKVEGLRPTRHGHCDDGIGWVKEMVELWGIAGSMREG VE01_07543 MSTDKTAKYRTEIQQMMYVSGETGEPSPETTGMVEEIVRQQVIE MLRTCTENAARRGSKSITTDDLIFLIRHDAAKVSRLRTFLSWKDVRKNVKDSDDKGNE ADIGTGDEPVAAVAATGPVDVSKKNKKAKVGLPWEIASLYSQEVPERDDEDDEDEEEM NYATLQRLKKADERTKAMTKEEYVTWSEYRQASFTFRKGKRFREWAGFGTITESKPND DIVDILGFLTFEIVQTLTEEALRIKEQEDLYKEKSGVEDQGKKRKAVKGLFDPPAVGK SPVEPRHVQEAFRRLQVRPKKSRAMLNGTRGLNRTPLKLSVWDSSSSTVLPGQLFWHG SFTVLLAQFFWLSSPGAVPLLGVLLLLILTSA VE01_07544 MAAPEIPNLLSMRGNRSRGGGRGRGGSSSARGAGPDRDKMIRET DTDANGSRRSAVACGYLEDPYVSEFVADLGTDSPALRMPIINRGTYVRTIVLDRLIEN FINAHPSQNVQIISLGAGTDTRYFRYLDRGHKDQILYHELDFPIISANKLAFVNRSDK LAPPGSEFNALRAVPDHEPEKKMWGYFTDGEKKAGYLFHPVDLRSLPAEIDNIRTDLP TLLVSECCLCYLTTEQADAVLNFFTSRIPTIGTVIYEPTNPTSAFGRVMTSNLAARNL AMPSISTYDSLDAQLERLRAAGLDMFQEGASISWLWDNWIEDEEKVRLGQMQMFDEEE EWRLLGRHYLVVWGAREQRETEGAFGGWDFLEGEEERRIRETGEE VE01_07545 MRFLEQKAAVGAAIVLLAAASEARHSHNRPMQLETLERRHGHGH SHKNAHSSRAEHEDQPALDKRDICSFPLTAGLVAVTPGSQNAGWAMSPDQPCTAGKFC PYACPPGQLMGQWDADATTYSYPASMNGGLKCDSGGRIKKPFPNKPYCYQGTGSVSAH NECGQSVAYCQTVLPGNEAMLIPTNVGSGQTVGIAVPDTQYWASTAAHYYINPPGFST ADACIWGDGSKPIGNWSPYVAGANTDASGETFVKIAWNPIYTGESTFSNDLPKFGVKI TCDGGGCNGLPCSIDPAVVKVNGVTSGNSATGAGGGNFCVVTVPKGSKAKIETFDVGG SSGGGGSGGGDETTEPSSSAEPTPTPTPTPTPTPTPTPTPTPSPSSSSVESSSSSPSS SSESSTSSESSTSESSTSESSSTSEESSSDDTTSTRVHPTVLPQMLFENDTALYNNAA PTSGGVASAVQQGDSDASKGAAPSTSSPSVANSISLQSSFVALAAAFAACSMLL VE01_07546 MALRTPPTDLDSDYGSDFSPEDLEVLDRLVVQTPSTVVSVPAPP TPFFFSRENTPSARRDAHPPRSRYVASMPIAYETEPLAPPAPPAVSRSAYPGGPNGAI YPDLSAALSSLNEPTTTASQPEAPPTEAPVPDTRTPLQRYRSFPRKALSVTDLVSPAW CELQYSLTLSLHGRKPRTEAMKRGSEVHAALEEEVYTSVQIEVATKEDAWGLRVWNVI QGLRTLREAGMTRELEVWGVVDGEVVGGVIDEVGFMCPDLEKEEEAVRALAVARGEAL PKPRTILPTDQRALDEYLHPTPPQLAKRKLYITDVKTRSVASLPRGASFRPTKLQLML YHTLLSSLAAGDSPLSAVAARYELDTHAQFSPAFVAQVAALADEVFYDAPSSAEEVAR APDPAGSELSRNNTLEKLWAVMMELFTEVIGEGGLGRVLRAEYRSRGTGGVIGEQVFP MDEAVLGGYIGHGMGWWRGEREPEGVAVQEAFKCRSCEFAEGCEWRLGQVEEARRRAR GGWKG VE01_07547 MKSFAIAALITSLAGAILATPVPTEQARQAHGIFGSGDNLINSP FDSAACIVSSITGGSNPRCHGKGEAITQDGVHYTYTYDTNEDGTLKVTITRKEGGTCT YNLRADGNYLQAVIKDAAERCIKEK VE01_07548 MGEINPWSYSPSPAAAMIFLILFAITTLWHIVIMFRRHAWYFTV LVIGGVLEIAGYVTRYLASKEQSNIALFVVQTLCILVAPALFAASIYMVLGRLITLVR AEAYSPIRPTWLTKIFVLGDLLSFIIQIMGSGMLTNNFTLGKTIILIGLIAQIICFGL FVLVAVLVSRRLARDPTPMAKSLDRRSSKNGWVGVMRVVFFASALIFIRSFFRLIEFT GNNDSPLQKSEAYLYVCDSTLMFGVLAILIYYHPSEYVKAQSEVWQGQGEELI VE01_07549 MSHSIRSHRKSRTGCNNCKLRRVKCDEVKPQCAGCIRRGDECLY AANVPASTQANSPVQTSPSILEDRIDTQPVPCFQQLSEGPDYSAKHLLDIRLIHHYCI FTAQYFARTFPEKVSIALTVDIPQLGFKHGFLMDAILLVSMIHLGCTDPVSLETLPVY LYRDQALRTLRRAVADISPQTLNAVRGASVLLATVSLAADRTTKQFGLWTANWLILAL GQRNFHAPTSLRQHSPLTASQDDIESPGNRYGSFADIPGPGAIPDDIQRALSREGNDC AHPEGLHEAATELGRLIAVLEHPYEESWLEKKIKAWAFDVVSSEFVELVRQAQPQALI ILAYYLALFKFLPDTWTYQGVASHDIKEIHNTIDPTWEEYMSVPKIALQMNDRTALAQ MLVSCLPGRGQSPPLSIYRA VE01_06271 MPRAIPPPQMSSRTIPTRQKVDTDTIQVFQEKADVPTTGQTRLS QGQLECNTTTIDTPQTDDEDDTPEKKRSGGVPSSVDVDDSEIDSPRYSDDIPILQRSD EVTPDRHAKVSGFTFDELVDRLLSQPMSKADSNFTDVFLCMYRKFASPGMLLTAILSR LEKSTSEKGQHFLTRTTVQLRIIAVLTKWISQYPGDFAAPQTNQKFSAFVEQISTEPA FNYAVQEMRTMLRFHVVEDDDTRWAKSDLEVDSDVEEVNELVPVTSSTTRSSRSTDPS KNTSNVSLADEKNSRRDRRHSETVSVTSSEAAPAAGGQPSSFHTVRDYEIEAATFVPS RKHLLTKLLYHNCMEISDDDFADEITRMDWIMFSSVRVRDLVRDVSLSAKQKAKCKSL VNVTRAINHFNHVAWWVTNLILLRDKAKHRAQILEKFMRIAWKLRQMNNYNGLAAVMA GIQNTAVGRLAQTQALISDECRKQFMRLEILMGVRRSHFAYRLAWQNSTLPRIPYVPL SRRDLASADEGSKTFVGPKGDRINWSKFEVFGGVILPIMKSHSTPVILEKHDNAREII LDCFISADEDEIHNRSTSLEASTTGTDPGRRNS VE01_06272 MEPTTADLGGNGEVLDIPDKSQSPQNPDIPQTQVDHSLPEEIAE VPQAAATEDQSPPKASLSSKLCGVCNDQQAKYKCSRCEIPYCSVACATLHRAVPCEAP VTRSLTPLAAEPATQNGSIRSLPGTVAGSTQGNIFSVLESSQKLQSLFIMYPRLRSQL REIYSATLPPTDDPNTLGSNNDHSFQRGRGGGRGGMGRGNSRSGPWNSDRGTQDGIDA LGKAKLEFGKAGEGVREYANLVLKLVAADGVDVARLVQDEVAEENAKIIAQLLNGDAY VE01_06273 MASCRALGTVASSARMLRPTRITPRVPITSAYRCLHQTGRLPSM GATNSARRPTLQPLHQPSTILRPAAAAGGSRTIFIQTENTPNPDALKFLPNQRVLPEG LSTPFIEYMSPRSTLAPPHPSPLAANLMNIDGITSVFYGVDFITVTKAADANWAHIKP EVFSLITEAVTSGQQMVNITEHKPGEQHHEGAEEDSLAYNENDSEVVGMIKELLETRI RPAIQEDGGDIDFKGFEGGNVLLKLRGACRTCDSSTVTLKNGIEGMLMHYIEEVKGVV QVLDQEEEVALAEFAKFEEKLKQQKGSVPASTEGKDSLDSVPG VE01_06274 MSASNPSILLDKLNGRRSTPDSEALASSDDEGDNQQRQESTMPT APPHKPVRRASWLNDTTQPLSQPRKGSFASSTMSPTTSHPTTPSTETGAWGAHTSTSS ATAAGRVPPGSTSFPWGSGIWNTETRKDAPHRLSEVLPSPTSLTGGFANNGLFNTDSS NSQGLAASRDAPAETTIPFAIPLHPTPKTYRSQSYSVGQLDSESNVPGAGILPGPGFN GRGRGMHHSGLQHRPSRPSMLSEVSGDGTGLGKLKEVDDDDLEEFDNNMAHGSQLQDQ AKVLEALARENAMLRKQNYHNSRLRPRAATSTSLAPFQPGYTYGLQDTLPEESDYAID EMDEMGELQDIGNKSMLARRLSEYSEGQLGRGGYGAAENRKLENVKKAIWQSSLGFGG LSDISQSRRHSFADVPTRHASVGSVGEQMPGRENGHQEQILPQDVQSRYADSTDYTIN DHGKLAPSTTAHPFLSPGANYSRGANTAVSYFGGAPVQARNTEAYGLPPYQQASAYQP YPAAPAYGSVQPTSPHRNIYSVPQPRQNQLLYIVLFKCSRADVFYVQEGTGLSVKPGD LVIVEADRGTDLGTVAQDNVDWATAKELKEHYAEEHYKWLMMYSQGAVGTADGTGAGL MAASNGLQGSAVGGMGPPTQHGMQEPNPGELKPKIIKRLAQNHEIQALRDKEGNEAKA KRVCMQKVKEHGLHMEILDAEFQMDWKKLTFYYFADAYINFNSLVTDLFKVYKTRIWM SAINPASFASPSLGLQAPSGVGPGAVGANRQTQVERRPQQPPQQEQPNFNALNQGARG YKPGAANAFQPTLDKPSVSPTSFQQPFTYGHSAFTPAPRNGPGNYGPNMMQQMESFSQ FQTAADYHGAPDRFLPSHTTGNGQDPGDFSRPGGDNEGWMNSFQGLSLNSR VE01_06275 MGALKYVEELQKKKQSDVLRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKKPVSKGATFGKPTNQGVNQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDPRINWIVAPVHKHRE SRGLTATGKKSRGLGKGHGFNHTTAGRRKTWKRHNTLNLQRYR VE01_06276 MSRRRKSEDIDGHGKHRAAKRQMGTYSTNLNTLEDDSYEDVEIE RDTEGLFVKQPHAQRDRETKKDKSLKLNQVKFASQYGEGGRTDNQRFTEASKTSKKGK GMKKGARYLDDIKHIVDGQAEITGNLGAMAHQSLSLEAQFSDFFVESHQMVLSDILCI GATRHGRLISLKDACTSITENGHQLLAAVDHLGLELGSHMLSTQAKEQECEQNVLSLE GILEGGKREGEARAATLLTGLRMQDVQSGDDEASGALFDDATDDEGRVTWAHVAAKQG KAVGKLASAFLRD VE01_06277 MYTCYTEAYRERLLIPKADRKKIHEYLFREGVLVAKKDYNLPKH NDIDTKNLYVVKACQSLTSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPAT HIKQQRSHAPPRGMMGGEGDRPERKPFGGRGGRGGREGGDREGGYRRRDAGEGKEGGA PGEFAPSFRGGFGRGRGGAAPAS VE01_06278 MAPTTINVLLTSFPGLNLPKTLVLPIPSTASVAELCYQLAERIP PFNDRLILTTASNKQLELNSCEQISSLVSSDSDAFLSLRLSARLCGGKGGFGSQLRAA GGRMSSKKKRNQGENNGSSRNLDGRRLRTVTEAKALAEYLAIKPDMEKKEKEQRRKRW EQVVELAEKREEEIKSGSKGKLDGKWVEDKEEAGERTREAVQAAIRSGDYRDNLSSRP KLTASGSSSDDNMESGSSLATDVDSKMSTPPSGSDQRKQFSGSFFGFDEDDDFMSDDE SEDMEKEDGEEVANDDEMELSPEEPPNGEEADLVPEVPTSIPKSKKGKGKAKSKPDTT ETVTKTRSSARRKA VE01_06279 MSDDDRETKPFKFVTGFDARFPNQNQTKHCWQNYVDYHKCIIAK GEDFAPCRQFFLAYRSLCPSAWVERWDDQRGMEPSTSVDGL VE01_06280 MTEQARLKGTVYVGGLAPVVDAANLHAAFIPFGEIVDVSLPKPE APLSTDLHRGFGYVEFEDPSDALEAIDNMDQAELFGRVIKVSAAKPQKNANEGLGSKT AVWEQEGWLAENAVDEEDKLTTDHGRGTEERPDDPMQGLEGLDVAGPKAR VE01_06281 MVRLREIPRTAAFAWSPGTGLPILVTGTRAGAVDADFSDETKLE LWDLNLDNLEQGVELQPIASISTDSRFHDIAWAPPNEDHPKGIIAGALDNGSLDLWDA QKLLDGSSDAFMSRTSTHAAAIKSLQFNPLKPDILATAGAKGELYIYDVNDMSNASRL GNPQARSDDLECIAWNRKVPHILATGSVAGFVTVWDLKTKKATLTLNNSRKAVGAIAW DPNNPTKLLTATPDDSTPVIQLWDLRNSNAPERTLQGHEQGVLSLSWCEQDSDILLSS GKDNKTLCWNPQTGELLGEFPEATNWTFQTRFSPRNPNLSATASFDGKISIQTLQNTN QPVGQGATNGLTDGEDFFNKAQTQPQGSSFTLTKAPKWSERPIGASFGFGGKLVIFSQ QPTTAGGQRSSKIQLSKFSADSGVAAATEAFETSLESGDILGICKSHIESANTEEESA DWEVIKALVAESPRKSVTEYLGFSEAEDSSTGVKDATTLDADAAKDSETTPDLTSKAK NNRLSTFFNDSPDTDDFLSDLTPTKTAKTNDPFHLLSDSDSQSDRDITRALMLGQFEH AMSICLKDERMADAFVIANCGGKELLAKAQKAYLASAAKGPKYLRLLAAVTDKNLWDI VYNADLANWKDSMATLCTYADPTEFPDLCEALGDRILDSGGSRKNASFCYLVGSKLDK VVGIWISELHEQKQEGLQKDGHDTNFSVHAHLLQNFIEKVTVFRKVTNFADTEQDSSD GWKLGPLYERYVEYADILASHGFLDTADKYLNLLPAQYLAADVARNRVKQASKKATLQ SQARQQQPVVSRTVPRPQQTTSFPSQQRPVEPSRVANPYAPSPSAHAPNPYAPPAPAY GSQQAYGSNQPQGIYGSPSMPPPTGFSQDNAYGQFGAPPRNITPSQPPPSKAKEMTNW NDTPMVTKPSISRRGTPGTAPAPITSPFPNQPNLALPPSAPPFGAPPRGTPTPPPPPP KGSMAPRVASPLGAGHPPPFGQAPRSSPNLNAYAPPHAQGGQGYPQAAPPPAIARGPS PYNAPPSGPPPTNRYAPAPATQQPSQQVPGQVPPPPQAGFRPPPPSNPYAPQQAPQAT YNQQQFQHTQPVPAQTEPPRGTVSTSRPGTAQGQAPKPPAPSSKYPPGDRSHIPAFAQ DMVGILSNDMQRVASKAPPNFAAQVKDTQKRLNILFDNLNNGELVKPDTIEKLGELAQ ALQAKDYDTASRIQVDIQREKLDECGNWMVGVKRLVNMSKVTP VE01_06282 MSRLGGNKDPYFAWNKHVSDALPCYAASERNILVNTGPDSTSKP MCVATEIPENPTKVNETSCSEFNHVGCECCLNTEDSKTPTVRNRYGSSVNGSPHPAEQ IDKTHVRCPNDGTRNIFGPLEDYIVASFQSHDCINGSFSTKLASVESRGPAKGSLNFT RPVVDERRRPTPDLMPPMGTKTIPRGLTENAAWLARDGAIPSHTNGSISQRGNIPIAN GPTALNDVNRSSPRIDWDLLFQWYDSIIHVGDDWSRHMAEVLSSVGLGFNSPSIDEMN HIEGEVAEAQRHTRRVLLRATEGLLKRPGRLLNEPSDTRFLLIILANPLLYPSSSPIL RDGVRVSRAPPGYPVPTKIAASSSSSKNKSPLRSPLTTEEIDTGPGHHSGIIKRILGL LSNLPNECHHHLVSWFSEFSEVHFQQTTELVGSFVTYRLTRQNNRRRDTGHDPTGGLV PNMPSSGHRTMAALHAELNGRGQGAKFSTEKRNNVKYNDDWQIRAAARVMALLFAANN NGSRRHNALLPHPSYEEHHIVSMPHERTYHRNQILPTSHFYNTLLDYSDLIADFEAWE AKRGKFTFCQYPFFLSIWAKIQIMEHDARRQMEIKAREAFFDSIMTRKSVNQYLVLKV RRDCLVEDSLKGVSEVVGGGGEEIKKGLRIEFKGEEGIDAGGLRKEWFLLLVRDVLNP EHGMFVYDEESHVCYFNPSSFETSDQFFLVGVVLGLAIYNSTILDVALPSFAFRKLLA AGPSSLPGSPSHAKPTMVYTLDDLAEYRPSLAHGLRQLLEFDGDVEETFCRDFVADVE KYGKIHQVPLCPDGEKRAVNNSNRREFVNLYVKYLLDTSVVRQFEPFKRGFYTVCGGN ALSLFRPEEIELLIRGSDEPLDISSLEAVSVCENWGVPNPAETELVIQWFWQSFKNAD PKDQRKLLSFITGSDRIPAMGAANLVIKLSCLGDDSLRFPIARTCFNMLSLWRYSSRT KFERLLWRAVNESEGFGLK VE01_06283 MVGILRRMTKLRALLAIKLGPGAAVLPKTVTKLHMEFAKRMNDG HYGPRKFWHSCLPRLKYHNPTVSMTLERTTNQEGPALMTVYFDDATQPETPVAGTQTE PTTSSQQRVVTINMKHRHESEILSQLLALTNAVPVEPTPEEVEQLQQLAAQQEVSERD SARHAIFNAEKKREKAILAQARTAA VE01_06284 MAGIRAGSKLSVSAGRVELFAGVDLPHFLCPALLSSTRSLTRFK ASSRALQALSQRSQVRCLSILPRAPAVKDHSRFENRVPELPQRCAGCGSFSQTSDATA PGFYTLTRKSVEAYLQGEAKVLAKDQSVDAHALESAVGQVDGTILDSLKAQAVAPAQS MADPVCDRCHKLKHHQSGQSIQHPSIQSIKDTILESPHKYNHIYHVVDAADFPMSLIP GLFRLLHLTPQRSMNRRAKTGRFYHGKMTEISFIITRSDLLAPVKAQVDSLMPRLVEI LRDALGSFGKDVRLGNVRCVSARRDWWTKDLKEDIWERGGGGWMVGKVNVGKSRLFAS VFPKGRRGAAQQDPSFSTMTSKPAMDAALGKYDNSLEAAGLLEPLTLLPPAVEEVDYP DMPLVHPLPGTTASPIRVPFGGGKGELIDLPGLARGDLELHVQEPHRLSLVMRSRVVP EQQVIKPGQSLLLGGFIRITPVDPECTILGYAFTPISSHLTSTEKAIGIQTQTLETGV ENISVPGTGEKIKSAGTFQLKWDVTKERSGPITASDAAGITADRLPYCILSTDILIEG CGWVELVCQVRKRRLEPSGVLGGNGNDSGSLEQASANANWPEVEVFSPDGKFIASRRP INGWLNGGPKNPSSNVKGRPRKSMKGAKKRMKSAMRETSR VE01_06285 MKASISSTLALSACVERLTLNSSAYRPSIIPKAISALSKAPATP SSFLTSSTTRRAFSSTPAVLGDWLLPTQPEKKRSRKGRPRVPTGGSSRGTTVVWGDYG LRMCDHHRRVSALQLKNGEEAIKVRLRGMRYRLFKRVCASIGVYASGNEVRMGKGKGS FDHWASRIAVSKVIFELKGDIHEQVARDAFRLAGNKMPGMYEFVKKGDPAVVGVTKLD GVTMEELKRPRRAVPLPMPSPVSAAEAVPEVPKPTSSAA VE01_06286 MPADIRNFFGGKGAVPAPKKEKAEAPSSRRKQTRKIIDESDGDE NEVKKIATPKKAVPKKPSDKTSSKGEETTTSDYFASSRGSKKIAKSTPDPMKLTALSP RPKTKLSTTNFEEQKPLKGKPQGKVYKNADDDDRGAYVDIDDPDAGDDIFAAAVNTGG RRKEEDDYMEIDGDSEDDVVVTKASKNSKPAPRSRKRKSASLDPDDSDEFDAPKKGSK INDEKKPPAKKPRAAPKKEVIPESAEMQAVLDSIPTVEAPPPPSKSADGEKFNWRKAA AGGGNAGPPPGAGSKELPVGADNCLAGLTFVFTGLLETISREEGQELVKKYGGKVTGA PSGKTSYVVLGSDAGPSKLEKIANLKIKTINEDGLFALVRATSEHLTQTGGTTRAAEK ALEKQKEAEKKMKIEAEEEERQERIRAAEAEKAARKAAESRGLAPPANKNLANSQLWT VKYAPSKMNQICGNKGQVDKIQTWLQGWPKAHKLGFQLPGKDGLGIYRSIVIYGPPGI GKTTAAHLASKLEGYDVIESNASDTRSKKLVESGLQDVLNNNSLLGFFAGHDAPVDSK KKKIVLIMDEVDGMSAGDRGGVGALAKICKKTDIPIILICNEFRIPKMNPFRHVVAEV PFRRPTVDQVRSRVATICHREGLKLSREAMDALIEGSNKDIRQVVNMLSSAKLDQAAM DFDQTKSMSKQWEKHIVLKPWDICHKLLGSGMFAPSSKSTLNDKIELYFNDHEFSYLM IQENYLRTRPLMPPNQNLSPREQRLKTLELADKAAESISDGDLVDRMIHGSQQQWSLM PVHAVFSSVRPASFMSGAVTGEMPFTTWLGNNSKHGKLSRFVKEIQSHMRLRTSGDRH EIRQQYLPTLWTQLIKRLETEGKDSVDDVIDLMDSYFLTRDDFDAIMELGVGPQRQEL VTLETQTKSTFTRLYNSRTHPMPFMKASNVVAPAKATKEMPDLEEAIEESDSGEVLVE TPDDNEDEDMDISKDKYIKKPKARKAVGKKPAGKKSKKNDCADGNEDDSQDEVKPKKP RTSKPKATGAKTKARK VE01_06287 MALITRRKPSNCMYREYIGCEGSTPRGLGFIIPAEETLLEGGSR LEHPPPGQYDSPQVGSFSQEENSPYLMPESGTQQTLTKTMLDAYALMRGRLGRGERGP LYQEGFNYRPWELRPEDDNPKLSPTIPWETYDHEDKEAKRPQEAPTPDLEGAREPRVR TFSERLSQIGYVKINGQVADPDCKTDILNALNGVNGYSPRVPGFHDPLISNVMNNMEL EEYYTHFLLDVDNNEDPERDNEGNPLDNRISPATFMKWAAGAQQKGRLNIAEIEGEVV ERARQEELKGAKPPGNHRPLVWSALKPQFDQEFGGTISPAYSVDEYPSPLMSSRGVNL TNVPGMFYHMLPEFNVVLAREAFHDGGIDLSTKASPETEITTDQLADALGDGNRELGM HRIDVIQMEFQNLLAERDVEVGKATEKMLQLNSARDTITRLGEMICARRYEMTIPKRR ARADQLLGEWREHLRQQTARAVNMAKAWGDELDELRDVNAKLVSELQDLDQNSENDER SAVV VE01_06288 MIQRILLQQVRSTAVRTAPKPFLAGSLIRSSQYVAQSPRLALSA RCYATNGEASKAAEEGAAAPEDAAAKDLEAKNKEIVELKDKYLRSVADYRNLQERTKR EIQSAKDFAIQKFAKDLVESVDNLDRALTMVPEEKLSPIEKNEHVNDLISLYDGLKMT EGIMMQTLLKHGLERINPAVEEKFDPNFMEAMFMSPMAGKEDNTVLLTQRKGWKLNGR TMRAAQVGVVRNT VE01_06289 MRPNSVTRSPIRAGSDVLSILIGLGATASRSSANVCLGRRRIAT DAAPTPLPQAPKIPATVEIGGKKYQTDEWHNVPSTINTAVGRQLHLQKDHPISITRQI IESVFPAPTYKYHNNLFPVVTTHQNFDSLEFAQDHPGRSRTDTYYVNKDTVLRTHTSA HQADTFRRNESEGFLVSADVYRRDAIDRSHYPIFHQMEGARMWDRTKVPGGDIAKAVW EDIAKLPTHDMKVEDPNPPHHAERNPLQAPHSAAESEAISAHLKRSLELMVAEIFSRA RASSGNADAVSTAEPLRIRWVEAFFPFTSPSWELEVFWQGDWLEVLGSGVINQKIFTN SGVPDQLGWAFGIGLERIAMLLFEIPDIRLFWSRDERFLGQFKGVTDDLTLMKRFVPF SKHPACYKDVAFWLRSTSSAAGGGTKMNSQDFHENDMMEILRDIAGDTVEDVKAIDEF THPKTGRKSLCYRINYRSLERTLTNDEANKYHDRVRKELVERFGVELR VE01_06290 MTSSKANQAKQELSRKQAWDRAHIRYPFWFGGSASCFAACVTHP LDLVKVRLQTRSANAPTTMIATFSHVVKSDGFPGLYRGLSASLLRQITYSTTRFGVYE ELKAAATTPTSTPSFPVLLAIASASGFLGGFAGNPADVLNVRMQHDAALPPAERRNYK NAIDGLVRMTREEGWKSLFRGVWPNSMRAVLMTASQLASYDAFKQALIVHTPLTDNLT THFTASFMAGFVATTVCSPVDVIKTRIMSSTESKGVGKLLKDVCKAEGVKWMFRGWVP SFIRLGPHTIATFLFLEQHKKIYRKAKGLDDVNLA VE01_06291 MDYDPMNLDEAVGPQVKISDADNLRVDFELSNVDLSFANSLRRV ILAEVPTMAIDLVEVEANTSVLADEFLAHRLGLIPLNSKNVADVNYSRDCECEQYCEQ CSVTLFLNAKCDSDEIMKVYARDLVVDSLKANAWVGNPIFTDEGGNGPVICKLRKGQE LKMKCIAKKGIAKEHAKWAPTAAVGFEYDPHNKLRHMDLWFEEDPAKEWPKSKYADWE EPAQEGEPFDYDAVPGSFYFELESAGNLEPDAVVQEGIKVLQQKLATIIAGLSDGGGM ENGMNGGDSFAPRSPEFAGGNAGWGDAGYTTPYGNGGNASAWGGQGAATPYGATPYGQ PAAPSGWD VE01_06292 MATQSSPESSRASSRPRTPLSLDISAVPALQQPTPPSNTLIITN LNDLEIFRPDNLQTIRDLINSSAPIHSWAPLKSFRRIIVSFFEEESAIRIRQILDGES IMGENVRVYFGQPTSIEAKDEHLPLPDAGKLFFISPPPSPPHGWEVKLEDAPNKQVHA EDLAEALAKLHHRPNTDLPSSPLSETDGEGRKRSGSTTMIYHPQDHGDSPALPAIAVE DFTDEPESSSDMDIDRPILAHTSRPPVELM VE01_06293 MNVSRAFVRAANTSATRVTIARRAAAQPAIRSYATPASSADTKP PVALYGLDGTYASALYTAAVKSQSLDTVAKALSALQEVYVKDPKLSSIMQAPTLTSED KSAIIAELQKHTGGQDKADTVKNFLNTLAENNRLALLEGVCTKFGELMGAARGEIELT VTSATPLDNKTLTRLESAVAKSQYVGQGKKLKVTNKVNSDILGGLVVEIGDRTIDLSV SSRISKMNKLLTDTL VE01_06294 MTSFITTVNQRTRNQFRPRNATKGTSSYQLRQYAEATLGGGSLR KIVKLPEGEDENEWLAVNMVDFYNHINLLYGSITEFCSPQSCPEMKATDEFEYLWQDS ENYKRPTKMPAPTYIEHLMVWVQSNIDNEAVFPSRIGVPFPKSFPSMIRQVFKRMYRV FKHYVLFIDEHNLASGKDFWGPLGDLVETE VE01_06295 MPPPTSNIRVCVEWSQSTVFAGEEVGCKITFKNIAAIPGIQRIP ANPSGTHGPSPLGERHRKTKTTTSSQISDGRGRASNVSNSLSLPNKRGHRSALSLNVP ALGNFQQPETRPGNDNSSDAAPRSKGHSHRRSVSIISLGANDTARSDISGSNSNEPPR VPYRRHGRAASLQIVPRRPYPNGNGPKSASASAPPNRSATQPSPLFQNHSLSDISTLR GELGPHRKSTNSLDTLTSSSAPAAQGFRPARRQASASPSQQGFKFPNTTFRFPATSGP GADEQSPLEPTENDQNGYIHSPKASNSLLYPPSKDDMPPGRDPTTLSARILSPMNNNG ETPRSSGEFYSLSNNSTETLASEYIAPRAGLLGSRSDHARQVSAVSDVSQYRPTVESL MMGYAQIQGSFTLDGSLIDQEPFEEVKRKGVVGGQGGGVIGIEKNKRESGLLRGFGWG NITESLGGLLGGSDLSSIRDMRGIANSRPVPLLSTPQSILFVDLRLAPGESKSFQYKF KLPRGLPPTHRGRAIKISYQLVLGTQRPGGSKEQQIKSVEVPFRVLGSVNDRGELLGH DLMSPYIILKDQASIRSISDRATGAVEQSSKTTVANKKAQSSHDEFLSYVDDLLTTPR QQPDLLLLSPTANLQRRRLSSIPGPASAKEAIDMAILRSNLATDMQQSANRFEIARGG QRIAVVMLARPAYRLGETVTAIIEFADAEIACYAVHASLESSEKVDQSIALRSDASIN RVTRKTHASHSESALFARRIVFSPSIPVASTPSFITSGVSLDWKILIEFVTPRVDRTK EGNPTYDSELLEEVSRDDRGAVLAAAETLNCESFEIAVPLRVYGAVSSNDKEAVEEHK V VE01_06296 MALSIAELDATVRTFYEGRGEQQKAAQATMNQFKEDQDAWLLVD KILQEASYPQTKYLGLQVLDNVIMTRWKVLPKEQCQGIRNFVVNYIIQCSSSEESLKT QRTLLNKLNLVLVSILKQEWPHNWPTFINEIVSSCRTSLSICENNMSILRLLSEEVFD YSADAMTSTKTKNLKSTMCLEFSSIFSLCNEVLTTANQPSLIKATLETLLRFFNWIPL GYIFETPIIDTLRTRFLKTPEFRNITLKGLTEIGGLKTEGHGLYDEKQVQMFTEVLTT ISEIIPLSLDLKSTYSSSNSKDQEFIQNLALFLCNFFSVHLNLIENLPNRDFLTHAHF YLIRISQIDDREIFKICLEYWTKLVQELYEEMQSLPITDVNPLVNMGVGGLSNGGALN PNVLANYPLRKHKYNEVLSNLRTVMIEKMVRPEEVLIVENEEGEIVREFVKESDTIQL YKTTRECLVYLTHLDVVDTENIMTEKLSRQVDGSEWSWANCNTLCWAIGSISMAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHESHEGVQDMACDTFIKIARQCKRHFVALQPGEQEPFIEEIVRTMRKITCDLSP QQVHTFYEACGYMIAAQPQKNAQERLIAELMSYPNAAWDTIIGQATANPAILQDGDTI KVIGNVMKTNVSACTSIGSYFYPQIGKIYLDMLSMYRATSQMISEAVARDGEIATRTP KVRGLRTIKKEILKLIETYVERADDLEMVRTNIVPALLDAVLVDYNRNVANARDAEVL KVMSIIIQKLSGLMEDQVPVIMENVFECTLNMINKDFSEFPEHRVEFFSLLRAINMHC FPALLKLDNRQFKFVIDSCMWASKHDNREVEYAGLNMCLELITNMADTDAQTSSAFFQ QFFLPILQDVFFVLCDNDHKAGFKSQSTLLSRMFYFVHPGDGTQPKIQGPIYPADQAP SGTSNKDFLGGFVANLLQTAFTNLAPLQIKSFVEGLFTLNHLTDKFKLSLRDFLIQLK EFSGDNTELYAEEKEQQESAARAAERERLSKVGGLLKPSELDEDDEL VE01_06298 MRFLRCVAAALISASFSHIAQAHSVKRAPLSYLSIVDEPVIHTP SHRVHAQSSFDLTFHLHDRQQYIKLTLDPNDDVIAEGATVNYIGADGQVREVELIERS DHRVFKGHAWVRTHEDTQWTHAGWARVVIHRDGADPLFEGAFRVDGNHHHIHPRNSYV ATKHELDPYVEDDREDIMIVWRDSDITPDEPNREELKRDVNTGSCLSDDLTFNLMPEH PVYSQGYSQSPVMRRGESYWGSISSGSIFGRDIDPTGNLPGNGAAVNLLNSIGNPAGC PTSRKVALVGIATDCTYTKFFNSSSSVRANIITQMNSASVLYEESFNISLGIQNLTIT DGTCPGVSQVNTPWNRDCNSGLQIQDRLNLFSAWRGASPDTNAYWTLLTTCGTGSAVG LSWLGQACVNTAQPSTQGGSNDTVSGANVVVKTDTEWLVIAHEVGHTFGAVHDCDSTT CADGKTVAAQQCCPLSKDTCPAGGKYVMNPSTGVGITNFSPCSIGNICSAIGGQSVKT NCLTSNRNVPTITGSQCGNGIVEMGEDCDCGGATGCGDNPCCNPTTCKFKTENNAVCD PSNEDCCLSTCQFATNGTVCRASTGDCDPQETCSGTAATCPADAHADEGSSCGASGDG LTCASGQCTSRDKQCKTLMGPQYYKCSDDGCQLFCATDASQYYCEGLTQNFLDGTKCG GGGKCSNGVCKGSTVGGRIKEWVDQHKPLVIGISAGVGGLLLLAILSCIVSSCKRRSR QRKYVANTVPPRGQGWVPQGQGRGYAAVPPPPMQQRGMGGMGMPMGQMGQPPQYEYGA GGQQYDGQWNVPQRAYGGPPVRYA VE01_06299 MSSSPPPPSSPPTQTPTSIPTPHLAFGATEPHSTPTTNNTTTTS SASTQTPAVATPAATSGATSSLKAVQRDRQARNKSPKTGEAVWCGEEGGTGGEGEGDG VREEKVSYTDDSYEAVERRRWAATILDCPELLMMHAQARQDTIPSTRLHFTKLLCGYE DTPRKTKKAKAKRSGRGVKE VE01_06300 MTKEELNSDRINYLVWRYLQESNYRETAVKLQSEWDVSDPQSLD FAPHVNNHALVAVLQRGLLYHEAERLSAQSRRGAVAAPPATGFFGPVAPLSPPLASSD EIANARKRSTEERSQQARQESPAKRTKLSNGYENGIDSTTTPMDLDESAAPPAAISNG HAYPSPREVEVERVPTPAPVTSGPEKGTQIEKVAELSAETTYLTLAQTSTTNHPILLH CEWNPRDPKRLATAGMDTLARLWTVPSRTPSPQIDGHVPPPAFEDLVDENEHGAAISS ISWASDGETLAVASDCDGTGKISIWSKGGSRIDQFNSLGYPPVICLRWGASDRTVLAL TSTDGKGASSWATVFTIPKHESATFDLGPNFQPLDAVWTGPSDFVVCNGESLASFNLS EEGKITRTKTYDIRKEGGPTSLAYDPHCNLLAAANDDGIIDIFDSTATRVGMATHNGA ITSMKWQPIPSSSDDQSERLLASSSEDGSINIWNSRSDFNKPVETMTMDAAVLALAFT PDGAFLAATTNSHILIWNMADPSFPRARWVRGQEPGWQSPKMNGAALEEYHHCLCWDA DGKRLAYGVNSMLAVIKFIPVVTDVAVN VE01_06301 MAIRLNLPPITRGLLLLLLFQSLLSAAVHFQRPTEGLVIPYLTL IPSMSLIYPWTFVTSTFVETNIFSLAISGLTIWHGGRYLERAWTSREFAKFVAMVALV PNVYTFFTLVVMYAITGDVTWSLTPISGTTALQTALLTGLSQLLPTHTITLFRGLLSL RLPRLPLLHLLLVTLLALLPIYTISAPLLSLSGFLTAWAHLRFLRLPLPDLDSPGPLR GDASDAFALAQFFPEPARPSVEAAGDVLAKLGLAPKTPVVVANPSQGGGGGGGGGGQR QGGRAENERRRALALKELDLRLQAATAARAGKGGEASEVKQPEVGEVMKAPEGGEQA VE01_06302 MASHHPSPPGAMHRMAPHHPSPTAALHMGQQHQGPPNGPPGPQA MGPGPGQQGPWPTGPPSQNLPAMNEAVWMQIGSFLELVNSSDEAIVAYEHALRANPHS IPAMTAIAGILRHQEQFPKAVDFIQNILKLDPSNGEIWGSLGHCYLMMDDLQQAYAAY QQALYHLRDPKKLQEPRLWYGIGILYDRYGSYEHAEEAFSQVMHMAPDFEKSNEIYFR LGIIYKQQQKYGLSLECFKYIVSNPPAPLTEEDIWFQIGHVHEQQKDVDNAKLAYRRV LDRDPNHAKVLQQLGWLHHQQSPSFASQEQAIEYLEKSVNSDNNDAQSWYLLGRCYMS QQKYPKAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEV WYDLGTLYESCNNQISDALDAYQRANELDPSNVHIKARLQLLRSGQGMPTMNTAAPIP QDVHPNAYQPTNAVGPPGPQWGGPSQAAPPAPSGPPAGPGPGNWPTNRLAEINPPPQP PNPYEHREAARAPPPPPRQASPRADQQMRPYQEPRYLGPVPPHRAASPHPLPGPYPGP GVQHPPTIQQQQPPLAPPQPHAPPSGPVRVLNPNYGGPAPNILPPAPPNGLNGPPPPG GPGPIPPFGRGNSPHPEVRPILDNRISSPTAAYPHQQYQPHAETPTTGGIANGAPAPA SALAAAEAAAAERRDEGPPSVGPKRVREWEDELPPVQKKPASEETRAHMNDVHHRRPS TPPRETFRRNSSEARREDQRRANENYHPSEAAHHPLTHTVPTTTQLPPMQPAATPTRE SLPPPPSVGKDYPMVDAPVERRSPQQLPPPPPPPTQAQPQPPQPQQASPPTPVPAPAP AEERAARKMDVDENYDDEGEEEKKAPSTNGAPPSAPGSAGGAPPAEGKTESPERANGA GPGFLANGQNGTPTQAATKVEGTA VE01_06303 MAAQAEDAMAKLALSENQGEAAAAAAPPAAKPEAEDSDDEDDAP PADGSAPTAAAKKKRKRKPKKKTKTPTTQTSPPSVPLSTLFPSGDYPIGEESPYRDDN SFRTTSEEKRHLDRLDMTYLNDFRRGAEVHRQVRQWAQGWIKPGMGLTEIAEGIEGSV RALTGHQGLEDGDNIAGGVAFPTGLNINHIAAHYSPNAGNKTVVKQEDVMCVDFGVHI NGRIVDSAFTMSWDPVYDPLLEAVKAATNTGVAAAGIDVRMCDIGEQIQEVMESYEVT IGKETHPVKCIRNLNGHNIGQWKIHGGKSVPIVKNNDTTKMEEGEVFAIETFGSTGVG YVRDDLECSHYAKAADAPHVDLRLTSAKNLLKVINKSFGTLPFCRRYLDRLGQDKYLL GLNNLVSQGIVEAYPPLVDKKGSYTAQFEHTFLLRPNCKEVLSRGDDY VE01_06304 MGVILSLFHTVRNRNRSSQPPPAPAVPETHELDTLHASPTSAPP TATIPRPRLPPRVFAALSSRSRATAPASPAGVPAQAQALPAARAAPRQQKRGMADDAS YLSFLEKANAPLGGSNEAQASGSGKKGFKTVDEGAKVPEVIRKAIEGVVYVSDADEGF EGVSLGTGGRGMVDGESFADLIGSPDGREIEILDISQWDERGEYKSIVDAIRDATSGG DVRVYRVPRGATRVEYWVVGVEEGEEGRLVGAKALSVES VE01_06305 MSHRKFEAPRHGSLAYLPRKRAARHRGKVKSFPKDDASKPVHLT ATMGYKAGMTTIVRDLDRPGAKSHKKEVVEAVTVIETPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDDLKRRFYKNWYKSKKKAFTKYAKKHSEASGSSITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEVQINGGSVAEKVEFAAGLFEKPVEVASIFEQDEMIDV IAVTKGKGFSGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQDGYHHR TSCNHKVYRVGSGADEGNASTEFDVSKKTITPMGGFVRYGEVKNDFVMVKGSVPGVKK RVMTLRKSMWTHTSRKALEKVDLKWIDTSSKFGHGAYQTPAEKRAFLGTLKKDLVQQS VE01_06306 MPPTNLFPLPYLLAVQLLTRDTPDDTTGEVPNIEPEPVPPYKYP SWAFGVGILAVFVVCIFFSFTCAGINRRVNRKALLRAEFPCLSANMGLVSCLATSSST AAAAFVMPEQADEVRALSPPMDKQKKVVDLKAVKINMQNLKMPAALPKVFEKLGRKRE RGEVVADGDVELIQLSSVKGKSGLAPKGGRWTLDKHGAWVWEEGGGKKASLTGKGDHM LVEAYAGRDEDSIGFVTTEERFENVDAK VE01_06307 MPMTTTAKSSLKRSDDRIIIHFDYDCFYASVFEVENPALKSVPL GVQQKQIIVTCNYEARRRGLRKLQLVSEAKKKCPDMVIVLGEQLDRFRDASKMLYKFL ESFTWNNKVEKLGFDEVFLDVTDIVAYNQSLLNPNDLSHAFFNLSRDDPTIGFSFDAS RTAGHEYPDLDDTAIEIAAKDQELLIRLRIGSHLAQHLRHRLEEDKGYTSTVGISTSK LLAKLAGNLHKPKAQTTLMPPYESTSNEDQAEQTSTVIAFLDTHDIGKIPGIGFKMSQ KIRDHILGRKVDLKDETDSRVVKDSVSVRDVRLFPGMGPELLDEILGGLGAERGIGAK AWALINGIDESEVQTLKRVPTQISIEDSYRGISTLPEVRKELRVLATSLINRMHTDLL ESDEDNPGKQRWLAHPKTIRLSTRPQPPRNPDGTRSRSFNRISRSCPLPNFIFGLREG VDALVEKLVVETLIPLFHRLHDGKGWSLNLINICVANMVETASETVKGRGRDISRMFK HQEEVLKEWKVEDKDVPPDWVAEHHRTNDGGRSHALFKAEPSAGNDAIMKGATGSEDV IPMTQDAVDDETLYMNEESDSGKCSICNAIMPAFAMAAHERYHEFENLSE VE01_06308 MHGFFDQDPGRSRSPRWRFALLSLLALFPSSSVEAGIFLSRDDS RGAVASESSICSSIGIDLIRRGGNAVDAAVGTTLCVGVIGMYHSGIGGGGFMLVRSET GEYEDVDFRETAPAAAYEDMYANFTIGSIVGGDASGVPGELRGFEYVHKKYGKLPWAM VVMPAAKVAERGFPVTEDLFGFMTSTVNKGQHFLTEDPSWAIDFAPNGTLLQPGEIMT RKRFGKTLRTIAQQGANAFYEGPIAEATIRAVQLANGSMTLDDMSQYDIISREPVSID YRGYKMFSTGAPSSGAVALNTFKIIEGYNMSDPNNLKLNTHRLDEAIKFSYGSRNELG DPDFVEGIVDFQTQMLDTASAHATRHKISDDHTLDPADYNPKLLSHPDSHGTSHIVTT DSSGLSITLTTTINLSFGSRLCVPETGIIMNNEMNDFSIPGVSNEFGFVPSRNNYIRP GKRPLSSITPIIVEKADGSLYVVTGAAGGSRIITATIQALWHVLDHGMTMPEALAQPR LHDQLLPAQVRFEVGYDNDTTAYMREKGHNITWTTELLSKTQGIRRLENGTFEAAAEP RQKNSGGFAV VE01_06309 MKFLVTVASIALLAVGAAGLPPDRNGGNAADQYLVKSLPQVNFK IPKSWAGSLKVGSGFGTDSLFFWLWEAETQQGKNDLIIWFNGGPACSSLLGLFKIHGP VIFPKAATKPQRNPYSWTRGANVLYIDQPIGTGFSTGTSNNTDNEHNTAAVVKWLDSF FNVFPEMRSKKIHLMGESYAGVFLPYIAKEVQAQKATLKVKLSTLSLGDAFWGNWAAM NNVVALAYIDEHRLSYGTNIPPIMYNVLKQGDKDCGFPAVRAQITYPPSGPIHIPGNP SGANFKRNAPTPPPGYIPERCDFEGGTNTPERLAYIINYTTCYGICDPYDAAYNYYLS PSANREFQPYNINEHGYDNLYSDGAYIAYLNRADVQSAIHTPHIQFNPCNDDLGGLLV SNDRRIQPQPPAYTIVPNLISQGVKVHIFNGILDYVIPHMGQELVLQNTTWGGSQGFS RPPTCDVLRNSKGQQVSQGREERGMSYYTFQNAGHRVAQDDPEGSLQWLIKVVVRGPN AAWAWS VE01_06310 MSYTTNSPAQSTKASMQASAPSTGSMRDFMASCMSFNQASARGT STGSARGFPGADTLGTTVSNAISIDDSPSPTSVFPQGVTSKKRKRGTISEPVVINISD DSDDENSVARTNSVTSMRKSVAKAPKAKAPKRKRKQKDYEEPNPGGESRVEKRLHQFR ERPPYKFQDRLNRAISQPMFLIDRERKLNSDGTHEVEKFDMAGSTGNIYEVVIDKKPK CTCMDARIRGNQCKHIIYVLVNVLKAPEHLQYQQALLSTELKQIFDHAPVTPQSSNSS EDTSHPGKRKPIEGDCPVCVIEFKPSDEILWCKAACGNNIHKTCFDQWAKSKPGPVKC VYCRTVWKEDEDSTKNIDKHGAKNREGYVNVARQLGLSGVRDHSSYHQPWFDDEGYGG FAGI VE01_06311 METPDGNGQEQGSDMVTDHTSSDTKKATTGVKKPKSTLQNPASP REGHEDRKPGTVIWESHYGVGRYGLQDPRMTAGSGAQGSKVKERERQTLAKVDVVQNE APFSNTRPTPLGGEQSPTTTTLSVPKGSKAADDSGESQLGPPITTETFAELEISLVIS NPKFRHDFNFGCEIPYVPKQRKHKSDEFWRALRLQLSEFWSDREAFIAKHPGNAWSLP ILLKAIGDMLAALLPQRYNSVIRETLDVDLLMQQLTRGEFNMEQLADWFSRTLRKHCA PMRDGAVFRMAEQLTSGFRRGDVESLVDGLVVLLTTIESMRLDVTNHQINHLTLIQNF VTFQQTKILKIIPTGDIDIYRSYAWFDAYRIPDEITALCHEGGSWKFFRAFVDLLRHS NREAPIPDTLQLDNGRIADLRMKLLSAVNMHMCMHLLNVVDETVIPPKIPVSDGHAYA PSQVESDSSTIESNRRLAHVRSTLHAIVDDYSNPDIDPSPTPTSSPLNFDPWKVAAPA LALEILRKANRSLSNPYFETSFVDALSNPSNQDFRESERAIFEELGELVQYYVDAWQP LDMVALYKVAPVCPRGCKIHGKPKEFEPVEEIARLIAYLGILHWNVWGNIVYLVNPHE HPNHSSRIDWDTVELTNGS VE01_06312 MKRHGANGENNGLLGGSDWEELNEYPSRAGSHSPSLRSDDAANA KEDETRSASRTRPTPRTPMKVHFDIPRDDDSLAEAGYMNGGDAHEGRGGAIRNSDHLD DDDDRHDDDEGHDAPLLPSASTPIDSALENPDDRPTSSLQAAISNMSNSILGAGIIGQ PYALKEAGLAAGVTLLVVLTVVVDWTIRLIVINSKMSGRNTFQGTVEFCFGWWGLLAI SFAQWAFAFGGMVAFAVIVGDSIPPVIEAIWPGMKDIRYLGWLAGRSGAIVVFIGCIS WPLSLYRDISKLAKASTLALFSMLVIIGTVVTQGFSVPAELRGTFDLPLWTINVGIFQ AIGVISFAFVCHHNTLLIYSSLSTPTLTRFSLLTHISTIISLFACLAMALSGFLTFGS LTDGNVLNNFPNSPLVNLARFCFGLNMLTTLPLEAFVCREVMVNFFAPSAAADAPIMD SHDSPAHDTSTVVHVALTTGLVWGAALIATQVCDLGTVFEIIGATSASILAYILPPLC YLKLSKKKDWRRPVAWGVVAFGCTVAAMTLLLSAVKVWTGEHAAVKKCHS VE01_06313 MSKPWIDSQISELIAEHGTVPPPYIKHPNIHPLEIFWRMGSGES YIMVYGTWWERQKPLMDETQKIEYFRQFPPPPLWLTWMIDLLWVPEDEEMDLDPEEAD YFKYFLRTKALGFGTEDECKRAWFLHNEEMEAELDKEEEENE VE01_06314 MSAIAPSDNPQVSSLAPKKKANKKKKSKSKLNGDLAKPSITPAN GSGPAEDEEDEEAQPDSPVVVRKPPVHQRLDEPPLMNLQTTSAAAEQSDETADAKVNG QTDGHTNGHASPADTKQGNVSPTREAPDESIGSNASDTTDASVRLEAMSQDREALRAE VEALRRSLEDIQERHKEELSAVHSELEESEQAKEQAQSQYQNLLGRVNTIKSTLGERL AADRQELSEAKDQIEELETQNAALKAAADKAEQEVTQLSAQESENSKELSTLRNRSNL SQQNWLREREDLLTQTKHLREEAEAAKEAMGDWEVLAMEERSIREGLADRVAELEDQL STQRESFERAASERDSQSQAVDGLQRALQEIQEARRVELREMVETTQAQLEALNKVVA EADMRATAAEADNENLTAQLERLAPFEKEVKEKNILLGKLRHEAIVLNDHLAKALRFL KKAKPEDNVDRQIVTNYFLRFLALDRSDPKKFQILQVIASLLSWTEEQKEQAGLARPG TAGSSLRLPQSPFHRTPSTPSLNAEFFPDLQGAPKESLAELWTGFLERQAEEGSAAGS VAGGSRSASVSSVVPR VE01_06315 MQQTSRHLQKSTDGEGESSAYTATPTSESDKATPSQEGSHAPAP AFFEITPDIIDQLAAGAAKDAPKRVEQPLEPLEREYRERTPERRTNRRETPDKFARKP FNRAAPVNPYQDDSSAPRKNFRSKYSEDPEVPTRFPKKTTKKEEDDWTPPPRLPWQSQ KAALQEKFSEGWAPRKRLSPDALAGIRAINAQFPEQYTVPVLAAKFEVSPEAIRRILK SNWRPDEEEEEDRKRRWYKRGQQVWTRYAELGLKPPRRWRDEGIGKREKGWKSKDKEE RDKERETMSTTWNPRLTRKPEGDGFV VE01_06316 MDNRAKLLPAARVSNNRQDVWTIVNEAAAASPKQPIVNMGQGFF GYNPPKFITDAAKASLDRVECNQYSPTTGRPSLKKALADAYSPFWGREIDPVTEVTIT TGANEGMLSAFMGFIEPGDEVIVFEPFFDQYISNIAMPGGKVVYVPLHPPVNGATETS SAGEWSIDFDELKAAVTSKTKMIVLNTPHNPVGKVFSKDELLKIGQLCVENNIIILSD EVYDRLFYVPFTRIATLSPEIERLTLTVGSAGKNFYATGWRVGWLIGQPHLIKYVAAA HTRICYSSVSPLQEACAIGFNEADKNGFWTEAKAEMKAKMDRFNEIWPELGLPYSEPE GGYFVLVNFSKVKLPEDYPFPAHVANRPRDFKLCWFLIQEVGVAAIPPTEFYTEQNAH LAEDYLRFAVCKNDDVLDTAKERLRGLKKYIQ VE01_06317 MEEPTTTTPPPKAEAPFPLTIRFTTSLPDLDLDIPLPSRTTIIT LKSLIRAALPPSHSPNRLRLIYSGRLLPDASLLTTVLKPPPPPPPQADRKGKAPVQPT QRIYINCSIGDALSAEDLASEASSARAPSPAAPVAAPTTTAAQPRGFDRLLTSSFTPA EVGALRLQFMAVQASMHTPDTMPSPTTLRRMEDAWLDDNGSGGGVAEVEAAGGALDDL LWGHVMGFLWPLGALGWLGREDWAWSERRRMAVWSGVVLGMGFGLMRMMG VE01_06318 MKEIPEHQDSPDETTVQPTPPDNMVEDTSIEDAQPSHEDSQSSL PPRIAARFYRPSSSRRKSSAASSRRNSISSMHSHHSHASNLSLHGGPQSNHIAQHLRR ASIIEDRRARLADRAAHAEKVRLRAALAKAAPRSTNNSEERALAAQQAREKNLAEIVA SCAEEVKRAKGIAESMKEKREAEGKKLRRHMEERLAEAERRREEILNRGHGHGKRGRT SSMARDSSPSANKCLSPMPEAVEHISEDTAASRIQNSWRTHIRWKALKEFTELGLTIE KARDTSFEDVVTLLAQEKVLLSTAQILRICGLKEGESGSVNEMTAVRTFLSAFLILGH PTQVLSSKGGNGEQEQVGAAPSAPMCRDDLANPQLQELVAKAGDLLISFENVISRLTA FNNYTPPPRQLAPLSESYATFYNAFIAWKARDSNTLVDMMVLQFVELDAIWETVKDST EEAVTASYRDGIRANQLKLMVRIKKLAGPVHGKRLISNAIKEARKARATKPTGDSRPR ASVISSTPTPDLQELAKSEQTVEKHLQTMTPPATPTRTAPVADANHAERLRTVQSLLP DNRNVIHELAIDKEYRIAMEDCLEQRGVVNRAIFDAMRREVAAGNSDPWTLAMAENIK GKLHRLLQPNTSLHNLISGVLDVDVVRQELRAGSFSYEKFFSFMSTILPKLCAPIRDE EVKDLVQNKLQNGDLVTRLEALMHFIDVMQLDYANYMLSESSPELIKHAIPYEQKHFA DSLEQGVHTLEHTEMWWNAARTKVLDETARRDPEGVNLPRSRPTPEKFYSQMLIDVFT DISAESGPHLPETLQLDARRIKRVRADLLRILCAGAVLIQAKNLLKRDVRSQWKTEAS RILSVLEGAKSAASAKDGIQAALESCRSMPSATKAHLRELVARVAENTYELGLMETAT LREPVMRLLLKRLRTHVHARVSATTASEKVKATTTASEGLATLGLPEFVAPIGKIVEE IARVGAVDREAHALWYEILEKKAAAGQEDLVRA VE01_06319 MSTKRKLPSKLAAPVVKPARTPSKQILSEARAVVTGSALDLDSP SVQLNGSNHLDLTQLSSDNSSDDDDEDEDPANFHAEGPADDADDISMTDAAEPSFGDL VLAHTSEPISVSSAFPSTAKSASSALAAPSGASLGVALSQALKTSDHALLESCLQTSD LPTIRATIQRLAAPLATTLLSLLAGRLHRRPGRAGSLMVWIQWTLVTHGGYLATQPQV VAKLAELNRVIEERARGLQGLLALKGKLDLLDAQMQLRKSVREARMGEEEEEDEDVVY VEGEESEAEVVEGKSKKLKRSEEFGDSESEMSDDMPDTLGDRIIADSDDEEEDSDDEG GLLDDEAEETDDDSGDDEEEVDFDDADEDEEDSDDAAAATPVRSSKMARVNGVFGKSR VE01_06320 MALWLHSKWGTTPVNSRNYLAIRDREISAFRWNQVGNPPDSLYR DSAQSQIHQADPRANDWLFSSDRWNKSFPEGWEPVSVLGAGGFGIAGHWRYVAAGPHK IGDVEREIRDIVVKQASAVLNKGLISEAFIMEMLTRTGSRHFPKIYGRVHRDVGLQDR VAVDQKRREVHRIFMEYCEYGSLAKHINDSSYRGDLTDEPMLWSWFHCLSKAVMVMER GHEEDSESRFSRREPWGNEHEVVHFDLKPDNALVSVTDGYEHVGARRVVISDFGLSQV LPNERNGPHKDHDKNLDEYEDVGTVIYRAPEQLRRIPRPKRRLGACTNIFQVGVIMFC LIMQTHSFPYIDPPTRTRLTRRHRHRTMGGEDLEMEIRLSRVLRGLVAECLHFDPAFR PTSVELVRRTRAGLKNFNPWGATSSKIYGTLKPVDTLMLDGWFGKFPSTVWPKRIPEM EEVVNLRRRQRHQVDLAINYDPQNPATANNQGGNLPGGPATGQNPPPPGNQGPVNPPG GPAAGQNPPTNNNQPGAPPAAGPGGTAQAQPPVRRTEPVVRHKPWPGDKFTQPADILD GKGPRKSKPPPGRSAPSKQPDWGKVVKNIKCPPAANIPRSPKRPTQGPEPEPKRSRRS EPVPIRQIDMMNSWLHDINLNRPAAPVPQLPTEPEQTFLIPVRIWPGPLPNPADEAVA QMRTFVIPMSATLKDLLRVMMKDRSSGVALAVRCRFARPGTPQELPSSTRLADLGYGP APRVRSAHLECFRKMATPTFLPGGLPRSFPLTICIQPTNGVNGRMGMLSLKVGYQMTI LTLKKAVIDSDMRPDFRVPSQLMFHYGGDDPQARDFPDYRTIKSFFEGQGEAENIHAK IWCSLRPVGLGGSGSGRPPPFERVYTPPRYRTNRRFL VE01_06321 MIPPIDSAVLAANPKFALLHKTLVTKVLTTDGGTRKHPKKAERH AVATELKILRLKFTREKILRRALEDLPLTSPAPPPSKTSSSRQSTPAAEIPLPAELTE LIILLTLSLKSPSPLQLPNLPANLQAIAALVSTHLTTHALPLTRLMNPHTNPSFLHRQ IPSLATSIIALRDQVTDLRLRLAVNRTTLTDLAVKLLALYTAQAAAVIRILEQTTHGS LSRHSRAHAEMLSLSCSTTATEAQQKWKVAESLVYGPEAVRALQVYREHLRDGKVRME SRRGGAERELQRYGVGREGGKERVMKEIARVWEEMEGEVEEVRVDIGRLKGR VE01_06322 MDLTPSAIPLAVHPTAAFTTLPPPSPPPPTTLPWPLCPLNPATR INSLTPVLNPHWRLDLCSSQGTQFHTIPLTLPLPPPLFITTTIPPSSAHPSLSHLLDL PQAFSRRGNSIQGLGIAQHVLRALEHYSAKHPRFAETYRTLPFGSQIVISRLNKDVRK CHITLLRNTQLEHTLLNPSALAALAPEISAGAWPEAIDITSMTLVRQIHDSVCVVALP HSEPGKPQELVMKAVAGDPKYLYHELISLLHLPAHPNIIRPLYLATKKCGFGGKVGVV GMLLPFHRAGSLRDVLPLRSLTGTLAWTDQMRWAKGLVDALVHVLRQGSYYSDLRVDN VVLAENGEAVLVDFEQRGVWAGFSAPEVACIENLAIIAMSAHGEVPEVVRAEYRAKMD RFLPGWRDVGRGAHKGRTEGFALGWLAMNAEEREAAMVYMLGRALWCIFEAVGMPERA VWRQGGEGGVEFPAYRRAGQRERELIDRCTKGRVEGRREQGVVRIGGRIVLKDGDGTE SPEVVQRAAKEWWVEELERGERFLEEREIRREERRESGEVGGFSVFGGRPRLEEVLDI LEGWECDV VE01_06323 MSSVPSGFVAWSDVSSRQRTESKMSLDRNGRIPIPTGGDVSARM ANRKRKHDVVVVDPSNPPTSKRPRSIHPQHFNLASLPTDERVRQAATLLRMPVTRLRS VISDLEEEEPEQEPEQFVPYYDWPLSHQPSRMKRGMGRGRSTPKPRSTGSVGEKAVGG EVNNYTGGQGEKTVSQWNGQMDGSSEFSEPASQGGRDSTFCFPKEVLVEFFSNWCSVD DEPSRGNGYENQSMLPPASASAPQFVGSQFMDTYPENYGTSMAGLPYAYDSSGGHNSF AMETSFSCDTPEFSGPWMQPLQQYQVQTQPHKQEMTYLQPPSYMESNLSFANNNGYLE APPPDSGFVSDASLPQQKSETRQGSDSGRSVEDTESSLAGAPTRRGPFKSQFDRVQTA QTRKDGCCLRCRHQKIRCIPDPEDPKGVCLTCKNVKAANMKVQKPTCIRHRITDVRLY KMGQVPGLEWSQRWSNRTLKEMGTWASGETITIQVSEGYTSTPLKLVVSEFRPVKGDV LERSWVSSAGVKKKVAIPSYAIKDLAAARDAYKNYINQGGPEFFQGALDPKDRFLWMT YNMAITTSNDPDIPTVQRKLLRMVLQLWVAIRLNTKSTNIVGPETLGMAPDIFDATHP TPGRIPIPPVMGNQIELILSRDIQDPLRNDILDALQRLIYSNKPGCWFTIYLCTFILL HNCSMITKHDSAYARKHGLQARFARPAMVAEYHAGAMALLAHFHLCNKGSYPFSAAAG ERVDILTNAGLTEKQSKFIESTRKFVNGNAVRFEEFRWAEAFDNDYYFISQLYEQDWK PRIKI VE01_06324 MALVHPTHPLTTTSSPDPYALPPSFPSSISSPLAWTGADLADES YIYHLTPSDLSEIKDALAVFKSHNLNGDLATPTTFPLPTLGPKLRLLSHELYNGRGVC LIRGLTPEMYEPEEGMVVFMGVQSYMAGGKGRQDGRGNMIVHITPSEYDAKHSRHSMD SLPFHTEATGDILAWQTRAAAAEGGKCIVSSAYTAYNLLAATCPEVIHTLAKPDWPFA YPTLHYRPILYHTSPNLLINFSPSALLSTPSHPRPSHLPSLTPSQLSALSALQAVARA TELHITTKAGDLHFVNNLAIMHRRSAFSAPNTKGVGKVDGEMEEPKRHLVRMRLRCPE RGWKIPRELAPAWEEAFGEEGEREWHLFPMPEGYFPLRKYPE VE01_06325 MPPPSWDQPQTALSATPNIWVITGPSGAGKSRVGKYLCAKLGFI FIEGDDFLTEEEKANSGVVDDNRHAEILVAIIHEAIRQARHGTADVVVACSALRVADR NTWRNAAAGANRSPITTHGFQPSYSLSFEDFALPNHHGDFHAVDNQGPYLQGSQDPYP HTITNDGFAYHTTAPSTLDNTTLALRPIHLQFVYLAISEKLSRKTVKNRQETTDHHVS VTAVPDQFRNLQPPEKWERDCFRQKSLEAPKLTVAVEKHVVMVGGGLKRCKCMFCP VE01_06326 MLSTVRVASRQVAVRGAACRVVGASRQASTWANVPQGPPAILGI TEAFKADTLPEKINLGVGAYRDDAGKPYVLPSVRTAEDKVIAAKLNKEYAGITGVPEF TKAAAVLAYGEGSNALDRLVITQSISGTGALRIGGEFLAKFYPGAKKIYIPTPSWANH GAIFTESGLEVQKYRYYNKDTIGLDFEGMVADIKAADKGSIFLLHACAHNPTGIDPTP EQWKQISDAVKAGEHFAFFDMAYQGFASGDTNKDAFPVRLFVEQGHNICLATSFAKNM GLYGERAGTFSIVCEDAAEKKRVDSQIKIIVRPLYSNPPVHGARIAAEILNNPELNQQ WLGEVKGMADRIIEMRALLKENLEQLGSKHDWSHITSQIGMFAYTGLTPEQMTTLAQE HSVYATKDGRISVAGITTGNVKRLAKAIYAVKG VE01_06327 MRITERKAVVVGLSGASSSGKTTLARLLRDIFPNSFILHEDDFY REEKELPTKNGLLDWDCAEALSIPDIKSSLSHIQDHGTFPVGPSHSKLRSRPEPTLNA AQPQFDSKEDRNDVGQCPVSDAAIAGLKGRVAAWTQPGRPGHGILTSSESALRLCIFD GFLLYAPSMAEIQPHIDIKLFLRVGYEKAKARREARTGYATIEGWWADPPGYVDKIVW PNYVEDHAWMFEDGNVEGKFKEDVLKERGIHAQSQQGPDVDMETTLVWTVDVIMKSLE ISSGNEKL VE01_06328 MPVQHAYQPRRTPLRPNPRRLIHLTIGTIIVLFILLLIPRHSSP RLPKLPPALRPFRPSAHAPPIQPNSTSGDARWHQSWDWLRPFSSAITLDEHRSVLPPL VERVPIYTYYDSESAGGAETEEVLNQILLTWRRAWWAQGFRPVILGRAEARRSGLFEG AKGKGGGEEVLRWLAWESMGGGILCSYLALPMGAFEDPVISYLRGGRFGNLTRFEGLG GGLYVGPKEGVREAIKAALAAPEISKVKEISDVVPKEMFKIDATPSSIAYYAMDVVKA KYPKIAEELPISTSKGMRLLNKLINSHLHNNWRTHFSDGIAVLKPIRTHMTAIVEPAV QLAEYLAQCPSSPIMSSCPPNNKNCKPCVAAAPMRISTPPIFRNNSKLYTIGVVPHPW TTTSSDAFTTAIDVPFIRRRSNRDHWLTLVTKELLGTGVSTSPRLVKFKEAVASPYGA AHSVWFTAEKEYPSDIDWHFGFLVPRQSTHDGKSQTPVPGPERRPADPARDPLDGVLP SEKELKKERELLEYAKMMGTTPEQQRLIRAIEAWNLGDVEAWRFARAFMARRSMERRG WEEEERWVTGGKGSEK VE01_06329 MAPLTPTWAQPSHGSIQEVVINEAAFTSKSLSKISVAPYGVFAK IDFPPATPASEPTYATVQQGRDTHLNLNSDLILDIPSLSFLAGPRGLSPGDELTFFYP STEWSMAQPFTCFCSSPSCRGVINGAGSMSQKSLEGIYLNGYIRDMLAERWARENKNG VNGVKSEGKARVNGERGVTSRELSGEMGGDTVRV VE01_06330 MLFTPSNPRTIAANSGLGIKLTPNNSPYPYPRTPRSPNKCRPLY EAGLSLKRIIGTTVSSPTGFDSLPNGNIFAYVAGAAVVVVQLAEDSQYSQRFFRAHPT AVPVIPGAATTPSTPINTANDSRNRTGASLRESAIGFSPSTPTTSRAVWSDSPLSKTW TSRERIKAATCLSISRDGRFLAVGETGYTPRVLIFNLQESSDAPLVILNEHTFGVTAV SFSPDGRYLATLGSPNDGFLYMWRISSTGAARLHASNKCTSTIKSMMWMGNSLITVGT RHVKIWRVEDNTSSSPLKQRFNLDGTASTPATVTRTLSGRNCLLGGLIDATFSCAASI SETKAVVCSEKGHIALVDDNNDQNQLLKVAHAGFAVTCVSVDASRKLIRIGGRLGATK IMTFDELLTPTTPPSSPFEEDFNSTAETPNGNLCAMSIINGHVVTVDSRHAIKIMKDG SEESGHVTPLTAHRGSVMGVRLLSPNSLSADFLSWDSAGNVIFWDLEGQCKGSFQVDL EQGLGGDEEVVNQCLVVRASDGGSFFVAGDKYGVIRILDGPKHTCSFVAKAHNSDVED IAIYEGKTMTLLATSGRDRTVQLFKKMEDTWVLLQTMDEHTGSVTSVCFCDDGDKIIS SSSDRTIQIRQIVTKEVGDQQVIAAIPIRVITLKATPVSMTTTDNDPSVTSVIVSMMD RTVATYDIATGKMLSTFKAADTDGNDAVVMDSLKMGKPMPGRPLILAGVSGTDKSVRI YDGCTGSFLDRGFGHTSSVTDVALLETPEQTTLISTGSDSTIMIWDLTTRTPEIISPE PTLTPDDSSPPKELTSNMPPLRRVLSKAELAEFSRPSTATTPSGRSSPPRLLRRKTSK YSMNANSPKLSMPPIPAMPSPYSFASSDDASGSRRSSPRNRSRSPPPSPKGRSNLGAR KASLVDLRGRNKSAGPASYNEFGSVNMATEQVCRTLRAYRKKLTSSEAIKEDGLKELD QELRLTAQAVLEKSLKTRKISEAALAGLLDQYSERLVSIFDEKLRQSLKNSVAESREA AGSTSDDPEPMPPTTRSKSLSGPSGPSEDTLSALGVVTGGSMRRKVKTGIM VE01_06331 MSRPTLLIPGPIEFDDAVLQAMSHYRYDVPRSYHVLNPLTFKPN ANPTPFSESHTAPGFISVFGDTLTQLRQLFQTTSPASQPLVVSGSGTLGWDLVAANLS EAGDNALVLHTGYFANSFADCLETYGANVTQLKAPIGSRPQIPEIEKALKEKKYKLVT VTHVDTSTGVLSDLKELSELIQRVSPETLLVVDGVCSVGSEEVLFDEWKLDVVLTGSQ KGLGCPAGLSISMYSGRAIEVFKARKSPPASYFASLKNWIPIMQNYEAKKPSYFATPA PQLIHALHTSLTQILSKPLSERFANQKAASARVKAAVASLGLKQIASNPADQANGMTT IYLPEGVAVPTILPVLAKRGVIFAGGLHKEIATKYIRVGHMGVSVHDAGRGDVEKAIR VLGEALEEVGYKKA VE01_06332 MDSIDPATPLTDAECEILREIYQEIYQDEDVTITRSTRRRRSPS PETDRPRRNLRPRLTRPSYIAPDPEEDDLYSNSSEDVPLTTTQPNQNTINQPTITSGT ASPDVGPLPVSQTDGNVTIEPPTPSDTAPPIEPASPSGTSPMTSQIKTAAEEPDIDSE AEEERMILSAKAKVASLEQTYAKNRRVRERAAVFATKMSDIKDLQDALKGELVDLKME SDEVLQEVLERLNDPIVELKTSMAELFEPLEKREIKGSIDKKLQRRTKVPDGESEMKP VERNDRESDGASVSMSDKDNGEVDKTSIAEDDKSEDEDGDKSETMSDTKDDENDGSND EDSEFTEGDRSVHSDFTFGDSDDNASDDGDGYKMYRRNKKISVSEDDGDDGEDYERKV DDFDLEDDFRGPHSDYEDHEDSDGDEGYQKYGDRSKKTSAFENDEDDDENYKSDESED KPGSDDLNEYHGDTDEEDIHDVGTTRKLIRGY VE01_06333 MVACPICDKPVKPKDINSHIDSNCETHIDSTPPSTQQAGNGLSN FFQTPGPKRSLPISTPKSGTNGDSKSSINDVQSTPLSSQKKRSFEEETPAVRQDPIEN EQPPTAKKPKVNAFHKAAPLAERMRPRTLDDVCGQELVGPKGILRNLIEQDRVPSMIL WGGAGTGKTTIARCIASMVGSRFVEINSTSSGVGECKKIFAEARGELGLTGRKTIIFC DEIHRFSKSQQDVFLGPVESGQITLIGATTENPSFKVQNALLSRCRTFTLQKLTDEHI RLILERALTTEGVLDTKPSELVDSELIAYLAAFSDGDARTALNLLELSVSISNRPDTT KEDIKTALTKTLVYDRAGDQHYDTISALHKSIRGSDPTAALYYLARMLQSGEDPLFIA RRLVVVASEDVGLADNSMLSLATATYTAVEKIGMPECRIALGHCTVALSLAPKSTRSY RSLNNAYAALGEPGVASLPIPVHLRNAPTKLMKELGYGAEYKYNPNYKDGRVRQEYMP DALRNRVFMEENDLGTEVDPDLRGR VE01_06334 MLSMKALVALAAAIQPLAMAQSAVWGQCGGSGWTGPTTCVSGSV CTFSKRSTNLTTLIFLGYSQCLPGTAPPVSSNPGTTPTTTASNPGNTGGGSTSGSIDV RFKAHGKKYIGTATDQGRLTSGSAPIIQADFGQVTPENSMKWDSIEPSRGNFGWTGAD YLVNWAQTNGKLIRGHTLVWHSQLPSWVSAITDKATMTSVIQNHVTTIVTRYKGKILQ WDVVNEIFNEDGSMRDSVFSRVLGEDFVSIAFKAARAADPNAKLYINDYNLDTATYAK VTTGMVAHVKKWIAAGVPIDGIGTQGHLQAGGGAGLAAAIKVLAASGVSEVAVTELDI VGAAATDYVNVVNGCLNEAKCVGVTVWGVRDPDSWRASSNPLLFDANFQPKAAYTAIL NAL VE01_06335 MSTISSKGGGGKAPEEEKLNIPAFVNITNTSGFAIPASVLNRAW IALSQQIVNRNKTAAGNLDNNFLAFLWNHVVGIAPVSPVTVDTMTSYANTVYDANIGH NFTTCIIPEVFWQQTGYQAIRLEKKLGEPEGFIDLRIWVEGYENSSLSASHRLLSSNS TIPYIYIGTTFGQTVWSTDFLYNRMKAHNETITGISTKDPEPLQIEHDSIDTLCCKTN TDDEAPIISPTHDDLHQFMKDNRRFLIQTCLNNDTQDCIVNMEGTNPGFPNFNNISKL YYGLEVIPMSSRGFSRLEPVMAIQKRPKGKTGKNKRRRTKRKIGGVEQAGEASSAATI QAEITEINSVETTKVEETLEAFTEDNDLEPTIDETPDVTHLLEEAPTNKTEARTPEPI KDGKGIIANQRQTNLRVSTSSHGQESSQSTAQSEDQAGQLTQGQTIPEVSDSVSALVR NEAISSTTIVTTGTSIAKKSESQAVAPARHTASSQTDAPTGGTASSQAPVKDAVTLQA AAPVEVQVIPQVVAAASSSPNHTGAHIASTHGSQSTNEDRARVIEELRAQPPQPSLEE VRARRASIKEERREERRQSIDFIRMRTLSRASALGISMTSANPSDSGPLPETTNLSLN PPRALEKVSETTSDSATNDGNVAGVHLKLHHPTGQTSGPASNEDDEATPLADASPNLP RSVEPSLNNANPISAGPSHLAQLSSPVAQENVKPRRGKWVAPLPEQQEWVPVSRPSEP SAAASKKKSRRDAKPVKPSVESRVSVGTQTDTGFISCSIGTQTETGVATSIAEDLEPG TGPAPSREDESAGHGNTKPPPSVTSRASLQRELELTSIVEDPALQQDRGGEYARGILT MAAPSTAHSHLVAELTGAGVPPSALTSLSRSGLEGHEATGCRQQPVVIHLEVETVTIG GVTFEARRRGSRHAVAASMPKLELDW VE01_06336 MAEQPSITSILAALAAQHGGTSQNQQSPQPGYQEQQYQQQPQGQ PQHGYSATQYPLPQPTHSGNVDLSSIKPVNSGTVSIADAIAKAKAIAAEKGLAYERPA YGADHRADASMSHIQPRSRSRSPPPGRREPFRDNYNPYRDERRPDRMPVGRDQYGRER SFSPAPRARGAQETFSPPRAGNAGYNVRERSPIGRGGGGGGDGDNSEIITIESNLVGL IIGRQGENLRRVEAETACRVQFIPISEENGPFRQCKISGPRARRAEAIAEINRIIDDS GMGSGARAGADRQGRDAAPARASSHQPALRDGEDSMQIMVPDRTVGLIIGRGGETIRD LQERSGCHVNIVGEQKSVNGLRPVNLIGSREAAAQAKDLIMEIVESDSKSMAEKGRAP PPQREPARDVNYGGASGGADKVNDSIYVPSEAVGMIIGKGGETIKDMQNTTGCKINVT PSSGPGEVEREIGLVGSRDSIERAKLAIEDKVEAVQLKNGGGGGRNKPPQNDQGDRSY SQQAYGSAQGQPQSQQGQAPAATGGEDPYAAYGGYQAYVALWYQVMAAQQGGQAPGGP SQPPGTS VE01_06337 MVQITEVKGNSRENRISTHTHIKGLGLRPDGIAEKQSGGFVGQV AAREACGVVVDLIRAQKMSGRAVLLAGGPGTGKTALALALSHELGTKVPFCPIVGSEI YSTEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEETENPLGGYGKTISTLLI GLKSAKGSKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAFATEFDLEA EEYVPIPKGDVHKKKEIVQDVTLHDLDIANARPQGGQDIMSMMGQLMKPKMTEITDKL REEINKVVSKYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESRISPVVILASNR GMCTIRGTEDIVAAHGIPPDLLARLLIVPTHAYDAEEVKRIVKIRVATEGLAIAEAAL DKVAEAGTNISLRYALQLLAPASIVARCRGAQEIGVQDVAECQDLFLDAGRSAAAMVG DGSGFLV VE01_06338 MAEGESTSLSSTQMRDLPRSPTIISPSSSRKDSLRSSNNTEKES APSPPLTRLMKKRAASLDTDAANNPSIANLSLHSASLESPRTDSNNQVCLCQPDPKIP RPRNAFILYRQHYQGQVIAQNPGLANPEISKIIGAQWREQSIETKNEWKRLAEEEKHR HQRQYPDYRYQPRRPGKLNGPRPAASPDDPLRCPKCNGRYFSTPGTPLTPLPPTPSIG NYNDKPVQPFSRAMDREGRYTTDSPGPFQDFRTGPPYPQPHNRRPQLHIEETGSQWHD SDTEVVQSPRAKRQRPNDNPNPYPYPTQSWPNGPQRISRVPMSAVAYEPARIPNQRVV AYHHHGHHGMMEPPPRSTPISGQFPPHTPSHVRPQNMDDLRLPPLQTKIPIPGSAQVQ QHQFHGQQQLLRNSISNSQSVEAMVMTIPFLNKIRVLAKIAPPLAAPGPTSPTQEVRG PVVAVEGTNQKLVAEVGEYIEDCLKQKAECLVKTWTSDSQTPSRRSESTPTDISMSGT ENGVPVPAGITSNIQSRGPIIDYLEAIGTWHSKSAEIRNFISTRPAPTTPPKSPEGTP KSPAPTSAPRLPIALLPRGFSLSLSDRAAIHIPINDAYAPVDHWQWMATLWRGIIGVD LTVYVCSGAIGDEDGSRGVHPGMGGVEVRNDARAIVVYAPSGKLEDKWLRRVGFEVAE FVIGGGLKG VE01_06339 MVRIDQVDLLSAEEKRLKEDREKTKYWKKWGPYVAERQWATVRE DYSADGDAWSHFTHDDARSRAFRWGEDGIAGVSDTHGFQNIAFAFWNEKDDFLKERLF GLSNPQGNHGESIKEAHFHLDNVPTHSYMKFLYKYPQKAFPYKDLIDENARRGKQDRE YQLLDTGIFEEDRYWDIFIETAKESDDPDELLFRVTAWNRGPDPAPLHIVPHMWFRNT WAWGHESEDKKPSIEKSGESTVHSKHYKLGDRYFQLSPSPGTGPSGQDIQPELIFTEN DTNREHLYNVENPQPYVKDAFHRYIVDGEKAAINPKETGTKAAAWFAFDEGDGVPPGE CAVVRFRFSKKNDAYLDEEVFDDIIEKRREETDEFFYRISPLPMADDLRNIQRQALSG MMWTKQFYHFIWDQWANGDPSSPPPPPERKAVRNTQWKHMHLDDILSMPDSWEYPFFA AWDSAFHCIPLAMIDPDFAKKQLDLFTREWYMHPNGQLPAYEWNFGDVNPPVHAWATF RTFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDLEGKNVFEGGFLGLDNIGLFN RSEPLPTGGVLEQADSTGWMAFYCLCMLNIALELAKHRRIYEDIASKFFEHFMLISDA MTYKPGQTEEQSLWNEEDGFYYDAISWGGPYSQQLPVRSLVGLIPLYATLTLEPELIN QLPNFKKRVDWFIENRHDVAERNMASIRKRGKDNRILLSLVSKDRLEKILKRMLDEDE FLGDHGIRSLSKFHEKNPYSMEVNGQEFKVSYIPGDSDSGLFGGNSNWRGPIWICVNF LLVESLQRFYMFYGQSLQVECPTGSGEFMHLGHVAEEIQHRLQHLFARGDDGRRAIND GDDKLDFDPYWKDNLWFYEFFDGDSGRGLGATHQCGWTGLIARMIHDTGVSCRLPQTP RTPSTGMAHYFDDVFGRHIGQPESFKPKPMRRSSTSRSIGARSDFNTSINGDDHTERD AGSVRNSVDREGEMERDEVNKHVAHYVSEQLEKVLAKDGFEPEDI VE01_06340 MALLVDKHRPRSLDTLSYHDDLSERLRSLAQSGDFPHLLVYGPS GAGKKTRIVATLKELFGPGVEKIKIDSRVFQTSSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDQSARQKFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTVAEICDVLAKTGAKEGWPLAEELNLRVAQE SGRNLRRALLMFEAVHAQNEKVTDKTPIPPPDWEALISLIADEIMAEHSPARILQVRA KLYDLLTHCIPPTTILKTLTFKLIPKVDDELKAEVIMWSAFYEHRVRIGSKVIFHLEA FVTKFMKIHETWLMSIDF VE01_06341 MARLNEASAPADPVELLRRKYIRQNKDIARVNSIQAVRIRNLET EVSRLLAENLNLRGQIITQETQPNRSRNFISHVGEVRSELDAKLQDIMALVAKLDRPV KEPRRSSAGTKVPKAKRRNTEERGQMMDALAEQEGRLPAIVENKHYPRRTLDAQEITE ILSDPIPGISDSPDIGPPPVSHFMNEDPVKIDLPTSSKTDVEEVADFGPTLPVNLEQR RKRKDMSGIPDHERPVKNDAAPCEKKESVQPTKSGAKRKFNVSDDVEDEREARPVSIA PEDSRHSRRTAVDKSQPLKESTPAEKPVARIQREVALARAKTRDKAASNVQASAPIVA SGPPRKALGPKTSNTDIANSPKKPSKPPVGDDSSLPGKIAGIKGKERPRSRNEPRIVI PAQVDPVVAMPSVETIPEPETPAALEDLFSPTSSAPSTVRQISRDTPPPQEIGQGGEG HRPSRRARASVNYAEPNLRDKMRRPAEGQIDAVSGESKYRRASCVPAEDEPLTAVKIK PEPGTGDDASWKSKLPTASNASVYSNSPLSGKVADVAPIQEIPQRKRRSSQLHTNSGD EEAKSGSGAAIAALMSANRKPKTELSDRDPVASAFKKMEKRMADLDIYEFSGSPPRES RTRRNNEDPQKQRVSRSQSATDMLDLTTAGRQSTRRRQSTLGVGVGDAAATKMEEYKN GEKTVQRPSSMQVPPKEGEGIERLGARRRSMML VE01_06342 MSYPSRPLPSHLRQASASNNGNSLQGQSPVLLARINEKRQELEN LKELRDLSAGLAGQMTVLEEKLATLSNGTEAVAMVLGNWHNVLRAISMASTKIPMPKD SQNYEEERDEDVPLPQTLVRIPTEQAPLIQQHEEAAREAINEDQ VE01_06343 MARVTRSTKITISEDETSQSIPQTQHIPSAEARAPLQEISNTTE EIVSLEDAQIADEEKILKAAFKTAIGIKKKNKRTKSKRNVDQEQHRNDEVIPDDQPAS LSPAAAATRALLRSDSGPIAQIRDELQATHLGGSEQDPMAIDAPNPTTTFTGRLTRSQ MKGVQPGQYNYNSYANYGTFAPAVPSAPHFHYGYSKYHTGHVSPGKSIPPALGWPVPR WLPYRYGDPISQYTNTDYRVVGQEQAYSYSGPKISEYIDGDGDVAMGGATRGSALHIE DIDECARDITPEPKSSHDDSFVEHIVGRSPAKPVSRRLSVEEMVALHSSAKSSPRIED SVDELDRFEETIIRSPAKPVSRIEDSVEELDLLEDIMEALTEAARAQELASPVKSRKA TPAILKSSRPPTLSPALRPTPVKAKTIGVREGKALMNEMLAKKAASGTSTVRSKQVAA KKSVLPPTSTRSASAEPKPAAPITKRPAAKRPVSLMPPKEPIKSTKAPTRSTFELPGE AISRKLKEQREARIAQRQSSVSSDSTPPIVSAKAMAPKVKSTKAPTRPTFELPGEALS RKKREAHEARLKAQEEEEKKRREFKAKPVRRSIAPSMQPRQTAASLARRSIMNPDDAG EGLVVSKRRSVIGVPRPSLTAQNLANSSAPRTRVTAQGGGHMTATAMSDASQRSSSGV PSLVPKLSGRDIYKRDARALEGAERERKEKEAAAKKAREEAAEKGRQASREWAAKQRR KMMEGKIPDEGLSAGYGPGGQLGLKG VE01_06344 MRFSKPSFLPFAKSSRKANIITVFRHARRNPRDFVSRLWCPRTI AKSLVFLSGLVVIGTAIAEILLYIRTGKNSRIGTTVINPFIPLARPSVQIHQGIYRGG TAKTGHVFVERFLGIPYAQTTGGYNRFMPPVKMMAGMTKHDARYWGHKCWGGPDGPDQ RSGDDCLNINIWRTRGVKENQNVPVVVYFHSGSFNFGSGAERDIASFVAWSVDPIIGI SVNYRVGALGFLSSNLTAEEGILNLGLKDQAAALEWVQENIRMFGGNKDRVTIWGSSA GAHSVGHHLMKIDVPAHFQGAIMESGAPTARAVYPYNHPLHEEQYKRFLELTGTADIP DESKMTHLRSIDIETIVAASESVFQQYSASLRWPFQPVIDGPGGMIPCAPLESWKARA YHKVPILTGFNTNEGSMFVDTAVDDPKEFDEFFQTLIPNFTEKDMRELNELYPDPSKS PESKYTEDRPGVGKQYKRLARAYGDYAYIAPVRHTAHFASALDRPDDAAVWMYQFDVN QTVIRGSNHGDQGEYVVYSPSVRKLPWSRHGAIGEQMHGYWTSFVISGDVNEVKKGRV KNRSTWAQYGKRGSSAKMVFGEHNNQRAGGKDEGALTENRPDLWGDAEGDFWWMRTIM SERI VE01_06345 MVYYFTSNTVSPSAYVYVGKDKVENEDLIAYGVEEDVWVSLISF HVDNLSSAHIYLRLSETQSWESIPQDLLTDLAQLTKANSIEGKLNPHDLFNHVTNLFS GNKKDNVTIIYTPWSNLKKDGSMATGQVSFHDNKKVKKILVPTRINAIINRLNKTKVE KFPDFAAERDEIMKAKSKKNQAGVQARKKEEARIAKERRELKYQKEHAYDDVFTEEAL EASSNQNRDDDYLSDFM VE01_06346 MAPKLSKLGVALQSPSSFLADTHALLPLIAKRGVKYGWTTAPAR SKATPGRFNQVSAGLPILSASPAAALKRKDFTLPLRTGAMGIKKGMTAIYDPETGKRT ACTVVQFDRVQVVSHKTREKNGYFAVQVGAGWKHQSNVTRPLLGHFTEAGVSPKRHVV EFRVKDATGLLPTGQMIGPEWFTEGQFVDTRSNCRGMGFEGGMKRHGFSGQGASHGNS KSHRLMGSSGGSQGTGSRVLPGKKMPGNMGGQQVTVQNVEVLKVDAEKGIIVLKGCVS GPKGCVVKLQDAIKKPWPKMPVVEEVVAKVEEVAA VE01_06347 MLQRMLGARGRAAVLAPLRARIAPNAGKTVQRRGLVSPPKVGGA PLMERRADRELPEIQRSGMKWLRSIPIFIAVLTGCTLGIFNYQKSSSSVVSSTMYALR TSPAAREFLGDEIYFAHQMPWIWGEMNQLHGRINIHFEVRGTKRQGTMRFRSFRRGGR NGVFETEEWSLEGEDGVKVDLLDRGDVFKAIYAAEEEQKKVGRGSYSPTLSG VE01_06348 MASRAQARFRKTFQYPDSDDDDTPEALDEEEQSHLIHTLRASAT ATNTLYTRLFTTFPLLLTLAYLPPLSSARGALPLIALTSLLASAWVMYAFPVGRTGWP WVDEWAAGSGSGVTKGGKDVKGKGRAGDISPGEEQGPLRKWLVPLNAGLAAVILVAGL LGTGGGGVKLSVLPALALGVVVTVKVQMRGVEADVEELEGLRYGYKGA VE01_06349 MPETRKFGKQIQKRQLEVPEYAASFVNYKALKKLIKKLSATPLL ASQNDILQNEPIDSQAALQANKAKFFFQLERELEKVNAFYLQKEAELKIRLKTLLDKK KVMQSRNQNTSRRSAKFTTLEEGFQQFGNDLNKLQQFVEINGTAFSKILKKWDKTSKS KTKELYLSRAVEVQPFFNKAVISELSDQATMSLQELGAWSEGDNITIERQTEHVVSSH LGTDEGDADTLLLDTVISGNLESLKDLLLRLKSANEADSTRDLMLTERITRTFLAAIH EAPAPSLQILLDTGLVDVLSEDDINERNCLHQATIYGNSFVLEVGLRKNVAVDRTDVY GRVPLHYASMHGRLDMMEALLVANPTAIDLIDHDNFTPLIHAIIHGHLECVAMLLVRN ARLDPLKDSDHVPLNLACEHGSIGIVELLLRHGAKLLPDAEGLYPQHLVARSGQTPQL LLLLQTFGANLDQIDKLYSWTPLFHAASEGNVLSMQTLLDVGVRADILDEKDLNAMYY AAWEGNLECMRLLSSIARPTRAASSTQPQLAPQLTSSAPMPMSTDIDGIPDFSLPPPI IPLRRYGHNFLDTKTFVQISFDEGDEKPMVFFHDSKYPAARLTISSKLSDLIPKNILL PFQEDTRHVSFQIDSLESFAVDFDIFPTYGAKVIAKTVALPSTFKTLSSNYGRCCLPL FDPRLRAIGQIAFNFQVIKPFSGKPLEITDFDTYWKATSQFDHTPNAFITGSSLSGDY IQLFVQHTSDGIPVLWPRWTLPYNAVDLPITRLTYAEFLKSGPRNDADLARLPTMGLE QVASIHHVLARTAISLEEALNLLPSGLHVDIQVIYPSIEEEEALHLGPIANINTFVDA IITVVFDHAQRLRSEPPAAMRSIVFSAYNPAICAALNWKQPNFPVFLCNDLGREGAPI VSAEGGVERDGRRTTSVKEAVKIAKDNNLMGLISCSRLLDMVPSLINAIKSEGLVLVT DKSTEQQAPLDPLSDPFPRLPEGIDGVLKGNGVLRFNESIDV VE01_06350 MSFGFSLGDFIAFVDAPSQFRDISDEFRSLSIILQDVEVLLERE LNNEQKKELQEISNGCRNVLEKLEHILDKYGEL VE01_06351 MDKFNECMQLEIRASDEDDEIKADIIKAVDGMFLLAQLHLDSLK GKKSLKAIRNALRKLPTGSEPYDNAYKDAMERIGGQLVDDEELAKQVLSWTTCAKRPL TSSELEHALAVEIGESQLDTENLCRVEDMVSDGVDPDAKDDVSKTPLVLAAMYGHDAV VKLLLDTGRVVPEFKDAKYGRTPLSWVAEKGNAAAVETLLRIDSINPDSKGHQTVVEL LMATGRVNLDSKDNDGRTPLSYAIAEAQTETIHCLLRYGASPTTTDTQLKGLLHHAIV NVNCTLDIVKKLLMLGAPTDLVDIDNLIDPEDDDADDIYANIIMQRIAVVDALLHHPK VDLKIQDSEGASALHCVPYGKLKFDAIVSMLIEKGAEVSAWNSKKQCPLHLACRRGDY ASVQVLLTHGADILYVDQDGLNAFHYAATSKSAETLSRIFEASDTNSLNIYTLRDEAG RNALHHMLAHGANIEEVRLLLDKGVDVKDRDVNGNSPLASYLINSCFYIDVGICRLLL QSGSDALAINDQGLALAHLSASCSKLNIAVLEALMDFGVDIEKLDMKGRSLLHHTALE GSLTETALAFLFDKTKLRSDDRDSSGKTPLQYAVEQARKKRHRSAFDSQRWSRSLEIF MRNEAVAG VE01_06352 MKCTILSNGRLALFALAITQFCPILAHPSLEKRGVPIAMGAAKT YGAIAATTLTSTGNTLITGDCGTCPGTSISGFPPGVCTGTTSAGGTAACAAEAACLSA YNNARALAPTATALPSSDLAGLTLAPGVYKFPASAATNSATLTLNGATNTKGQFIFLI DTTFTTAASSKVVLINGAQACNVYFIVGSSATIGGATAMNGNILAYTSVGLSNAASNK GTLCALNGAVTLINNALTAQTVCTS VE01_06353 MTSNNTSMDPTDPGTPNPTSNVEPPTSTADTSAPPVTTPTEPVP PPTSQTTTDLPTSSVAPPPTTTTNEPPTSTSEPPPTTTSDNPTSSQEPPSSTPPTSTD NTGGGNNGPSKTTITRSTTAAPTTPTSGTTTGPPSTTSSDPSLNDGNSGNNSGGSHGL TGAGRTAVAVVVPIVAVALIALLALWFWRRRKQRKDAEELRRKEVEEYGYNPNNDPTL PAIGMVGGAASTDNGAHHEEESGYRGWGTTTNTSGRKASTTMSGGAAGAYSEGAQSQA PVSETRSDNALVGNGRPHSDEVEQLGAMGPSAAGNRGGDIHRGVSNASSSYSAGHASD GSDEQAVPGGAYGAAQYYSNDGPYADQAYGGRGGPVEAQGQPVIRDNLARRATRIENP SHFPTQASAGISQNF VE01_06357 MASWIPIEDGSDFSLQNLPYGVFSTDDLDQRIGVAIGDYVLDLK ALAQEKVFAAIDFDSSTLEEPTINAYASLRKSVHHDVRKLMQDILSESTLLGPVLRDH RDRRDRVLVPLSRAKMHMPMHIGDYTDFFVGLYHAQNCCDIFRPGMGLPPNFSSLPVG YHGRASSVVISGTPVHRPRGQIVLNGIPTESLCQKLDFEVEFAAFIGRGNKMGSRIDV NEAEDHIFGVVLMNDWSARDIQQWESTPLGPFNGKNFCTTISPWIVTLEALEPFRTTP LTADPQLPYLNQDEKQSVYDIPIRVSLENDSGTYSVTECNTKHVVFSFAQMLAHHTVG GCPMRPGDLIATGTLSGPTRGELGCFLEATRNGIDPYEMKAQFSGKQNISRAFLEDGD TIEFKAQVQGKSGLGHVGFGACKGKIVASA VE01_06358 MESKIDTQPAVRHEENAGLEKHQLAHGIVDAAHLFQGMHVDPER KDNSGKVTGRLLLPRPTDNPKDPLTWSLWRKHLCLVTICYFVFMSNYITASISPILLP ILLDFKITLTKASYLITFNILFLGIGNIFWIPLSLKIGKRPVLILSSAVFFASSIWSA RAQSWGSLFAARIIQGFGASSSEALGPAIVADLYFLHERGAKVGFYTFMIASGSALGG VFSGLVANANPDWRWVFWMNVILTGVCFLATVLFQAETNFERPPEYETGEGLEASQLP DIRARSNASWAKSLSVTSWYDRQTSIWRLWWRPFLTLQYPAVVWGSVTYGVTLGWVVF QQTANASAFPQLYGFSKLALGNVNIANLIGSIVGCLVGGPLSDYLVGIISKRRGGYFK PEFRLWCLIPSFLFGPIGLMLWGGGLGDHLPAMVAIAGSGITYGVLCAVPTVAMTYVV DSYRPLAGETMTILTAFKNTFAFGLSFAVVPWLEKDGFVKVSGWMVLIEGLIFLTAIP MYIYGERVQRWTSKFEV VE01_06359 MVGRYLIQNATVISVDAAIGIVRNCDVLIEHGIITAVAQNLPTS ADLTIIDATDAIVSPGFVDTHRHTWQTQLRTITSDYVLSDYVVNIRNIYGSCYTPHDA YLGNYCGALESIDNGITYLVDHSHIMNSPDHADAAVKGLQDSKIRAVFCYGLYENPAW EGSSVNKEQEIKNPKWRWDDARRIREKYFKSNQPTDLLRFGFAPAEIERGTLAEAIDE VEFGRSLGSAVITGHVAMGKYDRGAHIVRNFEQRNILGPDLLFSHCATLHDDELEAVK KNGVSLSSTPDTELQMGMGHPIAFKAHKHGCVASIGIDITSNNPADMFQQMRLLLQAQ RHLDNEKHAVRLPKVSQRCEEVLEMATIGGAAAVGLQDVIGSITPGKRADLLITRCDS TRMTPVHDPVAALVLYANAFDIDTVFIDGELVKHKGLLTGVDWPKVRRELCDSAASIM ERSKNAPTEEINVAIMQMLQGFAGDGNGTDGA VE01_06360 MRAREEGHSTYRGSYGAETTRNKYPIPPLLLTSPSQDYNLGIPE SLVSSLIEVYYSDVYNASLLLHKRMFLEAVAAGTARPQVVLSVCAIASIFHLDSKNQT SLKEHGFSTQWAERAGKLAFQEVESPNEDNIVAFINLALFWYSQGSWRRSYIHKGNAL QLAHLLGFGTERPDKAGSLESEIQRRRFWACYLMNCHAPESMSVPEISKTAQKLRLPW RDEDFEAGFCAEPGVSLDSGQSNEGIFCELVKAMTYWRAVFNLIKSPESSISARVSDI HALDAQIAEWWSKLPTSLHLTPSSISETPHSVLPKLLLIHTVHHQCLCALHSSIVPLF SWGASDNTWLTARNLSAQIAFEHACEASTLFEAILTNSNESSAIPSFIAYAAYCGCAI QIPFMWCSEPSVREKAHANVRTNIRMIHLSAKYWKFSALLVGFLSPIFRRKSDMIQDR MITFE VE01_06361 MHAKDPVPLENEPKHLAEGKLKGFRISASHARDSILGHNSILWS RGDGYAKSGEEVTDLGIQDENSLQPSGLTGQDFSRTITPQSQCRPPSRAPIAEEDQPL TSADPNPTTIVQEDLYAQPSPTLDFFHPFLDPEILDGFPDGEVLDFSQYGTIPASFDF FDGWALGAGEVPETRGNEMG VE01_06362 MSTTTTTTLPSNLPNPTIHITTHSPTTGKAHLHSSTQNAWTFLR SSTIGFNVIYTTSQFPASLSSNTDITTHEKVVQSGTLGLVQPHGTVCRIVDFGPQHEP LMHRTQSLDYGVVLEGEIEMELDGGEVKVLRRGDVAVQRGTMHAWRNQSKTEWARMLF VLQDCEPVVVGGERLGEDLGGEVEGLPGSGNAFHKLIKSSLS VE01_06363 MDTRRAADDVHDFPLEKKAAEEAHLNDIHWPLTMGGAPTLIKSY TQPTPSLLVPRSPPGDTTDSSSSGDSTTSSASAASTTAECGPNDTSSKCEKPYSASSS TIAIIVGVTVPVVIIIIALIYLHRRHIRKVREEDANDKHKSLDFGLGMQPHPGAKKGR KLPNGLSDYDMSEKPGHKGQLSLDIINSPYLLPPGLNNSTESLHSLSRSIHNKEDPYR PVNELQNDNASMRSGFGAAPRRGDGSSTYTGHTGHSGKSTTAFGLVRNASQMGSTDGN NEFSPPPRQASLAKNALPENGPAHIEPAPAYFPKNSSLDGGVQRKGLPSSPRDSNSSK DGERNTSSFRLSNNYLGSIVNGGGRMETPPPSAPKDNEKSPFSDSAALDMPMGPTSLD DDRAPQQNSPPKFANSLPANPRPPRLQSLPQEKAPLPEIPQITHDENWLQGDYGNDGF VVTPPSPTQEAAMKRASRYSMDVPPEEYANAGLGAPGVDPRRISMGFRPLPPTLAVES DDPEVRANRIRSFYKEYFDDSKPAPVGEYYEDYDDGAYYDPHADAYEAPIPQQPYAQP MARRAFTPPPGAQRFMGQAPPRGSQGSGFNQNYNNNYGPGPNGPPRAFHGSMSGRSMN QWRGPAPGFQEPRPFSSASNRRPNTAQQKRPMAPLADLNTIPTPALLKDDSFAIMNAA DFVAPTSYKERAQGRSQSPMGERRPYSPAVPVHRPLVSAFDELAVIPSPHSLRKSGTF TSLDFAPPKRVFRDQDTMSDAGSIRSNRSGVSAMQLQAIRNGAHRVSRLPGDSVSTKD DMIAALKPTWGLRNGE VE01_06364 MSSPKRTESPPPTKTSSGAESPTTARPLEMDDDDVQETPVASGG EQTTAPAGQPAAGTTTEQAPAKPPRPLSAQQQAENTLKEAFPGVEAAVIKAVLIASGG KIEPAFNALLGMTDPEAAAEAAAIAEQAPPQPPRPARAGPELTQLEADELYARQLAEH FDSTQDRNRNRGGPQRGPNQRSGSYHEEDEYSFMDDDLPVIKENLRKGFIETQSKVNG WFTNLKKRIDGDDLSDIHDAQQRQEQGYNQGFQNQQYNSRRGDTGRRSGDSGRYDADP QVLSDDFTGIQLNDDTSNARRSTRPLANPDLFKPTPATPRSNDGSRKVSFQDGPPEEI DVYGGGRAAKDAAATTPASGKQSKWQPLSSVDPNPVGEGDNDPFSLGDSEDEKEVKAG VKEGKEEVKKSGGAAEGSEVKSETAEAGKDEKKDGAKDA VE01_06365 MADFDLATSFIPSLYKPASLLPIAKHRESLLYTIETHAVTIVVG QTGCGKSTQLPQFLEKAGWCSEGKAIAITQPRRVAATTVATRVAEEFGCTLGQEVGYS IRFEDVTSAATKIKFLTDGLLLREALVDPLLSRYSVIMVDEAHERSLSTDVLLGVLRK IHKRRPELRIIVSSATLQAHEFLTFFTAEDTATAKDAGGTESGKDAGAIISLEGRMYP VDILYTESPAEDYLEMAIQTVFDIHTKEPEGDILVFLTGREEIDKAVQAISERSAQLH PRAETMMPLPLYAGLSTDQQMYVFEPAPENTRKVIFSTNIAEASVTIDGIIYVVDSGF VKLRAFNPTTSIETLTATPISKASATQRAGRAGRTKPGKCFRLYTESDYASLPQSSIP EIQRSNLAPVILQLKALGIDNIARFGFFTSPPAELVIRALELLYSLGALDTYAKLTRP LGVRMAELAIEPMLSKALLSASSFNVLSEMLTIAAMTSLGGSVWIEHSDERKRLEMTR RKFAAEEGDHLTLLNVYQAFITTGKKDVKFCRDNSLNFKSMTRAVSVRGQLKRMLERF GLVVDETLSKNANKQALGTGSMDKGEAIRRCLTTGFFAHAAKMQPDGTFRNVAGGTVL HAHPSSLMFNRKAGWVVFQEVMEVGEKTYVREVTKIEKDWLVEYAPEFYKAK VE01_06367 MASSLGRPLVCFYCNRKSTRRQDGSVRQWECANCEAVNYLDENG DITDPPVATFEEASPGRSFAKAIPPPTWSTASADDSVFCQTCIKNQHLYRSSLAQYLP EDPDHPDYDRLSRNIERFEQQLEERYPQVCENCVDKVQDRMKRAVYSAKVDAHGRQLE RTRRNGGPGRKAKRTWLERIQSGGKVAWASGIYLQLACEVLALVGGFQPAVVDSFEED SIMGDSILDGMGPSRPFLYRLVNPVLSAVSHLPDVVAPRLPDLAAASLLLSLASLWWN PEFRYTIKNGLHKVHGLESWYSHQIVLLLTRGLLWYINGKSILADAKSSINMAAHTFM FAFTAWISMTTTKKVTARSKPLFSSTPDREALIAKRKSTASPTHAPNSLYEALNSISQ EPVVASSPVSSQGTPRTNFAQSKPWDSPGSISNISYRNRETPSKSTSALSITGSPSPF SPTNRGALGKYQSNTGQSTSMEAANAPDPDEMEWMPSQSVHRAFNSGLSAQRDGQHFN QAPSGPESSPFWFKVPPAPITPARKLRNPPNQPLLSAPSEEVKQNFFNKMTSNMLPGQ GSQAPRESSRQDAVFAQPKFFPPDSLEDTTGLADAFGAAFSFDEREAAENERAAREKA QSQDSSPVSVLSGNLTAVALGVLLWFWNLSFHRPTEYSRSMTQAAMTGCILIGLRSLM DHTTVAISKTASKWSVAFAILAAVESAAAVYILVASNAVAPEAIGPVRTYGSVLFGVM IIRQLLQRYGNVQLRQGNRA VE01_06368 MASSTPSPTQLIHAYRHLYKALLHAVRYSKPSRYVVRDELRSAF RKSDPRAYDGGKIARTLEFIEGAGREKGIENQILKNMCQTEHMRKYIATTATHRSKGL AGAAKAKSFEHYNSTIAMLNDSMGMCLPVH VE01_06369 MSSKVAQSAVKATRRVIPVSEKYTVQSTGIYERIRRIFAVDPTR SNGIPLNPQFRNPTPGAQDPRTIDDRVTLPAADIAGNPYWKRDTRRNYARASVVGQGE LVQLLSVGNAKDGAKVELIGEQGEKALVAAKDEGEKGLAAFFREGDVSGVLGEGGLPP NPSRGGPDDAPVRYEMPEDQAYPAQYPCRTFA VE01_06370 MPPVLRKRKAPVEPAPAPPSKKKSPAAKPAAKAKAVAVPEAKAA VSKTNGAAAAAAPAKKATKVAVGDSITLAGFGGEIETQDGEKTTLQKLVEESEKGVVL FTYPRASTPGCTTQVCLFRDSYAPFTAAGFAIYGLSNDSPKANTTFKTKQNLPYDLLC DPKATLIEAIGLKKSPSGTQRGLFVVDKEGKVLAAEPGSPAGTHAAVKKLLGADSVAA KEEDVKEDVKEDEAAADVAEAAEKVDTDAAVAESVKA VE01_06371 MKVFSNSCNFDYSWEEVSTANWRKYCPWNDKSTHVIAVDTLSRH VDADTGILRTERLITCKQSAPKWLLAMMGGNETSHVLETSYVDPQSKKVTMCSTNLTF ANLIAVQETVIYRPTSDAKTEFHQEAKVTAVCGGWQKIKTAVEEATVCQFQKNAIKGR EGFEAVLEMSRLAFSEEKSRQKMMA VE01_06372 MEDPDKTMPSETEFPVPPTYEKAGEIKEFDASGHLVATVTVLRG SLVEYVGYSYGDSSLLPPEYLVKYPESRVAISIPTRSHSWAKSFMETFLPAGYPNSVT EDYMEYQIYDSLQAFSSSIAGLIASRAVLEGVGVGDATASPTTALLLSVLQDSTGRIA TILFAARLGLSLEPECKRWRLVADIFNDAAMILDCLSPAFPKPLRVSLLSASAALRAL CGVAAGSAKASLSAHFAKSGNLGELNAKDSSQETIISLFGMLVGTALIPHLTTPLQTW TYLLLLLSIHLTTNYFAVRAVSMRTLNRQRASLVLRPLLSPPIGPYDLPPNPIATPLL ISQQETVIHLDPSSLHDPNPAHASFVPLATVLSRASRGPNPIKPHILFSIFAAESYIL YFDRYTRHAFIALKSYATTSTALRAWFCAMECAHMDDGMWAGGWRRGLSWKPTHGGEE GTDSAALAGCVKHVESVWPVIKKALKGKGWDVDTNALETRAGTRFRVVGGKEREKWEW WQKWVVEKKETRPRETTSETTEKKGKEVKKDAVWPPLPPWPISWALGKKSKKSENVAL VQSPIKEIASEAPSEATASSATSPVSEAGSHTSRPKHRLRKWLSSTFLGSHAPRGRED RDSDASSSRSERPSTEKPSHDVLREKAAMEAAAADRPSSSVLSEKAAMEAAATHKPSS SILSEKAALEAAEAAKPSSSSVLSEKTAMEAAAADKPSSSSAPSKKAALQAAEAAEVA ALAAAATAARNHASSSSEPPPPYSELVTKEAVDEGGESSRKTE VE01_06373 MRKSKRPKQLSQQNHEENTAYPSPRCSAVVFDWDADDEFMSSGD NLPSRPLSLAIPAGPYCPRRPTLREVLANSAPPPWTLSAFMAYLSQNHCLETLEFTMD ASRYRKHYETLRENSRGLLITPSTEGCEYVRMLWQKLLDAYITPNGPREVNLPSDVRD RLVSAPNHFTPPHPSQLDTAVRIVYDLMEESVLVPFLNSVSVTRGPTTYSSPYPSTDD MSGMLTQESRNYSGSSSQSGYGSSSRDTQTYSGPLRPSQPSHLALALKRGLGSHYTSS TTSSAEANDDSYTDDSMSTADSPTSLGEPMTPPITPPTSDSLDFSDSSPKAKSGSAWE KMGFKWGLRKTRPSHTSESSSSTSSYPHAPRHNANQYNPSTSSSYPHARPPQ VE01_06374 MTAPFEVDPHRPSPAISAMATMAGAGAANVLETKGVDVVAKSEA SAPAPTEPTRVAPPTPKPKPRRRIPRPSLQNQYIYAHPAPTLNSILAAEAARPVTAAE AEEVEIDWRLVAAAASKRGRNPSVTSNETALFTPAMSITSLASTLVDRASVHSSTTAA GSQSADRYGWEESLSARSSLELGSRASEETTRGFSLGDDMMAPPPAAAQERAHERGFM GKKGLLWKVLTMNARGV VE01_06375 MQLTPLPPLHPPSPHRAWHSTPHPTLPLLSTSLGKSAQITSLRT STPHSILEGGHTRSIRSTAWKPHLRPGELGLVTGSFDGTAGVWRRDESTGDDGEVEDR EEGEDWEFSVVLEGHDAEVKSLAFSPSGQYLATCSRDKSIWIWEEVDGADEWETVAVL TEHEGDVKCVAWAPSEGDEGECLASSSYDGTVRVWREDAEGEWGCVGVLEGGEETVWG VAWEGGAESKSISQSQAEADSKPEEEDAQKHKHRRLITCSADTTLRVWRRVEAAEAES GGIPSTMRAAAQGEKWVLEAVLPKAHTRAVYAVAWSERTGRVVSCGGDSRIVVYGEVK AEDAGKGEEEGKEGEKEGEKGEGNGEEGEKVGPGNWKILATAEMGHGPYEINHVTWCQ RFDGKEGEMVVSSGDDGAVRAWEVTE VE01_06376 MPLLDLVNELLLSISENLDSERDINAFSQANSRLYNVLNTYLYR HNIQQLKSSGLWWAAQQGQEATTRKFLEGGADVKAKDPLGWTPLSRAALDGHHAVVKL LLDTGEVAVESKDPFGHTPLSEAARCGHDAVVKLLLNTRKVDVDLKYFHDWMFECDGQ TPLYGAAAGGHDAVVKRLLDTGKVDINSEDSYRRTPLHRAVAGGHNAVVKRLLDTRKA AHEGHDAVVKLLLDTGKVDVNSKDIGGQTPLYGAAAGGHNAVVKRLLDNGEVDVDSKD SYGRTLLHGAVAGGHNAVVKLLLDTRKVDVDSKDCYGRTPLSGHNAVVQCCF VE01_06377 MSPSAVSATPPQAMAQGTTLIADAVAAKLSSTTAPSLAALDASK LTRTRTTTPRTVPALDDPIRNVSSFATDHMITCTWNVNSGWGVPELKPYGPFSIMPTA SVLHYATECFEGLKCYRGYDGKVRLFRPDCNAKRLLMSSARIALPTFDTAEIEKLIAE LVAVDAAKFLPKSDAGKFLYLRPTMIGTQAELGVQTPKEAMLFIIATYMPELSETPGG MKLLASQNDTVRAWPGGFGFAKVGANYGPSLMAQQEARRLGFNQVLWLLGDEAQVTEA GASNFFTVMRSKEGGKLQLITAPLDSKVILDGVTRRSVIQLVKERLSGKGELEPIEVV EREYTMQEIVEASEEGRLVECFACGTAFFVAPVSKIHFRGVDIDVPMAQGEVGDYTNV IKNWLVDIMYGREDHPWGVVVEEKEV VE01_06378 MASFARPMFRSVPPPASSPSLTSPRSKEPQANPSPLPQALRHAA RPSPSAFTRFPLPARRFLSAETKTAITEAVGSAPVVLFMKGTPETPQCGFSRASIQIL SLQGVDPGKFTAFNVLEDDALRSGIKEFSDWPTIPQLYVDKEFVGGCDILVSMHQNGE LAKLFEEKKVLAPPGVGEEKPASE VE01_06379 MATLHTHKHRASRRRRREKSREGKSGHPLMRDYEDDVAKCRESA QSNAVAALATAHTDLLTKVGAVKTTNTTLLSTLQTQFSALLLPLNDTEIATTGNATRG VSEQIESFRAQLAAGERELKRLWGLWDEAQGEIEKLGREGGGGVMKGWEEEVRDRIGG MEREVEEAGREAVRGMGEAEKEIDKKLRDEQAKLVAMMFREE VE01_06380 MSLHYLPPVKPSAIALGTVFNHAASLAVLGPVFGDTYHRAQAAN SKEEFIKSKEAASAAAAWGTSVVGSALQTYGVGALINATGTLSYKGAAYLGSLIFFAS SAPSFIAQVFTEKRPLDTVAVGVVARVFETVGLSLFLTWWGTRTNPFD VE01_06381 MSRASEPQSPPGDAAPEILDGRIWVDGCWDFFHHGHAGAMLQAR QLGTELVVGIHSDEAILENKGPTVMTLQERIAAVDACRWVTASVAYAPYVTSLPWISH YGCKYVVHGDDITSDSAGEDCYRFVKAAGRFKVVKRTPSISTTDLVGRMLLCTRTHFI KSLTNLLEGKEGSGSEAERVEEGKAMAARMRLYATDATGLNPGADVWFWSASASARED NTAEEKGTFNSLCAGLKPQPGQRVVYVDGGFDLFSSGHIEFLRRVIEAEEALGREEGW YSEEATGERTGRGADYGPAFVVAGVHDDETINRWKGVNYPIMNIYERGLCVLQCKYVS AVVFGAPFTPSSAYLSSMPWGTPDAVYHGPTSFMPLTYDPYAAAKEMGVYREIGEHVF QHVNAGEIVERIMRSRERYEARQRAKGEKAVGEKAQREREVLEEEQRRREEERGEEKA VE01_06382 MERSLEAFFPDEASGGIFSRTGAIFGGGNKTPTSTRPSTSSSGS RRTINASQSSTGSTTTTASTASSSGGLSRKPSFSRLTRSPPKPPPTTVASRSLSFSSA FVVPSFVTADSSREIPPVPVRTANGRKASIASLQLRGDKGRGIGEGAGVAASERESWS STAAYSKMITVPFSQGGEIKQRSGSAAGANGMAPLSATISNTPASPSLENITYQHIQD VASKRISTLNYLKKAHEGRVYWFNTLLFNKTDLARMPYLDQKKLARRATNYLLLGVSL PSVLDLNSTNAVDFLKTLNALLAEFESYQLAHPPDGSSGSLSRGRLPQMFKRAASSTN SKGRRTSSAADIGLPMGSESDLKSISGGMSTTGIVAASFPASENDLLPGEEYNLLITP SLPFEPDFYETFATLCDVLMDTYTRLMSLLASPKDCNTLIADMFSKADGRVRKIIVQG VVKEFEDSCRVGAKSEVASIGKVVLGGLM VE01_06383 MAGLFGSGSATAANTLGDLSKDIQLNNGPEDSISHISFSPQSDH LAVASWDKKVRIYEVNAQGGEGKALFEHEGPVLSCDWSKDGTKVCGGGADKAARMLDL GSGGTTATQVAVHDAPIRCVRFFDAPGSAAPMLVTGSWDKTVKYWDLRTANAVATINC QERVYTMDVKDNLLVIGTADRYINVVNLTKPTEFYKTLQSPLKWQTRVVACFKDAQGF GVGSIEGRCAMQYVEEKDASLNFSFKCHRDPPQGNMTNVYAVNAISFHPIHGTFSTAG SDGTFHFWDKDAKHRLKGYPTTGGSITTTGFNHTGTVFAYAVSYDWSKGYSQNTPQYP NKVMMHQIVNDECKPRPTIKKR VE01_06384 MSDGKNGMNMVARRSTRVFENDIDVRQIEDSVPDASVCYIRKGD EKSQERDSTRLTEMLVHTRTSSMSSLAAPVDGEIITTFQRVSRSDSEEAFGVVKGEVV ENPKMYGRPRNFGTILPGIYRSGYPEAEDYDFLRDLSLRTIVTLVDKDYPEGYQTFMQ SSGINHVVIKMEGTKKVDIPQSVMNSILEVVMDRQNHPLLLHCNQGRHRTGCAVAVIR KVLGWSVESTVSEYTSYAHPKVRQVDVNYIRQFEIASLVGIIDPKTTRTASFSKPRPI SRSHKTFRFAAFTIFVFILCTLTVFRYRIA VE01_06385 MSVKDSEVSGAKKGAWQFAKAWGNSPLPPMGLATLVTAVHARPF QALPMTVPAVLLFSTYLNLNDYAKDAAGITAAWSGLYLVLANRRKSARFISRFGARGL VRGSAMGMAAVNLAACGVTYALGRDAKEEE VE01_06386 MSAKATASGADKGSGAKSRDHNQGNQDRKCTVEQKAAVIRVRRC SPTSFYEILDLESVKTTVTESEIKKAYRKLSLLTHPDKNGHEHADEAFKMVSRAFGVL GDKEKRDKFDRFGGDPDSRFGGGSSAPQSNPFSGFGGRAPSGGGPGAARGGWDDEISP EEMFNRFFGGGMGGGFGGGGFGGGGMFDTGPQFVFNLGGGPGIRVHQFGGGRPRRRPR EAGAADTPEQTLRSTIAGLLPILILFIVPLLSSLFSGGESVPAGPQFRFTKADPPYTL HRESKNLHVDYFVNPLEVADFTNKKFSQLDQRAEQTYTKALRIECEHEVDRRQRVVNE AQGWLFQDPDKMKQARGMEMKACRKLEAMGQVPKGSF VE01_06387 MDNNGPPQPGPPNNPDAPDKEAMDQIRRRRLEKLGGSTTPDRNA SPGAGPSRSNSQSATDTPTQPQVLAPRAAKPPMATPPPPSSQSPLDKSKDKPASSSSS TTIRIAPADAAGKRPREASGGLSGPPTRKATPQATDESPQDFENRALSTIFRVTLEGE NKTDSSGHKLTYLPDVRQELQDSGEHVGLSLGALDSILMEVCLKIPHTKPILEYLLPC WKRIMRSNRSLRGPAQQKDAILKEAKRLCMSSCIFALTMPELYGRDETSYKDSLTPHF LLDPEDDRGLCPEFLAEAVSRVDEDESVTPMFTSAVINLSTQLSRMTMNDNYKPYVQA LKNLTRFPVITTAVAEDPVFLMATSAHGIEQHTILGPFFRISVLQTEVTKSYFASPKT MDKSLVVTSQSALRMTLNNHQKDLLDIINQFVRASTSSRNRTLDWFAWIVNANHKRRA IRVDERQVSSDGFMMNVTVVLDGLCEPFMDSTFSKVSKIDPDYFRRSPRIDIKDETKL NSDQKTSDKFYEEKLEGTPNFITEVFFLTVAAHHYGSEAANSKLKSLDRDISSLQKQL AIYEQERPRFLSDPRQLAMIELNVKRYNDILEKSMRLRHAIEGVLFDDVMQARSLQFM RYLIVFMLRTASGSDYVPGKPFSLPLPETQPEVFKNYPEYMLDDIVSNFEFIFNYLSQ VIISTQTDEIIVLCISFLRNSEYIKNPSLKSGLVSLLYHGTIPVYHRQKGVLGDALTT DKFANDNLLHALIKFYIESEFSGAANAFYNKFSIRYEIFQIFKCIWSNSVYRERLTQE SKVNTEFFVRYVNLLIYDATYLLDECLTKFPKIHDLQVALSPNAVASLSEEDRKAKSE ELSQLEGQAKSYMQLANETISMMKLFTGTLSDAFAMPEIVQRLADMLDYNLDTLVGPK SANLKVEDPSKYFFTPKSLLAEFIDIYLNLSHQKRFVEAVARDDRSYKPANFDSATRI LERWSLKSKGELAAWIKLIAKFKAAKEIEDKADVDLGEIPDEFLDPLMATLMEEPVIL PISRMTVNMSTVRSLMLSDGIDPFNRQPINIDDVAPDEDMKEKIKLFKEERRAAAKQQ TIEDVMDKDVMDTSEP VE01_06388 MSFDQLSSLESQPTTMRSGDAYTDDPEFSKLSQDLMNKLFTLTG NISRLSNQVALLGTKRDTERVRERVHDLLEETTEDFKNIGEGVKRIQSWEDVSPSQKY TQQKLSREFQATLTEFQNVQRRALEKERTTAAAARAALEEATSPSAEGGSPSFGQQQQ QSQEQLRLASQDEVDFQDSLIVEREAEIRNIEQGVSELNELFRDVAHIVGEQGEQLDT IAANVENTRSDTRGADLELRSAARYQKNARSKMCMLLLILAVILTIILLAAFVG VE01_06389 MAATARIRPYKDFLTPALHRRFTRAAATLLAVCYAESLLLGEWN SFLWSWFPLGRAGFRTGLLFISAFSIFVLRVAQLHVGQRTSNSGIATFREYAFRYQTI QTTGWYLFSAWIFSEVFIYSAPRSASIVWITEGKNNERSRLNERPIYIMAFFLVLAVV QSAIHLAYDYDRVDLPAIKTAKKDNAAKPEQGSTESVAPSTKIRDSLPGIVAKSFGRV LIMTILMPFVYSIFIRHTAWKYTLGFAKIFWNLPKTTSLPTVSPFHWTVLGRTLYGGV LLVLLWEIGNRVFSTYVAEGPLKNERPITYESKDPNGSLLTGLKGKKLQTRAFAFWEL VLIAERYQGRRKVIFEDIDRKGGSTWSQILTACTETITSMDTRVETLDPASAPTEHID IKPSRTPQIVSMLNGSDKPAKPEEPIPTLPRLTGDIQKENIWNAPPQPKTTAERIAKS SAALASEYGNTPTSPISVDGRRLLTQATSKVLTPEQQQALTPQGIWVALSPYVTQFLQ SPLGWPFRQEFRRRIAAVVLGQPYGDAGIILDSIEALNLFAVASLKEDIYGNVQKDVP DIIRMLTKSLVSLEAMRKSFGVHWTDVSGDIESPEVDLVVGGLRGALQDIIGAFGEYF DDMKMNRADVRAAKEAAKAPEPQRPAEMQEARKRK VE01_06390 MKGISDVELANFREKQRLEEVNKAIEMSIAKVPGDEKIYISGVF ALRRPQTLKDAGITHIVSALRFNYRETKGWENYTHCNVQIDDMDDENLIEHFPRVVQF IKDALAGGGGVLIHCAMGKSRSVTLAIAYLLATRPSLTPYSALSLVRQTRPHADPNPG FMTQLDLWRRCSCTPDLEASPIYQRWLYAAEVELSTSIGRAPDRLRFEDEEKVKSGVA DSADATKAMRCRRCRTLLAKEEYIVEHDPKAPKEEDKISSTAALPLPNPDAAAASSSS VACGHFFLQALSWMRPALETGELEGRLLCPNLKCEALVGRWNWKGLKCSCGVWVTPAF AVQKGRVDLLSPTVERGRGAQAAGVRMPPGMRVPPPRDGNL VE01_06391 MDIPRYASAHRSAFALPEIYIQTSNPSERKAMTIRSSPNTLGSS PPSSASPMSIPNARDDDSPPPPLPPPRYVNHEREGGSGARTVDPGWEWSNSREEHGWG KPSSVKSGSSLYGSFAGYGNGIMDAVEYRRGSSASTIKSISGVDSYNSSCPKVDEGYA SLSTCGSIGSTNSRHEDSIPGRPGFQSSVHEKYRSNTQTYDKSVLQKLNSRRGGDIST SPRSYGRAPFSKSFNDSVPSSPLGQKHGLSHQKPLSLPAMNSKLSPLESPISRWASGG SAPNAPLGMRNGGQYGYRSPSERDDMEQSPRPYSRQTTHDFDDASSTVSYSYQGSHYT DNESDFPMEETGFQRLHIDDGMRRSDGQPLSAAAGQKRRASSPPLDDGPPLLHTATSL SDLYRRREQASRASPAPRNHSNHGSISSSTSGPRSASLNSVPSLAASSMSTVDSYGRL SPGGRSPGAISPRGYSTDTYYPGAVSPRTMDGHDSPFSMSLAATSATLEHPRLPHRAI PDSRPIVSAHKTLDTINQPKNGILKVQSGYVCDCCPKKPKKFDTEEALAAHESEKQYE CAYCKNRFKNKNEAERHQNSLHLRRHSWSCAALTGYVDAFHTSPTAPNDADTCGYCGD EFARSGTGILGNGQEGKVPTERDWEARIQHLVDLHKFRECNHTKKFFRADHFRQHLKH SHAGTSGKWTNMLENACMKDEPLPVARINEEDE VE01_06392 MAFPNIYTHRKVADTASKPCDICFKPSTSVLVVPENKDFFFICP GHLKDKGFCTPIIDEAAVAAKKKKEMEDEVERVKKEFEEKQKKKKEKEKEKEKDKDKK DEDKKEKGDEKKDDDTKKPDTTSQEPEEEPRVFALQKAFYQKRLDRKRAGEIAKRNRE RFQNPNLFPSVPKDIR VE01_06393 MSQALDQSNLEQAFKDRPDIVGAIQAAATAPAWAELFNEISSYI VNLRRELEPSASDPTSKKRKLEEGLPIQPKKEATDTQPLAKIANGFDHANDEALLQVK EISMVVPLRKKFTIEFTKNHIQARDPKTDELVPGTTYPWKDISHAFCLTVPEKAQKQY NYILFLKDAHIVTPRHVQYGPNPPDPLVLTIPSTAPKPGSISGKSLSAASAVSDQHKT LFDFFINQQLKANGRNISIVESNWKVFSSAGKEAYKPNDPTVHVKAFRGSKDGYLYFL PGGILWGFKKPLIFLPKEQIVAVSYVNVLQRTFNLVIEVDVRYKKSDGTYEEENEEFE FGMLDQEDFAGIDAYVKRHGLQDASMADQRKAKRLGINTVKGEDGTKVDVGDAGELQK AELELGGEVLDEEDEGTEGEDYDPGTDGESEGSGSSSDEDSDEEGGGGGGGDEDDEDD DDEGDEEL VE01_06394 MADSTVYQASTTAPVNIAVVKYWGKRDPKLNLPTNSSLSVTLSQ SDLRTHTTAACSSTFGSDDSLLLNGSPQDVSGARTQACFRELRSLRAALEAADPSLPK LSTLTLKIVSENNFPTAAGLASSAAGFAALVRAIADLYQLPATKTELSKIARQGSGSA CRSLFGGYVAWDMGSAADGSDSQAVEIAPASHWPNMRALILVASAEKKGVSSTAGMQT TVATSELFQRRAEIVVPKHMEQMIKAVKDKDFELFGKVTMMESNSFHATCLDTFPPIF YLNDTSRAAIRVVEAINEKAGKIIAAYTFDAGPNCVIYFEEEHMAEVAGAIKSVLGSV EGWEGKGEEVKTSDAAHLDERAVKALQEGLSRVIFTGVGEGPISVKESLLK VE01_06395 MGKSPEAEAPAEVCPVDDKTRAAWLKANPTASFPGAAKGASDAK KGNACDSEDITQAPPAQPGLFARFFSSTPPADAAAVSTGLGTQRVVSTIPRASPVDDS EGSKPANSEKESGVSASGNWIYPSEKMFFDAMKRKGHSSDPKEMKTIVPIHNAVNERA WKEIKEWEKPYGADEKCGGPRLHSFLGLSTSMSPKARFNSLLGYTTPFDRHDWIVDRC GKKVEYIIDFYAGKDEGKGNGKLSFYLDVRPKLNSFEGWKMRAAKTIGLV VE01_06396 MASTTPILPVKGKRNILVTSALPYVNNKPHLGNVVGSVLSADVY ARFSKLRDRPTLYICGTDEYGTATETKALETGQTPKELCDEFHKVHKDIYDWFEVGFD YFGRTTTDQQTKIAQDIFVKLHKNGYLEERTTTQPYCEKHESFLSDRFVEGTCPKCQY DDARGDQCDKCGSLLDPFELINPRCKVDGASPVQRDTTHMFIRLDKLQPTIESWFTKS SQEGGWPQNGISITKSWFDKGLEGRSITRDLKWGTPVPIPGYENKVMYVWFDACIGYP SITASYTDEWEQWWRNPEDVELFQFMGKDNVPFHTVIFPGSQIGTGDKWTQLNHLSTT EYLNYEGGKFSKSRGVGVFGDTAKDTGIPASVWRYYLMANRPETSDTQFEWKAFIAAN NSELLNNFGNFVNRLVKFVNAKCDGVVPEFSASYTDETFDFPAFIESVNVHLREYIAD MEAVRIRAGLKKFMEISALGNNLLAGRLDNANLTGSPERTHTIIGLALNLAYLLASIS SPFMPSTGASIVKQLQVPLLSITDKWNPEALKGGHKIGKAEYLFTRIDDKKEAEWRTK YGGTQASRIAEEEAKAKKAADKARDKERKKAKKEAAKAAAPAEGGLKTELPLRGVAAE EQAPPPAAAP VE01_06397 MSADPFDDLLGLEDKFYDEGYQLGTTEGAKAGKIEGRVFGLEKG FEKFVEAGRLHGRSVVWAGRLPQNDTPDKPTSVAEIQQGEPQTAASIAQGTTSLPTLA NPRLEKHIRVLYALSEPASLSTDNTEDAVSDFDDRLKRAHAKVKIIERLVGEDSSLLA RIGDNLGQQLGIETLFSGFRIPKRIGTNPGDKGSPEWSLNAGVAVDPTMNPDALNVFA LVAGRVRMGLREDSQRVEAGQGCKPMI VE01_06398 MFKTTLSRAAAHAERQPLIKFLGKRTVPSSVDRTPAVHPASPSS ALPGQGSPSFSAYRQHAQQHGPLGRNIRTDSSVGSVPGRDFGPVAAAQGEFFDRSELP VRFRRSPYTEAEIEAIETGGATLVC VE01_06399 MHELFLTAAVPGEHVKEALKILQGLCAMPPAHSYQRVLTYEGPS AQLVPIPAARVQNRRPQDREVWNELNKQLVRQSHYITLAFAAEKGEFGVGAEERVGEK PVMDLEEARGTLHFYDYPEPPHPSRPVNSRLVIHIPDEPKLPSLLRSIKYTHYSQSLR EIYNFYRDNVTFTLSRELQRKQQNGVIDVEESTPQASSDIRDYIPFDGENKWVLRASV EVTDEKEGPLVQRGIEELLKVQSDLAGLYEFSILDRAVLDTRVPAFLEQLRRR VE01_06400 MAAQEVRTPGSKPGGTMLWGGRFTGGLDPLMVSYNESIYYDRAF HTQDILGSIAWARGNHKVGILSDAEFKAIEEGLKKVEAEWVDGTFKIIPGVDEDIHTA NERRLGEIIGKDIGGKLHTGRSRNEQVATDMRMWLRDELRKIEVFLVDFLKVVAARAE KEIDFVMPGYTHLQRAQPVRWSHWMLSYGLAFASDLERLREVIARVNRSPLGCGALAG NPFNIDRDAMAKELGFEGLMWNSMGAVADRDFVLEAMQWGSTLMSHMSRWSEDLIIYS TGEFSFVRLADAYSTGSSLMPQKKNPDSLELLRGKSGRAFGQMAGLMMTLKGLPSTYN KDLQESVEPMLDHVKTVGDSIQIATGVLSTLAANSEKMRAALDGFMLATDLADYLVRK GVPFRETHHISGQVVALSEKTNTPMEKLTYEQLESVDARFKPDVSECFDFERSVEMRT SKGGTSKKCVLEQIEVLKSMLA VE01_06401 MSSSNRDPKRKNDDRDEKPISPPPVKRKVQSTTTKDAVSSFFKP TSQKPPEPLTWSERAVNEDTKTSLIVGKYVPQGTSTAPSDAAPAAPKKTRIAAFDLDW TLVKSASGKRFVYDAGDWKWWHPNVPVMLKKLHQEQEFNIVIISNQGAIQLHPDRKAP SALRGRLDSWKEKIASILRQLDIPVTLYAATQFDNYRKPRTGMWDEILKDLDLTPETV HMGESFVVGDAAGRILFLTPEEYFLDEAPREYIRSFEPGDYVNTASVNDTAEDPPFVP SSEQEIILFVGSPASGKSTFYHQHFQHLSPSYIRINQDLLKTRDKCLKVARTHLEEGV SVVIDNTNADEATRKHWVELARERGVGIRCVVFETGGGVCRHNDVVRALNREMNPEKR TILPNIAFTSFNSRYSPPTLKEGFKEITEVKFKFRGTDEEKKIWTRYWT VE01_06402 MSAISATQESCPFAPRASGQPTASNIIGAQNCGPPSRFVGHQAQ RRQATNFPSHRSRRIPLKSYEQTIKDTRLLRNVDSSTTPRQQRRRLPMLKPLLLPQLV EERRKRETLVDEELNVSQSSDIHEPSTPTDCPSSSPTTPTLSKRGHVRYGSSVSSLDN SIHSPLTEIPSSPSFTAMKTSKRSLPDVQEDPLERDEDLDMFDDDLHDVYDWSCDDNH HRHLESSMGRSSVQLSTRPDFEYDLADGITSDTDLGLSITKKRRAGDSPFSAIANRFG TRFPGLTRKWRASKSSNPLSLSDIDRDLRASRTASSRSSSVSNSVSHSHGLALSFGDV QMPPTPAMSEFDRHDSPYRDSIGDSEEFERAHREDSERPAGFVSTPLLPPMMMGSNSI TDADVPIQSPLESPSVADPAEYLSGACTPATGIATPARGMPSPPLSTKPSMSSIRPTH TIAPVHLTSSSSDALPLVIADIDDKWSNTLGHANFTIHPPPYLPLTPDLEACRQLKRD WDLARCNYTKHLVRTGEHYGATSKTYLLTEEKWKETDDLWRANNDATIAATAASGEDA FRSLKHNRVGEADSNVMTKIPSLNDPRCEGKFPQLGDEDIVGPMVQGVARMQRRRSRK EVLRRFFVEKFPVGFGRG VE01_06403 MDIRLLHASDIPHVQHANITNLPENYFMKYYLYHALSWPQLSFV AVDVSRPPKSPYDPPRIVGYVLAKMEEEPADGVAHGHITSLSVMRTHRRLGIAEKLMR QAQKAMVETFQSRYVSLHVRISNNAALRLYRDTLGFRNDKIEAKYYADGEDAYSMRLD LDGVRAELLAAGGEDAEDEGDAVGDEGKQAGEEMEKNEAGEVLRKVRVGRGLGVGELV ERDESAKA VE01_06404 MAPTLVPRALEGKLALITGASRGIGAAIAENLASKGATLILNYT SPSSKAPTEELSAKLLKDHSVSSYIIQADMGTLTGPSQLIASAKSAREADGRTFILDI IINNAGVAVNDFLPAIQIADFDSGYHVNVRGPLLLMQAAQPHLPTDRSGRIVNISSIS AQAGFVGQSIYGGTKAALDAMTRTWARELSENATVNSINPGPVATSMWFGNSEEFMEG IRPFIQGAPLEKIRPELDDKELVEGAEKAGGRPAYTWEIAGVVGMLCTKDAGWVTGQV VSANGGMRFGTG VE01_06405 MNSLNILSARVSPPPSRSNSYGSSLSLAGSASIQPSSLAEDAVD EHDEEDGAAEAGEETSTEAGHTSTDEKVPMLEDRKADIQSRPRDFVFFSKRIAAAFVN SVRWVVSAIMAPGFYIIACLYDHDGNFAPLFQVRRIGGYFWRGEMSHEGLSSERNALL EKHAASTRRPSIRPQKNASLSSQLSSESESERDLDSRPTSSAGRHTRSKSLKPNDETT PARRSIRIKLHNEDARQDRRHRKSQSTNSPMTQSDGAGGASPALTEITPATLKSPTSP PATLSMAKYPRAPAPPRPLIPKRQPSYTMAEPINGRGPQKTLILDLDETLIHSMAKGG RMSTGHMVEVKLNTFVAASGVPIAGPQHPILYYVHKRPHCDDFLRRVCKWYNLVIFTA SVQEYADPVIDWLEQERKFFSGRLYRQHCTFRHGAFIKDLSSVEPDLSRVMILDNSPL SYMFHQDNAIPIEGWINDPTDNDLLHLVPLLEGLQHVTDVRALLALRGGEDGKHMVT VE01_06406 MAPSVAGYTPTLSTFLAYLKDKENHPVESCIELLVSLLKQRQIR NSRPCAVATAKLLRRVVSSLKWRDAEDLIRRIQDVGQRLMEAQPREMVVGNIVRRVLG LIRDEILEERNEDVSSDAGSVTPNRMHAPPALSHPRPSTADSASARSPFDQHARPSLM SSHTSYAVVGGVPAVQSMFSLLSATPSEDPTPPGVPSPSDKGVDAAALSRRITTSTRD LKSEICDGINEIIDELLQSDERIASYAHEHIHSNEIILTHTSSQTVHQFLLKAAAKRK FTVIVAESYPNDHEQTHSAAMGVLTPHDDEEDELGLEQFQKSLTAAGLTVVLITDSSV FAIMSRVNKVILATHAVIANGGLVAASGARIIAKAANKHRTPVIVVSGVYKLSPQYPY EFESLIEYGDPSKIITYEDGDFVEKMDVENPLFDYVPPELVDLYITNLGAHAPSYLYR IVADHYKPEDTNFHNPEVRF VE01_06407 MDNAPPPPYSETDIYSNSGRSPLSAPPDLSRSTTQTDDASQAST NNSVIYTPAESVNNDSYASAARLYFESRPPPRKVQRRPISHEIAVGHMSTPDDLPYIP EFADLDITTQDWATFINYVIPHHIDQSNGLVASEKMKAEVLDRRMHGLTLSPEGASDL SAVDAQLNTLQPSKVDLAGPPEDDIAAVVLEWNTGFFGPRGVRVLANVSEPDPPALPP RTTTEPPLATRSMPSRPGWQTTGSETASDRDSVGGEREQMGWGWGPQGPGRRHGHGDG HGRGRRGHGGFDRGGCGATPRDGFRGFGRRGGLVCADASGFHVGRVMSAGNDGFRLGP MVADKSGFRIGNMLVANDEGFKLGGMTFGNTNSSSPAPPNPYAERGRNMKDGGDKNGR DRSRSISSRSSSSDDTVGTEDSEGSLPDVSDLKPNQLHVVKQSLMEWLNHPEQPVSKE SVKTLKRDIKLAKHARKPEGQELTELKAELRALTKAFKELKKSRAVKRKSIRKERRKQ RKELRTQAKQRRREERAARRSRGKGKEKAAAAEYDEYAPLETGFVQNSGSIPGFPSGS PGMRNMPSIPGFPNGPPGMHNMPSIPGFPNGLPGIQMPGNFLGMGFNPLSPESPRSPG EVIKVQEKREHLGREAERILADARAMHKEAEETRIRADQEQDEKSTLKLLDVAKDLDV EVEKLYEGADRLIAESVHLEECLREINGGEMPQRDTADKEYIRRMRQNSGVSTH VE01_06408 MKISTLVTAACVAFQGTAAAVVSGKPSTFIRDYDKRDLLQDVVT WDEHSLFIHGKRAMIFSGEVHPWRLPVPSLWLDLFEKIKALGYNTVSFYVDWNLLEGK QGEFRADGVFAYEPFFEAATKAGIWLIARPGPYINAEVAAGGLPGWLQRIKGMIRTNA DDYMEATELYVASIGAIIAKAQITNGGPVILYQPENEYSGGIPPAVFPDAPYFQRVID QVRKAGIVVPLINNDAWSGGHNAPGTGLGEVDIYAHDNYPIGFDCSDPTVWPANGLRT DQYSTRVEISPNTPYTIPEFQGGAFSPWGGWPIDKCAELVNQEAERVLYKNNYAAGIS IMNLYMTYGGLNWGNLGHYGGFSTYDYGAAIKADRTITREKYSEAKLQAQFLKASPDY LTAVPTNLSTTLYTDNVNLAVTPVIGSEAPSSFYIVRHNIYNSTETTTYRLNIATSAG KLTVPRLGGKLTLNGRDSKVHVTDYNVGGTTLLYSTAEVFTWKKFDSGKVLILYGGPE ELHEASIVSTAKPTIIEGKGVTTKSIDGNVVLQWKTSASRSIVKIGDLSVYILDRNSA YNYWVPDLADGSAVIVKAGYLVRTAATKGNTLQLTGDFNATTPFEVIGAPKSAKKLTI NGQPVAIETSKSTGSWHGLVKYQRPFINIPDLERLQWKSTDALPEIQTSYADSAWPSA DKKTSTNTYRNLTTPTSLYSSDYGFHYGYLIYRGHFVATGQETTITIYTQGRNAYGTS AWLNGVDLGSNAGDAIVGDTNTTYTLGKLSKGKKYVLTMLMDNMGYDGNWVIGSDSMK NPNGILHYEFAGRKQSDITWKLTGNLGGEDYVDKTRGPLNEGGLFPERQGWHQQKPPT NGWKASKPTTGISKAGVAFYTAELDLHIPKGWDVPLSFTFKKTKSNEKFRAQLYVNGY QFGKYINNIGPQVDFSVPQGILNYQGSNTVALTLWAQQPSGAKLEGLSLTAGTPVLTA LENVELVPMPKYAKRAGAY VE01_06409 MSAPAIASAALKSRVRRTSLYNKIANPDDLIKLFPNGSYIGWSG FTGVGYPKMIPTMLADHVEKNNLQGKLKYSLFVGASSGAETENRWAALDMIERRSPHQ VGKSIAKGINEGRIEFFDKHLSMFPADLVYGFYTKDRPKPKLDVVIVEATAITETGGI IPGASVGATPELIQMADKIIVEVNTSMPSFEGLHDITMMDLPPNRKPYLIMAPEDRIG TNYIPVDSEKIVAIVESNYQDQTQPNNPADAGSKAIAGHLIEFLEHEVKHGRLPENLL PIQSGIGNIANAVIGGLAESNFKDLRVWTEVLQDTFLDLFDSGKLDFATATSIRFSPD GFKRFYDNWDHYFDKLLLRAQQVSNSPEIIRRLGVIGMNTPVEVDIYAHANSTNVMGS RMLNGIGGSADFLRNSKYSIMHTPSTRPSKIDPHGVSCIVPMATHVDQTEHDLDVVVT EQGLADVRGLSPKERSRVIVNKCAHPEYRAILEDYIAKAEFECTKRGWLHEPHLLWQS FDMHKALDQEGSMKKLKGWK VE01_06146 MAEIGHASTVVNESVVDGKDESSSTKLPILEFLSTGTVRIRPMM RSQPASSYPIIRRLRSVCDRQWTHPLPVGVFLIRHREGLFLFDTGQSPCCNDAGYFPR AALFNKVLSNFTIEPSDGIVQLLSRQGVKPTDLKAVILSHLHNDHAGGLEDLIAASPD LPVYVSREHWKAFGEHPFFAGMEGATPNHWPKNFSPKIIDYEDRPVGPWKKSYPLTSD GKIVAVDTSGHVAGHLALLVFGENGTGEATTYVLPGDATYGIDVLDKEEPDGINDDPA RALQSLRLIKEFARQTDVVVLPSHDTNTPRFLAEGVVYRPK VE01_06147 MRTCPEFTKAAPALLVLFFALTVSAHGDETHMTADMDMGMTTAG AGAPLGTPSNGTLEVPPSYFRHPEYSHLILAHIALMTIAWVFVLPIAVMLSISRSRLN LLAQSGFFIINMGGVILAMLYNSRTPDLYPHNAHHTIGWLLTWVTVAQICLALITASA RLGGEIRSSEEQLPFMQSSEQSVGGHQWANGAENAPGYRFSDDSGHGTEQNDESLRGN SSSSLEDDRDVMNGINRKYYEGEGDILAPSSKRSRHALMKRLRAVLAGKVPLYISSRV TGLLCTLESIITRTILIQGFVAITTGAVTYAGIFRGRDVFSGLAHFIKGGVFFWYGIF TLGRWAGSFADRGWAWNIKPRTFGKSENASTISAEFVESFLIFFYGSTNIFLEHLNAW GEAWSAQDMEHISITIMFIGGGLCGMLIESTGIRNLLNINTPKISPNRPVDSPSTEGE DEEPSWQPPQSYKISMNPIPALMVLLLGIMMSSHHQSSMVSTMIHKQWGTLLMGASFA RGLTYIIFYLSPPTSFLPSRPPSELITAFCLMAGGLVFMASSRDTVVSMEYNNLDAMF VFTVTMGLVSFLMAWIILNVAVKGWALQKERRRNRL VE01_06148 MLSRRILLASKVLRAHRAPQMRYGFPIVQQFRSYADTIVKVPQM AESISEGTLKQFSKQIGDYVELDEEIATIETDKIDVAVNAPQAGTIKEFLAQEEDTVT VGQDLIRLELGGEAPAKEESKPAETKEEKSTPSESKPAAKNEPSPPRKESAPAPAQEQ PKKASPPPKQSESKANESKTTSAPGNREERRVKMNRMRLRIAERLKQSQNTAASLTTF NEVDMSSLMEFRKLYKDDILKKTGVKLGFMSAFSRACILAMRDIPAVNASIEGPNGGD TIVYRDYVDISVAVATEKGLVTPVVRNTEGLDLVGIEQAIADLGKKARDGKLTIEDMA GGTFTISNGGVFGSLMGTPIINLPQTAVLGLHAIKEKPVAINGKVEIRPMMYLALTYD HRLLDGREAVQFLVKVKEFIEDPRRMLL VE01_06149 MSNTRVVSSLYRRSLKLALDWSVHRYLWRGQALYLRSLFEANKN VKDPRQQRALFRETEDLLEKWKHPDPYRVPTSPGGSKYERNLPPSTLEPPTNTNY VE01_06150 MTGGKSGGKASGSKSAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQKLLPKKTVKGKGAGSQEL VE01_06151 MPPKGAAEKKPAAKAPAAKAPAEKKDAGKKTAATGEKKKRTKTR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK VE01_06152 MVRSKKGPRGGGAGKSNGRGQGRRGRGGGRGGGGGDGGRRHVED DDGADFIPFSGASTPASYPQGFSLQAEALNTQRHEPSRLSNLRLWDAKVSFVSASILP SPETPGPETAMECMSLNESDNDLGMGGVMDSSEQAGNIPEADDEEGSHESKEKDAQDE FIIDTEGNQSIETNLPPPQIRSSSPTPSNSSEEVILFRGRDNNGQFFGSLPSTKPKPS KTSSLRTTINDDVTIYTENKQSAVESSLATDVLHLSGDRSQVKGKGKGKEKGHLPDTP STNHVDFQNKLSDSLDTPPLTSRKSRHGSGRNREIRSVEDEMMNDYITNLEEQGLDVL GHGNGRELGDNDNDIFQRRDSSPDSDMELLEEHLDPSDFLDQDTLEILDEISGPIDAV LSRRDAKSGLQYLVAWSEHMSDKSGWVPASAAALVTPQALDLIGKFDANEKLVTESLD GDADDSDSADDDLTESTDSEDDDMDLIQRQFDNLNDEKIARLLAKQFEFGFDSSELAL FDGLNEPSGGSSSTARNRKREQFQRREKVSRTPQSGFPSATRLADAYDGFDVMDFERP SLKKKPKGRKGRLPFDVSDPELEASMQSAWENDRVKKKQRKEDREHRRALGVLGIHPD KPDLSMKYKEGMGIMAIKEEIRLFLIGKNTTLALPPMDKKDRIVVHEIANAFNLKSKS VGAERKRFPVLYRTHRTSAYNENVFTSVERRISRRFFPRMDVKGKNPMAKTSSGFRGT AGGYKDGDVVGGSAPELGVENRGRAMMEKMGWSSGTALGALNNKGILQPVSHVVKTTK AGLG VE01_06153 MAAVDFSRYKRIVQYLWDPEPTNLDSSDLPLWCLGDQYTASSMS KHASAPGDTDLPSHDSSHETPQKRTDVEKSIQEVKPPESVGEGIDSGFSQEGTASDVE DGGWPVAFLDDFESKLRFSYRTGFPVIPRSEDPKASSAMSFSVRLRSQLSDQGGFSSD TGWGCMIRSGQSLLANSMVILRLSRGWRRGVGRDEEREIVSLFADDPRAPYSIHKFVE HGAEACGKYPGQWFGPSATARCIQELAKRHESADVRVYITGDGSDVYKDGFMSVAKPD GVNFKPTLILVGTRLGIDKVTPVYWEALKASLQMPQSVGIAGGRPSSSHYFVGVQGSH FFYLDPHQTMAALPFHTDVDEYTPAEIDSCHTRRLRRLDIKEMDPSMLIGFLIRDEKD WEAWSDEIRRFPGKGIVHIAANDPAQQSLSHERDSAIDDVESFDEDDSEDYGEEPPHS VE01_06154 MGAQQSSNQQKPSEQGDAGGPHVKTCYYQLLGVDRQASDDEIKK AYRRKALELHPDRNYGDVEDSTRLFAEVQSAYQILSDPQERAWYDSHRDAILRDSDIG AGDHFEHDMRFTSATDLTMLMGKFSPNMPFTNSLDGFYGRLQSVFEALTKEEDAACNW EGLDPIDYPEFGTSESNYDDVVKPFYVAWASFSTRKSFSWKDAHNYADAPDRRVRRLM EKENKRLRDEGIREFNDAVRSLIGFVRKRDPRYIPNTQSEADRQQALRDAAAAQAARS RAMREAKLNEHVQPAWAQTRYAEEEGTFSDSEVSEEEVVECVTCNKIFKSEKQYEVHE KSKKHIKAVRELTRQMRKENKLFHLDTPVDAALQSKPENSDSEASFHSLQDAADDDII PVVGIDKADPEIGVKNDDITGFDPPPKENEADRGPIEESTTLAIPEDGTDDDDYAPRE QVEDRISGILLQETPTTDGSIKPSVVGNVTRALDNILISSDGGTDEPPTEENKKLGKA KAKRAKKAARQQAEDDTQNNTCATCNSSFGSKNKLFDHIKAEKHGLLVPKPKTGGRGK TSH VE01_06155 MKFAHEFKEALEREGFPPHWVEAAIPYGQLKKCIKKVERELKSF GLDAQTLGLLVPSSDQKDQNSNDGTPIGFKYRFAGDSDVFRPTLTLYIQRTQGSDIAI DATLSPSTRQYLQNLADAQAKERGQSAAAGQPGDIVESKDAMPNADADSTTPFIDANS DVQQVEVPLTFDIEFFGLLKDEVSSLDEIQKAEQRAMTDEIKALGDEIGKLAFPAKNN KTDMGRWRTIFDLYLQAGVFFSTNEFDRGSRDSNVALKQLKWFQEQVTKLNLPKSFKL ANSRDALDRFTRINVTLLRNLKFQEINQLAVTKILKKFDKRTSLGAKKAFPPLIQSDL LMTETMAKAVCAGVSNEIIRIVPQLDDYLCPVCFTISYKPVRLKCNHVFCIRCMIEMQ NANSKHCPLCRGDVVLEADASSVDKNLTVFLKKYFPKETKAKQQENDIAASVEMYGED FANVKCLVM VE01_06156 MAPATTINDLPDEVLDQILYYLSPEQTILSIRRASKRFARLCEE PLLWRYYCRTEFNYWDAKHRIKQKFVGDVSDVEWKKLYIYRKNIDTRTSELLDSILSE QIGRIDKTKDISEFGYDAKDTLLRNCQAETDTDDVLARRFYSSSVLDHIHRAKALDEW YRLSRGDDISIERALGCLDMFVLHDRNGDLCEISDMLDKLAKKLEATHTDFHDLSQRR KALVTARFLKDNDLTGMASELQYRDLKNNFIGIALQEENHPSLPLISAAIYCAVARRL GLDAGCCDFPNHVHAVVSPNNGETLDGSVQKTSLGPPEPMYLDPYRSDREVPVEHLKT QLVAWGVQVDDFPRFLTHMSTRRIALRTSKSILTTIHEFRGLGRNGANNAGHASIKLY GNPFADMDNAFYSALWSNFILSSIPGRAVSIDQVQFIPIILERFENLYHMDGVFLEKY ICASPSLLVRTDLARLVEAIRVIRASDIMPKQVRRRDSQKPHGKIQYEVGQVFQHKRY GYTAVITGWDVECTMNSRWMEQNHVDTLRKGQHQSFYHALVEDTSIRYVAEENVELIE PDNPVSLMSLAGRFFKRWDGLRHRFVSNIRDEYPDD VE01_06157 MSGRSKLSNSSSQVPRRSARHKTGEGTTPAPEFEAEGNAATHNV DTSSEPPQLEEKEDVTCEASAPKLEDNPHKQRPKRASKRPQTPKCHIDDPLDFITKAT TKSDLDKWKGWCEVESEPAFFNVILRHLGVTGIKVQEVFSLDDEMLSFLPKPIHGLIF LFRFEEDDPAMQEATCPDNIWFANQTISNACATIALLNIAMNTRGVDLGPTLNSLKDF SMPLTPALRGYTVGNHDYLRKIHNSFSRKMDMLNSDLFLSNDVTSKAKLPGKTGKNVE QEQAGFHFISLVPIDGKLWKLDGLERQPMNLGEYVGDDWMGLARSIIEKRMQKYDGDQ FEFSLLALCQSPLLAVHNDLAENIRTTSAVEGRFASFQPDWRGFVDSQCLEKTLSGPN GSYGITAQHLESVPASAPILQEIQTSTITTERLLDLWKQLSDSQAQMRASYIEEETAI QQDEERAATRCHDYTPMVNTWLAALADKNVLKSLINEASHGYDHE VE01_06158 MNGTPRLRSSYPSTPESQKRTASKTPSSGGEGSRIQLQAISRSA RAPTTTSQPLIPLTVLDAPSQRLYVCALYIALLAWRLYDWSNLVEDEAESFWLFIKWV ALDGIVLYGLPELRIPWLEWSNTATTGIFLIHAIVNGMLMFRVPIPIEAGLAVVARHL YDRELSISENRVKPASILHNSSLIMGKQIINILPEGSVTLNPDGLPFCIENKNSIVKL PIRLNQTDPVYIELLRLDFDTSKNESIIINQKELQTLKKQAEKRRPKDSDTLTLDYAI KKPGLYRLQTVMEKSNLEVQRRMSDTLVVLCPKAVIQPSLANKCIGDLSDLTIQVTGT PPLKIVYSRRINEMDESFHFQSLQPEDLVSPLLGATRASTFAATYEEDMSWGGLHSID VRLNESMASSGRWLYSIDEIHDATGNIANFSARGEEGETIHSKGTHLEHAFTVHERPV AQLDLVATGNQLRVASGRSAELPIKLSSSAGSSEQYGDVITYRFSPIDTLATNGDHGS ESIVMEFTTKSSRQRPPIHKPGLYTLLSISSQHCEGEIREPSSVLLMNPPKPDLAISS EDIHDKCADNSIGIMVDMDLSGTPPFVVRYEIEQNKNVERQQIKIDGSRHQLELKPRE AGHFIYRFTSIDDAVYKDHPIISPGLTLEQDVKPPASAYFVSFDQVVACIDEPVQTKV LLSGEPPFTLEYELAYGGKRKKHRVSDIDAYQYTITTDPLTRGGDYSLALVSAQDKTG CKIFLNDEIRINVRRQRPKASWAQIESRRSVMTLEDKIVNLPLRLEGEAPWTITYRNL NDTSKIEKVVKQRSNDYIQINGRGIYKLESVHDRQCPGTVDEPASSFEVNWLARPQLR ISDSSGIQQQGNRYVKREVCEGDVDAMEIKLSGSPPYHLKYQHHHKPDRGSQSVNVKE IDAALGSVTIPMDTSKAGSQTYAFNELSDNAYDHDPKKHTALTVQQTVNPKPSATFVK PGQSYKYCKEEESGDEVIPIELHGLPPFYLEIDIKHQSSSRPETVKLANIDSNHYDFR IPHRVLSLGAHHLSVRKVRDSRGCQTKLEYGAPKVQVQVFDVPTIYALEPKVDYCVGD RISYTLSGTPPFDIYYDFGDVQRKAKSSSTNFRRIAEKPGNFTITSISDRASECKAKI GITKTIHEMPSVKISKGRQVSVDIHEGGQAQILFEFWGTPPFEFTYTRSTNARKGHKS QVLETKHDISFEHSKTVQASQEGTYEVVAIKDKFCAFSTQRLDGKAEKLLQ VE01_06159 MATQLLQLPEVTRLSSRVIRILGGNPGKFTLQGTNTYIVGTGQQ RVLIDTGEGRPSWIKAIQAVLLAENATIGSTLISHWHGDHVGGIKQLREAYPTVKVHK HRPDDGQINISDGEVFKVEGATLRAVYSPGHTQDHMVLILEEEDAMFTADNVLGHGTA VFEDLSSYIASLKKMDTMFGGRAYPGHGDVIDNGRARISEYIQHRQQREDQVLQVLRS PNPSAKGSNSEQKSGWASMEIVKVVYKDVPEHLHVPAHGGVMQVLYKLQDEDKVVEDE SDGTWRIPEKAAL VE01_06160 MLLRVIFDNTPIIPQTSKSVDKRPVTSLIPNYLCLQCPTTTTAK DRLKHGKLKAHMFYVDSRSGGLFCQMCDDFVWDPTLEELRLRKIGTGSFSSRKRKRDE PFTDPAKDDTAYMSINTTAAPCRATGLRGIFNMGSTCFMSVILQSFVHNPLLRNFYLR DGHQVGQCTQDNCLSCGMDELFQAFYSEETTASYVASKMLSDSWLCQQAAFSELAGYD EHDAHEYFQFLAEELHRTNRQERHASPTGTNASNVSHLTSNCDCIVHQTFYGKFQSTL TCQNCGVVTTSVEPFLDLSLAIDSIAKRQGNNNGESSHDWSQPLTLQRCLDVEYMQPE RCEYSCRSCDSPQEARKQLSIKNLPNVLCIQFKRFEHHKSLRTSAKIHLKVQFPLQLN MLPYTNRARTQDTSENFELARSCTYDLLSVVVHVGKLNSGHYISYSRVGNQWFKFDDH KVTMASESHVLGAEAFLLFYIIRSLA VE01_06161 MSEIHDDDNDIPMLSGSALEALKEFYADRDAKEKELENLKAKKN TGDILSMDTFTENWNDSQFWYSQETADIFARELLAKCDNNSRIAVISAPSAFVQLKNI IASTSMSADKIPEIYLLEFDERFSIFPEFVFYDYKFPLKLPRE VE01_06162 MKSCSPSSTAALRAAARPKSYISPIASRNVSDISITRTGKPIIK VQGGRSSLGGHTATVFGATGFLGRYIVNRLARQGCTVIIPYREEMAKRHLKVTGDLGR VIFMEYDLRNTESLEESVRHSDIVYNLVGRSYPTKNFDLEDVHVEGAERIADAVAKYD VDRFVHVSSYNADANSTSEFYRTKARGESVVRSIFPETTIVRPAPMFGFEDRLLHKLA GVTNLLTSNNMEERYKPVHVIDVGHALEKMLNDDSTASQTYELFGPKDYSTAEIAELV DKEIHKHRRHINLPKSILKPAATLLNKALWWPVLSGDEIEREFIDQHIDPTAKTFKDL DIEPADLANLTFHYLQSYRSAAFYDLPPSTEREKREEKKYLHVLDDQ VE01_06163 MNIDTIPDFLAEQRDAAPAELQHLFISFEDYWERKLWHQLTDAL VEFFNSPESGPQRLAFYKTFILTFADKINQLRLVTLALNAATQCRDSQERLSFLNSVA AKVNDPKSQDAYVYAIVAVATVKLELQDLTGSRADLDNAEKILDHFDSVETIVHAAFY RVNADYYQAKLDFASYYRNALLYLACIDLADLIPAERRNRAYDLSIAALISTSIYNFG ELLLHPILDSLVDEDAWLVKLLFAFNRGDLAAYDVLAGHISENKLLSSHKEGLRQKIY LSALTEAVFRRPPHDRAMSFHTIAEETKVRPDEIEHLIMKALSLGLLRGSIDQVDEVA RINWVQPKVLDMKQIEGMRLRLQEWDTSVNELGNWIESKGQDVWAA VE01_06164 MALISPGALLRGDGRLLKLPPYLSFFCILVGVTWLLLLPLNDYS RNTYISENALLPGQVHTYFSGSDQNIFRGFKQEVDALTESSNIEINDKLEGFFRAAGL KTARQRFEYTSAGNRHHGENLYAILHAPRGDATEAIVLVGAWKNMEGELNRSGVALVL TLARYFKRWSLWSKDIIFLVTPDSRAGPQAWVDAYHDAHLSPGIDSLPLKGGALQGAL AVDYPFDHRFESLHIVYDGVNGQLPNLDLFNTITNIATGQMGIGISLQQMWSHTDDYQ DRLQTMLRGMLRQGLGHASGAHSCFIPYHIDAVTLQPFGDGWQDEMAMGRVIESTFRS LNNLLEHLHQSFFFYLLMQHRRFVSIGTYLPSAMLVAVNFTIMAISLWVQSGRNNKKS PEKVDAAKPKLGKGVGPHQESNVKKERHLFLPMVVVGTAQFLGVIPLYLFNHSTEAML PYVFGGFSAFNVALPVVFSGVITNYFKPTHQQYQLMKSFSLLLLGMFLSALATLNFSL ALLVGLLASPLTYVQPLAKRPLVAIAVEVFVNLLTPTVVLCIGSSYWQLSIKEILTEA AFGWDVWGMTTQFVVWFVWWPAWIVGAVIVLGKPGDV VE01_06165 MASLASMIPQLNLGRTRSYVFRLPLFTRLIIVLIIGFWVVSIQS VWDVRAWGSLIPKETGVATLYRTNTFPLIHAGLFHAFLNVIALVPLLERFETEHGTLT SLLLFFGPLSTIPAIIYVVLERGVLRGNTAVLGASLWVFLLLGMEAIKTYRTNPHFKV GTVNVPTWTTPLVLAVFISVLVKNTSFLGHLCGLAVGYLFGLGYLKFLAPPEKILRWV EGKMNLLGRLPHYVSVDQKTYGRFGVLPVSTPDPESGIQMSYLGSTQRLGP VE01_06166 MLASRQLLGAAQRRAVIQHGLRRTMATASDASLDQKVTQNIHEK NNFINYKKMSENLAIVRGRLNRPLTYAEKILYSHLDDPHGQEIERGSSYLRLRPDRVA CQDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQIGGDKDLARAISINKEVYDFLA TACAKYNIGFWKPGSGIIHQILLENYAFPGGLLIGTDSHTPNAGGLGMCAIGVGGADA VDVMANLPWELKAPKVIGVKLTGQLSGWTAPKDIILKVAGILTVKGGTGAIVEYHGPG VNGISCTGMATICNMGAEIGATTSLFPFNDRMYDYLAVTKRKDIGDFSRAYAKELRED EGAEYDQLIEINLSELEPHINGPFTPDLATPISKFSEAVKANGWPEELKVGLIGSCTN SSYEDMSRAASIARDALDHGIKAKSLFTVTPGSEQIRATIERDGQLATLEEFGGMVLA NACGPCIGQWDRKDVKKGEANSIISSYNRNFTGRNDANPATHAFVTSPDLVVAMTIAG SLHFNPLTDKLVGKDGKEFLLKAPTGDGLPASGSFDPGQNTYQAPPAERSGVSVAVSP TSDRLQLLEPFKAWDGKDAENLPILIKCDGKTTTDHISMAGPWLKYRGHLDNISNNML IGAINAANGEANKVQNYTTGEWDAVPATARDYKSKNIPWVVIGDWNYGEGSSREHAAL EPRHLGGLAIITRSFARIHETNLKKQGMLPLTFANPEDYDKIKPEDKVDLLCTELEVG KPFTMRVHPKDGKTFEVKLAHTYNAPQVEWFKNGSALNTMAKANAAKA VE01_06167 MGVENKKTRTKTRRHTRDLDQIKSDMLSSKHLSQYQATKATEDL PGLGEFYCVECAKWFEGENSQRTHLKGKNHKRRVKALKDEPYSQKEAEAAVGLRTDNG PLRTSVSKPQTIEVEMAT VE01_06168 MSASKSPLDSSIKRSSSGTRRPTSRPTTPLRPSSKSSFRESGRS SVGGNSDAPLEVFEPAFAELSDSMADLEANFMHLQLMHESLSRFSESFASFLYGLNMN AFCVDFPEAPVADSFRRARQQSEQLNRSTNSERFQGDFDGETTFMTTDTSFVDNPPTS SKATPKYTPPVPASRIAGAPGRGGASSTGRGGIPRVP VE01_06169 MPAVSDILVHARLDWKSSRNSVQKLRHYLPVVPCDYTRTIPTFR GVATLSSKRQLSSWRTRENKLPLIPIFSQLKQQKLPLTFTRAFSHSSRDDKPKYFSHY PPTGIYARRPIQSAIGTWTAAILLAAISGSVYFFTARPKHSGKLDIESGDQFQQSEAR DNSGSTNSADMGETSQPGHVGNLSPEQEEKLQQLWVATLQVFGVLDKEQAKALSAGVN GTIVPVLSGQSAPASEQGKKKKRGWFGKKTESEETPAVNGDDKYGLALKFQHALENAP PEVIKRSFWSMLKHDHPDMLLLRFLRARKWDVEKALVMMISTFHWRSVEMQVDEEILV NGEEAMLIDSTSDDPVKKRRATDFLAQIRAGKSFLHGVDNLDRPMCFIRARLHHAGDQ LEESLERYTIYVIEIARFVVTPPAETACLVFDMSGFSLANMDYAPVKFMINCFEANYP ESLGVILIHKAPWVFQGIWKIIKGLLDPVVASKVNFTNSTADMEAFIPKSRILKELGG EEDWELKYIEPVIGENDLMKDVETKNKIQSERDAIAVEFEKATIAWIENKASDEVRKK REDLRISLENNYWKLDPYIRARSFYDRAGLIQPEGKIQFYPTAAKDSAVPVATVAETK EVAAVPAAVATKETSADDID VE01_06170 MSACIFCKIIKGDIPSLKLFESDKVFAFLDINPLSRGHALVIPK FHGAKLTDIPDDQLSELLPVVKKIVNATGAENYNVLQNNGKIAHQEVDHVHFHMIPKP NLKEGLSVGWPQQKTDMDKLKELLEEVQAKM VE01_06171 MGFHGYGGSELDHSDCLIYPCSECRRQRGQPHPSVRSGNTSTNP TSNPNDRWSRVNVFGYENSDSNAANRATGADTYTAADNTEDLSGGFHRLVISDGDCTL RDEMRQSGFRIPPTPENASLRHTEGSQNRSQVAGFQHLNQPEPGDGRSRLSDEDRALR DEMRRSGFRIPSVSDDPALSRPDGGQDRNKSAGFQAQNQYQANNGGFTAATGSVPHGS YPAPSPCSSTSTCVCQSNFGQQCGCSPNSNPEMLKYSNDIIAPWASEHPTTEDTLRRV LRNRWLASQGRSQESAGNPQTSNQPESSAQGATGRSAVPRIRLARPDGSEMEFDETYD VE01_06172 MSQPPSPHEDDDAQEDNVIHDEATEATMSRPESDGVSKRDLEIM KGIVDHLTEAKDENDREITSVFQRIVNKRFLPDYHEVIKHPVAFSTVRGKILRKQYPS WKEYVRDFAYMSHNAQVYNRPSAEAYGDALKLREMVKLELAKLVDQNIISAEDAEYPD LGEIPDVEDSPPPQPDEEMQSEDEPVEDDREDEDEDEDEEDDVDDSDDEGARRRRRKG PRSSAAITKREGGKVEDGSKEDADAHKKRGRPPKVHTPMEARINTILKGLRKFKDEKG DLKVLHFEKPPDKTLFPEYYQEIKNPIALDMIKRKAKRKKYNSVDAVMKDLELMFDNA KEYNLEDSEVYICATDLQREARILAEQEKTKPDSAYVDEDGRLPLPEILHNGEIWKVG DWVHLQNPNDLTKPIVAQIYRTWQDPEGQKWINACWYYRPEQTVHRYEKHFFENEVVK TGQYRDHHIDEVVDRCFVMFFTRFNKGRPRGFPPDKEVYVCEARYNEDKFKLNKIKTW ASCVPDEVREKDYEMDLFDVPRKMKKVPSPIKHLLREDAKEDDPLPKPTWGAANAPPI VGAVHKRPREANESPPPEPTPSPPPPRPVEPVRRSITTERATTYGQSDTPMGNMQNTA PSPAPAQQTLNSYGQQSSAVRPSASPAPQLHQQSSYASYATVDAPPPQTPSFAPSTTH NNYAATHAPPSTAHASVLQHPGTPNPLANYDGGYARSVPQPRNYAAPPAGSHTNAYNP PRSVEVYHLSDVANASIPQDIRSQFHRDEHDRVLFFTAPPLDVPRVPTKARVLGHSLK YLAKKLKDADALAEARKERDKKLEQVAGEKRKRAEEEEEKLAEEVEKVKIRAFEAWNR DMERRTLAIYERMFGADGKAVMEEERLRLEKVHAETKLENEASERREREMMESLKVRI YR VE01_06173 MKTATLSLAAISLLQLAVAQPHRRHAHEAFHAKKDVTVRDNSNI AHVIVYVDQNGTPVSTTTNLPAAQTEAPAAPAAPSPTPEAVAPVVADKVADTNASSAS SPSASASSADGYGLTYNPYNKDGTCKTADQVLMDFGGFGSGFSTVRTYGTDCNTVSTV VAAAKAHGMKVFQGIYDIADISGSVNDIVSAVNGDWSIIHTISVGNELVNSGQASASA VVAAMSSARSLLRAAGYTGPVVTCDTLVATLANPSLCDNADYCAVNSHPFFDPNTDAA SAGTFLTSSIESLKSKLADNTQTIVITETGWPSQGTANGRAIPSSSNQQTAIAAIKSA FESAPASVMLFNPYNMMWKTSNADQFEAEQWWGFLGDCPSG VE01_06174 MTMELQEAQKLVLSYMNEAADQFQKVPGSAMLIRYVRSSYQNDP VRSAIELILVVFFVRYLLAPSYSTHRQNFVTLSEEEIDDLVDEWTPEPLVAPLTAFEE SVQEKLPVIVGMSGPKSKLANGRTVTNLASYNYYNLVANEHIKEKAIETLRTYGVGPC GPPQFYGTQDVHMKTEADVASHLGTEACIVYAQSFSTISSVIPAFSKRGDIIVADKAC NYAIRKGLQICRSTIRWYEHNDMEDLERVLQRVVKEQARKPLTRRFIVTEGLFETVGD CVDLPKVVELRLRYKFRLILDETWSFGVLGRTGRGVTEAQNVDSSSVDMIVGSLSGPL CAGGGFCAGSTDIVEHQRISAAAYTFSAALPAMMATTASEALSMLQTMPEILAGCREN IKAMRAQLDPRSDWITVTSAVDNPMVLCVLKPDVVNTRRLSMNDQERVLQECVDECLA NGVLITRLKAMPVAPSSTAKEQGWQLQPALKVCVTTGLTKKETEKAGVVIRHAVTKVM TRKANSKLVVPPTA VE01_06175 MSGHPTDHYNDGYGHQDPHHQGNTDSYYQDDHQNQGYYDDRAGG YADQGYNDQQGHQGQHGQGHQQGQDGYYDEAGYYNADTNNPHHQDGGYYEGGHDNGQY NDGYYNDQYYDQNGQHPQGQGGDYPKGKMRGDSEEDSETFSDFTMRSDMARATDMDYY GRGDERYNSYNESQMGGQGYRPPSSQISYGGNRSSGASTPNYGMDYNNVLPSGQRSRE PYPAWTADAQIPLSKEEVEDIFLDLTAKFGFQRDSMRNMYDHFMTLLDSRASRMTPNQ ALLSLHADYIGGENANYRKWYFAAHLDLDDAVGFANMKLGKANRRTRKARKAAKKKNA NPEAENEAQTLEEMEGDNSLEAAEYRWKTRMNRMSQHDRVRQVALYLLCWGEANQVRF MPECLCFIFKCADDYLNSPACQNLVEPVDEFTYLNNVITPLYQYCRDQGYEIVDGKYI RRERDHAQVIGYDDCNQLFWYPEGIERIIMEDKSRMVDFPPAERYLKLTEVNWNKVFF KTYKESRSWFHLIVNFNRIWVIHIGAFWFFTAVNSPTLYTSNYQQRLNNQPSTASRWS AVGLGGAIISLIMIVASVSEWAYVPRKWAGAQHLTKRLFFLIAVFILNLAPSVYVFGF GGQDTYIGKILAIVQFIIALITFFFFAVMPLGGLFGSYLTKNTRKYVASQTFTASYPR LTGNDIYMSYGLWVCVFVPKFAASYQYLTLSIRDPIRILSTMKIRNCLGDAILGKGEA AKVLCHIQPKFLLGLMVFTDILLFFLDTFLWYIIMNSLFSVARSFYLGISIWTPWRNI FSRLPKRIYSKVLATTDMEIKYKPKVLISQIWNAIVISMYREHLLAIDHVQKLLYHQV PSEQEGKRTLRAPTFFVSQEDHSFQTEFFPSQSEAERRISFFAQSLSTPIPEPLPVDN MPTFTVLIPHYSEKILLTLREIIREDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILAD ETSQFNGDEKNDKDASKSKIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTISG FMNYSRAIKLLYRVENPEVVQMFGGNSDKLERELERMARRKFKIVVSMQRYAKFKKEE MENTEFLLRAYPDLQIAYLDEEPPLTEGDEPRLYSSLIDGHSEIMENGMRRPKFRIQL SGNPILGDGKSDNQNHAIIFYRGEYLQLIDANQDNYLEECLKIRSVLAEFEEMVTDNV SPYAPGSKPTKTNPVAILGAREYIFSENIGILGDVAAGKEQTFGTLFARTLAQIGGKL HYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDIYAGMNALLRGGRIKHCEYYQCGKGRD LGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYYAHPGFHLNNIFIMLS VQMFMLCLINLGALRYEVIACVYDPDVPITDEKNPTGCNDILPILDWVWRCVISIFIV LFISFIPLMVQEATERGFWRAATRFAKMMGSLSPLFEVFVCQIYANSVTQNLSFGGAR YIGTGRGFATARIPFGVLFSRFAGPSIYLGSRLLMMLLFATITIWQPALVYFWISLLA LCISPFLYNPHQFSWSDFFIDYRDFLRWLSRGNSRSHSSSWIAYCRLSRTRITGYKRK ALGEPSAQMSGDVPRASFTNLVYGEIIGPLILVGITLLPYTFINAQTGVIDPNAKATH SIIRVALVALAPVGINAGVCAMFFAMACCMGPVLSMCCKKFGSVLAAIAHAIAVIMII VFFEVMMLLEGFNFARALIGVICAAAIQRFLYKLIISLALTREFKNDTSNIAFWTGKW YSMGWHSMSQPAREFLCKITELGMFAGDFILGHMLLFAMLPLILIPKIDMVHSVMLFW LRPSRQIRPPIYTMKQSKLRKRRVWRFAVMYFTLFVVFLALAIAPVLGGGQVAGAVTS IKESVGANSMIGLLFQPNNRTSAWYNDTGPTLTAILSSEASVLAGDTRSASNGAQSTG SNNRRSVKLL VE01_06176 MKSYSLASAAATAFAGAALLVSSVKADVDPIVIKGSHFFYKTNG TEFFIKGIAYQQEAAANGTASTSIDFTDPLADEASCARDVPLLAELGTNVVRVYAIDP TKDHKKCMTLLEEAGIYVIQDLSNPQNSIIRDQPEWTTSIFAGYAAVIDEMAQFTNTL GFFAGNEVSNQANNTDASAFVKASVRDSKAYIKAKGYRAIGVGYATNDDAEIRDDLAD YFNCGKAEESIDFWGYNIYSWCGKSTFQKSGYDVRTKEFETYNVPAFFAEYGCNEPSP RVFTEVEAIYGPQMTGVWSGGIVYMYFQEANNYGLVQVKDNAVSKLADFKVLASQVAK VSPSGVSMASYTPTNTAARTCPSVNAGWAASSTLPPAANSQVCDCMVKSLSCKAKSGM KGKDIATQFDYVCGNDAAACAGINANATTGKYGAYSMCTSEQKLSFAFDQYYQSQGSK ATACDFSGTAEIQAGSTASECTTLLAAAGKDGSGTVGSVGTSTGGSASETSTNAAAGS MVPRFEMGALSMGSYVFATVLAGMGMILL VE01_06177 MARRAIVIEDSEDELPELGTIFKAKELKTIGDGAKKTESKKGTM RRRVLNGVSDNPLLRPLGKELGREKDGRVRGKGRAASSALVSASASGGVGVSVVEPAK EEATQRRARKMGRTAVGDQKKAEILECLESLSDSFDKGVNGIGKGMDMIEGINEEIKM PRGTGKAAVEQALDDDVEVGKVAEDLEGLQLEEEEDVAKPKPRRGRVVKPVAAIQAET EEEEDDSPVLDMRSRRVRTGKPAAIVRAKTPEEEDDSLVEDEEDDMSDFIVSDDSSIE ELEEEEDDLSPVPAPKSVRKLVRGRRPDTSSQPEAPKVKETQALHLDWSDDDTKEESV VPRPVTRRLFPTQKDQDTTGNSEEECPILTYDPPTSKASRKPAKKTIFTTPPPSPKKT LVSPKKAPRIPTATHSPSTDDFWKADVVNDWNDEFSPQKPLRSLNLVPTPEDLTGSPR KAPAAKKDKALIERKKLFNENKHAIALSFLRELDSEITDGKVSALAASTGGISIIWSK KLNTTAGRANWKREAIRSRPLSPNLPPTTTYRHHASIELAEKVIDDEDRLLNVVAHEF CHLANFMVSGVTNNPHGKEFKAWASRCSARFGGRGIEVTTKHSYEIAFKYVWRCCEVG CGLEFKRHSKSIDPKRHSCGLCKGRLVQTRPVPRAGAGEGVEKVMGEYQAYVKENIGR VRRENLGSPQKDIMALVGRGYRELKAERLKGLGQSGLSVESVVEDDSVDLIVKKIDFL DLTTP VE01_06178 MPSYRKTPLFGGALTAELPTTFADVSTIRQVPDNQEVYLDKEGF TSIIFDITERVGPAGSTDATDGAALTIHLEDIVDSDSDLLKVWSTTDTQFSNLPPDTP AYTLIATQTPRPDPEARNPAPDFTAIVLNLIRLEREKTDVLITINVPHIKGEYSEEDV DLELGRQGKLISNAVEYASVIWESLKIRDWGLFDEA VE01_06179 MAQELPSSLPTQSMMKRAVTLPLRPSTSSSWTPREKPASSESIE SLYIHPSVRIVSFESFGSSSAFQRRSSLNTPNLADVEEEVGTLPWSSTFERTIAVGPL RIYRAPGSVAFINCSSVIQPVLPKSRCWCVDDDATKFILQIRRPQFWRIEVPLDDPNH KAKSQELKDVLSQILLFEKTPCPFSRSFHIELPEPPQTPVKKKPWKPVERPSSANVDT KSVNTETPVDVSPKSRKTEDYYAAASSDDHPAVPEMDSDSDATDDTNITPRNYFPRAP IPHIFKQELLTRPEVLDTGRSVTAPPQLTLMTSPPSKNTKGLSLGSPVGRKSEDSTLS NFSSSQDSFHTVQSWHSPITPMFPDSSDSRPSTPTTFPYPHDNIKLPKRTLEPQNVSE LAISPSSETPTTPGVWTMVDTPETKLSGSLLQSSPPSPTTPTPARKIQEDDDEEEVYE DASPSAEVVVADTRKHRSVSERSSRRRALSPLPSPANLFSPSLSRARHLRTARHLPTA IIQKTWEILLSPPSHLIQIMVEIAAKIKAGQWRGAVFGVDEGGEEVVGQWDYGDGDFM CDQWDDADVDDYGTKTPVSSQMVRHRAVRGPPGGFGDSWEID VE01_06180 MSERRGKVQMPLVTPEQEEKLKKKLEIKNFDLNAILRGAQLTVV GALRALQNPALFTSEHYKQAALAVAAGIAIRILIAIPIVGIKVLLWFLSFFINFDAVS WDDNLVSGLDFIQNYVLQVPFFLMTLMRYITPTLDNMFMDSLRWVDSTYQQKHKDDDP SQLRPPYYPNMSLYSTRDGSTHSKSTAEALTRLFIRRGKKAGISLVIFALSYTPYVGR LVLPAASFYTFNASVGLGPALLIFGSGIFLPRRYLVIFLQSYFTSRSLTRELLEPYFS RIQFTAAQKKRWFHDRSGLLFGFGVGFYIFLRIPLLGVLIYGIAEASTAYLITKITDP PPPPAQSVGFAESQQRWTNKHEFLSLDWANLDSHAGHVKSGQGEGATDAYYEKREL VE01_06181 MAEDTPELPLPDRGATLVMRVMPDDNSCLFRAFAAAVLPGDDLS MLELRSLVASQIQEEPDVYTKVVLDNRTPDDYCRWIQTEDAWGGAIELAILSKHFKIE VCSIDVQTLRIDRFNEGSPIRCILVYSGIHYDTIVQSPSDPPHTIADNPPELDKRVWD SYDDDILIKAQQLCKVLQGKHYFTNVGEMAIRCTSCGWVGYGEAMAAGHAQETGHYDM VEQKS VE01_06182 MSKRTSAGAKAAKTEDVVDKQTTLLSSETGHFSLVRALHLADLI TELNGMCGVMSIFSSMRYMAGSPGELGNLWAALALMPLGLFFDFFDGKVARWRRKSSL MGQELDSLADLISFGVAPAAAAFAIGFRTPLDHFILAFFVLCGLTRLARFNVTVANVP KDATGKSQYFEGTPIPTTLSIVGLMAYWVGQGWLHGELPFGTVLEGSAMEFHPVLGLF VISGCLMTSKSIHIPKP VE01_06183 MAPSFLKDLRRRSKASFRTEKSTDSSNLSNGTVPTSKSSSTLGS AVGSQTPPDPILASYSDFQSQTKSSLPLRPQVSASTSPGKRNSSVSGMSGLGSPNVHS ALPISPYAPRIQSISDGAWVNQKVLLINGTVGDADAAAIEGNISLSRLDDDFPAINWP VTESHFKALVYLTPGMNKLRFDFSSPKLANSNSTNPIHSTFITIHMMPMANSPPLQLV ILLGADSPATFDSTPARIEQEGNGLDVAIKKFRMAAYLWQAFTAEQMFRNKMGRRCFR FEEEWQTGTANYRDREDGTMRNEAKIHVIRSTRTVAEIRSIDRAQQNPTAKMSGDLFK IAGEAVEEYFKPSPGQHQYVSVLILDSHWDKAANLVTGHAALGGTHGRIHMGIFGSHA LHSYPTSLEEVVPAFTDCTRTDTSFVANDANQSGSSWEAANIGIGAHLHETGHLFGCP HQENGVMLRDYVTFNRTFTSREPFSVRTNEKGGLVLPKDECGWHRLDCLRFRNHPCFR VVSDPKLCADRSIHAWPVDSGNVLVTANSGVAYIEIFTEGDETCHNWIEYGDGNGRGP IQRQVVLTELDLRGRLPDDRKSKKIRLAVKSIGGESTDIEDFGLLASKASMLKLKNGQ VAFRGAKLGRSQTQGSEPQEIIFDSVLKQTKLLTQIKFYHGFALDGIEFIYEDTSTQL IGKRGGKEGGSDFYLDTRRGECILGFYVRSGFWIDGIQLLTSCGRKSEIYGNAHGGSA HTLIPPRGYSVAGISGSCGQWMDGLSLIITR VE01_06184 MSSPWKNNQPEVVADRVLRRAEVSKMARKLQNRLALAQFKAKHG WEDLTLDLIEPKVDEHLRRQRPSSAGDMLSDTSSSSASDFNYPRTVLSSSPLKAPFFS DALDSSSGSSGHRKRSYNATFHNPSQSTARKRFRSSPSAGRSMSQSHTSWKDQHQLSQ SSPIKPRKQPHFTTSAGPNLSFFRGVSGTIAEDIPSSAHPSLDSNSDSDSDLLPLHSF KLPSNTNISRSSPPRTPPPRHRAITRRTTANANNTTTTLTTNNPSLTRKSGEEGADLL LYLATSPSPAHPRSNRMHPPQTPPSKPLALPSSMMTTPGGGLGLLSAFGVGGGGPHTP SQTFDFADFVNITPSPAQGAWTTRTPASALREGRGRGEMPTSSPMFGVGRGRMGGGLG MELGGELLS VE01_06185 MDVYDNMPTSPSRRAAGVSLTLNTNTTSNTSAYRKPRDPNLSPP RTPPGTRSRRALRERDNNTTAPAAIPRETFLDDSTPVDFGRPSPQARRADGERHSHDL SLAPEQVTRDSLVNNMLLSLDQFSLGGEGGGGGGFGIPGAEGLYNSFGAEEDGYAGYE IRRGNGGRQLGHGHSFSEDFDHDAASRASSQYARTRRSNSSSNYTTTTTGRHHASNSG SMGTPRQALQSRGAHPRERKESKDSSVSSSDMGHSSQAGSSQRWASGYGRGRASSFDD YGQEAQMPPIQRQRTHSSPVDELEPYDIYDAAPTPTVPVGPRRQRPITPNGVYTADIS TPSPRNLQREQSSRVPKTLYQKRAETSHGQGNPMNNGLDENRRLAAMPAFKKEEPAPA PLVAYGKVKNVPAPTSASKPGFFRRVFGSKANTPGATSTGSVSSPGSTISGDPMERPP SNKYQNQATERVRTAHGYPPQQPPPPRVPKEAPKAISKKPSSFFKRRKKSVSEPEVPA MPLQLVLVRDQEVVQDLASPTSSLRQVMNQYLNSPITQPPRWDDYDDGRRYDNGEDDD SIEESTGFSPGYTPDKSATIRSVNPGRRNGEPIRAPLMRNNTSQSQLGTDSEKELDRT FLQDNSDNDKEGNRIVSQTLTVKADPASRDMAHSPNSVARDKALVAEYEQKYSKRQPA RKAENPMLSLYPASGGSPKSKKDEASDNDGTVRGKDRVPDAEKTSSISLPLEGMKGVE SSESSPAVFHSATSLAGGESMTLPSTKDAYNSSEPSSAVEQVQPTTGDWERAKKIYDG NEDFIQKSKAAAWLGEDGAIRRRTLLAYMELYDFKDMDILGALRALCNRLLLKAESQQ VDRILDTFATRWCQCNPHHGFKITDVVHTICYSILLLNTDLHLADIESKMTKSQFVKN TIPTILRVVADAAPEAFEPSRPTILSPKFEVPEPEDELRHDLNVDMRALRDQVNAFEP EKQLALRPPGKSLRPGSMGPSVTSLNGQSPALDDCGPLVRAPFQGTLKAWEGQVEIVL KDFYNGVRSERLPLFGAPAEQPRLQQASQSSLSVFGTNKLRRTPSIISKAGSESMSFS RGRTADAVRLNTGKWTNKNRSRPRVYPHSTMGSSRTSLDDQSSIWSPSQSSSTWSKYS LGKTQTSMLSVNSLDSNYPQGDYQQSIGFANALSQAIIREENVGSADGKADDLRVTPP LLEDESLELHGAPWAKEGIVKHKHHLEAADKKAKDRNWAEVFVVIEKGYMSLFSFSSK SVRQKSASRAKGAGVVVVGGGNWQDNAENLGSFLLRQTIASTLPSPGYSKARPHVFAL SLPTGAVHLFQVGTPEIVKEFVSTANYWSARLSNHPLVGGISNVEYGWSDAVINNSLV SAIQDAHPTSPPTTGTGTTTTAAGGVRGGGRSASISGPRPSLQSSLRSSLDQGAGGFK ARLPGDKITISEWAPPTQSMRASSLEEGEQLGGLEGYVRGVEEELVGHNRLRGAMMLA FTPRSPNAHRAMANWERKSSYLLREIVKFRTYIDCLLAARVMKEKIYREREERAAEAV VE01_06186 MGSYEEAIRLLNGRREPPKANPDEMRGSDDMLPWLRLLGYSDET LNSLNAIHVAGTKGKGSTCIFIASFLRAHGQRCGYPRKIGLYTSPHMNHIRERIRIND EPISKDLFASHFFEIWEKLPREATRTLDIPRYLQLLALLAFHVFVKEGVDVGVFETHA GGKYDATNVIKTPCVTAVTSIGMDHARLLGHDIQAIARHKAGIFKSGCPAFSVLQEPM VTAEFEKQAMKEGVLLKFVDLDETLPTDAAALKPVPQRINCSLALTVSREWLRQKAPD KELTTEDIICGIKQFSWPGRFQQITDGRCQWFLDCAHNELSLPYAATWFAEAITENQE YV VE01_06187 MSVNSGLFDGSLPFPRSPRNGSLHTPPSISSNSRANSLWEGDGD EVHSKKHAVMVSYLHGRIASQMWFDVNKEFLYTPAGSGRSNSPISSYDRTSVQGVLLR TSRGVYVTQPSNLCHNLIAAVQRLNVEVAFTMSTELTNLIFSTITPTQTEFILPHDST QYQILDSFEDMAKASSNKLKRFQYTCFVRKERVVLLWHDDVESILSHGDKVERQLLTV IWGAKIPAMPNSSSSHNSVIHSPFHAPPTLNSSTHALPIKAPSAPQSSIRVSLASRLG FTSPQEVEKGEGEANADEKDVDVESAVPVKESLERPLVFISAIFVGMALCLMIILLLG FGASALLYECLMDGSWIRMALLATVPFFGLFGLFFAICIFTDLFQVIGPITGVTSNSR CYSSIRPDIFKAYQIGFRPSHITIQMPVYKEGLDSVIIPTVTSLKAAISHYELNGGTA SIFINDDGLQLLPEDEAQARRDFYTDNNIGWVARPKHGVDGFVRGGKFKKASNMNFAL NISNKTEDVLTEMIEIRKSYTNGGDITESEQDELYKAALDKVLDDDGKAWADGDIRMG EYILIIDSDTRVPVDCLLYGAAEMFLSPEVAIVQHSAGVMQVVGDYFENGITFFTNQI YSAIRFAVGSGEAAPFVGHNAFLRWQAIQSVASKAPDGSDLFWSESHVSEDFDISLRV QMAGNFVRLATYHGDEFKEGVSLTVYDELSRWEKYAFGCNELVFNPLYTWLWKSPFTP LFRKLIWSNMQMSSKITILAYIATYYALAFGLPLTILNYFLIGWENGYIDSFYIESWK IFVALLVVFSGLGNVCLAILRYRLGEKSLLGALLENFMWMPMFAVFFGGISFHLFLAL CAHMFSINMEWGATAKEAVASNFFKEVPKIFKSFKWMYAFTIPMVGGMVYLGKYAPAG YEINVVTAIVPLAVMISSHVLLPFMLNPALMILKY VE01_06188 MAPPLLQLGSLSLLLLSAVTPSLAASVNTASLPTNWSYKGCYVD SVAARVLSGSAYNSDTQTEEACASYCDTKGFKYAGVEYGVECYCGNTLTSALGAETDC AMTCGGDANELCGGPNRMNVFENTAISASTPVTTPVPANTNVAVAGWTAGGCYTDTVA ARSLPQGVPVAGNAPMTVELCLAACQAAGYKIAGVEYASQCYCGNAFANGGALAPDGN ALCNMPCDGNAAEMCGGPDRLNVFFFGDSSNTGTGTTTAPPVTTPLPSTSAVAVAGWT AGGCYTDTVAARSLPQGVPVAGNAPMTVELCLAACQAAGYKIAGVEYASQCYCGNAFA NGGALAPDGNALCNMPCDGNKAEMCGGPDRLNVFFYSGSGSTTVSGTGTGTGTTTAPP TNTQPAGSGTATKLPAGWSYKGCWVDNNQGRIMNGPQADLTTMTIESCIATCVAAGYA IAGLQYSSQCFCDNLLKNSATLAAVDTMCSTPCSGSSVEICGGGNLDSVYASRDIEVI GPAAPQKSALPGLWQYKGCLMDQDPKSLPEKIVYTGTNTPNKCLKACSDYGYNAAGME YGEECYCGDKSDPSKQGAKLVAESDCSTPCPGDARYLCGAGNRLSYYEWVGAPIQNYG FPQGAAAGEYVLLGTAPVIALITTQGLNGKVTFVEKSGTSTTPGSTGAYEWDPSTNTF RTMHVKTDVFCSAGLVLPDKVGRQINIGGWSGDSTYGIRLYWPDGSPGKPSVNDWQEN YQELALQNGRWYPSAMVMANGSILVVGGENGSNGPPVPTLELLPRAGGTLYMEWLQRT DPYNLYPFLAVLPSGGIFVAYYNEAIILDEKTFATQKKLPNIPGAVNNPLGGRTYPLE GTMVLLPQHAPYTDPLGVLICGGSTPYGGFAIDNCVSTVPEATNPTWVIEKMPSKRVM SCICALPDGTYLILNGAHVGVAGFGLASDPNHNALLYDPSKPINSRISIMANTTIDRF YHSEAILLQDGRVLVTGSDPETDGLQQEYRIEAFIPPYLKTGRPVPSYTITNKDWKYG ATITVTVTLPSGGVPKFSLMGAESSTHGNSMGQRTIFPAFKCTGNTCTITAPPNAHVS PPGWHQLFLLEGGVPSKSQYVRIGGDPGNLGAWPKLSDFNVPGSG VE01_06189 MDWPYHINTTLTTSQKLLRRQTIDRYGLYAHLSPLLPIALYWLY VLFKWVVRARQTRGEYAAVPGSPHVKREARTAAGRAARWWRGGLWWVGGRGEEQERVV HQLAGLVWAVWLGGLAVHGTGDDYLHLTKRFGMIAASQLPILYLLMMKNRYSPLRYLL RASHEELNPYHRILGQIIVTLFSLHAGFYLNFFIRANLVKNLFTRPVPSLGLLSIIFI LTLYITSIKTIRTYSYRIFYATHFTISLLLAPILFFHAAPVRLYLIETLVFVLFNLLT RRLTSFLAPSTITALPQTTLLKLNIPIPPSHRRLYANSAGQHVYLSIPPPSQPSTGAA ILNLCSNPYTISSIAPDTKSLTLIARSLSGPTSARLLELAELWKARPPLRIEGPYGGS TRFPDFASEFDRVLLVAGGVGGTFVLPLYQRVRTEMERRGLNTERVVMVWSVRSAAEV EWAAEAVGEVASGVEIVVTREEEERGSVDGGGGVEMLDLAEEGGRRKRGRVDVGGVVD GVFRRGEGERVAVIVCGPGGMAREVRTAVGRWVGKGRDVWFHEEGFGF VE01_06190 MVFEKLQTLELPASADMHVHLRDGATKNLVVPSIRAGGVNCVMV MPNLVPPITTVAHALQYQASLQALEPKVQFLMSLYLHPDVTPATIAEAAAAGIKNVKS YPAGVTTNSSAGVVDYSVFYPVFAAMESHNMILNLHGELPSTADSSITVLSAEEKFLP TLRTLHEAFPRLRIILEHCTTAAAIEAVKACGPTVAATITAHHLYLTIDEVVGNAHHF CKPVAKLPADRKALLSAAAAGGEKFFFGSDSAPHALSAKEMRGKPAAGCFTQGEATQL VLEAFVGAVGKGLLKEEEVTVEGIEGFLGGYGRKFYGVEANGEKIVLKRGASKVADML RGEGDLEIMPFRAGEETWSLEWKA VE01_06191 MASRALRTSSHLQHNSPLASIFLQHINTSIPLSQRHTSRTYSTD TTESLTTEKPRNNRLNPPTSTLPPPLVIPPKNPDQSFFRTLFNQGKGYLTFYKTGAQA IFTNLSLSRAPQELVDKKYNGAVYEAVRDRNFSRADYQLLLRSWHDIKRLPVFGLIFI VCGEFTPLIVFAVSSVVPYTCRVPRQIEADREKVEARRKTSFRNLTMAFTPGKELERE QLLHISWSLGLSSKNWDHIGGTPMTLLKGRVARHVEYLQTDDRLIRRDGVLGDLETEE VAIACAQRGIDVVGRSEEHLREMLEGWMAASKKTPVEKLLLTRPNVWPVPAKKDN VE01_06192 MTSTHTASSTDAPTDNLPTNSNATADDDNDTSDAASNFSDPYEE EDKPEQSNAAAPGAQAGGEVDDDYAMTFESDGEADSNSPEDSSEAVDQHEVVQSAPAP LSGSETAPSPIDTIPSITNTTTEPIDNSSLNPITSPPTTSSEPAPLAAAVDGGSDPAM SETTQAQSQAPPAHSYESIAKGEIDIQQLLDNITANAEINATSANGTPTATTVSSPTF PPGPPGPTGPSTLPSHSSLPPRPQVLQKPAMHPAYASQDDIRKYHAGPSFAVPPGSTA YRAPGMPMPLISSGAPGTKADARNILPPPPAASFNAPSSLPAPPSSTLPPHPKQDRAQ TSVEAGDAEDAGEIQWGADVQSLYDKFLADERMYVSEGLWDRFPAGSRLFIGNLPTEK VTKRDIFYIFHKFGRLAQVSIKQAYGFVQFHDIKSCHAALQREQGQEIRGRKMHLEIS KPQKNTRQAGPGQAQPATQRRSRSPEHQRGGGGGRGGQGRNQPQGYDRYDGRAVASPR EGDHRGAATRGREDYRALRSPSPQRGGFRGGRDEYGQNRGRDSYGGHDGRHTRSRSPV YDRRDGGRYRERSPSPRRREMDEDAALGIPRRDTRDVPEVQIILLEELDRNFISWVEG EIRGRGLKSEVMFLSARLPVDVVVRRQILEGVLAVVKLTHKAQQTSRIPLQVFDRTRG ADNVRFDEYEDLEPRIAAELVIREKQKQLTAPAQAAPAYGQQAGQQYGAPAAQATPNL GSIVGQLDNATLQKLLGTLNAPIQSPTPQQQQQNQGNGQVDLAGILGGLMGKSAQQPQ QQQQQYPSQQQAQYPPQSATQNLGALLGAAGLGQQAQGQMQGGQSAEDVQNIMAQLAR FRQ VE01_06193 MSRHIRLFAIPRPVVQQPQQLVLSLPIRQFATPSRNGSGSESPY SDEEYAAAREWASTFKPGSLPRDLAQTRFDRSSGKGGQHVNKTNSKATSAWQIKSIAP YVPAMVTKELRASRYYSKNSDCLTIASQEGRVQRDNEDNCHEKLYEHIADIAKRIIPG ETSEAAKAKAAKQKKIGNAIRLQSKKEHSMKKQSRSSKGGDY VE01_06194 MRGIATCLAWALSITSTYAAPPAGAGPKKCAVTEFSQQTIFVPP RDYNTPKTLYGRTAQLEDGTLLATWENYSPEPPIVHYPIYKSTDKGKTWAQFSTVKDT VNGWGLRYQPFLYVLPRAIGTFKKGTILCAGNSLPTDLSKTKIDIYASRDSGKTWTFV SSVASGGRGIPNNGETPVWEPFLLVHKNELIAYYADQRDPEHGQKLSHQVTSDLVNWG PPVDDASSATYDNRPGMTTVARLRNGDYLMLYEFGGGYNPANSGWFPVYYKIAADPRK FDEAEEMVINAGGVVPTGSPYVVWSPSGGKNGTIVVSAASHSEVFVNTKAGDKDAWVM HKTPQESAYTRSLLVMDDPDYLLILGAGQLGGDNKVTDTVMRLPNL VE01_06195 MPSPIYTDFQIESPIFLKGSQFSVGSGGGGSLGPGHAIDLAAYY VRQQHLQNMPQSSPVAEKELSVHGDVLETVQMQDRSKFADGAIRLRMLNHDMSDQMDD MIFGSNYESQMNMA VE01_06196 MAATNGAHFDFIVVGGGTAGNTVAGRLAENPNVTVLVVEAGVGN PEEHDWAYKTTFVKRDDYERIDKPNTRGKALGGSSSLNYFTWVPGCKPTFDLWEEYGG KEWTWDPLVPYLRKSASYHDDAKLYNPELKKIGGGGPIHISHAELIDDMAPFREAVTK AWKSTGEPQTSNIYDGEINGLTHCADTIYKGVRSGSYLFLKNKPNITVLAEHHSKRLI IDEADRTCKGVTIISSSGKELDFYASREVILSQGVFESPKLLMLSGIGPKRELARHGI DAIVDSEHIGQHLLDHPGVPFVLRVKDGFGMDDILLRKGPKNDKVVSQYKKDHSGPVG SGLLELVGFPRIDKYLNKDAAYKKAKAANGGVDPFSPQGQPHFELDFVCMFGSAFQWH YPTPPKGDHVTVVVDLVRPISDPGEVKLNSADPFEQPNINLNFFANDLDIIAMREGIR FTYDVLTKGDGFKDLVEDEYPWQMPLQSDEEMHRAVLDRCQTAFHPCGTVRLSKDIKQ GAVDPKLKVHGIKNLRVADASIIPVIPDCRIQNSVYMIGEKAADLIKAEHRDLYK VE01_06197 MSDKIPIYTLAEGCPIASSSTAQTFRSRSTPASSTKSLALLQDT QLIETLAHFSRERIPERVVHARAVGAWGEFEVTKDISTLTSAKFLNGVVLPVIYDHII TTSRLVSSRTHRSIR VE01_06198 MAETPHTTRKEGIIHKLFHHKHPQENRQQGNESHPADAKELQKN ENEIGKLEGDINKDKQEFKDYLKEDKKMEKEGDEYGGLM VE01_06199 MVAKVTVTSKEQKLSKKDVETMFDKTLYSKIAENDNRSWTVSFE NNDYLKFAMKCVKSDAGADWFRACYFKEEYAMSLFKRIITSAKNRLRY VE01_06200 MAGASDKVRFYMEQAVPQLREFEEKKIFTKDEIRSLVKKRSDFE HLLLARGCPPPTFARYAAWESNLERLRASRCQRLRIKSSSSHTGQARVLAIFGRGTRK HPGDLGLWMTYLEYARTAGATSKFKVILTAALRLHCTVPGLWVYAARCALEESDMGEA RSFLQRGARFCNQGPEMWVQYAKLEMIFLAKIAARRRVLGLDAPAVKAVEEKEVEEET QGFEGDEIKFPEFKAQSLGQSAMEGVKVDGEAKQDPMNTPALQGAIPLAIFDDAAKQA FFSAEAAQHFFDMFTVFTQVSCLPKVLQHVLDVMMERFPTEAATWDCYVRMPLVGVSP LTADFPGALGVALARLGEGKEKVRVKGALAKKTAAWVEKVLAVEGLDEGIKTVLEVTL RKLEWSGL VE01_06201 MAPKTKDGDVLLAFSGKWVTWAHTFTAYAAFISALIVGVSLHYH KIVTNEHYGYPHEWFPSVSATIGDRYPERSFFMFFIAITSGPRFALVGLWYLLTARPG QKLAKYVAIVGIFRTITCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPPN PTSIKYRKIFGGAFFATIVPLVYFFIQHKVHKVAGAYTTYAFFEWSLVLLDVAFDAVT MLDFEAFEVVIKDVTGASKGQPRKDSGVEMHKDKPVVQVLNQSFLWSDAIDAAAEVYH GFVFWSMLTSLGLCVWYFPLWNMGISGYEILVMVTVTPFLLSNRTVRRHVLSNLRLTH LLSLSGLVAYKLELPELRLFAVGLGVALSCLAWSATWSTTAFQPVQLETKITAWTLGL ILHSVVKFAWQTSNPIWPIVHDSNGGYNFTGLVLAVLAVLRTTSNGNKGTSSPVERKQ QGSSVLAAFGIGGLFFALHSLLSDSSTMILWVWTGFPVRGPLAAPHGAVTIAAMCAGL VLGLFYPLLARSWTFYGLGCIGAALLTLRADWTGYSGALLLTVYLLAFSVPMIGAAAK RNPATVFGLGFLVYNFVVLFHVWVVAYAFVPGGPLVRERTDWVMSTTMLFIGCGVFTI SSSVSAAKSPSYTPPAPRQRAHTLSLVGVIQLLAVCVAYIRFPTFDYTPYHAPSKLIT AGIWTVHFSLDNDMWSSEYRMRDALRDLELDVVGLLESDLQRVIMGNRDTTQFLAEEL GMYVDYGPGPDKHTWGAALLSKFPIVESEHHLLPSPVGELAPAIKATLDVYGTLVDVF VFHSGQEEDEEDRRLQSEYLAELMGKSDRPAILLAYLVTKPLEGNYNTYVSEESGMKD IDESDWDRWCEYILYKNLRRVGYARVSRGTITDTEIQVGKFLVGQTEGGGWEGRGGWA GGEMVGGSEVQRGWRFPETFEGEGVRGHKYHVFEEPRYWV VE01_06202 MSVHSSSGSSSPTFTKPKLPFGWPSYILTNPIPRRYRRRLRSKL HARQSPASALSSIETSFSPYVTLHKLQKRRWNLYDAQNIFLVIILLFSAAITPSAPII KAGIILLALIAAVIPITSQFLIPALPVLTWVIFFFAARFIPSEARPGIWVRVLPALEN ILYGANLSNILSAHQHVVLDVLAWFPYGIVHFGGPAVAAFIFFIFAAPGTLPIYGRSF GYVNLTGVIIQVLLPCAAPWYENRHGLAPANYGMPGSPAGLARIDALFGVDLYSTNFT NAPVVFGAFPSLHAGNAVIVMLFMSYCFPRFKPFFAFYVMWLWWATMYLSHHYAVDLV AGSMISGVVFYIARANFLPRRQADKSTRWDYDYVEIGEVAGGEQEYDLAELGYRQRLL VDEWSVGGVSSEESGSASPDDGGSLWEGETLGGRESTDRESLAEIVLVR VE01_06203 MSYDAPYPTSASRTPPKVQPCHYKTGKTLGAGSYSVVKECVHID TGRYYAAKVINKRLMAGREHMVRNEIAVLKRVSMGHRNILTLVDYFETQNNLYLVTDL ALGGELFDRICRKGNYYESDAASLILATLSAVAYLHAHGIVHRDLKPENLLFRTPEDN ADLLIADFGLSRIMDDEHFHVLTTTCGTPGYMAPEIFKKAGHGKPVDVWAIGVITYFL LCGYTPFDRDSNLEEMQAILIADYRFEPLAFWRGVSDSAKDFVSRCLTVDPSARPTAQ EALAHPFLAARPQEEQEGQSDLLPTVRKNFNARRTLHAAIDTVRAINKLREGSGHMDG ARSGEPERAAAGNSSSDATPDSNTGGAGPALAAIARKAALNDEGVETYPDREGGGRDA EGDVKMDTTEEPTKAGAGLLTRRSGQGQSGAEIEKQEERIREASRGLWQGR VE01_06204 MLSHLFTVSILALSVVVSATAVPAVDETADAIVKRACQPACCDL LVQSHDGTLDGVQCERGGIDCPFSGQLTACCESFTLSTNAHKCTL VE01_06205 MATRKPPRQMAAKACERCRRRKIKCDEFFPCEQCTRMRVVCKFQ DSAEKREEQQQAALEDRIRCLEEKLVAIHTNPNNHIADVSMPGLAQEFISSPAARPSQ SPGRLEPPRLTLQTPSSPGFHEPKLDDFPSVLSEYSQSFLAWSHPPSATPSVPEHFSP SDVPPWSTAPFSAEPTPNQNEHRNHGRPRAISLCPSETSMQGDFLASLRPQERHRLPI NPSQLTLEIPQIEITSWDGFRPQSPTLSQFNGPDTDLPLFCLPELSVSSWSGLSTPSI IAPSYVSDCDNLSVTNQSLPSHSRRSSMNSLSHDFDIYGEGKNSRRRATSDVFPIGQQ SGWPEQDLSELFYETTKAISTPSLQRMHFTESMQSQTLSLEDGTCGVSIQNQTKGYLY NRTFYEVIQPLFSILPPQAMQDCPSVNDSHLTPSQPGKRSSGLFAWAISSFILSQRCS QPPLLAQHYFYLGIKSYGTGKWDSLPGIQSGILLAIFILVSSSQELNLYILNANIVAA CFDLGLNMGTLTAGSLEANTLLAAYVIDRTVAIVRDRPCLLKDGDLGHDVLAFVTSEL RERAERGDVRMCEEAGRLWDWWRVWESSKGESEVGKEFSPGLAQGQRHW VE01_06206 MGFALHANNTDDPPAVRNWRIHMIAIIASMGSVAKFQAYNYAVA LDSFKRDFGLNKATQHQRDTLQGNIVSTFQAGTFFGALLTFPLGEKFGRKKAIIFASL IFLLGGILMTASNGKIELIIAGRAIAGLGIGSVSLLVPVYIAETSPPSIRGRLVGIFE ILSQAGGMCGFWINYAVNQTISDSTKTQWIIPLALQLVPGALLFIGIFWCPESPRWYA MQDDWEKAKETLCWIRNLSTDDEYIRDEMASIRAQALIGMPPGGGKMSKKYMFKRLFE KGTRNRIVIGLLLMAFQNLTGVNIITYYSPRIFETLGITGTDTKLFATGFYGVAKTLG MVVFSIYLVERVGRRNGLIYGAFIGSLPMWYIGGYVFKADPATAAASGHVSQSAAGYI AMVCVYLYGFIYCATWQGITWVYCSEIFPHDIRLLCVALTTADQWLWSFVISRTTPYM ITSLGYGTYMFFGTLMVLMGFWAYFFIPETKGKTLDEMDELFGAPSITDLEGKVLEKD ESGMHVENVGITGLTYRGDKNPKIGA VE01_06207 MLSVPKLQVRNQEGSADTDEISLTTTRHSRSASAVSGSSFLSLP ATPSGVSNASTLAFENIENALQPDLGTEQDFKVDDNCFAYSPGQLNKLLNPKSLPAFV ALGGLSGLAQGLRTDIYAGLSADETCLEGKVSFEEAVGYRSKVRDYGDSSSSQAVAVR GSSSDPFYDRVRVYGQNKIPGKKATPLWKLMWIAYNDAVLLLLTAAAVISLALGLYET FGAKHEPGAPAPVDWVEGVAICVAIVIVVMVGSLNDWQKERAFVKLNAKKDDREVKVV RSGRSFMANVHDILAGDVLHLEPGDMIPVDGIFISGHNVKCDESSATGESDQIKKTGG EQVMRLLENGHTDMKNLDPFIISGAKVLEGVGTYLVTSVGPNSSFGKIMISMRTDPET TPLQVKLNGLATAIAKLGSAAAALLFFVLLFRFCAGLPEDSRPAPEKASTFMDILIVA ITVIVVAVPEGLPLAVTLALAFATTRLLKENNLVRILKSCEVMGNATTICSDKTGTLT TNRMTVVAGLFGKNESFENNECAQFVQVVSKDMKGFLIKSIAINSTAFESQGDSDEGF IGSKTEVALLEFAKVHLGMDSLSNERANAEVVQFFPFDSSKKCMGAVIKIPKQGYRMF VKGASEILLKECASITDVKTGELLNITSKMREEIESTISSYAKKSLRTIGLCYRDFPS WPPAGTKTPADPHSAEFKPVLKDMILNGVVGIMDPVRDGVPDAVAKCQLAGVKVRMVT GDNIETARAIAVDCGILRNEDEIIMEGPDFRKLNDDELLNILPRLAVLARSSPTDKQV LVQKLKALGDTVAVTGDGTNDGPALKAADVGFSMGISGTEVAKEASSIVLMDDNFASI VKALMWGRAVNDAVSKFLQFQITVNITAVLLTFVSAVSSPDMTSVLTAVQLLWVNLIM DTFAALALATDPPTLDILDRKPAGKKAPLITINMWKMIIGQAIFQLTVTFILYFAGEK ILGYDTTDPQKKLELSTMVFNTFVWMQIFNEFNNRRLDNKLNIFAGIQRNYFFIGINC IMVGAQIAIVFVGGQAFNITPIDGIQWAICIVLAALSLPMAVLIRFFPDPWFATFAKV ICGPFAVAYRACRRVWGGLKAKLPRRTKNREMETGDQQGEEREESGNDVETVPEIVVA REKDLEMGANRV VE01_06208 MADTASAPAPAKTAEQISEENNLLPKLITHLDRHLIFPLLQFVA EQQEDEEDSQEITRAKYELLKTTNMTDYVASLYTEINDVAEPPKEFGAKRQAVLERLD QFEQESAAITDLLGREDVITNLRADKVANLEYLKKEHEVTPEMVDVLYDFGNFQYSCG NYGAAAELLYQFRVLSTDETKVSAATWGKLASEILTTNWESAMEEVTKVKDSIDTKLF ANPLAQLNHRAWLIHWALFPFFNYEPARDTICDLFFSAPFINTIQTACPWVLRYLAAA VITNRSRTRNTGQYQKQLKDIIRIVKQETYEYSDPVTDFIKALYVDFDFEEAQRKLIE AEEVLRGDFFLVAASDAFVEAARHLISESYCKIHQRIDIKDLSARLGLDQDEGEKWIV NLIRDTRVDAKIDYKEGTVVMNHPPSSVYQQVIERTKGGFFRTQVLSAAVAK VE01_06209 MALASLRPAAYMSFLRPLALPRAATLTRFLSTSPAVQSSTEATT TTSSSTTSSQSLEYRVSRTPSAQLPIYLLAKRGGNLKQTRVKKIEGNIAQLREDLKVA LGIEEDREIQVNHLTRHIIVKGHRKPEVAKFLEEHKF VE01_06210 MSSWMNDAAAVHNHNGAAFNHLNDPNMGANMLDPSAFMGTPTGN FVDPSQFQNQQIQRMQNGGMRNASPSFNSPVYQTNPVVPSKRPRPREDSLGTSPRQAP GMLPASRSQTPQQSYPGFNGNPREATQHAPQPTPYAHLQHNGSADASPSPVMGNQLRP GAVPQRVSTASPHPFSPAVQQFAPSPSHSDHTNRVETPQAQAQYAQNPGFGPGYNQPF TPPQGRNAPPPQQQGAMQTPQMQQMHQQPQMQPPQMYQQQPQQPQQAQAQMDQQNKMI YQMQLQQQLNSRGLMNAQQQAARMQGMPQNPNAMVKPQMPGGPNGQFPQGMRPQQSAV PRPNNPEQFMRNLSQFMQSKGQPLDVNPIVGDRTINLVMLYMAVTKYGGYRKVHQQGL WPQVAQTLQFNPIQMPSAPAQIKGHYERNLMMFEDAFASQQRQKAMMQQNANMAGHPQ MSPTKQLSMQSQMAQQQQQQQQQQQQFMNRQAQQQHMGQQSPPIGMQQQALQTPVKQM PQQGQQVAVNGFSTPQMPNQAQQNAQAHARAMSRSNEGTPMQNGAPFAVSSPTPLSKP GSMTLQSTHEIQIEEKKPKSSAYEPRTRPLTTWGGVNPEALENLCIDLVNLRPNVPSV PELGVIDIHALTMSLQSGIHSEVRLALDTLVTVSVEPRVQLDLRACGDLVESIVDCAE EQVELLAENAAEVSDVMLISSYEDVARGCRLEREGLQDVPLFGTVEYELDRSVERLIC ITTILRNLSFYETNHPLLADKPVITFLCTVVRYLGTRNMLLRTHSNTLDLMKDLIIFF SNLAQAVEIPGKEQALCLLHFLLAFSPCPPPVNPGSDKVIFSPYDPAIHRYLAPAVDS WAKLLARDEPNRTFYKKIFASDVTSSPPYELLTKSFALAISPIPGHKHDSGRAGMMAV VDARKPHLMQGMLAAEIISGLVPGPEVPIARSWLTSEDGFAQSLLRLITVLSTEPPSS VPPPPGARGGQQGAAAAGRSADDEALLHITLSGITVLRRLG VE01_06211 MAKRKTIDGELGEERVTRRSTRGRTVKEEVVDEEMIVEKVKAKD TVKTKGMAVKKSPIKDEESESKEEVKKTETLPAKTNGTAPSAPGERQHWLLKAEPESR LEKGHDVKFSIDDLAAKSVPEPWDGIRSYAARNNLRAMKKGDLAFFYHSSCKTPAIVG VMEIVQEHSPDLTAQDPKAAYYDPKDTDPSNPRWSVVHVEFREKFSNPLTLRELKDMQ GSWKEISEMQLLKQSRLSVTGVTGGEWEFLMSVIKKREKGDVKEEM VE01_06212 MDEPSDDTSCPPCQPNGTDAAATQTPHSDAVANILATTPDWRTQ AGPSPAATAGEIRGQAAPQAANAGPQQGQAAAGHQAQAAFPAATTGQQFGVQAAAAAG HQGQIGGHSSQIGGFQNGFANTTTSFTFTTGTTSSSAFATTTSNQTAAIANQSRTRSR TLATTDFNTPHPTYHAHPSPFAATTPAARPIPRIVITTPDMRTHAPDSPTLHRARQPA HRRLRRHELQNRIAEHEQRIREMSDEADAQEMVTEALWVENEKLKRKIRELEGEGERR AGELERVREMAGRETERAAWAEGVLMLLEGWGEGEGEGWGRVDGGEDGEDGEGGGEEF MDCE VE01_06213 MGNYDSDSSDGGEQDYTETNVLLGYASKEASESDDVNSYIGGRP TWLSPTTPPSASLARCKICSDMLILLLQLNGDLPKDFPGHERRLYVLTCRRKTCRRKE GSIRVLRSLRVSEAAAAKEVQKKEEVKPAPKPAAGQGKVVSNTMFGDSLFGSKSTGGG AFGGNPFSSPGGVGGANPFSTAAPAAAAANPFATSELAAKPPQKPDADLPQTFAAALS LNAPAPSPPTPAEPWPVDAELPAPYPLFYLADADYETLDKDEPEPIQKHEMLEMEVEG PSGSSGGKEDKDVYESSIDTTFQKFADRLSQNPEQVIRYEFKGSPLLYTKSDAVGKLL GGGSGSSNAKVTVGGGKMPRCANCGAGRCFEVQLTPHAITELESEEVSLEGMEWGTVI VGVCERDCQARGVEAGEVGYLEEWAAVQWEEVPDKMKG VE01_06214 MTTPKQEDRPQLGATFYPGGLDGFDMPELISPAPQRVMPEVPSN MQEGLAHLELEANPSTVSPIHEQYGASRNITYQQSNAPQGYAASYEQAGAPGYQNNPS QPTIRRDGDMPLPSPFPGLQNPGPNVPLTDDQMEEELEQCREKVVGSNDPERQLRWAQ DALHWSDIAIQSRRRAAVGSTSRPTTPNVERRIRDDALNITKFLAEQGHPKAEFLRAG WYEFGKFGFPEDAKESFAGYKRAAERGYARAEYRIGTRYESSKDMPRAVKHYQNGVAQ HDSASNYRMGMMALLGQHGQPQNYEVGVRQVKYAADTADENAPQGSYVYGMLLARELP NISLPDFVLVYDLEQARHYVERAALLGFSKAQLKMGSAYELCLLGCEFNPTLSLHYNR LAASQGEPEAEMAISKWFLCGFAGVFEKNEEIAFTYAKRAAQQELPTAEFALGYFYEV GLHVPMDLGRSQEWYQKAADHGNADAVARIDALRLHRTLSRKDHEQMAITRIRSQYGS RKGKRPDHLAQKIAAQQAPTLPVMSEERDDSPRPVSVYPPRSSSVQPQQPPFRVITPV PDSNARLSQQQQPPFRVVTPVSGDVRHGGSMPSSPLHSHYRSGSGGSIGGTPNTAPYP ENDVAHPIQANPNLRPLSGPPADRPNSAFGIKPLHPNHSFGPGQDPARRPMSAVGDLQ SQQRPHPAQGGRGQPVVPHQPKREGPTNNDWESQYRLPYSQPQQQGDGRGKLQMQGAP VNKPHPQLPPQHGQQPPQHLQPRNDYSQQNRPNTATNERTYSAQAPLKPHAPGGQSGD RPVSSAGGNGQGVYPSRYSSIPPGAGGPAVTISPAPTPPIAKPQPPPKKGPQTFEEMG VPAASKDSECLVM VE01_06215 MPPRKPPTTTSSTTTKPTPTDTQASTLIHTYLTTQNRPYSATEI SSNLHNAVTKARTDKLLREMFERGEIAGRASGKQWVFWGVQDPNATSTPAELAAVDAQ IAALRDKIPTLKSELKSASNTLSILRSAPTTSSLRDAVQTLETDKQDKEERLRVLRAG STKPVNVEEREKVEGEWRRWKRTRDNRKRAYKELEAMLLDSGVIGKEALWDMLGIDGP A VE01_06216 MLSNSNGAAAAPHARNGSVSGGGPSAEIPAYRHMSSGSLNIPNG LGQPNGSGQAQAAGAMAPGGRFEGPRSPPGKQNTSHVPCKFFRQGACQAGKACPFSHD LSSTTDNVCKYFAKGNCKFGPKCANIHVLPNGQRVNYHKGGPIGIGGLNLGGRVHPDQ YHSQSSALTNSLYRANMGAQQPFGAPYSPFANQDEGFPRGGMDIGVPTIDTSYASHPG SAYGSPRDDDLQSRFGLGLSPVPAKGLSVLDAPLPASFDSNGVSWIARHGPVAASVPS KFGLESPPPSLGFAKDGRTSEALKNLYSSAFGDDTRDSFNGAASPPAPLADEYFGKRL MHSQRFARQKIMSASLPKAGLAIDKDWDNDFTFEEDYLPDNLKELLTPQEKARRGSRN AEEESRPIYSGNGTPDASSKFGSPSNASPSRWGPLFQRQQREEEEKASRASVFGHVGS PLRNSTMTSASIPAVSRSAAAASGDASPYVASPPRQSSMSIISQQLQRTRLGRTESAG AETSLLPAVQRVTSNPIGAPTRPERAASGASIGASLAAGRVNMPIDEEQGDFVFSMEE EEDKERESTKRSSGGGWNYPVGAKSLHAANGGRNGTTSGGGMEGMFGVTR VE01_06217 MANQKPLSHDAVMEADNPAIQAVDFHHPYTPYSIQEEFMQTVYS VLERGDSSVGILESPTGTGKSLSLICASLTWLREYKRRCFEEGMKMEVADEDEPEWVI EAAKARKRKELLRHREEMEKRLENARKKEAAMKKRMLAETKGGKRRRVEEGGLGVEKQ DDEEQFALDDYESDREADGASKSGSATGGLSAETLALMDKLGVGYGKAREEEEEEVEE EIKIFYCSRTHSQLTQFINELRRVKIPPALQPEPAPVKPLLEEEFKHLTLGSRKNLCI NPSVNKLRSATAINERCMELQQSGTAADKKCGFLPNQQNQPLVNDFRDHALATIRDIE ELGDLGKELKICPYYASRSAIKPAEIVTLPYPLLLQKSAREALGVSVKGHVVVVDEAH NLMDAIAGIYGITVSLSQLKRSRAQIGQYLQRFRNKLKGKNRVYVAQVVRLIDSLAQF LDGKAGQKGEMVVEPSELLAGRGVDQIDLYKLMRYLQDSKLARKVEGYIIFAEGAADA GNAAGKARKPDGASEAATPTLQHIQSLLVALTNPSKEGRLFYAKDPETSDILLKYMLL DPTHHFQEIVSEARAVILAGGTMSPMADYTSHLLSYLPAERITTLSCGHVIPKENLLA WTLSKGPTGKPFEFTFSKRAGREGEEMIDELGRAVLNICTIVPDGIVVFFPSYSYLDT VIKRWEVILEPGRPSIWERLGKRKSLFREAKDAKVGAEDVLTEYAKAIDGNQGGLLLS VVGGKMSEGINFSDRLGRCVIIVGLPFPNIMSGEWKAKMSYIETATIERLEATSEGTP SSRQAAGKAEAREFYENACMRAVNQSVGRAIRHKNDFASIIMVDGRFGKEGIRRKLPG WIRDGLVEGCEGKGFGELMGGLGTFFRSKKVTS VE01_06218 MRPSAKARGRGHVSAGKGRVGKHRKHPGGRGLAGGQHHHRTNMD KYHPGYFGKVGMRYFHKQQNHFWKPVVNLDKLWSLVPAEKREEYLQSKNTDTVPVIDL LPLGYSKVLGKGRLPNIPIVVRARWVSKLAEKKITEAGGVVELVA VE01_06219 MSVVKDYARPVQFDINDEDPEIDDSADEETHDQMIEDEEMVWAQ QAELEETDAYSEWSEESEEEVDPAVQEDMDKFVATFKGIKDRFRLINRIGEGTFSTVY KAEDLNYDMFENSWDLEQKEIEKNAKWAAPDLKRGSVEPTRRKPKFVAIKQIYVTSSP SRILNELDLLNDLRGCLSVCPLISAYRHTDQVVAVLPYFKHSDFREYFRKMTIPDMRI YFRSLFVALAAVHKQGILHRDIKPTNFLYEPSRRHGVLVDFGLAEREGTDSKPCLCQQ HSMDRRRHINNSVAAANGPAAGYPKQDTRPSRRANRAGTRGFRAPEVLFKCTAQTTKI DIWSVGVILLTILSRRFPFFNSADDVEAMIEIATIFGSKRMKQGALLHGTVFETNIPT VGQQGFSLEKIILWSTCRTENDANGKDVSLSDEEKLAIRFLERCFDLDPNKRISAAEA LEHPFLREKDAATEDEDEDEINMI VE01_06220 MDNIDFDINDALKHYMSDPTNIPTPEADSNLTDCENDPEAFTNS LINSILNPIVDSVAENPDAITRSSSFDSLQFLLKCAPTSITASDPHISSKSPSPKLFL LSRSTSILPPHALGKVLDLVVSGLSAEADIISNDLESDEQDIIAHHKQLLEIFGFLLQ WTLAAVETKAAEKPTTTPASRGRGKAAKPKASAKDANWDSSAQLQVALEVMCKVLKLK LSKIFLTTSERDTFIGLLTRPAYLVLESEQRVKSTAIRMHVFKVLCIAVKHHGHAYAA QISIVQNLTYFEHLSEPMAEFLHILAEQYDYPQLADEVLRELSNKEFNSNDTKGPKSV STFMVKFSELAPRVVIKQMTMIAKQLDSESYTLRCAVIEVCGNMVADLSKQEERGENH KSQLNAFFDVLEERFLDINPYSRCRTIQVYIKLCDLEQKFPKRRQKAAELAARSLEDK SSNVRRNAIKLLGALIKTHPFSVMHGGELNYQDWNARLDAVDAQLNALRPPPETPGLV DKTAATVDEALLDEATQMETDSPQKPMTDSQKIAAVQKAQEEAATSEAIGKLTLTRRY YVEALKFIEVLHGATTTICQLLGSKNKSEVIEAMDYFKIGDAYKIEQNKLGIRRMLRL IWTKGNSDEGKGVQSHLIDCYKTLFFEAPDSFSANDAANYIARNMISLTFGATPAELT SLEQLLSTMMKAGHVSETVISKLWQVYGVQKKEISKSQRRGAIIVLGMLATASPDIVV GELETMLRIGLGGLGRRDLQLAKYTCIALRRISPTGRQSQESGSKFSKLPNDHAVLSK LASIIEVESDSKEWYGVAEQAISAIYVLSKHPDTLCSEILRRKTKHVFQKRQKSEPPA PTPAADPDAMDVDMMDVDEGTPPTPPQEEEEPNEPGQKGSIALSQLLFIVGHVAIKQI VHLELCELDFKRRKNEKDKVKPAATPAEKQAKEDADDLDLIGGTTEDDFTEAMAHIRE RELLYGPDSLLANFGPLVAEICSNNTSYKDRNLQAAATLCLAKLMCVSSEYCEQNLPL LITILERSKDPITRSNVVIALGDMAVCFNHLIDENTDFLYRRLNDKDASVKRTCLMTL TFLILAGQVKVKGQLGEMAKCLEDEDKRIADLSRMFFTELSTKDNAVYNHFVDMFSLL SAEKDLEEDALKRIIKFLAGFIEKDKHAKQLADKLAARLARCESERQWNDVAYALSLL QHKNEEITKTVAGGYRVVQANA VE01_06221 MLSLRAIARSAPRAVSRLTVSAARRSAAPISIQSSWMPIRTQAA AAFSTSRMYKATAGEVDDELVAKLESEISMEQEMKENSEVPQSVREYLENGPFEIQDV EGSEEVVLTRTYGEESIRVSFSVADLNAIDPEADYEDRAMADEEDIEQQEKELAEEEG ESEATDSFPVRINVIIEKANKGALAVETIAQDGQIIIDNVYYYKDAAQARAKTAELAH TRQDLYVGPPFGNLDEDLQITLERYLDERGINTALALFAPDYIDMKEQKEYLAWLANV KGFVEA VE01_06222 MPKGLQKTRKKINKKGGDIHALHENSRDSMRLRRASNRDEKLKR VGTAKRKDNQPLIVRAAYFQEAVRKNDGKELTMEQITPLIEEFVHQHDEEFSEVKSQR RPGRPSSTREDVLRIKIAKDEKEWENGFYLPDLTIPDNAIYLDRWDGTWSYLPTLKWV TIAKNGTVKPSSFPPKGQT VE01_06223 MDLVMGKPTRKFVDFAMHRVRMLQHFGVIPFLIFDGDYLPSKAA TEADRNKRRAASKTLGLELLNAGKVAQANLELQKAVDVTPEMARQLIDELNMAGVQYI VAPYEADAQMVYLERKGILDGILSEDSDLLVFGAKCLLTKLDQYGNCIEINQADFSAC RDINLAGWSEKEFRQMAILSGCDYLSSITNMGLKTAYRMIRKHKNVEKVIRMLQFDGK YHVPKDYLDNFYQAELTFLHQRVFCPIANKVVFHTEPEQPIDEEKLTFIGAPVEAEIA KRVATGELNPMTKEVIITRQSVPAASPRTPWTAKSQLRASVSSQDLKKGVSIDQFFKP RRVPLAELDINCFTPSPSQQNALLRNSGPWEATPVPRPHLSRSATQPEPQLPPPRSAP PRQASRALSASFQSEPRPHKRPRLCSDSITGDIPIGGRSRFFESTTDLSPSIGRTAKS RKSESDVYIYSDDSIEDVMLSLPDFDGFKHDSGKKMQVFKEDSKISDETDPTTDSTLQ VSTTTSFTSMPSLTQATSFASTIDDPPSPVSTAPTATPVSLKSRFAFTPKSQFSTLSR RSSDASTSPTSAKPSRIPVPVKSETRSASTPLQALGSKALNRTNSAAVLPTPPYTPIS TPTGSRKISSFTRQPKPKPAGLGGLPFPELGRNMVRKQSSLKIVTEPADIPLPPHDEE ERLALASPPKENMCKSFGSEDLIVHDSEEEELLSPVERVNLGRFAFGMK VE01_06224 MADSDEYMSGMSSEDDILQDESDNESGDDFGFDEPEPDLEISQK ESTREKRRPFEVTYKVYHPDDIQKQQDELIDEVNMILDLKKEDAAIILRHFRWNKERL IEDYMDRPKKVLEEAGLGPSTEGPPTLQVIPGFVCDICCEDEAGLLTFAMKCGHRYCV DCYRQYLAQKIKEEGEAAHIQCPQDGCKRIMDSKSMDLLVASDLNNRYRELLTRTYVE DKNALKWCPAPDCVNAVECKIQKRDLDKVVPTVACDCGYRFCFGCILTDHQPAPCELV KRWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHMTCRKCKHEFCWMCMGLWS EHGTSWYNCNRFEEGSGSDARDAMAKSRVSLERYLHYYNRYANHEQSAKLDKDIATKT EKKMVQLQSASGLSWIEVQYLNLASQALQTCRQTLKWTYAFAFYLARNNLTEMFEDNQ KDLEMAVENLSEMFEKPVAELADANLRVDIMDKTSYCNKRRVILLADTAENLANGVWS FNGDYNGTD VE01_06225 MPSFHSDELNNRTLDDPFFQTLNDTRQSQENAALKNWPLQQPIV HANYETTPPRTPTDSLNSMNDEITPPDNGGMFTDTGLNAVPNGRSARNSWEDRLVRNT GALSINGGMAGIPENGRKSPEPHNRSSKSLSTERNSYGIANGYPIQPQLTVPEVNESQ AANGYNESYKRLPPPPSSYNPQSTGPLTYSSSLVGNMKHLDSPPTTQNAQQNPSIHRL SPDYNPRTPIGGPSGSSQNAAGPPQLKQRVPKIGPPRASTDDGTALATGRFSPVAATS NMRRGSLSINRRNTQSIHSNIPHEDIAQDEDALRWAEAIRQKRASKRKRRDEEDEDRV VVGTKVDQNHVNWVTAYNMLTGIRFTVSRTNAKLDRDLTEADFTARHKFSFDITGNEL TPSAKYDFKFKDYAPWIFRRLRATFKIDPADYLMSLTSKYILSELGSPGKSGSFFYFS RDYKYIIKTIHHAEHKLLRKILKDYYQHVVQNPNTLLSQFYGLHRVKVPYGGKIHFVV MNNLFPPHRDIHQTFDLKGSTIGRDYKEENVVNNPRATLKDLNWLRRNYHLEFGPEKK NTFLEQMEQDVHLLQKLKIMDYSMLVGIHDLGKGNQENLRDKTLRVFQPGGDTSPDDI LPSNPILTRTPSKLETARKAHELRKIIKHEKPIPMGESSTRMPDQMEENTSKRDFTFY SDDGGFRATHEDNTPGEEIYYLGIIDLLTHYGTVKRLENFWKGLSHDKQQISPIPPVP YGDRFIKFVMDITKSREEVREEVRDEVRDDVRGEIREENEEAMESGNN VE01_06226 MPPKKTEAGAAKKSTSAGHSYQDMIKDAIINLKERNGSSRVALK KYVKANNNINATDKMFDSLFNKALKSGVEKGEFNQPKGSSGGTKLAKKEPKPAAKPAA KKAAAPAKKATTTTKKAAAPKTAAKPKAAATKKTAAKPKAAAPKKAAPKKPAAKKAAP KKDIPAVVEKPTVLGKTKSGRVTKTTAKTPVAKKAAPKKPAVKKAAA VE01_06227 MSIYSFLIAVRSGGEQLMNESGETTSHLLGMFYRTLRIVDNGIK PVYVFDGAPPKLKSGELAKRFARKAEATEGLEEAKETGTAEDVEKFSRRTVRVSRQHN AECQQLLKLMGIPYIIAPTEAEAQCAVLARAGKVYAAASEDMDTLCFDSPILIRHLTF SEARKEPIQEIHVDKVLEGLGMDRKQFVDLCILLGCDYLDPIPKVGPNTALKMIREHG SLEKVVEWINNEGKNKYTIPEDWPYADARELFFNPDVRPADHAECDFKWEQPDVEGLI KFLVVENAFSEERVRSGIAKLQKNLKSSQQARLEGFFKPIPKTEAEIKNLKRKNEEKA EEKRKKAKEEKKASKASKAKPKMNS VE01_06228 MFKPLVRFSGKQLAAASRPSVRAYAAAGAAPKYQWTDPLGSQNL FTEEELAVSETAESYCQEQLMPRVLEAYRNEDFDRNILQEMGELGLLGATIQGYDCAG VSSVASGLITRAVERVDSGYRSAMSVQSSLVMGPIYEHGTQELKDKFLPTLAKGKWVG AFGLTEPNHGSDPGSMETVAKPHPTKKGYYSLSGSKTWISNSPIADVFIIWAKLQETG KIRGFVVERSQCPVGTLETPAIKNKNGLRASITGMIQLDNCPVPQENMFPEVEGLRGP FSCLNSARYGISWGVMGALEDCIDRARTYSLERTQFKGNPLAKYQLIQKKLADASTDA AFGILASIQVGRLKDEGKAAPEMISMIKRQNCDRALANSRTLQEIFGGNAASDEYHIG RHVANLFVTQTYEGQSDIHALILGRAITGVQAFA VE01_06229 MALKRINKELNDLGRDPPSSCSAGPIGDDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRARYEATAREWTRKYAI VE01_06230 MSRNHTSNKTPSRHATLPSLDPQPTNRNLPALRLSFGELLHRRS QVPESLLADEKWADSSERMPKPVSHRGLRARNSGPVIAVSPVNVPAACWRWSRWAHSF PGTRWDVTLKRPSGPWERGTDVSTMGVGSGAGAFALILRREWLGVTTSRTSLS VE01_06231 MSRNPYALEEDRPSERSRWPPLTRMLMSGEMNAEAKREYNWKEQ YNKWMVNEGYRRFFVFVFAAIHAMVFAFGLMNYALKDNLQKGRDTFGFTFAIARAAAL VLHFDIAVILFPVCRTLISLARKTPLNGIIQFDKNITFHKMTAWSIVFFSWVHTIAHW NNFAQIAAKNNLGFVGFLLANFATGPGWSGYVMLIALMAMAITSMEKYRRANFERFWY THHLFVIFFLFWSIHGAFCMIQPDFAPFCVSIGSSAIGVFWQYWMYGGYIYLAERIAR EIRGKHKTYISKVVQHPSNVCEIQIKKEHTKTQAGQYIFFCCPEVSLWQYHPFTLTSA PEEDYISIHIRMVGDFTKAVGKALGCEVDRPRGGAGAKKDASQVVGVNKSSPDANSVD PALRRVLPRVYIDGPFGSASEDVFKYETAVLVGAGIGVTPFASILKSIWYRMNYPQKK TRLRKVYFFWVCRDFGSFEWFRSLLLAIEAQDMDNHIEIHTYLTAKIQADDATNIMIN DANADRDAITGLRAPTNFGRPNWGAVFRSIRKIHSPGASGVFFCGPKGLGSSLHVKCN EYSDPDWSYVWGKENF VE01_06232 MKVAFFSTKHYDEEAFNKVNASLGSPLDITYLQPSLSIKTVALA KGHKAVSLFVNDTADAEVLKALAELGVEIIALRCAGTDNVDLPTAATLSLTTVHVPSY SPHAVAEFAVGLLLTLVRKYHKSFNRTREGNFSLSGLVGFNLNGKTVGIIGTGQIGML VGKILSKGFGCRVLAYDLYPNQEKAKEYGVEYKSRDEVLKEADILTLHCPLTPSSHHL LNKETLALTKRGIVIINTSRGGLIDTRSLIRSLKSGHIGALGLDVYEGEKEYFFKDGS GGVIQDDDLTRLMSFYNVVISGHQAFLSREALEAIAETSVGDVVKVGRGEVVERKVQV KK VE01_06233 MGLFSREKTAAGTEVAAPEVADSHDGKAGSDMEKTGIHEEDIVA TAPGYEDPRISCFTHKEQRSIMHRVDRRLVLTLGAMYCISLMDRTNLSAANIAGMSRD LEFKATGIDRYSVITLIFFIPYVICQPPATVIMRKIGPRIFLAAITILWGGIMIAFGF VKNWTEMVGLRIILGVLEAGFFPGCVYLMSTWYSRFELQKRYSGFYLIGSGASAFSGI LAYGLMQMNGLAGLEGWRWIFIMEGVLTCVLGVAGYLLLVDFPDQAKGARGFLKDAEV DFVIARIEQDRADTVAPEFNLGQYLRHAGDLKVWGFASLFGLCTTTSYAIAYFLPVIL MFGMGFSVAQAQCLVAPPYAAAGIFMYIQAVFADKFRNRCSSVIINACFCLIGLPILG FSTNNGARYFGVFLATIGANANIPAIMTYQANNIRGQWKRALCSATLVGFGGIGGIIG STVFREVDKPKYTPGIMTCMIANGLIICITLLLTLKFWRANKRVDAGGKIIEGQVGFK YTL VE01_06234 MFRIRRYRLFLVFSAILVFTFYNFWQTQPEAQAARPKSFGTSSS SPYGDAGKSSGQNGDSNGAEGRDPVKVVDIQGIAHSNDPLPVTAVKATSAKPVLAENT QVVANGVPIVDLEPISTKPPVAVNTPVVANGEPVIEPEPSTNEALAAIQTAPPTPITP PNPAAITYDDTVDESGKEETIHWEKQTEYYPIAPGDLIRLPSGTPKKMPRIQFDFPEE SVEARSLREERRDLVRDEFLHAWQGYKKYAWGHDEVGPVKGGFRDPFCGWAATIVDSL DTLWIMGLKDEFEEALETVEKIDFTTSPKTSIPVFETTIRYLGGLLGAYDISAAKYPV LLTKATELGEILMSIFDTPNRMPVLHYRWQPHSASERHRAAGSSNFAELGSLTMEFTR LAQLTGEHKYYDAVARITNALVEWQERGTEIKGIFPDSVDASGCNATYVPPEEKSSTP SQNATEKLIPRRPFTEEEICRPQGLTPGPQRQSFSMGGGQDSTYEYFSKMHLLLGGLE TTYSTLYTNTMDAIRSHLLYRPLIPGGRNVLFSGKVQVNPYLPDDKNHEKIYEVTHLT CFIGGMVGMGAKLFGLDDDLSIAAKLADGCVWAYEATKSGVMPEDARAVPCEDPLDCE WDEERYYKYLDPRWSLREGEIEEYEKEKVRKEKVRLEMAEKKAKEEKEEKARLEQEAK EKPLMAEADAVLSRANGTTASYSLGGSAPPAANINRKRAISTNSTVVSTDEKDEALPE IPYVAPSKTSTPGVPVNPHERNLPSGREDPYRPLSHEEYISDLLERNNLPLGFARVEG DRYILRPEAIESVFYLHRITGSPTWSTKGWGMFESIINGTRTSIGHSAFSGVNREKGM GHKQDSMESFWLAETLKYFYLLYSEPGVVSLDEWVLNTEAHVFKRPS VE01_06235 MAEDSGERGEAHEAIPPDSRSHKPDTADDDDENETKTNGSDEDE EDEDEDDEEEEDEPKLKNARMTGYMTQLYRNGDATSSFLVAGDKMYIGTHNGNIHVLA LPSFQSLRVYHAHSASISSISISPFPPPTSDPRSEAVNRAISQAINESQKAASVTSAS SSPAAQRAPRQQVIPNIPSNAIYIATSSIDGNVCIASLVDIKDVQLRNFARPVQAVAL SPDYKNDRTYISGGTAGNLVVTVGGRSGTNATSTTTGTAAATASGWLGTIGLGGNSGK DTVLHSGEGIISTIKWSLSGKYVVWVNEKGIKIMRSNIGLESADLDSAWKRIAHVDRP QDSGWEEMAAVWKARAEWIDESSLGTDDDGLSTTALSPVVTKLRQQTAKNKEPIEKLV VGWGSTIWIIHVHPGGVGVGKHAGERSVGRAEIIKILRMDCIISGLSLYTPTLLLVLA YINPEDEDAEEAPTQKGHKSKLSTASTGSEPRGGIQRRQNAVPPELRLIELSTSEEID TDGLILSRFERLSAADYHLGVLPAGKGPSTTRTSRTTLETLAGMGSGMWNATINATTL LSSAQSIRSVGSGDSASQAGSLSSKNRSVRPQQPAHPNIAAPGMKIFIHSPYDCILAT KRDLSDHLSWLLEFHKYEDAWNLLDDHPEIISSSAEKLAEIGPGTPERNDAGGDFYDD ASSVKETAARLIDSSVEKEKRRIGELWIQQLISDGDWVMAGQVCGKVLGSSSRWEHWV WIFAGANKFGEIASYIPTTQLQPPLPSTIYEVVLGHYIATDRLRLKELLDVWPPELFG IRTVTTALENQLKYREVREDSVEDGQVGRDWRIVKECLGKLYLADGRPKDALKCYMQL QDADTAMTLIKDYHLIDAVAEDIPGLVLIRVTKEQIKSASRKELEETTADVITLLVDE AQRGLVRPESVITQLQHKDMPLYLFFYIRALWNGDSQDDKSAEARERMLVESRAHVEQ FADVAVQAFASYDRALLMEFLKSSTSYTFEKATQVCEERDYIPELVYLYSKTGQTKRA LFLIIDRLADVSQAINFAKEQADKDLWEDLLDYSMDKPRFIRGLLEEVGTAIDPITLV RRIPEGLEIDGLRDGLSRMIKEYEIQHSISSGVARVLRGEVATAQNTLRSGQRKGVKF DVVVKAEDHIDVAPVDVAAPAADNVNKDNDNVEPVRARKPIRPGHCVGCGEPFTEGEQ ETLVGFACGHVFHLSHLLEYTHPSRPSTPPSVDLDEDGQFVQNHSIGAKVTHARLLRD KISGGCPVEHAS VE01_06236 MDSVVRILRSQGYPIDPQGTGFLDDQVVHTKAVNGGDVFIFPSG TIVAWSLPEDLAINLATQTLAPAAINPHLEQIELEDLEYQEDPSQEASSIKGEVITLG TKKEALEKNTSSGKTSTTLAQIAFSSGLARSTKLAVLETSLTKYLDSTRTIPPILSRG GRLPFNQQFILQKTGALLELRAQLNHYSELTDSLPDLFWDSQHELGLEGYYDQVGRAL DVSVRIKSLNAKMDYAHEIVSVLRETLSKNHSTWLEWIIIVLIAVEVGFELKREWKER MKAKAEAKSLKDE VE01_06237 MASVTSLGLHYPTALQYLQQEHLLSEDPAPDTYEWQTIANDDEG VAGYDELVTTKTCVVWCRGGIVRKSFRFEIEDEPVTQALLTSFSTEHTKRHFDRNKTA SADSQPHHADAERTTTLDEEHSRSKALVVFLRTQAHIYFLSGTSHIVHLPFEVEHAIA STNGLILQRKLQSEKLAPLSLKFPRVPPNSFMTSQPQPWSAASSIQSTFSIASLGSPQ QLNLPPTSLLGDLWQSPPRKDDSRWPRLFSLTDPLAELGLVVASAKVNGARRSSLRAV AIDPADEIIHVTDPHNVTETNMDVEGSVTLAVTLNRETSMYTVWTMEYVNQEEPLVRK PSRTSDVKPRRRSSFMPGTGATTPVANTQHTFRESFGGAGAGISILKPSKRREDDAVK QNVDFVSSLDPDSEINGVPRRKSRRVSSMLARGDLSASASHDRSMFSEMSTVGQQPNP RVDSMGGHNGRASFGFHKGFKNSTSNQLPLNSSVNSFLEAPIDDLLEELKAGGDFEGF HNMGLEDEDFEGLRKEIVFTKIESIPVERSNLRYSTQHKPAQSQCRVFTLAAPSSSLQ RNQIFLCILDPEEKKFVVVTLNSTLSKKKRTPQGAQKAKKQNPDGTKDPVVNWGDVVR ADHVIDACKVFDGGISRILVLSETTDGFGELTLQAPWGLLMKISVPDRLVMNNILSLG YNGQPHTKSEENRTKMLSSGPRALRGLRNPLPGGMVDIVDEEGRSHQLHLRMEARLPL VKNVLDACKYVLPNKGGEAVLAGWWNIRQWLTDKPYIGCDNEWSALVVSLFILVLPFR DLRAKPLRASPRKPRAGSVRTGRTVDSATKDVDDILHRECTMGNSLPSWALTSGWDWL RKEDKPNLITPPKPLGDENSFLQRHVNIAQDYLNTALGDAAVGASGYLPTAHSQSPDL RMEALTQIISGLHLLHEEYKLNIATVDSLTTGRASLASVLSQMCRWIGWNDWADSYDI EDAVAEGVAFNPTTATVLAQPSLPPSIYDWIEKSLTVKINTPFMALTDVKNRTYSNGG SIIEQESSSITPRTSLFRKLFTVVHPSASSIEIVEALYAAGFTTHILDTLPEALLIPF REALIDCQSHLQVSWGRELLSLVGREDVNMLLFPEQRERVAYASPLAPTHESSNDVHS ICLSLSEQETVGAFDGSAEVDRQSISRLIFKDDRRLNEAAKILNTSKPTLARCEPEPD WSESDLLEAQKVHVQELAVRTLAVPPGRGLLYFSARVPLLTEKFPIGGFNLHCVFKPA NNTVGVDKNAFTEEKVCWAFFHAGVSAGLGISRQARGIDTSWILFNKPQELSNRHAGF LLALGLNGHLKCVAKWVAFKYLTPKHTMTSIGLLLGLAASYLGTMDSLITRLLSVHVT RMLPPGAAELNLSPLTQTTGIMGIGLLYCNTQHRRMSEIMLSEIEHVETEVLDEPLRN EGYRLAAGFALGFINLGKGSDLRGLHDMQLTERLLKLAVGSKKVNLIHVLDKATAGAV IAIALIFMKSEDHALARKIDVPSSILQFDYIRPDTFLLRTLATHLIMWSKIEATASWI EKNLPREYKFKSSLTSVHHLSAEDLPFYNILGGLCFSIALRYSGTGDLRVRDLLVHYL DQFMRICALPASNYDQKLARNTIRNCQDLLALCAATVMAGSGDLVVFRRLRVLRGRDD NETPYGSHMAAHVAIGALFLAGGTHTFGTSNLAIAALMAAFYPLFPTSILDNKSHLQA FRHFWVLATEARCVVARDIDTNMPVSIPLEISLRTDKFTTGTNPSVSRHAPCLLPEID NIISVGTESREFWNVVLDFEKNPDHLKAFKSTQTIYVRRRPAYDASAAAFHATLQALD DTDGADQHPLQWLFELPAFSMLTTAERALVIPPEGSKGRAAHTSTEGTLVDARLVLEE ATLHSGKRDRLQGLKLLFEWANMMQRDGREMQWVRQEVVDRLRAKVWMMSIEGDDEHQ VE01_06238 MDAPSLSPEAIAFAARIYDAARAGQIDLFEQALPAGLPANMTNE KGDSLVMLAAYHGHSQLVRLLIAHGANPNSLNDRGQSPLAGAVFKGESEVVKALLEGG ADPDHGDPSAMTAIVLFKKEDQWTKLFEEAPGKGKAVATSSPPS VE01_06239 MVQILPLLNRSATRSLRFLARPSTARFYSAPAGPQILVTDLPAP STGRIRVLSLNRPSARNALSRSLLADLRAQIDEIAAEYDSEGNETPIPKTYGGAAGPN ERGRTRALILTSEVETCFCAGADLKERAGMSQEETNAFLANLRGTFSALAALPIPTIA AVSSMALGGGLELALCAHLRVFASTAIVGLPETRLGIIPGAGGTYRLPAVIGQNRARD MILTGRRVSAPEAYFLGLADRLVEVVEAEGTKEGEEDKTLLKRAGKEALSEAVRLAQE ICSGGPVATRAAIQATAWCREDMENKAYETVVATEDRDEALKAFREKRVPVFKGR VE01_06240 MSAAQLLNPKAESRRRGEALKVNINAGEGLQDVLKSNLGPTGTI KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRHISEGLHPRVITDGFEIAKNEALKFLDGFKLEREVDRELLLSVARTSLSTKL NSTLANKLTPDIVDAVLAIYQAPAKPDLHMVEIMKMQHRTASETQLIRGLALDHGARH PDMQKHVENAFILSLNVSLEYEKSEINSSFYYSSAEQRDKLVESERRFVDEKLRKIVG LKKEVCGDDPKKGFVIINQKGIDPLSLDVLVKNGIFALRRAKRRNMERLQLICGGIAQ NSVDDLSPEVLGWAGRVYEHQLGEEKYTFIDEVKDPKSVTLLIKGPNQHTITQISDAV RDGLRSVYNMIVDKSVVPGAGAFQVACAAHLNSDAFRKTVKGKAKWGVQAFADALLII PKTLAANSGHDVQDSLVGLQEEYSDGNIAGLDLSSGEPMDPILEGVYDSFRVLRNSVA SSSGIASNLLLCDEMLKARQMGRQGGPGPGMDE VE01_06241 MFLRIRPSLLALPFVIGVTAGFWPFHTQVDALESDATSDVKQIA IIGAGSAGSSTAYYLHKLAQEEGIEVNITVFERSSYVGGRSTTVDAYDDPAHPVELGA SIFVSVNEILMNATKEFGLDLKPLSDEEEHETIGIWNGEKFVLLQTSGGWEWWNNAKM LWKYGMSPIRANKLMKATVGKFLKLYQEPFFPFRSLSERVIELDLVSATAVTGDELLA SNSVYPPFTTDIIQAFTRVNYLQNLGVIHGLETMVSMATDGATQIRGGNWQIFDRMLN ASGATVYLDTAVSSLEKNSGRYTLTAKPAKAAWNSAASKQQFDSVVIAAPFQYSGLKL SDGLVEKNPDEIPYVQLHATLFTTPLKLDGSYFGLKPGDVVPTTVYTTLSPSDDPTSR TDIVGKAGFFSISALRSVTHPTSGRKEFIYKIFSSEAVTNEFLGSLFGITLPEVKSLA DSPNDDITWFYPHVWNSYPYEYPRVTFEDIELARGLYYTAGIESFISTMETSALMGKN VAQLLVEDFTEVLGGEKSEQKEKSNVIEEL VE01_06242 MVKKRASNGRNKNGRGHVNPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFPEYTVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRVR YNKDGKKVNPNQAAAKTTQA VE01_06243 MSGNYRSIAYFANWAIYGRNHNPQDLPADKLTHVLYAFANVRPE SGEVFLTDPWSDTDKHYEKDSWNDVGTNIYGCFKQLNLLKRKNRHLKILLSIGGWTYS SNFAAPASTPEGRQKFATSCVDILKTVGLDGIDIDWEYPKSPEEGQHYVLLLEAVRSA LDAYGASLSTPFHFELTVASPAGEQNLNNMDLPGMDRYLDFWNLMAYDFAGSWDTNAG HQANVFPSQSNPASTPFSSQKAIDYYTSHGVRSDKIVLGMPLYGRAFENTDGLGKPYS GVGQGTWENGVHDFKKLPLEGAVEKLDEETEGSYCYHEEKRILVTYDTVEAARRKTAW IKKVGLGGAMWWESSGDGEGDKSIIKAVAGDLGTLDQKENCLEFPETKYENLKNGFPG EEV VE01_06244 MLKKAGIALSSACAKGIGASTGASSSATSRLRQQNGSFTRTSTT PPKVTGPRAYAVVADGHSRDAHQPSIPWPEVKSANAVPTPYEIFNQKKGSPYSKRRFY ELVKIYHPDRLSQSSSYDYEISDATKLERYRLIVAANNLLSDPAKRAAYDAYGTGWQG ESDKATQHGSWAPPYSGRSGEGGPGGPRYNATWEDWEKWHHRNEKQDPIYFSNGVFAV LILLFGTIGFVGQNRVVDNFSSSLTHQIDALHRDMSQELMRRRREASMNANKNERVHE FLRTREQLAHEAENPRHVPTQKLLPPPDVRSSK VE01_06245 MFDERTGQVFEERIAPIQNQNDARNWPKKTPIALCQKYRSSETP AYRNYCKVVEQNRSPAEIVANAPRKLFDISINVLVSNLHSLLPNTLKRIPLHLLEEVA RVAQGRFAELGPLGSFPDGFLDQLPLSTVEGMWAAINQRAQLNLDTWKKISKRILSEK RDTATELGLRRYRQEIESPSPELSLYTGPVTSTSFDFLTSLTITAWYPINELVNLADV VNLGILQIYETEESILKRGLERLVPDRLLRAWAELAVEKGAFSVLRVLKFHVLEGGLT ESSFRHFNSFPALGLIFPGPDRMSESVAVKAKEVGWQALCDSKTSLIGTQFNNTINVV NPRDDNYSYDSSSKQVWHMPFINFGANNPTSWDGCEVTTLPSKNRSEFLADLEATRPP THWLSGVKQGGPENRMSRVREYGDFVQGESWQKTDWNLFCESLGQPKSHTVTDGDVHC LDQFHGLTYLRLDRDLRAAGVKECGPGIVSIGDTFKSIITTAPIVSILLGPRKLGDKF DNFSRIKSWHFLRTTIPGKVPKPDQSTSNPADTKPSTAPPSFNKRPESESARKMRPAK VQKFNDFFGAL VE01_06246 MASNTPKRLPSLAPFPEAEWRAHLVPEEWAACLDAWIVLVEANL SLPPQNFAQALAGDEYLAVFVTSYTRQLALLSPDVDSFGDVEKSKTLKKSVFFLINRL LDSEPPPETLLKWEFLADFAKAYGKGLSNKPLANLWRKSSEALALPLGNFKSRLTKDL EAGLKGKPAEVEQTLKRLNHLLYASPDTAIFFMSGSDFLDALISCYKLMNPPLRKAII STTYLCLITLTEGEKPRFSQLIDQLYSLQAAAEAHKAGPTNVNDSLVAELVTATPLLK QVRDRIDQSGTGSARAKPIISSLEGFRKPNATRPKRIVKRKINKGKKAANVDSEHDHG AGPVHIHLISLVSQVQDLFPDLGSAFIVKLLDEYNEDVEVVISHLLEDSLPPHLNGLD RTEALPETASGESTLPHMSPRATPPLAPTRRNIYDDDEFDQLAVSTSRLHFGRKDADK TADDILKDRSTAPNTAAILTALTAFDSDDDERDDTYDAADVGGAVDANNTDELRDQRS EMDDAKDELLFRAWKMNQAVFGRDAPTRRGKERASLKQETGMTDEAIEGWGIMLSRDP RRLRRLEAKMSSFSGQQNQLAPTSWRADSGTEGTDGSDVDGGNRGRGGFRGRGRGMGG RGRGGGSSVAGAPGQDTDKARERKDQNKGRVANHNRRDQRARKVARAGFPG VE01_06247 MSFQKPEKEFGEGPKIHRIRITLTSRKVDALEKVCTELVERAKS KDLRVKGPVRLPTKTLKITTRKTPCGEGSKTWDTFEMRIHKRLIDLNAPTEVVKQIII NIEAGVEVEVTIAA VE01_06248 MFDISNFDDVFAFDPKYSYSAESVESIEHHRTTFEGLFVDRVLK LLGIKRPNKSYPPKSNGDLRSLHQAVVSSNAAHHTKVSLLYYILLDCDEKTRNNANSN AFEEMSFMPKKYQIFMKGLWRMDRAQFELALQNLTHPSLIPTFQEEILGVLVKHCPAD DMSLPLAYYHTVLPALINTPALDSLFLAIARTSVTEAFFFLRSQSELDQTRMFESLLR HMTQEPLGDRTAARGIELANLPFTKEEEALFEQVLKGMGGRTAARARDLVMLRRIGTG KFNEALDVDYNCRFEHIGPPGQEVAGGGGAPGRNPFNAVASNVNQGYGGQSTFGARQP QGQSSFGRAPDTRGRAPTGPQPGQQAQPPYLLGKDAMITDLSTELPQWILSAYGPGLK APIQLFGGEEREKSFEEMRLNHYALRAQGQEALAAQQQDELIQKAAAQNQNALHNIDA ALTYVLRGENEHPNRLDIVEQYTKGLINPTQPMAPLGANIPAVNPLGQPSQIPPASAF GAGQSILGQAPNPLGGQGAFGAPAPAFGQPGLGSNPQASQPFGAQAPVQPPQPSPFGQ QPQPGGIGAFGQPGGFQQNAPNPLLAQQQSQGAFGQPQVQQPTQPSPFGQPQVQQPAQ PSPFGQPQAQQPAQPSPFGQPAAQPASGFSTGASPFGAPTAPSGFQQGGAQPFGAAPP SNIQAPNPFSPIPQQQAAQGQQSGFPAAGGQVADPSPYPPGSSAQHPHPSTYSARDAS NRITMFKGRPVVYKDNQPGFQNSDGSWEKIWFPNGPPGYYSATELPEEGYDQATKEAY EKLRQTGELEGGKLPLLPPRREWSKWDF VE01_06249 MESPTRPSRRAAARRKIVDSSDEDEPSGSSQKVKEESEEEFTPA PERSPRRQTQSRRKTTTSVPSTPRTSGRTRKSVVAESIEPSEIFDPEQTAYPEPPSPT KRASPRKRKSAAPSRAGSASVPPELPAPLPTPQASVSPAPYQLHSTPLADITETLNDQ PAAPAPGSPEAMAKPINPMDTVLERPMDIVLKSRSMAAPVVEDTGPKARTVITYLILT NFKSYAGRQEVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHN SAAFPNLDHCEVAVHFQEVMDLPNGGHDIMPGSDLVISRRAFKNNASKYYINGKESNF TTVTTLLRDKGVDLDHKRFLILQGEVESIAQMKAKAANEHDDGLLEYLEDIIGTSKYK TPIEESAAEVETLNEVCVEKSTRVQHVEKEKNSLEDKKNKALAYIKDENELALKQSAL YQLYIDGCGDNITVTEEAIGQMQEQLDTELEKHKGNEDGIKQMDKQYKRGQKEYEFMD KETQAILKEMAKFDQDNVKFEEKRKFLTGKQKKLEKSIAATEKNGQEATASIAECTNE IEESAAEIANLEKQLQAEEKELASIRDSLKGKTQVFSDQIATKQKSLEPWNEKINQTQ SAVAVAESELAILHEKANAGAVALEETQAKIASIQGSQEAKLAELEECKAQKAKLEKE VAKTKSELSKMAQKEPEYRAQLSGARQKADEARASLSNTQTQGNVLSGLMRLKESGRI EGFHGRLGNLGTIDQKYDVAISTACGALDNFVTDTVENGQQCIEYLRKTNLGRGNFMC LDKLGNRNLSPIATPENVPRLFDLIKAKNDIFLPAFYHSLQDTLVADDLAQANRIAYG ARRWRVVTLDGQLIDKSGTMSGGGNTVKKGLMSSKLVADVSKTQVAQLEVDRDAMEQE FQTFQERQRELEASLRDLSHQIPRLETKMQKIGLEVESSARNLADAQRRVKELSKEHQ PNQTDNGRVTSLEKEIVKLNKSIEKLHSETSSVEDEIKALQDKIMAVGGEKLRAQKVE VDNLKQQIDVLNEAVSTAEITKAKAEKQIPKLEKDHNKATKDLQGVIAGLEKLEQEIQ NEATNAEASKERSEQAKEALAEKKQELSTLKAELDEKTAELNETRAVEIEMRNKLEEN QKVLLENQKRLRYWQEKFSKLSVQNINDLGEESEPQELQAYSKDELADMSKDTLKSEI AILEEKTQNVNVELGVLAEYRRRVEEHTIRSEDLAAAVAQRDGVKKRCDDLRRLRLEG FMEGFSTISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKKSWKNISNLS GGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVIS LRNNMFELASRLVGVYKVNHMTKSVTIENRDYITGKPAPTATTAATPAMAAT VE01_06250 MRRSTSASKRARSPTESPQPDSISPKRQERRPPTAAPLSRDHSL TRDTQSEPPMLPLGKMPAFLQLSEEETLQKFKELNWLERTRAADGRTTEDSKWARCDE DKTAGLDRFGDIFVWKNNRVKLHVPSEMNDYINASPIIMKSHRTSLQSKYIAMQGPKQ CTTDHVWRMAWHELASPAVIVMLTDERGYPYFPSTASQTLSINTADEFGDGFLGTVTC EGDAELSDDGATEIRKLVLRVEGEEEEKVIWHLLYTQWPDFGIPAENETASLLNLIAL TKEKNASPTNPKIVHCRAGVGRSGTFIALDQLLGELEAGAFPGDRGGGAGAGAGAAGG VEAFRRDDPVFEAVNSLRMQRPNMVQAVPQYRFIYEVLKRVWEEKYGRKLAEAGGVGR PRSTGGVPTAKDLREVDAEDVLTE VE01_06251 MSWLRAAVELWLIQKLISSPTFHRGVRRIHKGVHEFRHGKDPAD MGGTKIDVPGGEKGFLGHFIEELKEQIRGTSTKK VE01_06252 MGQIPPIEIPASSNIVTVRVIDTTTRLHMPVGTMFDPLIKGHTT LASPSYSFLIENERLERKVLYDLGTQQKWQEQAPCVVDMIRTYGWDVRVEKDVADILE EHRVSLSAIEAIIWGHWHWDHLGDPSTFPTSTDVVVGPGFLEEFLPGGKPIEDSPIKE EYYKDRNLREISEAHFNLKFGEFAAFDYFGDGSFLLINSPGHAIGHMCALARTTTNPD TFIFMGGDIASHAGEFRPTKYVPLPSLISPNPLNFLSPKPCPGHLFESIHPQRCGNSP FYRMGTWPDGDPTNEDVPAAVESQQKLQLVDAHSDQVFVILSHDENVGDVIDFFPKIA NGWKELGWGDKARWMFLGDFKEAITE VE01_06253 MADTATKLTLAPSFPRKPAAPTNPRGIPYAPFVDKVEDYVTTRA DVEATLKSFQEMIAKYQFMEANQQRRAAGLKDKMPDIQKTLDTVRFLKTRKPDSDPIE ATFELNDTLYAKAHIPPTEEVYLWLGANVMLSYPVDEAEVLLNSKLNSAKTSLSNCEE DLDFLREQITTMEVATARVYNWDVTMKRKEKAENEGEEDPDKKGSASG VE01_06254 MAAPAEIVPLKTDPKYDDYDFPTVSATNQPGHAGHLTPEQEAQV HQLRMSLEQKGFTERLDTLTLLRFLRARKFDVALAEVMFVNSEGWRKEINLDDLVQNF EYTEKAQIFEYYPQYYHKTDKDGRPVYIEQLGKCDLTAMNKITTQERMLQNLAVEYEK VSDPRLPACSRKSGHLLETCCTIMDLKGVGLAKISSVYGYVKEASAMSQNHYPERLGR LYLINAPWGFSSVFGMIKSFLDPVTVEKIHVLGSGYQSQLLAQVPAENLPKQFGGSCD CEGGCGFSDAGPWKEAEFSRPPKWAKKSGEEKKEDAAVIDTTEGKGETVPATATATAP IPEAGGVAAEAPAKEFTDHGITPA VE01_06255 MSTYEECYEILYNACEKFIALYDPLGIELEAMVYKRELANKYDP GFAEAMGGGGREEEGAWNGEGGQEKGTQTQGTQTEEVTQKEVGTQKE VE01_06256 MADPQRKIELQEPDDLRYLLANTRRVAGEKVDVALPPIEGEDVL RRKVEELVNSYVTKTFSMAAPNTLINGHPVAADSSLLAPEGAAEAEVVVEEYEPFSES LRDRAAKLLRTEEELLLEVGQLRREAPAKAAAAWKEELAWDEEVGDMEVGDEGGSENG EARGEIEGGGVKVEKLERQEEVEGSWKEGVEGLGRLKGGVPALVARMERARRAGGYAL AER VE01_06257 MTTSAGGESKQLPPSSSSSSSTFPHFPDLPLELRIQIWQHALHS ARNSVIPVVINHHPLLTFHSCITLHGSFCGQHGHCPLYNPDSAQQPSSSLVSMINGYF CLGANTPDIDDAGVRELSRVCRESHQVFLSMYPETMTVYREMWYPNVEIHPRRRVRCN PKTDTVLVTALTSYASEQRHPSSLIDGVGDAYQDDLGRWFPPRDTAGAFEGFRRIVAG WRRVVFGFLDEFETPIPSLRLSGTYEDPQFRRFLVFFEGLEWLYLCADKGHVMAGTET EREWERMERVGESCDGGGSVDDDNW VE01_06258 MSSSITIPTRQAYCEVGGKVGSSSSSSSCYSTSPQTPQQKGKSP MSTPSKSAGHNRRPSMLSSSFSQQEHTVINIGDPDGTPRLITCVRYSQGYEWNPEIFL PSYMECDFESIERKRDPVHEINLSDEEMKNMFPE VE01_06259 MADAAVENPATQVLPHRKQLPSSIPNIDSLEGLATDGSDEYSTL KKLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGAHEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP NLRDRRERRLIFTTIASKMSLSPEVDLDSLIIRNDPLSGAIIAAIMQEAGLRAVRKNR YNIIQSDLEDAYSSQVKAGAEKDKFAFYT VE01_06260 MASTTQPTYALSQAHKDSLEKSLLETDPEIAAIMEKEIQRQRES IILIASENVTSRAVFDALGSPMSNKYSEGYPGARYYGGNEHIDAIELTCQARALTAFN LDKAKWGVNVQCLSGSPANLQVYQAIMRPHERLMGLDLPHGGHLSHGYQTAQRKISAV STYFETFPYRVNIETGIIDYEQLEQNALMYRPKVLVAGTSAYCRLIDYKRMREIADKV GAYLVVDMAHISGLIAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRRGVRSTDAK TGKDILYDLENPINFSVFPGHQGGPHNHTITALAVALKQANTPEFKQYQEQVVKNAKA IEVELKRLGYKLVADGTDSHMVLMDLRAQSLDGARVEAVLEQINIACNKNAIPGDKSA LSPCGIRIGTPAMTSRGFGEDDFKRVASYIDQTIQICKEVQAALPKPDNKLKDFRAKV AGGEIEKINALRKEIASWASSFPLPVEGWRAEKA VE01_06261 MSAIDYLLHESSVGYAIFQVVHQPETIGSRLKEVEAASQDVAKF GKMVKLVNFAPYRGAAEALENVNLISEGVLSEYLRSVLELSLPKVSKKKKTVLGVQDK ALAGSIKANFSSVECETGDTSEVVADLLRGLRTHAGKLLKGLHEGDVERAQLGLGHAY SRAKVKFSVQKNDNHIIQAIATLDHLDKAVNTFSMRVREWYSWHFPELIKIVSDNHTY AKLALAIGDKKSLSDDRLHDIAALVNDDADIAQAIIDAGKVSMGQDISEEDMKNVSAF ANRVVKLAEYRRSLFQYLTDKMAIVAPNLASLIGEVVAARLISHAGSLTNLSKYPAST VQILGAEKALFRALKTKGNTPKYGLIYHSSFIGRAGAKNKGRISRFLANKCSIASRID NFSETPSTKFGEALKAQVEERLEFYATGKAPTRNEEAMKGAMDAVLGEIQIDPEMADI VPPSATKKDKKEKKDKKEKKSKSGGDDEKKDKKRRRSEAADEEPPKKEKKKSKKVAA VE01_06262 MSNTDFLGRAIDVVKKAIESDTNGDYDKAYQLYYQSLELFMLAL KWEKNARSKEMIRAKASEYMERAEKLKQHLADAEGKHKKPSMMGADGSSRGGNGKAKD EDEGDADNKKLRNALAGAILQDKPNIKWEDVAGLEGAKEALKEAVILPIKFPHLFVGK RQPWKGILMYGPPGTGKSFLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFAM ARENKPSIIFIDEVDALCGSRDEGQSEASRRIKTEMLVQMDGVGQDSRGVLVLGATNI PWQLDNAIRRRFQRRVHISLPDLPARTKMFELAVGTTPCDLTPADFRKLGELSEGYSG SDISVAVQDALMQPVRKIQMSTHYKKVDVDGAEKLTPCSPGDQGAIEMSWTEVDSDAL LEPPLLLKDFIKAVKSSRPTVSQEDIKRSEEWTAEFGSEGA VE01_06263 MAEAQQRAQVLTDEYQKLQAELQTIVSARQKLESQQQENKGVKR EFDSLAEEANIYKLVGPVLLKQEKTEAVMAVDGRLEFIDNEIKRTEKQIADIQASSDA KRSEIINLQQSQAPQQTA VE01_06264 MVTEELPVPCTTCLDPPTYPDTPLPSASLSRNPSGSFSSPTTSI QLSRPHPPSPTPSTPPASPRMAPRIHRRDSSFRKTYDEADRKRAIPCENCALTLPRKV SPSPSASASNSPSIPPPPADDSTSPILRTRKPFALISSASSTPKHSPSDSDEPDAPPG PALSRRRRSIPRSVASAGDSQKHTHYLDYTSSHDPSSATTFSLLRAACLRTLSCETLP PSSPTPQPAGGPLFFGDPLAGYTTAFLFRIPDPWARGRRRVYAFLCLSVLSERKAMRV FGFLAGAFRELAGWIQGLAEAGNSESGIAEGIDEEGGGGGGAGNRGREGEPRIRSSFL GSRGDERGRGVRARGLAEVVGKPDFFIELHVRFVALAAQLRFLVEGGQG VE01_06265 MGSYFDALFAPAPLATGGLLILVALLSMILRPTKSFNVPFVGVE DRDMNWTPINLNDKLPHIVAQASALIFVGPALNRNKEWLNCTTTFAADVMIGGEKLKT WKPILRPVAQYFIPEVRRIRSDHAFAHTLLLPVLKSRAEEESRQGDKYVKPNDMIQWI QDRARKSGDKTVDTKEQANLQMLAATAAIHTTRMAVIHAIYDLAARPEYVEPLREEIE NVLKMSGGTFTKQCLTQLRRLDSFMKESQRHNPPSIATFQRKALVPVKLSNGFHIPSG TIVQCNTNILEESPPSWGDPLSFDGFRFYKLRSKPEDTNKYQFASPSFDSMQFGLGND ACPGRFFASNQIKIILVYILRHYEIKFEEGAVGRPKNILFEVN VE01_06266 MTPDITYSTRVDHDPIEERKSLIKRLVNQKVLVPDILTLLPSWR CNLQPDIDSTNEEIDVWLRTLNVSEKKKAKHRARGNYALLTAVYYPDCTRDKMLVLTQ FLYWVDILLGRWYFGQHLDKEIFINAYILEIDTGGELTDDKTGTLLCCEETNKCIEDC LGPNPNYEVPPNSRGTVEMFYPILAELRAGLGPVSTERLRKELHDYVNGVANQQEVRQ GEKLPNPWDHFKMRSDDVGVIPSITQNEFAMEFELPAWVHKHESIQVIIQECTKLTTL LNEVLSLQKEFRVGQLENLVILFMNEYNLNLQLAVDKVLSLIREHYDICTAAEARLPF TGNKKLDADILEYVQGCKDLAVGTAYWSSQSVAEMPLVTAFIDIIEYGLSIVN VE01_06267 MSPWVQRNVINWVRRLGPEWTVHILDRVPGSATNISHIIDSSFF PAAFNEHTMSGPHVGPHSADLIRLPLLYLYGGVWMDAGTFLFRHIDDICWKTIEDTNT PYQMAGFVIEIRPGVDAMLNGFIAAKRGNGFIKRWHDIYVALWEGVTESKGFHAHPLL RHLPLLSPPVDKLNCPDLGVLMEAFSDYLAHFLCFERLRKLVDPADGFDGPKYYRENM FLVPAMQETYYFQMMTGWNGIKQFDLLATRRDGVHDEKYLEAEKFVDDMLANTSTMKL SHGPPGALSSFLADLWDDPKNHHADNEPGTFAAYLRYGSVHLDQTRVLEPLQAAPTIE EVLHVGVLEVPA VE01_06268 MATFHPFPRLTLELRIQIWGFATEDRVLRVRKTWNHNQGYWSPT AVPAVTRACSESREHCSYRKAFIINSSPRYIWANFDCDVIQMRSRLLADKNILERDEV RHLRIELVNEQGLDESEFFYHNHVHKLPDFPKLESFNLLVSDGLWPQWTDFIKETYWG ACPRRNVRIVDRRTGEWIDEETSGAYHDYRDSNGGKNDNYTRVVDDEEEEEGRMEAMK RLQIPLPRIDLDY VE01_06269 MPRSEQLQAADLAAVFADGVDIDSEIGDTIVFAPRLVQLQTAGS ARPADSATALAKGVDIEAEYELPNENQFPTPIATPDPTLGMTIHETQTDSQDNPIHVQ REIGLDVDEGNILTGRRIRKTPILERASYFLRGWSRLVSDQNQEKTQDQVSE VE01_06270 MGDSSRSNTMYFDAIPQMEPIDGMHSQLSDQDLLPKIDRLRELG VHAELSDKDLLQKIDKLRELGVHEFVSLPQLVVVGDQSSGKSSVLEAVMELPLPRSGT LCTRFATNITFQSASKPRITVSIIPGPSRSDEEKEQLQDFKRELTVLDGGNFLSILNE ACDAMGVPKPEELFDVDGSKSKPTFSEDVFKVELCGPGRDNLSIIDIPGIFRTETKGT TTSKDMAMVKEMVNYWIKDERTIILAVIGINNDVATQEILSIAKKADPKGLRTLGILT KPDIVGDGEEGRVFDLINGKQHKLTHGYHVLRNLSQKDLDAQKGLNGRNDSLSRRTKE QEFFNKKLWSALPKSRVGVDELKKRLTVLLTDMVKKEFPKIKSQLAQDLILAKKQLAM IGTERATPQQQRVYLEEIAAKFKDLSKLALDADYRDEIFQNDKCLRLPTLIVNRYDLF EKEIRTKGHTYKFDNDEGNGSGNDEDEDADEAISIASENESATDENESGKGYEVEPTP KPIGRVFPELDNVLSQSFNILDADKTNILDWIRRQYLESRGYGLPAIDLRILPVLWQK QSSNWGGITLSFINDIIVYVHDFICRLLSIVCPESKVRNALLSFFMDDLVAKYSSAIK HVEYILKVEHRGTLLTKNESYSTALNEMRYARLVKATNNSAIPTTKSKGGDYIPVKAI EVSAAALAMSNSNEENVVQDHHDVLHAYYKVAMRRFVDTVIAQGMDDYLLTGENSPIK VIKLSFTSKMNDDQINDIAGEDAFTKGERLALEQKIKALEEGKEELNS VE01_07884 MKIVAILSLVSVVSAYILGPGGIDLAPFASAAKDAVGDKIDESK HDGKAEAHKQQI VE01_07885 MKLSASLLVVACAIANAHYTFPKLIAGGVTTADWQYVRMTANHF DRSPVTDVNSVDIRCNLDPSLPATQTMPVAAGSQVGFTASPPIFHSGPLQFYMAKVPS GQTAASWDGSGEVWFKIYALGPTITPLSITFPALFMERVYVTIPKSLPSGDYLLRVEH IGLDSAMFPGAEFFVSCAQITVTGGGSGNPGPLVSFPGAYSPTDPGIKYNIWAPFPTS YNLPGPAVWSG VE01_07886 MEVEAEDAIVVASEGEESNGRWQRRLRKPSTKAKQDGEAQSSLD MVLPPSRKRLRGVSRNATTPDTQNDEMGRDGGRTMLQTLQEQMNEQTGILKIILEAWT KQEAHNKAMKAELGRVKDELQAVKDELNRTKQEMVEGMAALTSGQSSPSPSYADVAAH VCVQNLHLVDNRPPDK VE01_07887 MVTFQVYLLTALAQLAVSTTVRTSTPPMGWNSYNAYNCNPTEDI MKTNAQALVSSGLSKFGYTYVTTDCGWASSTRNQQGRLQWDTSKFPSGGKELGDFLHG LGLKFGVYSGAGYYQCGSTDIPASLGYEIIDAETFASWGGDFLKYDNCYSVSPTNMVD YDSQGAVSSDRFDAMAQALNETDRDFIYEICQWGCGTDLGIWAAADATTWRISNDISN NWASIWRITNQVVPYYEYTSPGRYPDMDMLIVGLNVLSAEEERFHFGMWAINKSPLTL GLPISDAATSSLQIVSNQEVISINQDSLGKQAEIIRRYTEEEWDIWAGELSGSRIVVG LANWHNSSQSVSIDLGDVLGISSAKARDVWAAAHLGVLSGTFTTTLAAHELKLLVLSD IVKSTTVQQSKGYYAATNATISGAAKHIACSSTQCLPSKAKVGNIGLGSSAAAATFTG VSATTGGRKLLGVDFINYDVALGSAWTDGTNTRNMTISVNGGTAKRWAFPISGGNWYD SGRMLVEVDGFQAGRNNKVVFRASGTTTWAPDLTEVYGGRRDRVAQLIHLAGPNLASV ASKIKPVVVFRDLYNPQAITNVATFKVKQFWATGDVICHSTAPFDPNAHTSYGVNAAE AAAFVAATLG VE01_07888 MASSRASRTLLLRCSLLFSFFLFVILQSVIPSAAAQSHSHRSLN HRNTAGTSNIDDAQALVNEALKGIAAANKLRVENPRRDKSGYRQGAFESAANVQRSSS SFEARSNASSIDYVIPESVITAAKSLAESRSHTRGATIDSVAKAAKLKAQYWPKRSDA DTASHSARDSGSLETRASAFWMENMSMNGRSPLAPSDYVVFRNVKDYGAVGDGVHDDT AAINSAISSGSRCGQACGSSTILPAVVYFPSGTYLISGSITQYYNTQLLGNPLNRPTI LAASSFVGLGAISSDVHATDGSVWYTPQNNFYRSIRNLVIDITNTDPNAYVCGIHWEI AQATDLQNIDFYMKSGTTQQGIYMEDGSGGFMTDLYFSGGNFGAYFGNQQFTTRNLLF NGCKTAIQIFWDWGWTLQGIAVVSGTTGITVTGGADTSHGGAGVGAMLITDSTFSALA VGISTSLLTGAPTNVVVQNTVFTGCTIAIQDVQVPQTLVAGSTSSVKLASWGMGNLVQ GTSSTASFQSGKTIAAPSRAASLVDSSTNNFFTRSAPTYEDIAASSMVNIKSQGAKGD GVTDDSAIINQVLAAAAKSNSIVFFPFGIYLVKDTVKVPVGSRIVGQAWSQIMASGTN FQDMSQPRAVVKVGTAGDNGVIEISDMMITVSGGTAGAVLIEWNVHESTQGSAAMWNT NMRVGGARGSQLQAANCPKLSGAVKSACVAASLQLHLTSSSSAYLENIWAWTADHDLD ITSQAQIDVYSGRGILIESKGPTWLWGTASEHNVLYQYALSNAKTTFLGLIQTESPYF QPAPKAPLPFTLGNFANDPSFSDCSSTSTTCAVSWALHIVNSATTYIYSAGLYSWFNG YLQDCLNTENCQTRLINIESSSDLWIYNLMTKGALEMISPLGETPTYASDNMNGFMST VMAWLEGSSRVVGSPPPTTCQTVSGMASPTPANSVCGLKGSSYTLSGAGTLAGYVAGS PYVGSFEWCRIVQLLRCDLLYLWRAELYLDLNNSYTHFHNSHDNVHTFL VE01_07889 MASFPPSGSVPATLTTIPIELLYQIITQLREYRYNEILPLRLVC RELNHRVTEYIGTGSYFFRQLCGEFMRADLHRMLAIAHFPVARRFVKDLLITMTKGNK GRKLLANERSPGWGRNALGHLASPEKVYEIRTLKAIMGMLQNCDGVRLTYNVEKKGQR EGSIQQTVEGILVVCAAAEVNIRGAELCDHHIALSTFTIDQSPPFKPWDKTLPLLNAA CAVIPALQLTISPANKETDWVEAFVLKCVNLEQLSVNYKACSYYSPGLHHRFYDDIAA TTKPLPKVQALMIETNGFTLDQLTAYVGRFKESIRELNLAWVKLDGEDGWPRFFEWTR ENLPLLEKFEFKALYHGAGSRDGVFCYDSVLDDKELIVEVSPGRKWEMFVRLDGGTLE VEHSNALPYRDSWVVMEEIADGTFDWDNIPHENGPAYNVSYEGSRASAREALRLLALA AVPQVLARGCSARPNRIKV VE01_07890 MSLHSLRLRRGLESNRNFLIGTIVVSSVLGILTIIGLYFLFRYC RQRRRNRRSMRNSAEEAWKKQHVAGAWSIASSQPVSDLECSEAASESDNSSTWRNDRF LTGYTQRSEYVAVRSASPPPAHLAGYTQRSGYSVSPPPSQRPDSPTLPLMAVHHNRSV SMPPPRPPRPDDMISPLTPRDISEIPDHILYSNGSITSRGGEQYRPHTLPATSPIYRP DVHPPAESHPLPQLHYDFPIDLWNQPPTPPPKSDRRVPHVRSINRKRSINRKVSIVRK PVPIHVLLSPPRYGGMGGLRRSDTGYSSHYSDASNLERANSGASMAGRHTWSPHSALD SLAAYSEISASTPFDKKEGLERNLTRRGFISARLSHVVEKEDSREAAKLMGEAEVPDL ESDTASNAGSSDRDTLEVENKAGEESRVNTPDPIPAPLRIIKKGHELEVPMLKLPMPM SFEERREMGRIYAVR VE01_07891 MPAPPFKVKAVYEYTSPHEDDLHFPNGQIITVTEEEDDDWYSGE YVDAEGVKQEGIFPRNFVEKYEPQAPPRPVRSARPKKEAEQAAPVQPPAPELVPAPVV AEPVPEPVPEPIVAETPVVVEEVVKATPSKIEPSAPAAPKQVEKPAAPAQVAPRAAAP PAARSPPADESDKPTGSFRDRIAAFNKSAAPPPAPFKPGGLGGGSSFIKKPFVPPPPS KNAYIPPPRDTPVAKIYRREEDPEIAARETENQESAQKAGLAATGQEGEEDQPKPTSL KERIALLQKQQAEQAARHADAAQKKEKPKRPAKKRTDSQPVGEQLDGEEVPLEKQESH ETVGRQSIDSSRDETARQQPQRRKSSKGPPVIPAAALEADGNDADMSGAGDETEEPDT GFEDSDAKPTVKSHAPPPPARGPVFPAHEPDVGDEEDVASDREEGEEGEDEEEEEEVD PEVRRKEEIRARMAKMSGGMGMHGMFGPPGGMPMPMPGAGAAPKKKKAASGDSRPSGE YGYDESSPTSSRAPQVPIMALPGLSKVRTPEEMPSGIVTGTHEPEEIPDVEDTVGAVA PPPSERRPAPQRSSMSHESFKAPAVPGGRPAPPPVPVESRPAPVATALSPSAGSISDD ELSTRVSAQTPTGEAPPKLAAAPSLPIREEKPIPSALSTAKAGSYEPSPVSPTTPGAN KRASRVPPIPGSSPVVSSGQTRAPPPPPPTGSLSRASTGDARVAPVPTTIQSEETDEE VTEYEGDYDTDIASTETHKDALKAQARESSIDDESARSSFGSPAGPPPPLPPVAAPRG IPPPLPSQPPPHARQSLDMPRAVPPPPPPPKQEASGDYDEEDDEYDPFKYTAPKTGVP VSAATVRKVHGDDEDLYSTSPPRHAPPPHDGRAPPPPPPRDAAPPILPAAPIAQAERA APPPPPRELAPTPTNRAAPRQSVDVQRSTGPTRRSTDLNRMSMDHGFIANDVDLGEGS LWWTNPSGVPPAFHGRKDLLHESEESTVSKRGGKSVTTKDLYVLFPDYSQTVVTVQFD PQNPSDAIFEQRHEQPPSRLRQDQLEEAHERFGSRIHDAVVAKKEVVVADGTPAGLVV ELLKPFKDALLPIGARAYGALVYSNLGNSLTSQFDEIRPGDIITLRNAKFQGKHGPMH AKYTAEVGRGEGHVGVVAEWDGPKKKVRAWEQGRESKKVKLESFKLDDLRSGEVKIWR VMPRSWVGWEGENSK VE01_07892 MASSSKPAKSILKKRIDDSTTPQQSNASIVSVDSPLAESIASAN PAHLATALYHANLIQRRKDLELDILYSTETLIDYPTTSLPATSPSASDISTVKQLLRP FQPSDFDALLEERRINNRCAYVLCPNPSPPGGSNGGYRLFGTSGRSSNFRIVPAAEAD QWCSEDCARRAMYIKVQLSETPAWERDGGYGGELELLGEREALSERQRLDDRLADDLK NLEIISDPEGRGVEDRRDLALERGEMAPDAVMRGGLVDVEVRENEITRQAVPPSFDDE FDDEDEQVRRRFDAMHLKHEGYASR VE01_07893 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFTQNSETGTL EVDQETKDLLKTLGFDSIPVSIVAVAQQQTTERGPRRFGDRPPRKD VE01_07894 MASRSVSPGGALLRASRMFSVPAPIQRPASEMASNATFGSDSAT LPHPTHLSISTPSSSRSKGDWGFKRNLPLRSTTKTSTPVIRISSVDTYDHITEFGSAA DHTLTLRKWQELNMPLTAPAVATAGTYGPASRTPGRGVFEADTDITETGGDIANKDHR WKFDGPWLAGQTEGEFNEYVRTEVAKRRPAFRKFLQNYKADQETKDAQRKAMEAGAVA PEPLKATEMTEEEMAQYLRTLRQDRSELFRLIRDFLDLPPSPSVNDDYGSVAESLFTD MTSGSLFGKTVKAEDYIQKSSSPYANAGPPKTHPSAGLSYLRTGAHITNHPVYGPQHD HAPVQGRVILPKNAAVGSFAPKLGVAGIVVDVPTTSDYFNTSTFGHGRRKTNADRLPG LLNIEPEKVGGSKVYLSPKSATIDSKGRINLQVSGAQNAAVAVLEGTASTAAARSPVD GGRPASQTIFRQLSGASSGGYGLSSEADNAFGDRARDVESERWSQSTPRPNERRSGKE EFEQLESLLAGKPQQK VE01_07895 MLHYLSLSPSTKSRILSQRRKIRYLVLTIILIVLFFTFPHQNVS HQRPSHERPFHGRPSPTAALTEIRQYNIQATFPSEYPATKELRLQRREEVKDAFAHAW KGYKKHAWLHDEVMPLSGGHKDPFAGWAATIVDGLDSLYIMGMIDEFEDALKALEKIN FLKPNAERVPIFEVNIRYLGGLLGAWDISGHKYPILLKKATELGDFLYGAFNTESGIP VPYYWWERDVDGLLPGDNGVLVAQIASLSLELIRLSQVTGNPKYADAVQKITDQLDDM QMESSLPGMWPSQVDCKGPKLAFSTSSYTLGAFADSAYEYLPKTHLLVRSSSSSEQYL NMYRTALSTFSKNLFFRPKLPENPDILFSGTYNANSILPKLNTEVQHLACFVGGMVGL GSRISNSEDELEMAKKLTDGCVWAYENTPSGIMPEIFHVDKCDNPTACNWTKTGNGFR TVDDSSYQLRPEAIESVFIMYRLTADPSWQDKGWKMFQAITKHTKTDIAHARLKDVME VNPTQEDSMESFWLAETLKYFYLLFSEPELI VE01_07896 MASDSRHPAINAGNHKGYMEYALLQAQLSPPGPTNFCVGAVLVD SDRNEILSAGYTKELPGNTHAEQCCFMKVAQQHNLQEEEISQVLPKNTVLYTTMEPCN ERLSGNRTCVERILRLNGAIKVVYVGVLEPEKFIGQNLGRKKLEDAGVQVTIVEGLKD RILEVATAGHDKPAE VE01_07897 MHARTIVVAAVALLSSNVIAQDRPKFYFPRQVKREISNTTSNSV EDSSSSSTKRDLLGDLLGVLTGGAPVASVAPPTSVEGVAPGVVKTIIISNTVVVNDGM TTTLPQAPASSAAATTASGVSSVKPPLIVLPTLSLGLSSILDPILSPSSATDGITAPS GVLGSSGVLAPEPTATTTSTDSATETGASSPTETSDADFQTLEPSTSSDGGLLSSLLS GIIPDPTGTGTGTGATTTFAPSTSTETPTASSTGLESMISSIISSETAGPGTGTGVPT TAFPTASGTNSTTIRLPPVETGGDTTSLRNNGTAIVLPPTTTQKPTSLTDIPTTTPAA PTTTATTEGVPTTSDWVGSSILIQTTPTGPKPTTTTAIPSGIPSTLPQVVAPLTGVPT AKVGYTLIQVGFTYALNYEFVVANSMSSAQIFSYLPKGIAYGLEIKESDVIMHSLQPY DTSRSLGYITTLALAYIPTKVVSNLDIMIHVPVSRLYKNPSEPVAVIMSSINPSIPIT VGSGLDGSDPAVPAGGAGSSPTPGGNDSGTENGGIFDTNQNNQTPGAKGMTAGIATGA VVAAAAYGAAMFFIARRYKRRKLSHRRTRSLMNPGEMMEATGGSPALPGGVYMSGGRQ STGSGESSSDASADRHSRGSGRSAGNSARTAQISGPMMAENSLGWN VE01_07898 MYRPNTPPNFDPPSYGDDESSTPTHMSNDASAIRLLTSMDDSNS SNARMYVQDPDLLPRPLAVHKKSVQFSTPEHISALRGEEEAISSGKLPAAVTKQAPPK PPQDDHFVNLLPRLPDGPSRRKSLRSQVKAHLAANGEKKNESLPVYSPNTPRRSYQPT VMSTSSRSNSIVDGAPILPPESSYDPYTGRQSPTRSWTPSQTASERGRPPSIAQYEPA DVNGSPRPGTPSSRYGGSPRRPLPPAPLFSGPGAGARSSTFADDATVSIALETEGDDV FAPQSTLGTDHVRSQSRESYTSESTFTEEYDNEKSDFEHYGPAPDGRQERRGARQAQM AKKEVRLINGELILECKIPTILYSFLPRRDEIEFTHMRYTAVTCDPDDFVSKGYKLRQ NIGSTARETELFICVTMYNEDEINFTRTMHAVMKNIAHFCSRSKSRTWGENGWQKIVV CIVSDGRQKIHPRTLDALAAMGVYQDGIAKNLVNQKEVQAHVYEYTTQVSLDSDLKFK GAEKGIVPVQMLFCLKEKNAKKLNSHRWFFNAFGRALTPNICILLDVGTKPTGNSLYH LWKAFDTDSNVAGACGEIVAMKGKGWLGLLNPLVASQNFEYKMSNILDKPLESVFGYI TVLPGALSAYRYHALQNDHTGHGPLSQYFKGETLHGQNADVFTANMYLAEDRILCWEL VAKRDERWVLKYVKNCKGETDVPDTVPEFVSQRRRWLNGAFFAAVYSLVHFKQIWNTD HTIARKILLHIEFVYQLLSLLFTFFSLANFYLTFYFVAGSLADPKIDPFGHNIGKYIF YILKYVCVLLICTQFILSMGNRPQGAKKLYLSSMVIYAIIMVYTTFATIYIVVRQFTS NTITLGNNIFTNVAVSIASTLGLYFFSSFLYLDPWHMFTSAAQYFALLPSYICTLQIY AFCNTHDISWGTKGDNTVRTDLGTAVSKHKGSTVELDMPSEQLDIDSGYDEALRNLRD RIEVPEVGPSEETAQEDYYRAVRTYMVVSWMVANAILAMAVSEAYQGKNIGSNSYLKF LLWSVAAIALFRAVGSTTFRIIELVGMLIDGKAKWESGSYRWGGSSAAGSTVLSSKAR GGGFWSKFGFGSVKDKISDISSSIGSSVSRK VE01_07899 MTSLYDQSIPVLIKYLNNVSVVLDKSIAYADEKGIAHEELLTAR LRDDMRPLPYQIQSMSNTAKFLCVRAFGIENIVLEDNEATFPELRARIAKTIEILQSV DPAKIDAKKAVEEPIIMETKMGNFRFESGQAYLSEYAIPNFHFHFSTAYCILRSLGVP LGAMDYLSGVFHKV VE01_07901 MKNIVILGGSYAGVSTAHRILKQATNTTPFKITLISPNTDFYWN IASPRGIIPGQIEDDKLFQPISAGFDQYPAGQFEFVVGYAESLDVDAKKVRVSNNIIL DYDLLILATGTNDREGMPFKGVGSTQATKDALHGFQAKVAKAETIVVCGAGVTGVEVA GELAFEYGQTKKIILLGSGSTVLEGSPASVSKISTNSLEKLNVDIRLQTKMTSSIQKP DGRLELVLSSGERLSTDLTIPTFGLVPNTSYVPEKFLNTQGYVVVDEYLKVKNAKSVW AIGDVCDTEYSQFLSCDRQSTYVAKAISSILSDAKIPPPYQPFTSKFMGFQIGKTSGT GHFGWFRIPSFVVVYLRKTLFVERLLTTVNGSQF VE01_07902 MAPPLWSKQGCWTCRLRKKKCDEGHPQCSTCESLSITCHGYGSK PDWMDGGDGERAVSNGIKEVVKQTSRRKAAQSIKQRDPAKIAPKSTTLSESSSSPAPS QDRHEPAFSADETALLMHFLDHVFPLQYPVYKPAIQEGGRGWLLSALLQTKSLYHAAL ALSAYHYQTAASVMLSQPCQIATLVMQEKHLEICIKSLNTFTHTSCPNGELSIMMTII QLSFFELFTNYGNAWQAHLRAAMNMYQRRNRPDLVPLDLSSESKTALNDLPLLEREAL VSNEAAQSRFISGTLIWLDIISCITATTTPHLLQSHSTVMAPDSQTQLEDIMGCKNWV MLQIGRIAALHADRLQSLRQGHFNCVGFKQTIIDINLEIQSGLNASGPDSVALCNPSA LITPVFASMALVYLHLITHGFQQLGELDAVISRVMIMLQTEVPTHLLPAVVAPLYVIG SVARQGDEQFFRDVFSSPPLLDPSLKQRARILPALEEVWNKRRADPTFLWESSLEPIH DILLI VE01_07903 MKLCRITCLIASTVVAVRAATYEYIVVGSGAGGGVLAARLALAG RSVLLIEAGDDQGTNDNYTIPAYNAKSTEDPSMAWDFFVRHYEDDTRQAKDFKLTYTT PDGKEYTGLEPPAGAAIKGVLYPRSATLGGCTAHNAMVAIYPHQDDFQYLASLTGDGS WTPSNMRKYFAKLENAAYLQGLLSPGHGHSGWLGVDLAPVTLALQDLKLLSMIQGAIT ALGGLTESILNLATFLAGDANADSRLRDSTQALYQVPTASKDGARSGVRDFILSVNNA VNKDGLKKYKLEIRTHCLATKVNFDTSNPPKATGVSFLDGQSLYRADPRSGKASAGTP GSATASREVIISGGTYNSPQLLKLSGIGPAAELKKIGIPVVKDLPGVGANLQDHYEVA VQGTTPTDFDVLKGCTFGTTDENDPCLKKWHNGKIALTRGTYVSNGFIAAMFIKSSQS PDGNYDELAFGGPLNFRGYYPGYAVDATAAKNVWTWALLKAHPRNTAGTVELASADPR DPPKISFNYFDAGSGDYAADLETITESIRIARWAIGNQTVPLTEVLPGPSVKSTEEIQ EYIKNTAWGHHASCTCAIGADGDPMAVLDSKFRVRGVSGLRVVDASVYPRIPGTFTLL STYIVGEKAADVILSGL VE01_07904 MGLLQLIIRNDAMKTDPHEIYGWRVYAVACSASFGALLFGMDSG TIGGVLTMPSFKIKYGIEDLDKGARANLSSNIVSTLQAGCFAGSLIGSYVADRIGRKP GQLLCAIIALIGCILQAASAGHLVALYFGRFIAGLGTGGASMMAPVYISENSPRAIRG AVTGLYQLFIVVGIMLSYWINYGCLVHLKGDAQWGVALGMQSIPPFLLFFTMIFCNES PRWLAKQDQWEKAKDTLQTLRQLPMDHPYLQEEFNDMASQLEHERLLVGGETFWSLQR EMWTIPGNRKRVLISIGLMICQQMTGTNAINYYAPQIFENVGITGNKNGLLATGVYGI IKVLGVVFFLLFMADSLGRRKSLLYTSVIMVVWMFYIGFYVRFDPPEAGKAIPPAGYA ALTFIFFFAVSFEVGWGPVCWIYVSEIPSARLRSMNVAIAAATQWLFNFVVAKSVPTM LVTVGANGYGTYFIFGSFCAAMFVFTWFFVPETKGISLERMDDLFGVTRLVEEKMERE EIPPQNDSTHKDPSATNGEKVETI VE01_07905 MTDIYVSVLRRGADLMHIDSSKVWDRAVDVVAANWHLGFTAFGG PPVHFQILHKKFVEKYEWVDEQMFQEVFALSQALPGPASTKMLFCINYLRGGLLAGFG AFLLWCLPGAIGMYGLSLGVSHISDTLPLPVYALLSGLNAATVGIIALAAVQLAQKAI TDKVTRALVFLGGVGGMLYTALWFFPVLMAGAGIVTIVWDFRWLQRMYKRVWKPRRTL DQTTGEGPEPRQRSSGEMPEDERAPYTEPDQDERSIYLRRMPLSEDPNLVSTEVEASP DSDETTRSQEESQSTDRVVPASLQMNLLTWKTGVCVISAFLMSFITIMVIRGLLKSPP RGVSLFANLYLAGTIIFGGGPVVIPLLREYIVAEGWVSPRDFLLGLALIQSFPGPNFN FAIYLGSLATAGTPLPSFSGALIGFIAIFTPGIIIVIGIMGLWSVLRSHRWLLSLLRG INAGAVGLVFTAVYKLWQIGYVDVDNQGGSPLGRDPWWVAITATSFVGGAWFGLSAPF AILLGGAMGMAWYGVVVV VE01_07906 MATRAESPSPLDDDVLSYNATPLGSTAVHSVASKESIRGGTWGE DDDQEAVSRYGAIEDLTEMRKELSKLESHKRTQSAAGKRKKLADDEADIAGEPDDSED FQLDRFLLGGQLERRTTAGDAGKKVGVVFKNMTVVGVNSSATFVKTLPDAVIGSLGPD LYRHASNLIPALKFGKAPPTRNLIHDFTGAVRDGEMMLVLGRPGSGCSTFLKTIANER SSFVAVNGDVTYGGIPADVQHKLYRGEVNYNPEDDQHFPSLNVWQTLKFALMNKTKKQ DQDSIPIVANALMKMFGISHTKKTLVGDAFSRGVSGGERKRVGIAETLATKSTVVCWD NSTRGLDASTALDYAKSLRVMTDVSNRTTFVTLYQAGEGIYDLMDKVLVIEEGRMLYQ GPATEAKEYFESLGFLCTPGETTSDFLTSLCDPNARRFQPGREASTPKTAQELETVFR ASESYKRLLQDVSGYEARIQETDNADTRRFEQTVNQSKSKHVSKESSYTVSFYRQVIA CTKREFWLTLGDKTSLYTKFFVIIANALIVGSLFYGQPLDTSGAFSRSGVLFFSIVFP GWLQLTELMKAVSGRVVIARHKDYAFYRPSAVSIARAVVDIPLILSQVVVFAIITFFL SSMDMTAAKFFIYILFVYVTTLCLTAMYRMFAAVSPSIDDAVRFAGVALNLLVIFVGY VIPKPLLVNKYIWFGWIYYVNPISYAYEAVITNEFSGRDMICSDSQLVPQGPGVSREF QGCTLPGSEIGSTTVSGSNYLAASFGYTREHLWRNFGVVIAFTVLYTLITAIAAEKVS FTGGGGGALSFKKTRKAKQLVVSEKTPVGDMEGGSQPSAVVEPTTINEGEKSAETLTN SDRVFTWSNVEYTVPYDGGEKKLLNGISGYVKPGVMVALMGASGAGKTTLLNTLAQRQ TVGVISGDMLVDGKALGPDFQRSTGFCEQMDLHDGTATIRESLEFSAILRQDRTVPRE EKIAYVDQIINLLELEDIQDAIVSSLGVEQRKRLTIGVELAAKPSLLLFLDEPTSGLD SQSAYSIIRFLKKLSLAGQAIVCTIHQPSSMLINQFDMILALNPGGNTFYFGPVGEGG SAVVKYFAERGFVCPPSKNVAEFILETAAKRGYRDGKVVDWNEEWRSSSNNRELALEI ERINKERSQLPAPEVGTQYEFAAPVMLQTTMLTKRLFTQYWRDPSYIYSKIFVSFVVG IFNGFTFWQLGNTVGDMQNRMFSVFLIVLLPPIMMNSIIPKFYINRSLWEARELPSRI YGWIAFSTASILGEIPAAIFTSAIYWLCWYYPTGLPTDSSSAGYMYLMSMLFYLFQMS WGQWVTAFAPSFTVISNVLPFFLVMVFFFNGVFIPYASFPVFWRYWMYYVNPVTYWIR GSLAGTVSSTPVICSPLELSHFNPPPNQTCLDYAGDFVSKIAKSGYLGNPDATSDCTY CAFSSGEEYLRTLNVQSDDKWKCMGIFLAFCIINWALVYFFIYTTRIRGWGFGLGFVI ASISSVIGAIKGGIMSLFKGGKKSEKA VE01_07907 MLPLGPSKDGLRYDLSSPTAMPNAGGFLWNQKMMIQITCRGYAT AQFMQPEPAKYAHAPNLEAKTFMQPEQDYYSHHPGRFVYIKDEETDQLFSAPYEPVRG LVDKFLFSAGKSDIVWTVEKLGIRVEMTLGLPTQDVVEMWTVKVTNLSGRPRKISVYP YFPFGNMSWMNQSAEYRPDLGAVVGTSITPYQKAEDYFKNKLLKDKTYFLCETPPNSW ETVQKVFEGEGGLHAPSAVMKPELGGGDARYETPSAAVQYRETLEADGEREYRFLFGP ALDDAEIRSMREKYLSKEGFSQAASDYARYIELGRGCLQIETPDKDLDNFVNNWLPRQ VYYHGDVNRLTTDPQTRNYLQDNMGMNFLKPEVARKAFMISLAQQDLDGGMPDGILLA EGAELKYINQVPHTDHCVWLAVALDLYLAETADYALLDEQIPGMHGDNYTAFERFGRS MDWLLAARDERGLSYIAQGDWCDPMNMVGYKGKGVSGWLTLATAYAVNLWATVCEQQG KSELATSYRASAEEVNAAANKYMWDGDWFSRGITDDGVVFGTKEDKEGRIWLNPQAWS ILSGAASVEQREKMLPQVDEQLSTPYGVVMFAPPFSGMREDVGRVTQKHAGSAENGSV YNHAAVFYIYSLYGIGEKDRAYKLLRQMIPGPTEEDYLQRGQLPVFIPNYYRGAWKEF PRTAGRSSQLFNTGTVSWAYRCFVEGLCGLRGDAEGLCIEPQLPSAWDAIKVTRLFRG ATFVLDIRRSSEVKEVRVTQGEKVLPEARIRNIQAGEIYELSVLVPQ VE01_07908 MTVGYQNYTIANVGIPEINRMEYSPGEIEGMHHDRRDARRARSM PPHNRGRTGTKDPHTSLPEPDPVTGYGEIVAVDEAGPIYEYPNFEVSLRGGAGAGPYS LLEDSDFEGDDDSDGKAAHVSNITSSLGKGTHNVMKRFADDDNEDEDSFSNHSSLKFT RQGDTKTTDPNNRLWGGGPPSSSGIGRGSPIVDNPVGRRNDQHQGRRGKRAPPIAPGL MPFKPKVDPYAEIPRGFQFGSYQAENVPLKKTWTTLDPKTGAVKYEEGDQEAVEENAP ETYMWNPSDARNTPLAATFGTSRVVVAAEVKEKKAPEVGGLTEKSLQKLDRQSRRDRA ESIDDYIDISSIPSSPNAPPSEPSVMEHHPTLPQGSVVTDETAHDQSSQIDPDVRKNF IDNINAMHDARPAPTTFERRNQGGWLPQNKAPVVYINEFGDGNTVEVEMKTETRTRKM NERGEYDGEDLGQVKKRYRRGFSEMLQTRDGEMIRATKEYHAWQAMPLEDRMERLTLI RQPIDLWQKKAGADESDQHELHYLPKFYERRISRRKEKERMRAILADKAKQKEKDNAS TSLGTTGTGDTLDTWGVLGDCDDPPVGGTSGGSRTPRLGDDSWQEMLERLVDIDAPKF SRSSSRTRSSSKSASVAGSMAGSMAGSMVGSMAAEPSFRLPDRLHNNHRSKSRPSLYS GGSGTGNPVTGPRQSMQPSPATSSNSWCTTDDGNDASSTVRGLRNAPLDRHIFNGTGT DRSSDGTNAANGLAELIAAYDDSPALRIPTDIDIPSPPLTELQQLLYNRASKNMEERG RVVTVRLHAVQESHMAPGNVLPKFTPGAIANKVFGGVVQEFQLHPGMRTAVVVFMHTR EARSFVHHVRNIREKGTGHAIRDLQIEASWFRGAESQAILPAQPNLLKHSISVARRCI LLSHIPKEKSNRVVFQELSECFGTILVRTSLITPQQNYVLQSEGKQALVEFANLQDAI QAYDDLNSGLIAGYENAYPEYKNEPTEKRAVMKDYCGCLGCEDKRTAKAREKESRKRK MDEQVMEDENEISSYEDSVCQTPNRQTKHRETVPLIYVQIHDTVDSPNHLDSAIGQDM DNQQLDKEVVAPGDNTPVTSESSSPGQTETKARQSSAATTKTAKSKKSKLKAKDCGKP AKKSKSKKAKEVDSSSDDSSDDSSDSSDDDSSDSSSSSSSDSSDTEMETKKQKAKRKL KEKKKAKQKAKDKKKAKSKKKAAKDDSSDSDSDSDSTDETSDSVDEDLSDDQDINTKL LQLQLLQQQLGQPVPPTYYPPAGGRGLNRGLRGGRARAGMAARIQAQQNAKLGLDALG QKLKKDKKAKSKKRGSKLEFKRVDQLWDSTIHNYKLTDTAEDEESAEYDQYLFNVRRT FDWEGKYKTTLIDIKSKLLKEALNGVMDGVKGVSLVEEAPAIDPNLLFLYLEDLRKLM KDLKGKRKGEVKMKKKEIKKNDEKIKHLKVLLKYLDKDYAETKKTLYPMLESGLITFD YLWALYKPNTLAYTTTYGTLDEPRAFKIEYAEKECSFMKGEWYSIEGKYLEYDGKAWG MGTMDCDVPAFKGARKITSLACYPLKYHKDEEKVRKELIDRGKKFVSLQGVHYKSHEG LAYYKKKRQVIKVNINGRIMIDPAIHRRINPNYQVSTVKPKDPNELEDSSDEEDSDCG CECDDSDDDNKQAGMDDVDEPKMKIKVVMDDKKNVHVVQVPVDADGQEIKPENLQAVA EQDQDSEDKTDGKKPIPVFTEEEYLIASPVVLGFAFSEKLWLEFTVSGIKEIVWNDGA YESLVLENNAKDIVKALVESHKYHPTESIDDVIQGKGKGLVAVLHGPPGTGKTLTAEG IAELLKCPLYMVSAGELGTDPRTLEAELQKILDISMTWGCVLLLDEADVFLEKRTIQD IHRNALVSIFLRLLEYFQGILFLTTNRVETFDDAFQSRIHIALRYGELSTKAKKAVFQ MFLEKVRVLEGTDTLPFSEADFNDLAKHNLNGRQIKNTVRTAQALAVNQKEPLSMVHI RRVLDVALAFERDLKGGTGYEDAMRNYY VE01_07909 MNSQIPYNQQQGVWMPQPDQSGYPPLPRSLRVVDLSLPPRPQPT LSPNNIDPENCHRTLHEHRQATKQKYRRMVREQMKAEEAVWAYQDQQGLEPFPYYAFE MKQPLLPALDMAMDESGGDAAMAWQIFDRRNNWEKAAEEAANEEREAEVRRRADEMIR NDKEIMEDEEMRQLLEELPKFKCPDM VE01_07910 MSQGFTAVNLPLEDEAPMGSQAIVLYKDEDMEDNVGDADATETA EQASPATPAPGSNTTKKRSPKPKNAQTNADGTSVPKTPRKNAKQKELNEDGTPVVKST SVRKKPAPKVDEDGIIIAKPPRKKAEPKPKTGPNGESLPKTPRKNAKKVKSEDKIVDD EAGDVNMAGDMVSSMVGDIASAKMPGYGDEDSSAVKSYYAPTPNGGSSPVSAAEAPMT PKVKKASLAANGTPGGKKKRAAEEDGEADPFTTPTKKIKAAAGTPKSGNGKGTAIATS KDQLTDEDKMMIQWRKDGKGWPEIRKKWGEMTGKPPGTSTLPNRYKRLMANITDWKDG DLERMLVAEQKVTKAFATELYGRMATVMIQLGGDTYTAAAIEKAYLKEKREGFPHAAT IDEVMNGPDAPADDDEDIDEASNGAAPSASDDEGMKAIDQAIDGGAVVKRDELMEDAE TPDEENSDGGVPISPGLKSEGIKGEDDGEMDSDMA VE01_07911 MSSKTLSSVLRSGASILKPRQSQPIGAVSCPSPVQKRFYTPNHD DPSTTTSSPSQNDLPNIKLHALLNSIPLSQKIRYVKDASPTSLPHPTTPPGLLSALLH PSPPPYTIGTDICHIPRIHRILVSQLAEPGYVEGAMPRFWTRTLSPLEARYSGWKAAG GVDGSAKFLAGRWAAKEAVIKAVAAAWPGGGKVFMHDIVILNAKTQLALLEARKAAVR IEAREAGVREEEEVPGGEGEGAGAVVGEAPRAFVKLPGAEGWWVEVSVSISHDGEYAT ATALVAVDPKIAGVRREKSLVREVVAKTYTDERYL VE01_07912 MDRLHQINPFAKRETHDAQTIFWYKIFTTLSWLLAVVSSVYYTF HAPDDDVTKQRTIWGQNRHHHTAFALNSCIASIYWILLFVLQGGYIWHLFSPNADHVQ AAASVGSHFIFNNLLHFGFVMLFVRSHFVWAELILIVNFFNLSSLYFRYPALPRFVHL PAVSGPLAWAFVALYWNGAIAFNAEGLFGRILANVAVWGILVYGLFFLVTFKDYTMGF SLSVLSASLGVGQFLRQVIAFQWIFAFTIMATLFVATFVITLPEIFGGRFTRGEAVVA EDQERAPLLEDN VE01_07913 MKLTTLSVLALSASAINARFVEKHETDQVVLTSDSGEDATKYLI ELSPGETRLVIEEDKWDLKRQNINFMDITDTQSLGSYNIHTSKKRVFPAAPVHQTSLE PLLKTLSKSKMRAHLEKFTSFYTRYYKSTYGAQSSAWLLETVTEMVGAAGADKHGAHV KHFPHSWGQNSVIATIPGQSDKTVVIGAHQDSINLFLPAFLPAPGADDDGSGTVTILE ALRVLLADESVVAGNATNTIEFHWYSAEEGGLLGSQAIFSAYEKEGRDVRAMLQQDMT GYVQKTLDAGEPESVGVITDFVDPALTEFIKTIVTEYCDIPYVETKCGYACSDHASAS KAGYPSAFVIESDFKYSDDKIHTQDDKIEYLSFDHMLQHARLTLGLVYELAFAEL VE01_07914 MAIYSSVPPPSQQPSVDIETWTLHALQHLDITPLQIPLHDDPVT TAPTPTPKPRTATTTTTKPKPAPPKRRELAEKGKEGSRRRKRWENDRLIGVPGVVAPS SRDWEVHSTSPVRRVPYYLAPLWESVAESRRSKNKAEKEEERGRVPSELKERIRRGKV EGEMLRHLEGEVRRFVVEWEDAVRSRVEDSRAADAESSDEEVVFVGRDLSARTMRERE EERRAKVERERERCVFEARVGDRGGALGRYLVHALAGYYGLRSWSVTVGGGRRAVVGI RGVVGIRGVGGEMPGPLYAMV VE01_07915 MNPYPHARQPKRRLSSTNPTLHDIRLQLFKAIGGNFIILQVLFF GLFCYIFGSLYQQTSHTHNLTVAFVDYDGGAIGVAVRDAYKQLQGDSFPGLIELSPQQ YSQPSALEEKVCHIDFWAALYISPGASNRLTEGLTGGSASSSYNRSDVMSFIWNEARY STTADSVAAKLQDLSEAARIAYSATNGAAILQTLPAGDAAAVSVFYNPWQLVSLNLQP TTQGSRLIYNTLVIILILLQEFFYLGTINGLYAKFKIYGRIRPFRIIAVRLIISILYT FVGSLCTTGAIWAFRAGWNVNGVQFVLTWMTLWLFAHLNFLTFDIFSIWLPPPVVPMA LVTWIMINLTSILLPFALSPRFYHWGYALPTHAVYNVLVDIWSRGCNPALYYALPVMF AYEISSLTLSTIGVYRRSHYGFLELEREEKAFQTKIAESIAAALEESEGETLERRRAE GEGEGEVAVGDEEAVVVMPMQRRGTITTLADREEIAERIWRETTQLRRERSRAEADDN FGGPCFELTINK VE01_07916 MEAIAWNVHTSSEELLVEVCKKARKENGHYSDYGRGYVMVKISD GIAVKIGGLVTASEARTQEFANKNADPTIVHVPRVYRFFEWEGQGYLFTEYVQGPTLA QIYLDIRPDIIPRMARIIEHLGQIQGQVPGPIGGGLAQGHIFGDDGADVEFPSVPGFN AYLNKRLAVMKKSIDLTGHPLVLCHMDLCRRNVILRDDDTISLANWNDAGLYPRFFEV GVLSYLNPWYGPFDIPLQEDATTLLGLTEEEERLMKLLGIVRSINLRAQLCQPYLLR VE01_07917 MASTTIAAFPPSSNPYPRPTSSSQHSHHRALSFASNQSNHDTVT GQVLPASSAPAPSPSPYNQNSQMSFSMSQGSQGNGLMMQPGAYRQFADQNAPSMSVPA PQIYSAVYSGVDVYEMEVNRIAVMRRRNDSWLNATQILKVAGIEKGKRTKVLEKEILI GEHEKVQGGYGKYQGTWIKFEKGLEFCRQYGVEEILRPLLTYDMGQDGGIAGHGGVDT PTKEQAMAANRKRLYNAGNEARLSGQSGTFFKNISSTASHAVAAISKARFDSPAPRIR NGNRPPSFSRQSSQQQLDENAFPGSQQSMQSFASESSYNMNGDSAYATQNGPHFSHMG SQNEFQEPPRKRVRQTPSINLSQQTDAFYDMREPSPTEPNDSFVYHQHAQNTLQDDVV PMPLPPLPFSSSQPAESKRALLMSLFMDQTRNEFSRHEAFVSLSGEDLDMPIDNTAHT ALHWAATLARLPLLRALINSGASIYRVNNAGETALMRACAVTNNLDFSAFPDLLELLG PTIEMKDGRGRTVLHHIAVTSAVKGRSQASKYYLESLLEFVVRQGSAPSSQQMFLGQA PTVKSMGIGRFMSEIVNAQDKSGDTALNIAARVGNRSIISQLLEVGADAGIPNRAGLR PVDFGIGDPTEVGERPSTTVDKSLNKATRENSGDVISSITTLLSQTEAEFAQEMTAKQ SAIDSIHTQLRSSSAHLGEERRRLERLQHLAKARDERKCKITNLRRAGDEEAFRLQQI KAQHTPLAGQSEDMYLGEADVAFACLPENVGPDEVLRNPALVATIPGHGTLRARVAAY RANNERLERAVGELRSKSRELEVKYRKTISLCTRVPEERIDDVLEGLWRAVDSEGGDV ELGRVREFLTRVEGVE VE01_07918 MTSTTTTTTNPTHLLRALLRTCSYLPDGPSRHYFHAHILHRFRH VTTPTLGAYRARKTLSLLTRATNGDLPALTKVLQHTYGRAGHRRHTLLNAFLTEQRAH DDAEPPTAVSPAVRRVLVPPTQIAPMGGAFVPAKPHDAPPTAFERLLADQILRYPARS NRTQLKIAYAPIPLENVFLRPTSAKREEGMRKRWLVDTMERILPPLPKEEWNRLRDLA TGVVEWEGAPKRRTRVGGKEGGGGLLSVEYLKSPIRHAHSKVRKAEIDQRDWHELTPN YMQRMYARIWELCPKARWDEELRDWVYTWGGTKIAANMGEPKKLAQRDLSLFEGIEGL DRAHVKAKKRTPSKKKVISQEDIDSRETVSSND VE01_07919 MALPEGAIIRHAVREDVPTILRLINELADYEKALSSVQATEATL LETLTFAPTITNSPHTELLPTSPARPAATLLAFTPEGEVAGMALYFYNYSTWRSRPGV YLEDLYVTPIARGKGYGKVLLRKVAAEARRVGAGRMEWCVLKWNTPSIEFYESDAVGA VRMDDWVTMRVEGEGLERLGREE VE01_07920 MNRSQQASSGRAPEPPLPPASNSNGDALVQSMRQFSFNTASATA LPPSPRISSPRRNSSVPKAPSTNERRNQSPLRRSPSTASLDTRSASPTLLRKASMNSL KNAGAVTPTRPSPASSRRSSSAHRANGQNLFGKSPHTNLDDTPEEPPVTAESIATTYF AKELALHTLSDEQRPVDTIAILHDACYGHRYSRPRTTRAGLSTIVERPERIHASILGV CAAYVRLGERHAEGRYAPHPRRHPSNSPSIPFRIQKTSRKLDLSSPAVTNVHGTKWME ELKIMCNAAESKLAMNGKELARPQMNRGSDGEPQQLHEGDLYLCAESLNAMEGALGAV CEGVDTVFNGSQNGKGPKQAFVVIRPPGHHCAASYPSGFCWLNNVHVGIAHAALTHGL THAAIIDFDLHHGDGSQAIAWAHNSRATTLPKNAAAWKKTSIGYFSLHDINSYPCEMG DEEKVKNASICIDNAHGQNIWNVHLQPWKSEAEFWHLYETKYMVLLEKTRLYLRGQTD RIRESQSALKPKAAIFLSAGFDASEWESSGMQRHKVNVPTEFYARLTRDVKRIAGEEG CSVDGRIISVLEGGYSNRALASGVLSHISGLAGSDPDVVERPVDPSGLGFEIAQRAGA LGLKTEDLDNQVKLAYDPSWWGLSQLELLDSIVHPPPPPEPKKVKSSSPPTYFSPTQA SNAKIAESPKLRRSSSNYFNGGSPRPPTRPPSPPAPEVGWATATHELSKLLIPTDRQT MSCKPDELSAEATRVRRDRQSLLTPPTSTGTTPDITPSTRMSLRERKAPKPVDKAAEE KKAEAVARTSRRRTVAGAAVLATDKAAARSVPKPEPSYKQATKQSSRRLSLISNSSAA TEPASATALSGARVASQPSLPIRPGSSQSCILPNTTASSRGPSIPVKKTRAPASSRDA PKTARAASRASVATKPRASASNTAAEARQRQTSAQQPTNAPAASADADIDSLTAGMKK VKINLTTKAQRDAKAAAAAAVANMPAPNASAPPPSDAAVTASQTAHGIPELPQFGQPG TPPRQPLSRTGSYMSELPPPTPEPLTPHPSIADRLQQLQVATSAPLPTSSPPRSLRRS SSVSTARTFTSTTPPHPQAAAPSSNVFIPYQPEGPTPKAGPRDEKLKWLEPNTATPAM SPAKRGDLPVFSSTGAIPFAVKRQISGSETKREDDIWEVPETPGKM VE01_07921 MTRVRSCGSLAAAQLSLAHRGALNVPRTPVARRLGAASACLQCG QQARRFNHVGSPRIVASRARGIRQIRPAVFIGGQRRSLSSNPDPNPEDHGPLAEYDDR VQAGRLRDDDHQRGIIQSLQHLHDELRNYKAPPVIHPTIESLQPPPPKGMFTRMFGKK EEEKPKQEITPDLPRGLYLYGDVGSGKTMLMDLFYDTLPMGVASKTRIHFHNFMQDVH KRLHVMKMTHGNDIDGIPFIAADIAEKGNVLCFDEFQCTDVADAMILRRLLESLMAHG VVLVTTSNRHPDELYKNGIQRESFIPCIRLLKDRLHVINLDSPTDYRKIPRPPSGVYH SPLDAHAQSHADKWFRFLGSPTDEPHSEIQHVWGRDIQVPRVSGRACMFTFDELIGRP KSAADYIELAQHYDAFIITEVPGMNHKSRDLARRFITFIDALYESRAKLVLTTAVPLA QLFMSKEEVKETLTKGDDSNATPSGEAAIDDVYRNLMDDLGMSMDMMKNSNIFSGDEE RFAFARALSRLSEMGSQDWVERGMGLEEKGGKDEKDSWQRVRSKWREDSM VE01_07922 MEDQTNRPHRPQKEKKKHTGDRNPKAFAFANPGRLAKTSARSSD VKERRLHVPLVDRIPDEPPPRLVAIVGPPGVGKTTLLKSLVKRYAKETLSEPQGPITV ITSKRQRLTFVECPNELEAMVDMSKVADIVLLMIDGNFGFEMETMEFLNILSASGMPG NVFGILTHLDMFKKPQTLKDAKKRLKNRFWSELYQGAHLFYLSGVINGRYPDREIHNL SRFLSVMKNPRPLIWRNAHPYTVIDSFRDITHPTKIEEDEKCDRTVVLSGYLRGTNFA AQGQRVHIPGLGDYTVSSMESLPDPCPTPYMDQALAKVTGKTGRRRLDEKEKRLHAPM SDKSGLKIEGDTIWITREKGFNFDKDDEDTERGQGEGLIVGLQGERKLLGQTDDGVRL FSNGESIKNVEEEDTGRKQPRKARFVDREGDSDAEDGAEEDFESGDEELDPEDEAEIS EERLGKAFRKEKEDKAGGDIAFADSDSDMGSLLTGGESDEDDEYDSEEEGAQWKKNML ANAKKMHGQKRSYRTADLARLMYDESLTPTEVLNRWRGEIEEENIEDDSDDDDFIKKT SPGKDGGLAEDRMVPLFDYEQLEEKWSVADNIDALRRRFATADLLKDKKGGGSDDDSD EDGDEEDSDDEGDGEFEDLEADNEEEGDKEDDEPNDIEAEREKNARRKEELKLRFEEE DREGFNNDKAIARREGGEEEEFGEDDWYDAQKAVIQKQLNINKSEFESLDDRSRVNVE GFRAGQYAKMVIEGVPSEFVTRFEPRMPIIVGGINATEDRFGFVQVRIKRHRWHKKIL KTNDPLIFSLGWRRFQTLPVYSISDSRIRNRMLKYTPEHMHCFGTFYGPLIAPNTGFS CFQSFSAKTPGFRIAATGTVLNVDESTEIVKKLKLTGVPYKIFKNTSFIKDMFSTSLE IAKFEGASIKTVSGVRGQIKRALAKPEGHFRATFEDKILMSDIVFLRAWFPVRPHRFY NPVTNLLGWAPMRLTGQVRRDEALPTPQQKNSQYKPVERVARVFNPLRVPRQLAAELP FKSQITRMKKGKEETYMQKRAVVVGGEEKKARNLMQQLLTLRKEKVAKRRVANEKKRV EYRKKVAENEEKRGEREKREKQEYWKREGKKRKGGDEGGGGKRGKYGK VE01_07923 MPGYIVTLKSDSTDEQVAAAKQDAVKQGGKIGHEYNSVFKGFSV TFDEGTVHTLDANEHVEAVEADGEVKTQ VE01_07924 MKASTRLLQTARHFTKHHGPRVRLPGFPVTADDPITSLASKPLH SFSLADLVRHGRPPLSTEALLASANFTLSLLPIRLAHRIQALRNLPYIVVSNPNISRI YANYQHSLSTLLPYTNTHISSLAEEVKFTAVLADLVDTHRDTIPTLARGFLECRRYIS PGDVTRFLDEHLKTRIGTRLVAEQHIALHHSSQAHAGPPGPESYIGVIDTELKPASII NACGHFVSEICELKYGVRPTWIIDGDPETKFAYVPVHLEYIVTELLKNAFRATVESGN SARPIIITIAAEPPDDDDATDTMLDNRLAALPLAAGPPGVTIRIRDEGGGISPAVMPS VWSYSFTTFEDGDDIPAGATGTESGMDALNAIAAGGGVNSSIAGLGYGLPLSRTYAEY FGGGIAVQSLYGWGCDVYLRLKGIGKL VE01_07925 MALQLLRSGLGEDPRRSRALAALLTLPDARHLEFRALVPSDPPN LSRMQNLEAAVGYTVGELVQPPCTDCAAGYGQFAGASGWRDSSWELHELPLRWRRRAL LAARFCGPLRARLRARRPAAAAASCAVEVLTGGVGRGSKRAAPPSKDTGPSRRARTLS PARAAAARAPPSRRPDDPYYVGNEDHPTHTLHAWIERFRAASPASRRRLRLGHTLAGV IVPIVPYSPL VE01_07926 MFSFTSAFVAAVVAVGVLAGPGGAPAALAKRNSPNSSGTNNGYY YQFWDDGTSGTTTYTNGAAGAYSVTWSNVGDFTAGKGWSQAQPRTINFSGTINCGGNF YLAVYTWSGQGENYILEDYGNYNPCSDGTSKGSLYSDGSEYQVCLVDRGNNYLQNWSV RQNKRSSGTVTTANHYNYYQSQGMTHNPLSSAAYQIVSTEAFGSSGSASITVSEAAAG SVSSSPSTPSTSTSSAPSSTHSSGTCSQLYGQCGGTGWTGGLCCVSGSLS VE01_07927 MKGFAAALLTALPLVTGQSQLWGQCGGSGWTGATTCASGSCCTF SNPYYSQCLPCGSGSSSATTSSKPPASTGSGGSAGPTGCPLPSTYRWKSTGSLATPKS PWASLKDFSTVPINGEHLVYGSIVSNGNYASMAFGLVSSWSGLASASQTQMTNSAVAP DLFYFAPKNIWVLAQEWGAAPFNYMTSSDPTNPNGWSSEKPLFTGSLGGGSNPIDPAL IGDDENMYLFFAGDNGHIYRSSMPIGNFPSSFGTSYETILTDSTYNLFEAVEVYSVQG QNQYLMIVECIGANGRYFRSFTASSLGGTWTPQSGATSESSPFAGKANSGATWTNDIS SGDLVRATNDQTKPINACSGSLQLLYQGRNPNNNPSYNLLPYQPGVLTLQ VE01_07928 MTPLIIPAGTSPDDQASKRLGGPIHAERHVNIICIGAGPSGLLM AYKIQRHFRNYSLTVFEKNSGVGGTWFEQRYPGDDGRSVACDVPAHNYTWSFEPNPDF SAVYASGKEIYDYFNSFAAKYHLNEYIRGQHQVIGACWNNETAGYDVKIRDLRGGQEF SQHCDILINASGLLNNWKWPAIPGLDSYEGTLLHTSDWDENIDLTGKHVGLIGNGSTG VQILPQLQPIAKHVTTFIREPTWVSPVQGFEQHSFSDEERREFARKPQVLTEYRKGIE RGLSGQFGIFLKDTKPNKDAHEYIAGQMREKLRNERLETQLIPKWAVGCRRFTPGVGY LESLGKDNVTVVVGEINQITGKGCLCDNGCEYPVDILICATGFDTTFKPRFHVIGPDG TNLQDQWKEEAQSYLGMAAAGFPNYLIFLGPNSPVGNGPLLSAIEAQADYMLQLIDRY QTRNIRSFAPTREAVSDFIVYKDNFMEKSVWADPCRSWYKNGRDGPVTGVWPGSTLHY IEAISEVRLEDWDVKYSGNRFAWLGNGYGQTELDRTADWGYYIREADDDSPATTGGRR MLLSKSGTVKNTGGMSLLGKQPEESIKVAKANL VE01_07929 MVSSKAFLAFSLKCLASFVTIQLSSCSVAAAATVLPAGNLEGSA DAAMRINKMTDKLGPQLSQGALVYLSGSDKFDILTERWQLFAPPTFIAVVVPRCERDV QLTIQLANWFGLPWLAISGHHGSINSLGTFKLGIQISMESFNDINLSADGRTARIGGG TLSKKITDTLWAKGKQTVTGACECTSLVGPMLGGGHGWLQGRNGLSADQLIEARVVLP NGQLVTVSEHSEYRDLFWALKGAGHNFGVVIEVKYKVYDVSNNGGRDWTYANLIFKQD KLEAVFGLVNKITNNGEGDVAYIDFVWFQRVAEIDAKLPVVSVYMLYQGTPQKAQNYI DMYKALGPANITIVKTDYTQISSLTGNGNDGIACVHGEYSALRFPTGFKTYNLTTNRK LFNKFADITSQQPAFANSFFLFEDYSTRGVSAVDADSTAFPDRFNHHLISPVIIYFDS SLNDQAVQAGQELRKIALEGKSGPLNAYVNYAHGDESEEDWYGHEKWRIQRLKSLKKK YDPDNKLVYYAPIKA VE01_07930 MGIISIGGNPRGAKLIIVETIFIFFDVVAVAGRIWSRRIQQKAL QFNDWSIIVALVVMIARYGNEISMVVVAGFGLHATNIEAEYGSEKITQFGMLSFADDM LWLALVTLIKLSVLDLYDKIFQRPVFSTINWIVFAICATTGVAFIFVRALICRPVAMN WDFTTPGGTCGDLHTMYMSLASIDLILDLLVVFLPMPVVWNLQMAFSKKIAISAVFGL GAAICCITAVRLKFIGEIDVLDPQFSQIKFNIFAALEPLLGIINACLPVLPPVIAKLF RSAAFRSTFKGTAQKNSTGTSDFKNFSSHKGTGLVSSASTGRQFERLEDEYPLNDIRR NARRDISEEFGNNIRVSRKWDLEYSSSKSDNDETTSKGLGIAH VE01_07931 MALKPISIINLSREILTLTERVAAYFSEKNLPEPSFDASYHGTP NDPEFEEIQAPLIEALADLSLLVQGPKIFLRTFGLAFQDLAAFQIALEFNFFKNIPLE GSITSRELARAAGIGEDITASAVRLLATQRIFVESEPGVFQHTSISAVLGRDDDIQAA IHMEVDDMFKAASDIDLALRKEPKNYSHESAPFNIRHGDIAYDWYSKNPRKGARFAQA MTGFSKLDRPISPLRDEYPWASLGRGKVVDVGGGNGSISMMLAKEFPDLEFIVQDISE DMMRQASLRKEMDGLEGRVTFMQHDFFEPQPIHDARAFFLRHISHNYVDSECVKILRA LIPALEKRPGTPVLINDVVLPELNSKERYKERIMRQTDIAMFVVLGAKQRSASQFHAL VKQADPRFEIKKIHGNLNIGLVEVVLGN VE01_07932 MSTAWTEPGIQAPPHYGKDPPLSECPEPIAIVGMGCRLPGGASD PSKLWDLLISKRNAYSDFPSDRINIDGFYHPNKDRPGSLYTKGGYFIDEDPRQFDHGF FGITPLETINLDPTQRKLLETAYEAFENGGETLESLSGSRTGVYVGNILFEHYMMQLR DADFTLPYLTTGGSPTILSNRVNYVFNLKGPSMTLDTACSSSMYALHLAVNALRNKDC DAALVAGTQLILGPEIQISCARLGALSPTSQCHTFDAAADGYARAEGFGSVYIKRLSD AIKNSDPIRAVIRGTAVNTNGRTAGIAHPSPEGQEAVIRSAYKNAGGLDPDLTGLFEC HGTGTQVGDPLEVSAIGRVFGSGRKEENPLLIGSIKPNLGHAEAASGIAGLMKAVLAV ENGIIPPTIGLINPNPNIDFKGARVEVVTEATKWPADKPIRRASVNSFGFGGANAHCI IEHVSSVVHGYKSSVRRRSTNLTGTATPIATSNGENGNRSYHRDKTTVPNGLDKVNEE SPMRPDGKLIHQQSCSTRKKVLLPFSAFDDKSLEDNLAAVSSILQNYNLSDLVYTLSA RRSRFAQRAFAVIGLEDSHIHKDEVVTGSSDLRDQQVGFVFTGQGAQWPGMGLGLYKE YEAFRSSIRYQNNVLHKLRDGPGWTIEEVLMEPYASSSVHKTQFSQALCTSIQIALVD LLRSWRVSPVVSVGHSSGEIAAAYASGHLTAAEAIALAYYRGKAVEMSQKVGRMLAVG LGPDEVQPYLHDMEGRVVIACYNSPSSTTLSGDADAIDLASERLEVDKVFNRVLKTSN NAYHSHHMAELGQVYEDLATKGLSDIASDINLERESLNRHRTLWVSSVTPSKDMTTQV CGPSYWRKNLESPVQFMQAIENISEIASLHGSILVELGPHPALNGPLKQIRAKIGDKL GPSLSSISRASDNLEDMLRLAGNLFLRNAPIDLARVNAVDIFEGQQWALARGSCCVDL PTYCFNYGPNIYYENRLNKEWRFRKHLRHDILGARIQGCSKFRPAWRNILKAKDLSWL RDLQIHGQTVLSSGSYLAMAIEAVTQICDEDKDQTETAGFSLKDIEIKGLLQIPDNDF GIETVFDLRTRQPAKDRGERKWFEFNVSSVPADGGEWVDICSGSIEIEPERRPFGESI TSIKSLRHIDIERWYERLTELGAARGPSFQSLSGLRARDGNDSASAIVNMRPTEDESQ ESRYVIHPATLDSVFMLGIIAGHSGQTEKIRAPFTPSIIKECTIWKASKISPHSLNGK VFAKGTMDNLRLTATAQLSSEFGMPLVEVKGIELNRHDALEGMLEPAYNPFLKLVWKP DVTAMTNEAMRAKYPAPSKANEVGDKFDKLEELAIYSVVGIYKQQKHEYQDKRCDELQ RFLDWIQRTVEYAEDGKIPYGFEALAASAAKRRERINYLNSALENMIEAKLISAIFHN LPAILAGTTSGLQVALENNLLAELYTSGYSITRAYPQLISVIDSLAHKNPRMHIIEVG GGTGGATREVLKALGAPTFKKYHSYTFTDVSNGFLSAVENEFSDHKGMIYKTLDLELD LKDQGYESTFDLVIASQVLHTTRSILKSVHHARKLLKPGGKLVMLELTRVWLGAGIVL GTLPGYWNGHNDGRLDSPFINSNGWQQLLTASGFSGIDISLDDHEQPYTLTSVIVATA TETKSAITMQPSRSPVIYLIYKDFIHPLGREIEKALMGGAQPIYIRLSEAPTMISPHS RIISLVDISSNAFFKISQQEFKGMRDFISQALSILWVYAGNPIEGFRPESGVSVGLLR SLVTELPHVKIASLGLEKSFCSSLGSVAMIVIEREYHLQNVNPGEPYDHEYVLDNGTV KIGRLEPEECLNAWYTEIANEQAITKYPTLESLGAVFAIPVTLDPKAAYIIAGGLGGL GTSISTWMAERGARHLVFVSKTGGTKPEAMDAIQELHMMGVKTDIVTCSVSDKEALVT AVEEIMQRSTVKGVVHGTVGEDNAAFESATQDQLQHMFNHKVQGAINLHEVTLQCPLD FFVMTSSIVATVGAARSGLYVAANAFQDSFARHRRSKGLPGQTISFGPVLEVGSVADP ALVQTALQRIGLSSTTEYEFLRLFELALASPKIPQDCDPFAGAHLITGMEPQQLHKLH QKRIGSQVVWHFDARFARVLTAVEDVTRNKVLKTQEMSVLDSLKDSGPEEREEIVIRS MCTKLESLCYLASGSIDPRKAAMTYGIDSMIAAEFRSWLFKIFEVNISFLELLSPRTK ILGLAKMVLEH VE01_07933 MRFTKLIAAALLSVTSLAYALQDSSNNTDIETDIVKHNSDTLAY PQDGKPIPSDYTRLNVAWFQRHLNDYYVAMDEADSIPAGRNAVNEGVNGCISIHFFTT TECIVAAHVSFNFWREVTLEGCAEAKRQEGLRGRRVTKVIIMAPDRSSAMTTFNYIKP NFRRAYVSPGMYEPSMQPGIHYNFVAYVGTTDVVQTQSY VE01_07934 MEFSASRIKDWLQTCDRDHEGCYDLPDNNPLVRGEITFQFREEV QSNFRLIDVELECVKDARLGDRYIALSYVCNLPSMFTLRNDNAELLYVEGYLERVRPD LPKTINDAIDLVQAIGERYLWVDSLCLINDNEGDIALGIRLMNSVFQGSYFNIIAASG TDANAGLWGLGLDTKELMVPQSFEVGIDLKTRLSKSEYSKRAWTLQELTLSQRALIFV DNRLFFRCQAASWDETSSTDKLPSLVECGTNEPRICGLPGPSDGALPSLAAYVELFEE YSRRELRYDGDAIRAFYGLLQTLFGGMETPSAEGLPAFYINAFMLFTSPGSNLRRRHE FASFSWAGWAGELKWPRENLICYDGDGRRTQGMSNIFRWLKRNEIAEWNLFQTPIDQG KLLQLGYPRGRLQGRNSHLLELLREFPHVFDSETVEVWRRHRDISTCSSFPIPSWDEE ISRIENPPAASGTSKSCFSKWHMHAVNSQAEFNRLATKLDLGPLELGYTFRSWIAYHG CPTDALAEDLRKLRDMANLEYTKDIRFLGRDWPVALRPNTAISEYQKLLQGNDRRVTT WERTIEFILQDRNEPQDTPLPVPPKFPDYDVLLSWTICLRLTLAAPKPARNAPHPLLS VSGTVVGSLHPDNTSLLSPTSCEIELIVLSRCQTPIYGSALLGIEKERMPTPGQPWNL L VE01_07935 MTPPSLDNLVVDTVATATSKVTPSDLASNIAHSRDVCFPDVLAT ANMLSLMEIASARVLTPHLSGAQTSVATRSDIAHLAATPLGAQVTATARFLGLEGTLY KFEAIASDPGGEIGRAHMWRAVVFKERVENSASQRQPQHVNPDEKKSERKYIRVQLRS NAATGVPAPRIPWRHGGEEDIHRVVEEGPDELAERWLEGGADLTALDIFGWTALHVTA RYRNVKIPRLMLKGGADANAVTACGMTVLFIAVASGKADTVKLLLENGADPKGVYESR NTLLHTAVENIGDEVLSVLLERRLEPNAQNSDMWTPLHVAVKNRSTNKVRLLLRHGAD PNISEDESWTSLHLAVDSGDEGIVKILLENGADPKSENIRGDTPMKLAVRIGNERMVK TLLEKGVDPSLGYGRCTAVEWLKGHPVTGLSPPTRA VE01_07936 MAGLSLRTIPKVSKIIVVGEKGVGKTKIIDQFILNTFIEDHDGL IWTNYRKQIVLDDRVAFLDVSDTITPTDAEMEQYLRAGWEHQIRHADAALLVYSITSR ESFVRVTALRDEMLGVPAEMGEDGGGGEVVGEARVRPIQIGLVGNKSDRSADREVSVN EGVELAKSLGCGFVETSAKEGYNIDKAFHDLVRAIDRGQGNEKQCKDQGSAAAVGGFR GRLGRLARSLRLKKN VE01_07937 MSTSTVAVPFAEPPWLNGLPSAYYNDSHRKWQKTVRAFVDRVML PYAGDWEAAGDAPPTLYKTFADNNMLIPNLSAPLPIEALKSVGIHELAGGLKVEDYDY LHTSIYVDELSRCGTGGPAGSVTVGFAFGLPPIIKFGNKQLRDRFVGPLVTGKIRICI AITEPGAGSDVANIETTAVKSADGKHYIVNGAKKWITNGIFSDYASMAVRTGGPGAAG LSMLVVPLKAKGVNMTRIHVGGGRASGTTYIDLDDVQVPVENLIGIEGMGMIYIMQNF NHERLTIAIGVNRQSRVALSAAFEYCLKREAFGKPLMDQPVVRHRLAKAGAVLESHYA WVENIVYQMTKLNHADANRELGGLTALAKAQSGLVIEQCASTAVLLFGGNGYTQSGQG ANVERIYREIPGIRIPGGSEDVMLDLSVRQMVKNFQKKLKDLEAANAKL VE01_07939 MPKPKPPSTPSIPQTPSFPPSLPPSLASPLPLPTLIVFDLDYTL WPFWVDTHASPPLKPLLSSTTPPYTHAARDKHGEEFSFYPHVPAILAALDAHPRVRVG VASRTGAPEVARDLLRMLQIPWFDVPVPAPLDGSTTDDASSSGSTSKKAREKEKAREK ALNKERGAGRGKARKAIEFLGHMEIYPGSKKKHFTRLRETTGVEFGEMLFFDDEKRNR EVEELGVTMWWVPDGLDGGEFERGVGEWRRRRGVVC VE01_07940 MPHAVPTYEACAYNDPAPLTPTTPDLALTTKSPAATTTSSTTST SPSSSSSSPPALTSFHLFPHLYPELRLKIWHMALDLGPRVVTIHYEPTTHSFRSPTPP PSLLHTNRESRHEAQRAYPLLFGTASAPSHIPFHPAHDTLYFPRRSTMGYDDSLRDFG AFMATPADLDRVRVVALDSVDSREKRPWEAYDKAVFVKSFPRLENVVLVRGPKRAWLS NIPRAMGPVVEEREVEFLRVEEEEEKRVVKGFEAAFFGEEEALARIHCERGEDYVVAR LPPVMVVSKRRVERE VE01_07941 MTTEPPLMPPMPSALTFKLVARCSTTKARAATLVLPHGPVQLPL FMPVATQASLKGLTPEQLEETGCRLCLNNTYHLGLKPGQEVLDTIGGAHKLQGWKHNL LTDSGGFQMVSLLELATITEEGVRFLSPHDGSPMLLTPEHSISLQNTIGSDIIMQLDD VLVTTSPDTARMREAMLRSIRWLDRCIAAHAKPESQNLFCIIQGGLDLDMRRECCEAM AARGTPGIAIGGLSGGEAKADYCRVVDACTGQLPENKPRYVMGIGYPEDLVVSVALGA DMFDCVWPTRTARFGNAVTGKGVLNMRHARYADDLGPIEEGCGCRCCREGEGGLGVTR AFAHHLAAKETVGAHLLTIHNVWYQLELMRGVRQAIVEDRYPAFLRRFFSDLYEGDKE RYPEWAVDALRGVGVDLMGPE VE01_07942 MKFSIAAIALVLGLAIAAPAPELEGRAMLESRSCPKNSLCSNHK CYTVTCYPGPGPNNCQKRFRDRVRERDDRHCVMSGLRTFPDEDYSLFHATHIFPYSRE QAWVDEGLSRWITDQASPQSQGVSKIHSVQNGLLLDLNCQAAFDAHAVTVDANDGYKI IYLHKDVLNLDGRTLDLSCRDPQSPDRVPDELFHWQFRQAILAIVRGNGQRIWNMQLE EEDPMGDIMDGLDAAERMEAELFTRLGAGEIDYSAAPEMHSP VE01_07943 MGNTGSIPGDARRRNGIIDAMSEYHRDKAAKYQNQIQPGTGFGN WVDRRGMGQYYQNMHNQYKVQYHTGEKNMWSSWRT VE01_07944 MPRELDIPTPSSPRNVHIYDDDGQVIGGLWQNGCIINSMFYEMC RVFITNEEFTLFRLTKDGSTGAKLFPNRNALRDGSYVVLSANGSPIPVEITPDSAQRR ITIKGQSMKLNSRTKSFHDRVIARDDQCVISGTQHPKDEAPTSFSTCHIFPFARERTW VEKGMARFITDEEHPARENDTKIHSVQNGLLLRDHIHSSFDAYALTVNVDEGYKVVCL TRDHVNVDGRRLALCCRDPQNPLRVPDELLRWHHREAILASMKGAGEKPWDMHYSEGG DIMQEIREGPDAVERMEAELFTRLGPGEFG VE01_07945 MANDMETAFQAAIDASKINGAVICATDAEGNFVYDKALGKRTLL SGEKIPQQLDDVLFLASATKLLTTIAALQCVEDGLLTLTGDLSSIAPDLASKQVITGF SDDGETPVLEPPHGPITLEMLLTHSSGVVYDFINPLVTQWNQKFAPLAEGQRRPVEEA FSFPLAFHPGEGWMYGGSLDWAGRILERVTAGTLGEHMQKRIFDPLRINSAQFYPVTR EDLRERLVDLNPDDPEALGKVVLGGGGDPNKRSQGHFGGHGMFMAGEDYVKILRSVLA NDGKVLKPATVDDMFEHHLSPEATEGHKAALASPMGVFFSVGTEPGTKVGHGLGGLLT LEDVDGWYGERTLTWGGGLTLTWFIDRKNGLCGVGAVQGSLPLDGEVAADLKQTFRHD IYRKHAAWKAQQW VE01_07946 MRATLRRSCDACAKAKLSCDLRTPQCSRCIKRKSTCAYANEPLT NSPNAYASYSATSGNSTPAVEGTSLITRNGRVTPTFEEGPAGLLNPAIGSLDPFDSYP PARLPRVTIQHLIHHFLSSIAFQYYPLDLNSESNPFIVSWWPRALADPALFHVSLQTA SLDQELRSQKGFPISELLMSDSVSLVRRKIEDSSLAFQDETVNSVVTLAAIEHGKGNV EASRMHIDGVKRIVSIRGGINEVKRTSPLTARMVSWVSLLVTGCPQFQTQDDFGSGSG IDPTLQWQLASMAPDLQDRTLDNLDVDPDVRDILARLRSIFHQPQLSSLTTTELHDLT CFVVHKLLLLPPLSPAQPKQSSTSECLRYALVLYMLSIHGTTYYSHHHLINTILLQLK GYYAALSHTNYTHGSLEIWVSFVGMVASTNTTHYQWFIDQACAAAVALSLHTWEDVLS HLENVLWVRTQHEAAFRGKWEEIVSKAY VE01_07947 MVSIVEPSAVFTVENGTHLAQHDSNGWAEGVLPGFLSELSGWQI AVTILLILMAYDQSMYIIRKGPIAGPTFKIPFMGPFIQSLHPKFDAYLAQWASGPLSC VSVFHKFVVLASDRDLAHKVFKSPNYAKPCLVPIATEIMRPSAWVFLNGKAHAEYRRG LAGLFTNKALGTYLPVQEKVYTDYFDRFVAASKENGGKPMGFMGHFREINCALSCRTF FGDYISQDTVKRIADDFYLVTAALELVNIPLSIYVPFTKCWRGKRIADAVQADFTKCA ATCKANMATGAEPKCIVDQWVLHMMESNKYREQIAAGKEGVEKPANLIRDFTDEEIGQ TIFTFLFASQDASSSSTTWLFQILAQRPDVLDRVREENLAVRQGDKNRPFDLDMYESL TYTNAVVKELLRHRPPVIFVPYTATKDFPITPTYTVPKGALIVPSCYPALHDPEVYPN PDVFDPERWITGDAESKTKNWLVFGAGAHDCLARKYVPLTMAAMIGKAALEVDWVHHA TSRSEEIRVFATLFPMDECPLVFTKRK VE01_07948 MSNLEEQLLHLLAEAQSSALAPRQQAEAHLQALHSNEAFPTGLA AIAAHTSLPISTRQAALTTLRLFVEKNWSGEDDETEGPTVVISDEVKTVLRSRLLELA TGGDDERRVRGAASYVVSKIASVDFPDQWPTLLPTLLQIIPTASDAQLHGALKVLADL VDDSLNEDQFFAVARDVMGTVYSVAIDDNRKMTLRALAVGVFRGCFDIMDMVKDEHGT EVKAFADEVLQSWSPFFLQVMKLQFPARSQVAGEEGLDREPESWRGVVALKLQVVKTL MKIRQVFAQLLLPQSPVLFTATWEELSSLQDAFKDLYIDHDDQGRLEDADGLPYTLDF LVLEELDFLQSCLRAPPVREELETALQNCGGISNTPWVVDVMKLAVAYAQIPSEEEGL WDIDVNLFLAEETSVTANYTARTACGDLLIKLGEWLHQGAFEGLLTYTRVLFASPDAT WRTREASLYLLTQLLNDFLDIDKRIHAETAASYLEFIEYAVNREDEPLLRARGYIVAG VLVQSISGNAFPTVALLDRTIKAIHEDESEVVKVACIKAIQGFIKAPEGIPSDRQIPI AVAISEFLNAKDLTELEDSDDLLVTLVESLRAATQLDYSIAIAPGSGVIDLLFLMAKH GAASFQLTVLVNETFEDIVQSLSSQGAEGYIALCEKVMPSLTGALDVGSVTEDNPLTT LACELIAVLTENGSEPLPPGFVAAVMPKLSRLLLSTVEGEILRPGSEALKFIIMHDPQ QLFEWHDEAGRSGLEVCLIIIDRLLGPTMEDNAASEVGGVAAELVEKAGQERLGPFLP QLLQAVASRLATAEAAPFIQSLILVFARLSVVGAGDVVEFLNQIEIGGQSGLQVVLSK WLEHSISFAGYDEIRQNVIALSKLYSLNDTRVAQTMVKGDLIVPTSDRIMTRSRAKNN PDQYTIVPAPLKIVKVLIEELLSASGVQNAVTALAAADFADEEDDDGWEDLPSILDLG SGAAKADLMAYAEGLGGSFMRQRDDETQAYLTDFFVRASTENIAGFNELYAQLTDEEK AKLEELAKGAQAAQ VE01_07949 MPAPPPPPIPILNTYTSLLRTLTSFLTVAIHTLLYERALYPPTS FISARAYNYPVRQSRHPKVCEWVSDAVAAVEKEMAEGRVDKISFVVYDVADRRQAVVQ ERWVFDVGAWPVVAKTDRFVEFEEPLVGKGKGVEMPVGEEDGEEKEMGEQVKIHIVDI EEQLRATIRRLAYTAEKMAPLPEGCTYTIIVELRDDVTPPIGHPQPWVPSPPALQKTV DKETDTTTEGPALRGPRATPIRSVDSGAFVLETWVEQAAPPPEDKRDGKKAA VE01_07950 METPTHLPSRPREFIDLSSDDEEEEDSVQELPELDPGHFPEFND FNDLILGNLVRENQAAWDAAAFEAQGFQVPILGDWQNEAPEPVPETINLPGGVAPSLP QMLRQADRLHLNHLPVNLLNEQQDNDQFHNDNDLIQGQVNNQLNNERPDNEIDLILSD LNRQFPNARMEDDDNFVWEQVNRDLHNADNIIDLDPPPTEEMANKARCIQGVADIFPD ICLEYVEQLYVALQGRKSSLAIVELVLEGEENGQPYPKINQPKRKRPVESDDDEEIIQ KYAADRQHNSDKYLTMARGMLSEDFPSIPMSFIMRHFYDSGMVIYTAYQSLADAERVW DPSNPSYHKLKQARKVKSFHPSTVEEKLTNPETPPDQKRAYAELKDVRAGRAKWEGKL AARRKREADAIAAKEKYEADEAANLELSIKEGTMEECGCCFDNFPRNRMINCNNESIS HYFCKRCARLHAETQIGSGKYELQCMSTDGCQADYSYAQRQLFLDANLTTALDRIAAE TNLRLAGIENLASCPFCPYAAEYPPIEEERLFHCVLDSCKKISCRMCLKESHIPKTCQ ENAKDIGLDVRREVEEAMTAALVRLCNKCKGPFIKEDGCNKMNCPCGNIQCYVCSKSC HYNHFDDERRGGKAGNCPLFDDVQVRHEDETKRAEAIIVEKLKKEHPEVDPEDLEIRV SDAVKEDEERRKKKRWNPHEPFRFAMEGGIGRPQGPPLIPQALAGNQERQAYREAYEA HMAQYHANVENLGPLGNRPRNPGQRQHPNLQDAAAVPANPPADAAGVPDKYKHVRFPR HQRHRSPAHQALYEAARARVRANRQAQDEALAAAEGGNKELRDRLAAGRKEARRGLRE LVRERIAAVNEAANAAANAADAAIAAIAAEEPKRGEDGDAEVVYRPGDRVVARRRVQE WVFEPFGAPPVPAAGGEEAGNAGNDGEMPLDDLLAQAYAAIGRAPRARPVYNRDDFQL FPRQQPFMLPQHPPRPERQPQPQPQQQPPADFEEFMMEQPLMDGYVEPKGQKLVPLPM KAVMDPHAVMDFEAPHRRGKVNRAAAGEEGAGRRFVRVLGLDGGGAQQGGQRLEGGGG GGGRREGERGLDFLMRLRRGRSRGGEKGKGGEKKDGGGEKK VE01_07951 MTNAIDKTATNGYTNGVKVAPPPASTNGASTTTTTSNGTTTKET PTNGTTTKETPQNGTTTAPLPPATSPSLILTHPTPTERRHTWLKSSTDWGAALPTPTY IERESHLCSASTLASSGGITHWILVDRSLPADSRPVLATAESLRKRTLVATPGGEVRE AVSHGIGSVFCYPEYRGHGYASRMMTELGGVLRGWQAEESRDQKEVVFSKLYSDIGRG FYGRHGWMPFPSGHVEFPAAADGAGEGQNGKVGGGVKLLKTADLKELCEADEGMLRAL MEKPSGDGKTRVAIPPDAEHFAWHRAREEFVTRALFGRIPEIRGALVGEVGSRVWAVW TRGFYGKKGETAKNTLYILRLVVEEEMKGGKVDGDVLVRQLGEVVRVAREEAREWGCG RVEVWNPSVVVGGALERVGGRTVEREKEGIASVMWYGKEGEEVEWLANEKYAWC VE01_07952 MSTQDSTSIPLSTAHVHAPYKLLELPPALLALLESDSPPTLHLT PGTGTPHALLSAPTGTYRLQQKNSSNPLMLLRPSFSTEAGSEGADGADSEVGCASVCV VARIEDTLELLPYDAAAEAAAPVKAKAGKWHEKFAASRAKK VE01_07953 MVQLPSGLTALSVTDVGLYHTTDPLLSNLPLLIFHGASTTTNAT LSSSRIQIHVLSAAGFTSYPRLTVSPNSPLYDVVNALPREWQGDEVCRGLAFGLMKYF EEMPPAVKTALIVQSSNTRGRRPGSVPTLFGRQHAANLASSLVKVENVAEVIADLEAG MQSQAVSHIDVDLVLPPGSITSLPEVQTEDIDDEAGDSTHLQYGQYAPLVRQFGEPSF IPTSKLRRAPSKPTSLNRSKSFLKNQKMSLRREMGELVDTEERYVIKVHELVNNIADE FRAKARKKAVGSASPTEKDLQKLFPPSLDKILQVNKGFLAAIQKCMDESEEEAMQDLE NDQPTFSRPRYGGTGRPKDPTGATGFAKVLLEWFPQFADCYQDYIRASQEFPQLISTY ARQQSSFSQRVQQTGEQKLRSSIIEPVQRLPRYSLFIDNIVNYLPLTHPALQSMLKAR DIITSICSLDSPDADNSQTVKRLKLLVDKWPTCVFKGRLISAVDFVELSPPYHAIANT LTNTPGVLLLFADTLIVCRKAGGSNISARGVMAEVDKPSTASMVASVTGMGEPRPPPE LHLLSYHTLSDIRFTEGQDGRVAWMTLSAALDKQPNALPTRRAFLLQGSYDGKASKWT DEFTKARVEGRFAEKERESDKWSLRNVNSKETGLNIYAAVFEEGIDTLVEGRKEPAPI RIVVDHERGTKGAPVGHYGVETVVNVTVVQGKTSAPYHLKFEGLNDLNFNDLANDSSF MSVFAKRVETLMQIHYSPKNPALTTSFILCYSSILSSLSLQVDNDKAKYHRSPSPVKM LSSFLTGGGSSLSDAPKHKRTPTLGSIPSFTAPSLSRSSSKKEMDSIVTSTNSSFKAS FDESTTANPLVKLEETFTGYIIALQSRKGNIIGRILRNRAGADELSVNALYNKFIENP FDARASFEATIDVLFSAFEKFTRMAWKDQMGPVISVQTLQALQEKASKLFPGDFADYI KLLFGDMAPQNRRAFVAIIKLLADLLEGCGNDGDRGALTASFAELLVPDNNPHSYINL LDRLVEDADRLFEDLGVGASNGYNTPMDGSMTSRTQGSVTSNTSLRKRFGLDSLLRQN SKREDDSKPSMWRTLSKTGRSTATGEQMDGSISKGRLHRSRSIESDSRLGTPKRPGSR DRPTVLGAFGDQRPNSSHTPSRLSTIGQSPPPAPDAVEKSLKKKRRSSLSDLKALLAN ATLDSPSTPLSTRRANQLAQPAQASPRTPSPTKLPSRALAFNGSPTQKENTNTRVSPA RNIGNLTERPHNVQSGDTVTIKDLWSVPSKSPPKSAANLASNIPTLKGSPRPLSLALA PAPVFGTPAFSEITNPRKDAGPTNIPSPTKSPQKLRLQSPQKLRERLQSEAKAIGEAE AGLQSELAKIGAEMAKLSGSPVRGTPSTSSGSELRRISESVRALESRIPVLMKDLEAR NAAVQKELDRGLVVAEEKAKGLDQLYREVSAENEILYERFNGELGRIVKALKGKGREE REELVKKVKEASEEAAAVRKENARLKREVVGLKALVKGSAL VE01_07954 MASQSIPIIVGVGDFKNPFTTPEKSLEPYQLMIQAIQRALQDTY LPEETAQKLQISIDSIDVVATWSWPYQDLPGLLSTKLGVDAAHKFISDHGGNQPAKLV DEAARRIAQNKTKVAVVTGGEALASLGGFAAAKKMPKWSARKKDQKSGTITEIATLGD NTGATHGIGLPIQVYPLYENATRASRGQTLAENNEESASLYADFAKVAQGNTAAWNFG KKAATKEEIGTVTKKNRMICYPYPLLMNAFNNVNLAGAVILTSTDYATELGIPKSQWV YPLGGAGTKDSDKFWERPNFYTSPSITRSLDAGLEVCGLTKEQIGLYDFYSCFPIVPK IACQHLGLAIESHTRPLTLLGGLTSFGGAGNNYSMHAITEMTRNLRERTPTYGLVLAN GGTMTYQHVLLLSAVAPSQPYPSKNPLPPIITDIPVPVTVEVANGEATIETYTVDFNR DGTPGTGHVVGRLPSGERFLANHADEETLSQLVGNEEPVGRRGWVRNEDGVNLFSFEK KAKL VE01_07955 MHFSSILSLSVALITPLISAYPISGDSVNCRSGPATSYKVIKTY AKGHDVKVTCQTVGETVKGDNLWDKTADGCYVADYYVKTGTTGRVVNTECKTGGTGDS SMNGKITRKEIIARGKYWIDRHVSYSMKGYYPDPKGTSYRTDCSGFVAMALHASAPGY STVELPRIGTQIAWKDLQPGDFVGTLGPGTGGSGGHVTLFLSWTDSTKKAYNTLECRG TAYGCVAYKRNVGWKDGSFTAKPYKYIHVV VE01_07956 MEDVNAGDVAYKEYPRDKTPPLNILHLPLDILYIIFAHLNEERL YIKYCVAREDTDTKTIQNARLVCSLFNRLASPLLCPVVTVELNQTSLNLVDEISRSPL IASGVRTIHVGLLYRPKGVAESLQFFKNWRGGDIDALLIQCGNRAFESRGDLYDEEKV TLYTKAVADCGAIISAWDKYSVSPADGAPIDAESLRYQEILLQGYEEYLQKQEEQYRL ITDGSFVNTLASAMARMGNCAYVHFHDRITFNDSYTPPKDPALISTDPSELLRFLAAP VDWREIEEMDDSTALTPARILSELPIAIHKAGAAVSAITLHCFPTTNNYPMICPDPRG PNKFSWPDLRAACQHLAEFDLQWEDQPLRYRHLLYKEQVPIDNYLYAMLSGQAMEIVN MSFENFVVNDGDKNEIKGLYHIGTVLAAINWPRIKELRLSYVSFHQREIEAFFRGLDG AGMEFVSIHDVELLSGSWAGALDILREKVAGRCLDGKCEVMFDEFAGGGFGKRGSQKW KRCAWGEESGGDEDGETSIFDLADSYVSGVGSDNPLSGLMVL VE01_07957 MAQPTTLAPPSGAHSQTSLPSLPAHLQSDTHLTAHLASRFHVSL PTAQLSSHALLSLNTYAGSTKGPGGGREGSAMAGAEDVAERAYLRLGARSENQAVVFL GESGSGKTTLRSHLLSAVLAKSATPLSQKLSLAAYVFDTLTTTKTATTPTASKAGLFF ELQYETAGATNPSLIGGKLLDHRLERTRVASVPTGERNFHVLYYLLAGTSGAEKAHLG LDDSHGGVLESNQGAQKRWRYLGHPTQLKAGINDAEGFTLFKTALRKLEFPRSVIAEV CQVLAAILHIGQLEFETADDTAATGDDSGGYSHEGGQSSTVVKNKDTLAIIAAFLQVS AADLQTTLGYKTKILSRERVTIMLDPKGARENADELARTLYSLLVALLIENINQRLCA VEEAVTNTISIVDFPGFAQQSSSNSHLDQLLNNAAAESLYNFSLHNFFERKAEILEQE EVNVAATSYFDNSDAVTGLLKPGNGLLSILDDQTRKNRTDIQLLESLRRRFEGKNPAI SVGSATAKLPGSNFASFNTAASFTVKHFAGEVEYPVAGLVEANGEIISGDLMNLISST KSDFVGQLFGQEALQTVVHPQEKTTIMQAQVSSKPLRKPSVMRKGRTPKLTMKFGAED DDDVAADEGGDIGEKSKTKGGKKGRNDKDQSQQGAAAQFLSSIDNVTKSLSAPNTNSY FIMCLKPNDRRIANQFDSKCVRTQLQTFGIAEISQRLRNADFSIFLPFGEFVALAESE QILVGSEKEKVEMVVDARRWPSNEALVGSTGIFLSERCWLSIANLRESLGGRYVNSDD GVDGLSPGDPHGSYSNSREGLPLISGRGGSPAGYFGASDTRSEAGVSVFGKGDMFRDM DTRQQMAERGNEKTMVEVEEVKTSGSRKRWVFFVYLLTWFIPDFLIRWIGRMKRKDVR MAWREKLAINFMIWFSCLFFVFFIVVFPMLICPRQHVYSPSELTSYNGKSGSPGAFVA IRGMVFDLNSFATRHIPNLVDSKDVLAYAGLDATSLFPVQVSALCEGKDGPIDGSVQL DFTPTNITGSANQIGAADPNRQYHDFRLFTDDPRPDWLAQQVRMLRAGYFKGNLGYTS KYVATLGQKQQIAILNGRIYDFTKYLQGGQKLEQIGSNPLPTDQAAAKQFMEPLVVSL FEQRAGEDVSKQWEALALSTELKSRMLLCMNNLFYVGDLDTRNSVKCQFASYLILAIT IILCSVIVFKFLAALQFGTKNVPENLDKFIICQVPAYTEDEESLRRAIDSVARMKYDD KRKLLVIVCDGMIIGQGNDKPTPRIVLDILGVDETVDPEPLSFESLGEGAKQHNMGKC YSGLYEVQGHIVPFLVLVKIGKPSEVSRPGNRGKRDSQMLMMRFLNRVHYNAPMNPLE LEMYHQIRNIIGVNPTFYEFMLQIDADTVVAPDSGSRMVSAFLDDTRLIGVCGETAIA NAKATFITMIQVYEYYISHNLSKAFESLFGSVTCLPGCFTMYRIRAADSGKPLFVSRE VIEAYANIRVDTLHMKNLLHLGEDRYLTTLLLKYHSKYKTKYIFDAHAWTVAPDDWKI FLSQRRRWINSTVHNLLELAPMNELCGFCCFSMRFVVFIDLLSTVVQPVTLAYIAYLI YMVVSNPDVIPITAFILLGAIYGLQAIIFILRRKWEMIGWMILYIVAIPVFSFALPLY SFWHMDDFSWGNTRVVTGEKGRKVVITDEGVFDPSAIPKKRWEEYQAELWDAQTVRDD RSEVSGYSYATKSFHPYGAPASEFGAMPPSRPMSAAGGAAGQFGSANPYRGSMAGMGD GRMSTYGGGGEVEMADLAGLPSDDALLAEIRDVLATSDLMSVSKKSVKAELERRFGVG LEARRAYINSATEALLSSQL VE01_07958 MTNSNRHSMMSLGSMGGGIGGPPRNSAGQQSSQVSTTTLLNALH NTYTSSQPYQLDASTSLVVNTWLTATQVDHNGGSGGVVDAALAARAWEHARRRAEDGC IMLGSLHESAPSVIVPFLSTLPLKMPASLYTALNVLRPFTHAVTPQNGSTPLHSALGV TLTMTLDGHLTGASIALSQGGIDTARGLLNVPAAPGHRAFDVFYYLLTSASTAAEREF LGLKAPSTYALLSRSGTYDPPSYLPTADDSAAAEDFRASLKEIGIKGSAHRNLISMLI GILKLGDTLSFNLDGDALEEVCEDIGGLLGLDPELLASKCSTGERETLVGALYEAVVD WVISKANSAIASEMLRIRNVDNSSDGERTPGTDEDNGDTVCITVLEVPGVALGKAAAM RGVFDDTQGINAEMKEDGVDVVAAGSSVLREMENAVSEVGPDLGIMTGPAGRDREHAR DRREAVLEKVGREGEEDGFLKTIIFPVDGEGVNLGRQGRLDLTTVLGSSRVWYHLSLH PVDDTPASLVSLPSTTSAWSAGTVSRQLRAWRLPEWANRRTKNLDFTADFDHDEFAVR YASLGCKDGRDGVESWVLERGWTNGEVVVGRERVWMREGAWWEAESMFDLTPAQRGGE YGALGDLLGAGGFESGYSAGGNNASGFFNAAPSELHHQDSREGFLQAGDGGARAKSVF GAQSKAPTRAPQGPGDYGLGFKGDNMQGHVYYNNELGEFVDNLDPELANPRAVVTDKV TFSRRLWVGVVWALTFWIPSFLLRYVGRMKRPDVRMAWREKVVLVFFIFLINGIIVFY IVAFGRLLCPNFDKVWDTANVATHQGDNDFWVSIHGKVYDISNFWKQNHGTTSRPVQS SDMGQLRGLNLDAYFMPPLTFSCPDVVTEPLVYVMQNGTQGEFSWALHDSGPFRDSST TDKMHNEDWYQATFQPRMQEFYKGHLVTKADFVKSDGSDNSHYWFIIDGSIYDLNNYF YTLQTMGGQALYDYLDSGFSTMVKNNPGQDLTDAYALLLSKAADQTELTSIKNTFNCV KNTFYIGIPDFRWTPRCQVNNYILLAFTIILCTVIGVKFLAALQFGSKRRPAAQDKFV ICQVPAYTEGEESLRKALDSLTALQYDNKRKLICVICDGMIVGGGNDRPTPKIVLDIL GVDPKIDPPALPFHSVGQSGEQLNYGKVYSGLYEFEGNVVPYLVIVKVGKESEQSKSK PGNRGKRDSQILLMSFLNRVHHRSPMNPLELEMFHQINNIIGVDPELYEYLFMVDADT SVREDSLNRLVAACANDAKIAGVCGETSLENEEKSWWTMIQVYEYFISHHLAKAFESL FGSVTCLPGCFTMYRLRTADKGKPLLISDAIVREYADCDVDTLHKKNLLSLGEDRFLT TLMTKHFPYMSYKFIPDAYASTAAPDTWSVLLSQRRRWINSTIHNLAELVRLREMCGF CCFSMRFVVFIDLFGTVILPATCVYLVYLITTVALGKSQFPLISIIMIACVYGLQAII FILKRQWQHVGWMIIYILAFPIYSFVLPVYSFWNQDNFTWGSTRIVIGESGDKRVVAI DDDGFDPRSIPLQRWDDYAAANALPGRRGGVGGGVGEKLDNPYDDAYEMDDFRSVYSS VKPASTMGFGGGGAPRGSFIPPPMPSPYGAGQQGQVSRQSVFSTSPYADQPQQVTRQP SMLSLGSPLDSPYGAARPNTIHVSTLPSSENLLGGMGSPSPGPGARGGNRNTIGYPMG GASPAPGAPSDEAIVEAIRACLADVDLDTVTKKQVRALVEQRLGGGVGGVERRAFVDR AIDGELANM VE01_07959 MSSLNDQTNNLGSGLTSLTFAEFTWDNGNGGYKMISSSNLGKLY HYMRTHYPGDNVSPPEIMGGFLGNLEIQLEIGGDHVEDLRLYHIPKTYTLWKLMREGF PDALAVSFIDNEIFVELPELSRYEHAERLKRCPGWFAHEGPKLFYYNGIRIKTQRSKE VGRLGRQGREGKLLGSDMLRMDDVYMVDDDAMGYHTAMLCKGITVISQPDQDKVAMEI FAMSDPVAYGEPSIRAGCCGSALVRMDRSTEAEGGLLEKGGEIGLFIVGSKFGEGKGA DDFPRVLCFAEANDRVIEAGLEVE VE01_07960 MLARTVGRRALTSRVASSPSRKFLTTSAAPKKRTWKGAAVRWGL AGTGLYYYNTTDIFAEQEEAAINRLEESIHHIQQSELPTIEAIVAEKRARAAARAAED KAKAKAAAAAEAAAAAAAAAPASEESEGSATPATEGEDESAAPGGSIEDLEAEAGQEG AFNPETGEINWDCPCLGGMAHGPCGEEFREAFSCFVHSTEEPKGMECIEKFKGMQDCF RAHPDMYGAELEDEEEELEAEIRAQEAAKAEAREGEAAAAESQDDKVTPKKEAQDVKA AVKEKVEEKTPAPAHPEAADAVEKVLPKTAYDATDADAKVDNAKA VE01_07962 MTKTPAQYEVLQRPVWDPAPGTTCLWQCIKEKPLAMHQLSDRVL GSLYIAIDCGLIKKGQCNLNESDLGDYFNIRVIRTNLNLGRELLTPDGKAVVAIPVKR ENKTVFFELRGAGKAHIKLPAVVYNTDIFKARYPNWAEWRDELLYCRASDGAFYVEDF ESYPRNATDSTPPDWPQGLTRDRNLASEDHYRLNNLNTKTGLPKDVVDTEDSVQNPYS TRSQASQPPQSNLRTPRSVDNSSIADIIDQRYGFNGRNRSGVDVFTEEEIGTLLISKK RKRSSFAEPMLSKTPNRQGSPSPSIAPIEVHKAAFQAAFRDKSVQRTELFHALLPYIT VADAEEILVRCQQVAAVISESKFE VE01_07963 MDIKKMDQGLLKRNPSLTPAEFSHHWYNVHAPLVIPFFLHSGIQ HYEQIHAPLSTSDPNLDISAWDGVAGMPPQEVLDAPSTLPKWKQDYYREVILVDEKRF LISAALEHIFRVGPGTVEGEKKVVIKEGKALVEVGEEVWRVWREYERRGEEE VE01_07964 MRRVLLLAGIVGSAAAYPQGPVTANGAKLFDFEKAQLTEDILSK LDDVFQFDNGDDVKLNGRGAGADCKVFPGDSAWPSDAAWAKFGKAVGPGALIKTVPLA SPCYNGPLYDAAKCDALTANWTNSFLHMADPTSMMSPVYQGLTCQVTEDPTQSCTLGA LPYYAVNISTVAQVQLTINFARNNNIRLVVKNTGHDFSGKSGGAGSLSIWTHNLKNIK HIPSYSAPGTSYTGPAFKAGAGAQAFEMYEAANKKGLVVLGGEGKTVGIMGGYMQGGG HSPLSSLYGIAADQVLSMEVTTADGKFVTADFTQNTDLFWALRGGGGSTFGVVTSLII KAYPDMQVTASNFSFAVGGDVTLENFWIGVRNYLDYFPSLAAEGIYAYWFILAGETGP TFKMMPFLAPGKTADETNALLAPWLAQLAALNIHVTPVTTNYDNFLTGWEASFPLEVV EKTHVASGSRLWPKENWANKESLDAMFNAIKTSSEAGLIIIAFLIDPSAQATPPDNAV NSAWRNTYSHMIQSVSWPLGASAEFQKETRQNFTFGAMQRWRDVSPGAGSYLAESDIL EPNFQQSFYGKAYPRLLKLKKQLDPKDVFFAQTAVGSEFWQVVTADGLPSGNGKLCRV KK VE01_07965 MSDGFLLAAYVLVAAESCVIIRYTLYTWQGYHKADIPHLSIEQK VTGGKYNIANQLLYNPILSLVKASVIFFLLRIGNTHRIARISLWVALALNLALAIAIV FACAFQCTPARYVYAAAQMDYDAQIAAGADSDGKVNGEVVKGGHCFHQVQFFLISAGL AVLTDLIVLAIPTVIVWDLKMSRRRKLVAIGMLSAGAIVTAVSIARIVVYNWRFSPNN HDQTYGVGYTISSIEANLAVVTGSIPALKPLVTRFVPRFFSNDKDYSDRPSGYPRGHR SKSGPKSDKDHHALDTFGAQVGGWKGSGRRTEAWSSDEHILTRPEEIRRNVEVDITFS EATSDDGKGPQSLR VE01_07966 MSENEFDITKSLFNEDVESDDDNFGVAGEKTDIPMDGVLDMALE SDGEGDEAFIASELAASNRKSSNVKGKSVKKGGGFQAMGLNSHLLKAIGRKGFSVPTP IQRKAIPLILDSQDVVGMARTGSGKTAAFVIPMIEKLKAHSAKVGARALILSPSRELA LQTLQVVKQFGRGTDLKCVLLVGGDSLEEQFGFMASNPDIVIATPGRFLHLKVEMGLD LSSMKYVVFDEADRLFEMGFAAQLTEILYALPSSRQTLLFSATLPKSLVEFARAGLEE PSLVRLDAESKISPDLQSAFFTIKSEEKEGALLHILHDLIKMPTGPPPAAVAAAEASK KRKRGDDGRGPKHKPTEHSTIVFAATKHHVDYLASLLRLSGFAVSHAYGSLDQTARKM QVEDFRTGMTNILVVTDVAARGIDIPVLANVVNYDFPPQPKIFVHRVGRTARAGQRGW SYSLVKETDAPYLLDLQLFLGRRLLLGRESGDSPNYAEDVVVGSVVRDKLETNQEWIN KLLRDDDDLAALRGVSVKGERLYLKTRNSASSESAKRAKESVASAQWIQLHPLFNTET NSNEQARIDMLARIAGFRPTETVFEVGYKGKAGHGEAAEVMRARRQKIIPRRQKAIDD AKAEAGELTDDDDTFQGISTAAPVDDDDDDSDMEVTISGGNDVDMAEASEDELEITFS KSAQKGKGRTLSYKDSENFMSYTPKNLNAAEERGYGVHSGSYNTASQNSNFVEAARGV TMDLTNDDGAKSFAEPSQAKGMRWDKKNSKYVARANDEDGSKGAKMIRGESGQKIAAS FQSGRFDRWRKAHKVERLPRTGEAERAGGVGASVGRGMGTRYKHKQERAPKEADKYRD DYHVRKKRVDEAKEKRVGRFRDGGGKGEIKSTEDIRKQRQLEQRKKEKNARPSGKNKK R VE01_07967 MAPPNGRKTAGKFAKPKNDARSLKRKRVVDDHERLEKEIKELDP KVADIKDFQHLPLSEPTSKGLDASHFKTLTDIQSKAIPLALKGKDILGAAKTGSGKTL AFLVPVLENLYRQKWTELDGLGALIISPTRELAIQIFEVLRKIGRYHSFSAGLVIGGR SLQEERERLGRMNILVCTPGRMLQHMDQTAAFEVDNLQMLVLDEADRILDMGFQTSVD AILDHLPKDRQTMLFSATQTKKVSDLARLSLKEPEYVAVHEAATSATPTTLQQHYIVV PLAEKLNTLYSFIRANLKAKIVVFMSSGKQVRFVYESFRHIQPGIPLLHLHGRQKQTA RLDITSKFSSSQNSCLFATDVVARGLDFPAVDWVIQMDCPEDADTYIHRVGRTARYER AGRGVMFLDPTEEEGMLARLKHKKVPIEKIKVRTNKQQSVQKQLQVMCFQDPELKYLG QKAFVSYTRSVYLQKDKEIFKIDEIDLEGFASSMGLPGAPKIKFQKGNDAKKLKNAPR ATLESSDEESGSEAGGKKAKKEVRTKYDRMFEMQNQNVLSGHYTKMIAEDEESADGEA NVGSDEDGDFMSVKRLIPVDSELPEDMEEVASAGPQPKIVKGANDTELVIDSKRREKM LKSKKKMLKLKGHGTKTVYDSDGNAHDIYELEDEDDFKARGTAEAQRARFVEEEASKV KQADIEDKQLAKDKRREKKDKRKARERGDMDEDDEAPALHDAGSDAEDPLEFLKSLPM GDESEAEEDERPQKRAKKWFENDSEDESKAAATKKSRRHIIEAADEPETLEDLEALAS GLLG VE01_07968 MPSSAPAVATVTQNDAPKLANGNGDTSIRPLCAQLASRLNAFLA AEPESEMLRGVQEQTRTALGVITTALEKYTLEEISISYNGGKDCLVLLILFLCALATH PAPLPARLQSVYIISPHPFQEVDDFVDESEADYSLGLARYAMDMKAAFAKYLEDEPRV KAIFVGTRRTDPHGMLLTHFDPTDQGWPAFMRVHPVIDWHYAEIWGFIRAMEVPYCRL YDQGYTSLGGTTDTHPNPALKADKGDGTKDDKFRPAYELVEDKAERLGREK VE01_07969 MSLVADPEDAHRIGAYRPWYLPFRSRNCNADPYRRGSAFAHAPW WTPLIFENDSSDARDHCANERTFLSYLRLSVYMSVVSIAIILSFHLKDEPSDFELRMA LPLGIVFWCLGLLCLVLGFGNYVKTMTLYSKRVALVQSGWKTQTD VE01_07970 MSRNTGSSSRPIAGTSSQRSGSAGHSHFGSSFRSGSPLAQESIA RDIAESSGGDDAASIDSCGDGEGSDNESEASTLRPTHQMSGSYRRPSFVAFGGGNRPA IAPQHPEIDHLSKREIAQARKEEESLLRDNHLAPPKHPRSKDEALPSRIYRSIFSTKV PRARGDDEAPEALAPGFTAQPSETSPLLSHGAPESARDIHERLNRLWEDAVREGKIET TWQREAKTLAQYSAPLIITFLLQYSLTVASIFTVGHIGKIELGAVSLASMSANITGYA IFQGLATSLDTLCAQAYGSGRKHLVGLQCQRMVLFLWSLSIPIGIFWLFSNRILEAIV PEKESADLAGLYLRVLIFGLPGYALFESGKRFVQAQGLFSATTYVLLIAAPLNAFMNW LFVWRFEWGYIGAPIAVVVTHNLLPILLFLYVYFIDGHQCWNGFNHRALSNWGPMVKL ALPGLVMVEAEFLAFEALVLASSYFGTTHLAAQSVLGTVSAITFQIPFPLSIAASTRV ANLIGATLSSAARTSAKVAIYGAVLVGLFNVILLSTLRHHIPQLFTDDPEVIELVARV LPICAAFQLFDALAALSNGLLRGLGRQEIGGYINLFCYYVIALPISFGTGFGLDWKLE GLWGGVAVGLGLVAAGEGFFLATTDWDRAVEAAAHRNAHA VE01_07971 MADVLPSSRRNNVGQLKLSTARAAVAAPPSPNRAKLKTTARKAL SSLKASTNRAKRLYFEDIPHRIKCYIVSAKDDTDVGRSHRPHRQHSINHGPNNGLRYD KPISIRGASTGHYSFCALQDSKSSVPESPFTRSATPPQPALKVLRLGHLSVIKTKEPT LGHLLASKYFKDLSTFVTEILRGTAIGNITVRRPEKKGWSLSHESAIPIPLNDVLLRS LIPTNSRTICGKTDGPVLEGLIAIEDIDMTPLRLWDDADSQVAVDVDGKDVSSKCPAS EEILVPKVPSFKLLESIPECPDETHEQCGSSTTTPPLSDTPEGEPSRDTGSGSFNATG NGGATPFEGQSSSPSRGTDTENKDQNVLAIDSHEVLPAEGFHHLPLLCIHAAARYPCC NGKGHWAPEFRRLWSDHYLNHHILYEECQRCKRLFEDECSWTKHEELLQSLTPCEKLS HRDLQAQNDKPNRKGITKARKKKVEEAIEQYAKHGIASSVCNKDEHDKWVDANLKLYI NQSSTTVAAARLELGKWLVAWYIIFPDVEIPSNPFTVTISHQELLGRVYGELVNQARM GNLPYFNEPQRILLAQIFQKGIGQWQLEQLQAAQTPKTTRQPPNSSTAKRQLAKRPAA KPQLGKRKAIKRQKIAEPEPTQAQLEPTMSPPAQPPSFVVFGQDPRFGTTASSSYTPN PCDGFSFGSSTPTDPTSYNDNGAPYDDNDPLVTVAKLSENGGQSKQGEGLGPSSMDLS FFGAQHNPQISPQNALTGPYFAGSRDPATLGATLGATLEATLEATLEASLEATFEAAF EAAFEATLEAPFEVKFRDWVDEDSRFK VE01_07972 MRFPLYNLLLIPTCSRSVLADLLVPSYEPPVDIASNKSLVAVAW RNATATLHKYLSDGNEDGVPVAIAAMKNITFSVGMFSVNDPAASKMQFHYTSPEIANA PNGTNKVDGDTIYRMASVTKAFTVLAGLLELNSTHWERPITDFVPTLANYTQNTPGED DPTHITEWGKVTLSALAAQIAGVPRDPFLVGEIIDPAKITAMGLPPLNPNDPLSLPPC ALPENYNSTDSACNEIPSIESIQNRPPTLLPWTSPAYANTGFVLLGVAIANITGKPLA DVYRESIFEPLGMKSSNATVPPKSEWHHSVIPDLTAFDINAGILTSSGGLLSTTNDMA KFGVGILNSTLLPDDETRKWLKPVSHTAHFEYSIGRPWEILRYTHASGVITDIYTKLG DSGAYSGFIVLLPDYNAGFSILSGSISSLRFSVVAQIAEVITDSIVPALAAQAAKEAE KKFAGVYNSTIPGLESSLTLSVNHTVGAAPGIVISSWTSNGTDVLAALPARLGPGPYR LVPSISDSESGTEAFRLVASLDAPIPPSAEAPKGLFTSMSYLAADWILLDSPTYGGIG ISLFVFKIGSDGNVEEVRPEAFRVSLKRTDWGWGCN VE01_07973 MRLASKALTFRQKLQGNRLKTCDSLYDVADMLVRQGRLSSAIEL LKQLIAISETLTEAEGQLARANYKLSVLYGEKDMLSESQACKARAISLRDKLRPESKD RPFEESEFMKLCLFMLW VE01_07974 MSLEHGILPLTGKAITCWYKPGQTWTSQFGELATTVDECRAELV GAYLMDDPELLSLFGFTTDSEITNDDHESPSQSNIFTYILYLQLGVDGLRGLQNFNID NKKWGQAHSRAHFAMLKCLVTDGNGFMSVKCDLTEKSLIVQVDRSKIRTHGKRALRNM LLRLHIYRCTADIQSCRTYYEELSKVDGKYLEWRDIVLANKEPKWVFVQANTFLHGDQ VRIREYDATDEGVIQSWAKRRV VE01_07975 MSSTHVAEVSNIVNNGTFRSEVGRKQLTLALGGLYIASTTVTAK PSLVWETETGYPRYYIPAKTLHKDIKTDSPNESVSLAPVKTVKGKDGQPHAIIESLTV GSKKTTWARFVDGPLKGYIRFDRSELDAWFENGALAKAIKNPYKRIDTHAVTHDIVIK VNGEIVAQSKVAVILNETRAKGVYYLPATSILDWGSVEKSDLKSACPYKGEAWYFSLT VKGKKYENLIWYYPYPTHESSAIEGLFSFYNKEGVDILIDGVKV VE01_07976 MTTYSTAEPQYVEVNGTRFAYLRFGSTAKTDVPLVFLQHFRGTF DHWDPALIDPIAAVRPLILIDNSGVGKSSGTVPGTYAEWAWNVVAVVKALNIPLIDVL GFSMGGFVAQMTALNAPTVVRKLVLAGTGPSAGEGVEGGDPLATQRLATASNEQEARD AFLTAFYSLTAKKQALGDTWWKRMNAARSNRSDYVGSEGTNAQIGAVIRWGSRDFASE GSYDRLHELKIPVLVANGDNDVLIPTVNSWVIFKKLANADAHLHLFPDVGHGFLNEYA DQFSKLINVFLDA VE01_07977 MSSIWLITGANRGIGKGILSQVLSRPNTTVIAALRDISDSTATE LAEVPVASGSKLIVVKVDASSDTDAQDAVESLQSQHGISHIDVVLANSGILTQWGPVS EVTPEDLRKHFEVNTIAPIKLFQATKSLLEKSENPRFFITSSTIASIGLMDTIPMPTI AYGLTKAAANYATSKIHFENPKISAVALHPGWVQTRMGEHAADMAGLAEIPVTIQDSA SGLIKQIDQTTKETLSGKFVGFDGNPVPW VE01_07978 MLPEDAPNSTPEEDIAPEDPLSSILNRLPIYHGLDIDINEKTSK LTELLKPAFEHHHDNIIQQVHEYLTHGVNEKCPALNAVIQIVGSRGDVQPFVSLGKVL HEKYGHRVRIASHLIFRSLVEENGLDFFNIGGDPAELMAFMVHNPSLIPDIATLRSGE VGRRRQGTHEMLVGCWRSCIEAGDGTGDPIFEAKRQVEDGIPFIADAIIANPPSFAHI HCAEKLGIPLHLMFTMPWSPTKSFPHPLVNVRSSNADGDQTNFLSYALVEMMTWQGLG DVINRFRRTILNLAFISLMWAPVMNSRLRIPFTYCWSPALIPKPKDWGPHISICSFNF LSLASSFTPAPELLKFLADGPPPVYIGFGSIVVDDPDAMTTMIFSAAKKLGIRALAPK GWGGLRGEALTIPDNIFMLGNVPHDFLFQHVSAVVHHGGAGTTAAGIAAGRPTVVVPL FGDQPFWAKMIAGAGAGPEPIPFKKLSVGNLVKQLEFALKPETLIRAKQLGEKMPKED GAGEGAKLFHAHLGDEIRCSVLSDRPAIWRVKKTDVRLSGLAATVLINQGLVDLKDLK LYRPREYQLEDGPWDPVSGVTSALIRALGSLAMGVADLPTEFYHGLKTQIDNHGSRGS LSGTANDSLTDLNSRDSSSTSLPTGKFETIGARRPASEAINSSYPSMHKASTDTASIT STTSTSTEKEPKPHYANMDAINNIQLSPEILPKLKKEEKEFVAKEPSRSSDILDRSDP ASPISPHQLIKHRPTHLKRFTAHAAEFLLKAPLDLFSALSGGFHNAPKLYGDTTVRHT APISSFQSGLQAADKDFALGIIDGVSGLVTQPVHGAQENGIGGAMSGVIKGVGGLVLK PVGAVTGVPANLLKSIYKELEKSTDFCLQTHVMLSRVAQGLEELLILQERGGYETVEK EIIGSWREEMRKADDKKKGIACVVKGKKHTCSHGLHFSKPRHMTFAESALVAQESGLR KQG VE01_07979 MTISSQRSESKPLATQPPPSSKPCASHRMDDYLFDDINCPEDEE LEMCEKRRRGLSVPSNRGSELELYRSRWKASAKSNSFYTSH VE01_07980 MKRTDGSTTGQVHRFRLGPEGLKLTSNKRANKRGKRSLNFTSPS IGSDKQPSAVRASPALQRLAGTSRLAVTRPRQRIIARENEENKLFPESSFRTAIGPPP DDSSQENVAELVRWTKEQQLTWLKTLLYPRGSSLYGVSSCAMDPFDAMSLNITPREQI LIRDYFKDDSPLIPYCKAFFGLAVQDEAAFQADLNTANDLWQPPRFPAFEPPLAVLPP TETRFCHQETTQFGVPSSIMPSTKPNIDTVIDELRTFATELAVVSRSLSPLNRSRIQI SDEIQHMERRIFDLIHNPPAFKNPLDHSCAIAALIYLRANLRDNFCNFGVVKTSKLQV ALQSVFELADLWKWGLDVRSREKLVWAVGFGAVSSDGGPEKPWFVRLFRDLCDTFELR RWERVKDIFRTVLWQDELDDEGIRLWEEVQKTRCG VE01_07981 MSIKLYGARPDYLALNPFGKVPVLTEGDFTLFESRAIARYVAWK YSSLGKPLIPDVENSRSIALFEEAASIELTQFDHFAQPLVLKEIIARFQGKVVPEDEI LPLLQTLNVNLDIIDRILSKQSFMAGRQYSLVDGFYMPLLHMLLKVGYQGLILERKHL KHWWETVSERPAWKEAVQPLNNLYDTF VE01_07982 MSSQSGKDHQVAPGVVTGPSTSLYGVQPTRPEGSREPGVADSLN QSEAVAQPKQLIFITVTDRPESAKATYSHVVRTQAMRSFLRAKREESTFSPKKTETAS SRAAPVTAKSSQTKFKLSTWSRKSPKNNNPSSAPDESDNTSYTINSFALNPEGNWISF SFKDPALLHATLGVVALHRDLLIHREPSADSLTHKGQAIHAINSRLLSGQPPSDENLA VVAVLIKLETLHGTSDASKPHMKGLMEMLRIRGGVNNLMHNQVLLRVLTWIDLLYATM WGSKPCIAPNQLHPGPLAVILEDIAIKYLPIAQYKEGPSMFSLNEHINFDVWETLQQI SAAKAKASELSLEERKTISHVIYLVNHRLLMDLAKCNSCEASSLYKAFSVAAILYLHL IIREIPRMARIHLPLTERLRLFVKCAFTTQVIEGQVLGFVVWMIFLGAAASPPGESSD YFINLLALILETLGIQDKDQLRNQLRELAWFDDISDRYLTIVWAEMTATS VE01_07983 MPSILLLGATGCVGSHLVIALKKEYPTFPVTAYLRNKSIEKYLY ETAGVQKIVYGTFEETEKLAALAKDHDIVINVGSSFDPVLTRAILAGLNQRPAGVKST LIHMSGAGNFVDTESNTGIANPNCKVWNDSNVKDMELINSGMLNGAPDEVILNAGKEG KIGTYILFPTALYGRSTGPVKSPGVIQSLMIRQAKELGYVPYIGDGTVRFNTMHVLDI IPPLLRMIDLSLQEETPQGSVYERCYIIGANLNRWIELSAKFAEVFHARGLIPSPIPK SIKREDVDDGGELAMLMSRDQLFLSERAEKTLGYKPTQKPLVEDLQTALEYFDL VE01_07984 MVSGNRLRNVAIVGAGGNSGRGITEYLLKTGKHIVSAITRLDST TPLPKGVKVTKVDYNNHQSLVDGFQGQDVLVITLHQFVTPDIQSKLIKAAGDAGVPWV LPNEWSPDTANEDLSRDVSVFRSKREAAEEIAKLGKSSYIAINTGFWYEMCLANPLAF GFDFEKQSVSFYDDGETLVSMSTFAQVGRAVAALLSLPVQPEGDETRCLEHFRNRNVY VSSFTISQKDILGSVLRVTGTNTPDWTVTKVSSGERYQTGLDEMRGGNMAGFPKMMFS RVFYPDDSGNYEKIRGTSNQLLRLPKESLDEATETVIKLIKAVK VE01_07985 MIPFLSGDLIEAQLRPTINGFPLCHPDLSASNIFVDNDLNITCI IDWAFESTVPVSTALMTPGLPHPRDGTEPYLDSAFKSGFITNGIYNEAKLDFRLWKCT RRAWLFTRLTILNGIQDYCYFKELYTSAYKPAEGINIPALFKASQKGHKLIELAKELA DDEEWAPEILKDEEDYFSVIGKMETPDVGRKEAALIGIERRAIARKITMVSGLSQDFV ADERLWRWIEKMKRDRSIYAT VE01_07986 MTTHTEQPAAITSDGAPTTGPEINNMPESDRGLRYTSEELTKLR PAPMSPTGSPQPGDQDEVEAVARAKTSENITVPDTKAGVEQRLELTGESTAIADKDED NHGLDQMTKKRPSIAEGMEDKNGEAAWATESQVAAPSVGAPEVVGAKKKKKKSSGKKK KPNPTGFEEFYADPPIAPEDHKEEVEQLYYFARPFAERIETCIQRYRARRKLDEERAN IFNKYLSFGGIDTTIKAFTGGVDNEALEEFDGPTIADINATDVMFGGDEAGSKFYDPC APQNWVVDFEGIAKAFLSSRAPYNFGMHNQSLINNYCNVVKNFLNYILMHGVCPEYTK SIIAAQKICDLAKSELPAIHDIGSSFPGNFHKALSIMCHGYSRLLYIDPATISDHPAD ADPNQYMSVDHAHRTFRAAMALMGTAEQFEAIAAMSLEEYQAPAVVAEELRGFEVVNI TLPDETLIKSFAGIRAADGRTGTIKPLGLLTCKPWTHPYQPTLDLTIEEEQGLAENTL VYGDETFWVDEDILEHCFAGMKFVGVVRELDLGLKYLDNVIVIYASFYTFVENERMVN WKAPKENERLPPSCEDAEVVDAEGEGEGDRLEE VE01_07987 MSRVLPDIGDLVGFDHAVAGHDGTLTDPTGELFVKPCTATEIAF YEYTAAHHPAFADLMPTFLGMLTLSESQTAILEEASATTLPKSTTPPAHAEQLVLHHK FIKTKRIVTDRALVLENATHGFRRPNILDVKLGVRLWADDAAAEKRARFDKITAETTH ASHGFRVAGMRVWQGDEAPRGGHVDADGYKIYDKNYGREEIGVDNVADAFREFIGAGR SAGVDEELGRLVAQAFLAEVEEARRVLESVETRMYSSSLLFIYEGDGKALREAMEEAT RVGRSAATNGDAAVEVSTNGVGIEELVNGDADADDDEDEDEEVLPKIYSVKLIDFAHA EFVPGQGSDENSLKGIRSVAEILRSIGEEEIGKAKKSTA VE01_07988 MSTPTLPATMRAIKIPHTGGLEVLELHSDLPLPTPTSGQILIKN AFAGVNYIDTYLRTGLYPPPASGILGQEAEGTVVAVSAEGDTYGFKIGDRVAAFTSGG AYAEYVAVPAQTSFKLPEGIEAGLGAASLLQGLTALTLIREAHPVKKGETILVHAAAG GVGLWLCQLLRAVGAKVIATASTAEKLALAKENGADELVNYKTHDFVAEVARITGGAG VAAVFDGVGAATFEGSFASVARKGSMVSFGNASGPVPPFTIARLGAKNIKVLRPRLGA YVETREEFETYSAELFGFIADGKVGVKVHGRYKLEDVAKAQGELEGRGTVGKLLLVL VE01_07989 MAVGTVLITGGTGYIGSFTSLALLEHGYNVIIVDSLYNSSKVSL DRIELLCGKRPIFYQVDVTDEPALEKVFEAHPDIDSVIHFAALKAVGESSEKPLEYYR VNVNGTIILLRCMAKYSVPNIVFSSSATVYGDATKWENMIPIPENCPIGPTNPYGRTK SMIESVITDHVDAQRNNAKKAGQPYEQYNAALLRYFNPGGAHPSGLMGEDPLGDPFNL LPILGQVATGQREKLLVFGKDYDSRDGTCIRDYIHVVDLANGHLAALNHLRTTKPGVR SWNLGSGRGSTVFEMIKAFSTVVGRDLNYEVVDRRAGDVLDLTADPRRANAELGWKTE LTLENACEHLWKWVSNNPRGYRQSPPADLLEAVKAEKAEKKE VE01_07990 MSTYRPSTRASSAAASITGGPRAAVTPATPTVTRGGRKKRGPST IASELGPQVIATKESTSYGSSAAVVPMALNRVEEVDLRGALTGIVAPTTVGTPAGQRA TTGSVPPTGASTSFGQESSMAGNGTFTGAPVVAPPGLAAGRSRRGKTVAPPAEEPALP RRTPTPPPPAFEELPATVPPAASRPAPIALAASSVTDLVVRARGFVISAYTNATVRLV LVSFLAAIMAMIFLGTSEGSAGRATRMYAQGASATLAQYIPHGVAHPLNYFNEADMLD VQRRLRRAELSISKLQLDVAKNAELTRALEKRLPDFLVVSKVRGKVQIPADFWRALRT TILADKDLRPFKPGKPEDSAPVTATPDWDDFLRTNEARLKDLVASDVQLARADLLAHL QTALASTSAEYNSALSKATQAVKSELSAQIAELPASVSAADIDAHAERAMQRVFLTSK IEALINSKLAESSTSSLSRVNYFSPSTGAVVNPILTSATYSPRGTFAPSNLITGILKM FSYPLPKIGTPVEALTKWDEHGDCWCGAPDDSGVQLGVLTPHKFSPEEFVIEHVLRTA TLNPGATPRHFELFAHIPLKHQDYIAEVSAGLFPHAPEEKDLNYDWVRIGRGTYDTTG ETVQVFPVQLELSRYGLSSREFVVRFRDNWGTKDDEDVGPTCIYRVRLHGFIEQF VE01_07174 MVHSSLIVTAAFSWLHLVNAVNNGLARTPPMGWNNWNSLACDVS EDLLLSTSKKLIDLGLRDMGYDHVVLDDCWQDIRGEDGYVTPDKGKFPNGMRAISDQL HMKGFKFGMYSSAGEMTCARYAGSLDHESKDAENFASWGVDFLKYDNCYHMGRMGTPE TSFNRFKAMSDALRATGRQIALSLCNWGEDYVHTWGMSIANAWRMSGDIYDSFARPDD LCGCDTNNPTDPFCIAPGTHCSVLFILNKVAPFVDRSIPGGWNDLDMLEVGQGGMTDE EYKAHFALWAALKSPLMLGNDLRVTSPSTLSIINNPAIIALSQDMHGRAAQRVARNLD VPKDEYGVGETHIWSGKLHNGDQVVILLNAANEDLDMSAPLSEIFVSEGPGGSAPQAN QSWEVFDLWANRMSDAEARSILEAADDQTRAEIFGKLGWYNATDIPYEVGLEQGDKRL FGQKVGVVEAHSAWNVKVLRHSAKVYRFRRSGVKSEGHNEL VE01_07175 MPTADVSLPMDEMHYLALRKDSSSSFVGSDGLDALSPLVSEMIK LNRILLAINDFNEACVKGQPDGLLLEHAVHDLSRQLDDWAGALPESMRDTPENFSWYA SHGLGRSFAAVYLGYYHFGQLLFYQFLHVGPYKSTPSVNAYAEKCKDHAAHLCEMIYR AFSTPNCDVLYTMVAHVLVIASTVQIHTLLFSGDESQIRIAHSRLEQNFKILLHLRTY WSTLDRPMMRLRAFHDSCRKSVDTTFVLDRWMLRFLVEFAKPMEQKGVDDQNIPLTLN TLDFV VE01_07176 MEKHNGSGVQQIETTVSIAHASDEKRITGKSLEEAAEQGIIDEK DLSVRDAIKAYPQAILWSLVFSTCVIMEGYDTNLLSNFFAYPSFLIRYGRFVGVTPST PVGYQLTAAWQAGLSQSSGVGSIFGCLLNGYLVSKFGSRRVVLGALIAMSLSIFVVFF APSLPVLVVGEILCGFPWGILATTAPAYASEVLPTALRTYMTSYTNMCFIIGQLISAG VLKGLSTRTDPWGYKIPFALQWVWPAFLIPLVYMAPESPWYLVRMGRIEEAEQSLRRL QSVKATRIDPRKTLSSIIYTNNLEEQLSIGTSYWDCFKSFELRRTEIACVVFAGQILC GICFAYNSSYFFSQVGLGTDATYSLALGGTALALVGCFVNWFALMPYFGRRTIYIWGM GSMCFVLILIGILNVWTKHPSVGMSQAVLTLLWTFIFQLSVGQLGWALPAEIGSTRLR QKTICLARDASNITGTIGGTLQQYFMNPQAWNLKGYTGFVWGGTCFGMFVWSYYRLPE TWNRSYHELDILFAKKVPARQFATTVVDPFNDSDTKMLFSEYARDTTKSV VE01_07177 MAFNRFRPTSHFIAPHSWSNDPCGAVYVPETGEYLFCYQWNPGT TEGGNCAWGMARSKDLVTWEDCPPAIRNGITTSYDSLGVFSGSIASRLIQDKRVLFLF YTSVSAVPIHWSIDYIEGCESQSVAVSTDFGNSWHRYENNPLLRAPPKQAATTGWRDP FVSRWKSLSILLGIDPSTDYMMIASGERGRSQLHLYQSNDLLDWKLVSSILDVEAGSR ISPVAYLRFGMNFECASFFSINQRDYIIVGVEEDVTSKRHNQRYTLWLCGTLVIEAGC PKFEISSHGLLDHGVLYAPHIFRDSEDRLLQLGWADETAQPYMVRKQGWAGCLTQPRE LYEISRPAIDVVKTQHIWNLDEQGGNVTTLGIRPAPQLRGLRDAKHSTSLSSFRKFQG TNCDVEATFKHILGNEKFAFNFREAPDSVEVTKVIFDLEDGQITVDRSRSSVQNLGAN FPDSGQFCLVLGEDLKIRISMDNSILEVYANDRFAITSRVYPSLESSVGASYDFGAFS EKNVEFKFWEGIKNAWPGRDANENSLELFSLAEAKDLMPNLGSSNFMIQAAMPA VE01_07178 MAFNNRTTLITSGELLTGYMFLDSEILWEALQASGSNTAHMYPE GNKRLAMIGDAALKLAILDGLRSRNLPRGSMDSIVQRIVNNINLERVGRRIHLEDLVN RNPSQQGDVPPRTVSDTLEAILGAVHLDSGSDLGAVRLVMANLGLWPEESQPSASL VE01_07179 MDGEPRNAASTAYRGDGAVPVQRSRSLRRLLSGKNGSPAPITSS PTSMYPSTPNFPAAPRPQQLANAQRQRDMEQDSRTQRSASNDSYHSNRNPSSYTGNKR PMPSEAARLRSLNTSHQSPTYPESPLYQQEMAPPRENFSRPRQASTNRSGDPPRTAPS SGSTMPQQSRGGASATRPGFGRDRGFSESPATMATSPASSHSLRNAYSIPELSATERQ QNGPGNLGNSRNGLRFRDNSPNPDLRPNFARASNDEEVRESLRSIQTSGTGTTSATWD TPTSSVFLDTARSSVLSKASSARSVNGRDQIDDFLDMYESGFGESEAETEDELRQNRP ATSRSIQSEMGNQQSIPELDGLDLAVPKLQHMIIRDSADMFRTATAYVDPFNADAQND NGSNQNQPVPGISRQPSGRGVIGAQYSKFDDTRDHYGFKKKSLHVPLDVYEAWNRQYT VYLARRRRKWVDIMKANGLGSEDPTRFPPKSHKMKRCVRKGIPPDWRGEAWFFYSGGH AILAKHPGVYDDLVRRSANGDLSPTDEELIERDLHRTFPDNIMFKPDPVVIPSFLLTG PDAMTPPDPAAVTETPMLKSLRRVLQATAIYNPKIGYCQSLNFLAGLLLLFMCEERAF WMLDLITKEYLPNTHEVNLEGANVDLGVLMMGVQESMPAVWAKIGGELDGSSGASQRG VPFDLPPITLCCTAWFMSCFIGTLPIETTLRVWDSFFFEGSKTLFRIALAVFKVGETE IRAVNDPMEVFQVVQTIPRRLVDANALMEACYRRRNGFGHVTQDTIDARRAERRQIYA DNRAEKAGERERERPTSKRSNLSKTSNRFFSIRGKK VE01_07180 MSATLFRSSPAVRSALKAGASKRAAGVASTTFVRGKATLPDLQY DYGALEPAISGKIMELHHSKHHQTYVTSYNAATEQFQAAEAKQDIAAKVALQPLINFH GGGHLNHTLFWENLAPKSQGGGGEPSGALKTAIDDSYGSFTDFQSKFNTALAGIQGSG WAWLVKDNQTGKVLIKTYANQDPVVGQYTPILGVDAWEHAYYLQYENRKAEYFKAIWD VLNWKTAEKRF VE01_07181 MSYYDVDAILTDAQKIPCHFTLAVPGLGHLDASSTPMLKASTPL SLPLWLAETVALNSPTPPKPVLSLDLPEALSPTVIAALKAAPTAVDLRAQAPYFFALA ARLLALFDDEPMLAVLQEAFKKRSGEIVDHASNVGGRSGAGVAAEAVEFLRGLDEEER KLFRVAHESAKAAKAWLDDEKR VE01_07182 MTTTDPSEAADNASAPNGTPSTKNSSGPSPFVSQPFSAATEMIL KRLRGEGGPLNPTSVLGVASQHQAAAYEDVRRTVLEGMKTSHNMEFAAPTPTPRRPPK APRTGSASKGSASGTPVGKKGGAKSRKRKRVKDESEESAGESEEMSDLGDEDESDDSA SVTAFPSMTQSGRKVVKPTQFVPEVSAAPPRKRNAPNRRPGPGRNVENALCKRCGRGH SPANNMIVFCDGCNIGWHQMCHDPVITEDVVKDETTEWFCVDCTAKRDKRKGERAKTS TPKNNEDTPWAGKSATEKRAYLTSFPHSHLVDLLLQATTLHPSIPITPPSTTIPSNAI RRVKVHPQQPFPPSATAASGLFPRASANPAAPINFIRKLPLDETSAASSTPSPAVTVP ALPQAYTDADAEGEEDDAMSRESTPASPPYPRPGNGLMARLKSDVDDLEWLVGGGGGE EEGAFSHVVFDGEEAETEA VE01_07183 MGLPPWTGSSSQRFVLVTGSNSGLGLATCERLIDEFLTSPTRDP LSHLIIVASTRSPRKTAETINSLRQHLQTLAKTSTELEKRASSQSKEYQWRDAVGRVH FLGAEVDLCDLRGIYKFAAQLRGEEGGLSSPDTEDGRPGLKNVIIPRLDVLYLNAGIG GWTGIPWLLAIYKCIVGIPDSFSYWKWFNFPSPAAVVRHQSAFNKSTNAQTEKLTNGD LTEEPPLGEVFCANVFGHYLLTHELMPLLSTSLDREPGDRARVVWVSTLEPDPSDISM DDLQGFTASNPYYGSKRVTDLMAITAKLPAIQPINSDFFAMRDSIAPKPESEDEAEIK LVRPEFYVTQPGILHTNISGMNPFAAFFMALGFYIARVMGSVWHPIVGYLGAVAPVWL GLADQSMLDSLDTRAGPEGKVKWGSSTDWWANERVRMTEVVGWGWSGYVGEKGEKKGR APHAVDLTPEAREEFVADGRVVWGYLEGLRREWEGRLKIGDAA VE01_07184 MTDVIHLPNGHCLSVEPVFGGLFFKPSELNVHSAVFPKGWTIIL QSEELVDAPNSAEGTTAQNADGKSTPKKLHIHKFSKPTLQNDCLFISSISFPSTDDFK APASPTRQIAMMLWATLYWYFHQTEPSPFVTTEASKNTPDNGKPKADWRIKIKREGVF RGRNLLPKLERMGLIYSENSAVGASSDNTEGWEEMFTSRRAFWQLSPRLFLFTLSPNA NPLSIPGSPYGSPVGSPSHTQTKFEHSGVDLHGFTPSPGPQTLLGQHLLPHPYASGSH LPTYYPPPPLQYINTNGVRHPIRPKPPQQGETFYTRYISSLGEQLSFRVASLSDKPVV YNGLESSFSVGVIPSPSPPHTLTPAPEGTDTRQMTDVQLIHKWMNDPRVSKSWGCDGP IEVQEKFLRGNLESKHSFPVIGCWNGRPFGYFELYWVKEDLLGRILNAGEAKDWDRGV HVVVGEQEFRGAHRLSRWISAFVHYALTADYRTECLVLEPRIDNERFIRQLEDNGFTR EKQVIFPHKTSWYMRYKRETFDGPAL VE01_07185 MNSLGLSALLFAGQALALNNGVGKLPTMGYDTYNAFEKNYDGAL ALEQARLMKEYGLVDAGYNTFILDDFYALENRSAKGEMIPDPAKFPDGMLKWSQSLNK YGLSASAYSSNGYKTCGGLPGAYGRELQDLETWRSWGWGGKGAIVKYDNCYIPYDNVT MENEYGRYERMLDAIETLAKKYKHPNKFIYSLCQWGWQDPHNWAPRISNAWRIDGDIR PYWSAIANILLLASTSYGATDHYQHGDMDMLEVGNSGRGAPVGDLTVAEQRTHFTAWA LLKSHLLIGTDLRNATKDTIEILGNKELIAINQDPIEGKAIAPFRIGLQSDFSRITYN ETSPPGFWAGTSSYGPVFMIINTLNETQEISFDLTENWAVRAGRQYKVRDMWLHKDVG VAVRNWTVKLGAHDVAALLLKDAGPEPKETGPLPCASPFLEWQCTAANGSYILDGTHI KWGF VE01_07186 MDKLNDLQRLPVYVFDEVLELLHADSELVSLSQVNRDMNERVTP LIYSHTIIDLSPHLNLIKAEDIEEDDADMDVLMSYNLMSGLANNYRQQCRYVRKLTVR NGYTKASNNGTRWGAPGKGTYFDPSSLAIYSPWVRANMIFGMALPRMSMLSELVWTAE MPPTNQFLRCLPPAQLKSLIYRFSSDFFYHLKIEWPSGFTPGLCAMKRSSLSSLAITD ITSRETTEDVSSLLVDNMKSLKELKLRFLEEFNFEDKLLNIVNPIIELQSQLRLHSLH LRNALSPGIPGWLEGFDFTSIRRFTLLEANTIGTSKSKKLWNIFQRIGQRFESLITNC ENEEFIEFVESFQGLEQLILCNPIRPIDHFPKLNNHFETLRCLFLPQCDPWIFPSVLI LEVMSIISSCKQLEQLCFTLEFKDQDNIFAILSDFPNLKHLFFDRHDDLMCGDDVKPT PGSTVFIKAFCDFCKNNSTGSTLLSRLELVGYYRTLWSIDSIGQIDAQRESNQLKEID ESAQRANDSEPPGKIDEGNPNLVPVQIGWDDHLDDEMILAVHTWVVKSSWDGMVQSRK DPEYVRLNA VE01_07187 MADTTNSKSPLATQLPLSNIATQLLQLKTDSDSPAIQLALSTIA MQLVKLQIHYSEQEAEQQKKLSALEAKSKLQAREIGTFSSLVSSLMSELHVVRSKQER LQPTDPAGSKPVTNISSFQSLPYDIRRRIWRYTFPEPRIVQLFGTERILGLAGKTPIA MHICHDSRQEARSVYREINMKQVPGGLDFEHDILYVSTAVKYYSPAGLLSDIARWFEL KDIRHIAFQYELWKIMCDEKEAFNGFLEKLKGLQELIIVIDDEVPATPARRKRHVEFI HCGNSVREVIVGMVSRTLLDFGKNSLLAKIRYRKALRQPVPTFPSKTTRGIDSKFTKQ AATPGSSSDPSESPTPQSGSETSYPQHPPPSAKDTFLISLGSLPGTSFSKHE VE01_07188 MKFSYTLAAVFATAAVAAPVAQAPAVPVDAGLLAKLSPDVAALV TGLGLTTLAPGLAATLVTLSEGLKTRQVGVPVDAGLLAKLSPDVAALVTGLGLTTLAP GLAATLVTLSEGLKTRQVEGVVNTVETVVTPVTDVVSGLVAGVGARQDVPVVGDLVQQ LEAILAGLTSGVAARQNVPVAGDLVQTLEALLASLKGGVAARQSVPAVGDLIPTVEAL LASLTNGTGVIGARDIAGLEALIQSLTAGGAATDIASKAAGSVPVDAGLLAKLSPDVA ALVTGLGLTSLAPGLASTLITLSAGLKTRQVPDVVGELQPALEAILAQVTGAVQDKVV PTVQGTVEKVVPTVQGTVEKVVPTVKGTVEQVTGAVQGLGARDVAGVPVDAGLLAKLS PDVAALVTGLGLTTLAPGLAATLITLSEGLKTRQVDSVVPDIPVDAGLLAKLSPDVAA LVSGLGLTSIAPGLAATLVTLSEGLKTRQVEGVVNTVETVVTPVTGLVSGLAGSVGAR QVEGVVNTVETVVTPVTGLAGSVGARQVEGVVNTVETVAAPVTGLVSGLAGGVVTRDV AGVPVDAGLLAKLSPDVAALVTGLGLTTLAPGLAATLITLSEGLKTRQSSDPLAVVTQ ALEAILNPVTSTVSGVVAPVIGAVDARDVVPAVGGVVSEVEGVVKNVTGNVGATDAAS KVAGIPVDAGLLAQLSPSIAALVSGLGLTSLAPGLASTLITLSQGL VE01_07189 MDTRERPFGGSECVIVVLKDEEGSKWAVRFPLQFRAFPEHVVLT AKREAELRLAIEKSGIARMTRMKAFSATFDNPAQFPFIVSEWVEGTQLRWTESFPELP QRDKNIRPLHVTTLINRKIKRAENNKLPGAAVSECLDQQSLIAEYLIPDLDDPPCVLV HGDLTTENVIVDEDFNVKAIIDLGFAEIIPLQFSACFPNFLTHEFESLHEPFEAKHGS ETDGPLVWRSKNTEVMRRDREFYLRCVEEFSRGDPMLEAYYELLAAEDEIKRYRWIMA ATKLKNKKLAAKVKELEETRENQKARLTTLTDSVLSRANKREYVANERFLNLNLVSAV ADILPRFANEDLIKNGNAGVMNDTYRDCVQRLEFTH VE01_07190 MHLSLSTLVSGLLSLSAVTSALPNLGLAKRDSRTSPPSGCLTVG SGGTYSTITAALTALGAGSSTSTACIFIYAGTYASASTDQVYINYKGALTLYGYTTNI GSQKSNVVTFTRNINSATAGSLDASSTFNIVSSNFKAYNINFKNLYGKGAQAVAVTAN GDKQGYYACGFYGYQDTLYAKSGKQYYSNCYVEGAVDYIFGNAAAWFGECTIASNGDG YITASSRDTAADTTWYVIDSSHVTAVSGYTGVVYLGRPWHDFARVIYQLTELTAVVRP DGWLCSTTNPEFREFSNTGAGSSTSARNCLDTPTTAKVSKDTLFGSDWKTWIDTTW VE01_07191 MVKLTSALSFLLALSVVNASPIEDAIPNRVALAKRATCTPDSLG DTQQDDTPAIIAAIKSCGNGGTIVIPAGKTYSLRTMLDFTGCVNCDFQLEGTLKSSTD TTYWSTQPAIIYFNKITGVKFRSLTGSGVIDGNGQAAYDRWATGSFARPTVIYVVGGS TLSFTGFAIHNAPNAFIGQKGGVKDVTYATLTMTSASKSTNLPKNTDGFDIGESTNTL IKDVFVSNQDDCIAFKSGCNYVTVDGITCSGTNHGLAVGSLGKDNADFVKNIYVTRAT MINCGKAAGIKVYPGGSDHGTSTVSNVTWDGVDVQGCDYGAQIQSCYGSDAANCAVNP SLASLSGIYFKNFKGTTNSKQAPAVANLNCSPPMLCDLHFTNWAVKNPSGATVNYCAN IDGSPGITCTSGASG VE01_07192 MTDYNRLLNLALIDLLVILKDEMVRDRQIQQRFNEEFASGYDYE SSHSDGICAWRTRDREDKLIKQEGRRRLEKWTEEQDGIFERFYGGDGERAKTARHAYA AVPASTGLPSIATPLVVGRVRAEQTMLKRRVVPAALSRGVEVGLATGRGKVQKIRKRR VGEARFGVTSSFDMDELRARGGLVGVKPVLGARPLLSARPVGEGRPGR VE01_07193 MFPAALAAFLSLLLFCSNTVLASPFVVTSYYENKVTTYSDYTYI RSYTTSIDFGPTYTRIVPVKPTNTAAAPLSIDTTVDQYYTDVTVVNVLLPTGAGEVIT RDYSATSYYDYYIKLSYTAPTSCATEWVYTTTANADLPPMITPTPTSVSTSVSQYQAF GTKTTYYFAYIDPAILPTSSLASIKSEYAPYFTSSCTVPYSYQTNLVTPGTGGSNSGS SDSGSSSSGSGSSSSGDDGCYEDYSGYYNQFQHKFKCPDGSTYTTGLSDLSIALIIIF GWLGLFLIIGLIESYIAFSRLCRGRHARRGLPISFAFLFPFLSCFFLCCHQRGFQGKS AEEQETLKAAWKETGAFTKLGRWLKWGFRYKYPDFLGAAPLRRRKWQDKDTPIPVGAN AMPPMTGPMGPMPPPGSMYVVGNPADVAQRGSVYPPPPGAPGGSWYAPQPVDANGNPV PMQYQYVYPPQQAYAQQQGQGAPEVVPGSVPGPQSGPSSGAPSGPPPGIPRSLQPGQG SPPLPTRPAPAHIGAEEQTRSLPTDSPVSPASALAGSPVATGAVPVVAGGEVVAGSEV VHEADAGEVREGKGKGPAVA VE01_07194 MPAPNNQDGTTRYSQSRQAQPLHNRLASNDSSVQSYPASQVSQA PRSSLNGKMLLDGYHGDIVNNFEGAPPKFNPRNPNRTNSSPLLDANDPIQMHLLVSTA LEDATGYAILAPEEVDSLRKQCIRLTQRIESTRQNLAVQSKYRDAAINMGKLYSDGGS DGKRRSRGSLGLKRNSHTDQSREAEAERAASERRCEELAQELWGLEKRLIEPQTALLQ HTAGILQMTHRTAKKGGRASQGGMPGSPESMYTYSNPGGSVGPGEDIFDERSLYRSFD RLDGDEGDRAVVEAPGGMGADAEAKLRELWEMMHPGAQDGEDEAPVQNFSLLAFSSKV QSLHSQSAMLNDQKEVLQRQIKQQRELNNKSDETKDREMAEMETQLKSTQATLAQVEA QAQSLESKLAEALHQTSRSQGEDTIALHSNIDNLQNELDTLQNQLEDHQNKLEDLQNE HEDLKSSHAIDLAELKSSNAGDLADLKSSNAIDLADLQSQLTSSLEHIAALKQAKSTA EAHIGDLTADLEKARKAEAQVVSLTADLEEARNAQSSRAVDEDELDAKNMEIARLQTE VTIARAELDGAYGSRAQRAAEVASNPAIQKELDALRRELGETIEEYEVLTKASIEGER ERELLEKEIDRLRDEREALEAKLSDERVRWMGMKSPGPDGAGGQLGVGAGNTSTAVLK NEFKKMMRDTRAENAKALRAEQAERRKLEDELRALKKAQGPGKSSLSQSTLGS VE01_07195 MIFNKLLLALLAARSIDAAPPSRRAHFDWDKTDFVLAFGDSYTF VQGTEGNKDFSFIGDNLNLSYTKEKLYGDKIVKNQTSAGGPNWTEYLTGCYEGFPWKC KRPLWNFAFAGSDISVEYTPLHHDFTVDFVNQIEQYDTYGDKHLPKNRKNALVAVWIG INDISDSAKYALNVTGVNYTSLYTKMIETQFQAMETLEADGYHNYLVMGQPPRDRTPA GLISEHPLPNKTMFANWDHIIESTARKFEEKHQESNVFVFDTYSFLSDVLDNSEKHHF TNITAFCKDYAAPDIIWNYANYGCEPIYNYFWLNSGHITYHAHEILAKGVSAFLRRMK R VE01_07196 MLRNLKFVTAILAIISGTVAQNAFEGAIGFGAVSTGGNGGTVVT VTNLEDSGAGSFRDAVSQSNRIINFAVAGYIVLKSPVSLSSHITINGQSAPSPGIGVM AGEISASGKNNIVIRNFRMRQGNLDKQTGKSAFNMGESSNIILDHCSVAYGQWDSIDA VKAVNITVSNSIIAFPIGQQFGAHIEGGPATLYGNLWVSAHNRQPLTKSNTQYINNIV YNYQAAYTTAETSGYFSHDILNNYFITGPSTTNPSNYYYQMNEKQSVYAHGNYADTKN DGVLAGALENKVGSAVVLDAAWDPTSVGLAKLTALEAYTAVLASAGASPRDEVDAFAV STVKTLGKSGVIYTNQASTGLSNGGYGTL VE01_07197 MSLNEQEPLLSKISTGEPESHVIDDGLSDPVPSRNIDDDVLPET ATYGRNLGWSGAYIVVISRVIGSGIFATPGSITKSVGSIGISLLLWVAGAVLAACGLS ISLEYGCMLPRSGGEKVYLEFTYRHPRFLASTLVAVQAVLLGFTASNCIVFGRYTVYA FYGHDATDFAQKLVAASLMTVITVIHGSHVKTGIAIQNAFGWVKVVLVVSMGFAGLYV ILFRPTATDLPASERQAFSWDDLWEGSNWSWGIISTAVFKVSYSYAGLHNINNVLNEV KDPVRTLKSVGPIALLTACIFYLLVNIAYFAVIPLQEIKDSGELVAALFFDRLFGNSI GKTVLPLAIAVSAAGNVMVVTFASARVNQEIARQGFLPYASLFSSSRPFNTPLGGLIV HYIPSILVITLPPLGDVYAFILDVEGYPGQFFALATTVGLLKLRKSHPDILRPFRAWL PVVWLRIGVCLALIAAPFVPPKGGKGDVSFFYATYAIVGIMM VE01_07198 MSDICVKASLRSDDATYSKSLGVLPSNDSAAVATHVVTDLRVIV SPAAPPSASDTKWHRIEKELYLYASQQSAWLYVALVNKEELRAAEDLVVVNISVGEQT PTDSGTSWESRPYGIWLLRRKFSTIDETVTEVDVFFGVDAVDPRPQWALMQSPLQLET HPKIPVARLSVLRGRAPRSDTPAALRVREDGKFKILQISDTHMVTGVGVCRDAIDAHG NDLPESDADPLTVKFIENILDIEKPDLVVLTGDQLHHDIPESHTAIFKVVAPMINRSI PFAAVFGNHDSEGIHALNRDKQMSILQNLPFSFCRPGPTNVDGIGNYYIQVLAPGQSS LPLSTLYFLDSHGQVPGTTGYDHIKQSQIDWFTETSQAARMAQDDNNNSGFHLSLVFL HIPLPEFGDKNLRIGNGHREEPTEGPNINSHFYDALVKEEISAVGCGHDHVNDFCALL PQQVQQDGDGAPQRGPWLCYGGGSGFGGYCSYGKWPRQKRFHRRMRVWELVPKKKPSS RFRKVTVDGLEGVGKTLLIAALLKEAVDTSYGGLPSEILPPSDSNITELQRGVEGDTS VVSFWENSYTYTRLLPIMFVNAAVVLFCFSLDFMGSVEDHLEVLQWKLEGLCSRDFPQ EIRMPIFMVGCRSDSQDPDTSAGRARAKQYAQSIDMDGYFECSAITGEGVPELLDAVM SIALSTEKYTPRRRLWPKKKQRRNHRCVVL VE01_07199 MPKDNTMISPDLVPVENPENPYRFSSSDVRFLPPTVLHNLFSIV FSHELIRRNGVHSDNGSQSSSVAVYSHRGLALRSLNEDLSSPETQTSDMVIACILMFT LAELQLSVCHDWDKHIAGVKKIIELRGGIKNVAGRDVHLDSMLAYFMMYGHF VE01_07200 MAGGLLSLLIIATCGLSVALKADIRADSNRDGIVDIHGRSDLPD KLSDSNDAGAIFLANIGDTDRRCSKLALSGPALSNEELAACNDASDDIQRAPQYLAPL RTVPIPKPNPKASGTITIQDPNSRSKVRIFRLEGSQWITTGNEHVFSQHELAAGLKLG IDARDTRRPGVWDGRVAVTFTVHDGRSTAKDTVSLRVAPVLTHHHAQAAREVFTTAGN YSEGANFFQGWFVDRLQNALDKTRGRYPLTQFHGSDDIWAQDFVEPGYTSMPGPNGPI VLHIMIRSAQDERVAGRQVFEYLRKSGVGAVQHLGGERNDINAMGNLETIPPYEFRGK RYRAGRIIMGAHNTTTPFIMDYLRAQQVQDPLLLDTDCLAIGHVDEIVQFLPVNSRRG WVMLVADPISGVEMLQNAVKHGHGDTRAFSRENDTVGNPTDLFMIPGGLHGVPDDSIS EVLAWDGILKANTAIAACMQRNIRILKAETGITDAEIIRMPVMFRTGLAFAPDNGIGP ERNASTTLGVSLYPNPINGLALNHGDILAPTPWGPVIDGVDILASAVQTIYRKLGYQT VE01_07201 MSQPLVFILEGLSKGSNATVSSQNLQGVKTPFYAIQPSPNKKSN LAITRASPDASQVGTIQFHTLSSKIELVLQYETVSMRHDELTGSRHEFTSPTVRGDKL SWKEDSLFGHGFKLVSPDGQLLARFRRTDKSAGTGCFEVFITAEGGLLDLILLSGIAA AEYRRRSNIELASVGDILGQLL VE01_07202 MSDSTPKDLSFPHYTLEDTPSTRSTLSIESSANPSTVSSSLRQK SSPLITGPVKDFQQARFSLASSLAASHSQINTPLADPPELVFPRVTLPGHISLPRSPL EPTLRKLIFSRGNPLSTDLFEIDTPEPSARQMTSYTNQHLHLPLPGDLHSIFFRAFHS PWSGIGRRLALAIRGFISLYMTVTFIMVVAWQARTEASVSMVLFKFETISFFWQVAYS WITFTWALVHLVPLCQSGTDDHGFMDSLKIAFRYPLTDQWRQLFSIIYTGAVSLPNVV ALVYWTIVVPHDVVDVGDLFNNGDLQSFCVLNLYFISLVVALIEIFFLSSIKPPGPFF VHILWFSAIGVAYYVWAFLGHIVTGNYVYFFLDPEQIGEENVVVGLIAFVALLNIFYG VAYGMSGARDAITGPLEILGTLQLPT VE01_07203 MASLGDQQHGPIYLADVTCAEKRKRDELDTEDREAKFPKIADKA GDIGEVCKQMDSDYEPAESDQIEELERTIESVERPPQLSLEERVQKKSTGQKPKSGWP LNAESGPYISAMDLRSSPVLSKFGKQNPPQERICPEPLLNERRPIEPQDHPEEDKPFF GSSFHHMRSISDADDMDTSKKSVAGTTADSQIQMTRRRQRKIAWDERKKFLKQAKVLK RITKDLETVSETTISKVADTSSQLIEPLSVKAGNTAENQILCSDGELRPRGDEDEEVL VFVGWEQAAQSKLGNNGLFNPVQLYSKFLQLEIDDNRFGNRNMRSDEAAMLVYVIMGI GSPCAIAQFGKISTAMREELEVAMEFLPGHQSYGRAALRLTLGPDEGPFRPFAESVLA LEFYRFLQNERTSHGKMLPLTARGFDFTKIQELEINLPARRGRGANLQALQRIGYRLS KLASIYGDGILALIPSSGCFGDCLNVLANMDEAAFKMFQQHMDKNRDQFLLKVSTPLE PYVQEGMWDTLTLPKLRLEVEEGFDELAVRDRSDQLALMCLPSWVCIQLDHGRVFSRR NTLQPAHCTTETGHV VE01_07204 MKTLSALTALSLATFVHGHGYLITPQSRTSLGVKANLDSCPECT ILEPVAAWPDLTAAAVGRSGPCGYNARVSIDYNQPTANWGNSPVVTYNAGDIVEVQWC VDNNGDHGGMFSYRICEDQALVDKLLTPGYLPTNAEKQAAEDCFQAGTLDCTDVSGQS CGYNPDCSPGQSCYRNDWFTCNGFSAGDQTKCKGVDNAPLNSCYTSIAGGYTVTKRVK IPNINSAHTLLSLRWNSFQTGQVYLTCADIAINGSGGSQSSSVQPTGTSTAATSVGTS TTSPTSTTCAIASSVDVTFNEVVTTIYGQTIKVVGSISELGSWSTSSAIALSASKYTN ANPLWSTTVTLAAGTTFQYKFINVQSSGAVNWESDPNRSFTVPKTCEKSVTVSNTWR VE01_07205 MIRTLPFAAALVGLVAAQNPGTNPEVHPKLETWKCTVAGGCVSA NTAVVIDSGAHSIHLPDSTAACSGTNCVIEGIDDYASHGVTTEGGALNLLQLLNGQSV SPRVYLLEETETNYEMLQLTGQELSFDVDVSKLPCGMNGALYLSEMGANGGQSEANPA GAPYGTGYCDAQCYTQTFIDGEANPEGHGSCCNEMDIWEANNAATAYAPHPCSVDGVY ACTGAECESAGVCDKNGCGYNNYALGNSTFYGPGLIVDTSRPFTVVTQFPATNGILTE IRRLYVQDGKVIQNAPINIEGPAPGDSMTDEFCVATDATAFNRLNGLEGMGGALSRGM VLIFSVWWDTSGFMNWLDSGNAGPCSATEGNPTEIVKVEPNPAVTFSNVKWGEIGSTF SEGN VE01_07206 MSPTIFVTGVSGYIGGHIVTDLIKKHSEYQIITLVRTEKQAEII KATWPTVETILGDLDNLDLLVEQGKRADVVLQLASSDHTPAAKALIEGLSHGKKGRYI HISGTGILHDVTNGFGNPSSKIYHDVADILEITSFDSTHIHRDTDAAVIASGETLGIS TAIVAPCVIYGIGKGPINNRSVQVPVLAEQTLRRGRPFAVGAGNNIWDHIHISDLSAA FGLLVEEALKPAGGAATWGAEGYYFVEAGEHSWAQVGRDIAAVVAERGIKVEAEVEQL TVQDAAAVHPWAPILWGGNARSRAQRLRALGWSPVAPSLKESLEEIVDIEIKALKSES A VE01_07207 MGFGRAEEDRPTPKEVYNLRIYILALCATMGSWMFGYNNGVIGG TIILPSFHAAFSLPAPSTPEYATITSTIVSLLQLGALVGSLLIFPLVRLSGRLPSLAI GSGLFAFGCLLQVFASGRLPYMYAGRFIGGIGLGCITVVVPMYISELSPPAIRGSLVG LYEINNQLSSLCGFFANYFVGVGVDPGTDRQWQIPIAMQVIPAGLLILAVIFILPESP LFLIQRGKIGKARIILSSIRGLPAEHRYITEEIELVASSLPQQPSGGKFRSINTILPL HLFRELLWRGNINRLIIGCLLMVGANTSGINGVNFYSPSIFRLIGFTSVNFLLLLSGF FAIAKTTGTFVGLFFFIDRFGRKFLLLISSTGIVLAFVYIGSFLTITHGSPAPGSIAG YFAMAAVYFYAVSFSLAWNGVPWVYSSEIYQPRLKELSMSITTAVQWIMQYAVARLTP VLLSSGTYNSGNVFFFLFAACTLLAAALVAKFLPETKGLTAKGMDEIFGSRYPDREGD MGESGDMQGTANGGMVVRFNSSV VE01_07208 MGQPQIPPTLKASTTIIAAIAALRANPEVKEDNPDATIRAFELD LDDYESGLAFARKVKAEVKELDVLLCNGTLLISIGILELLPLLQATAAIRGAPSRLTI VGSISQTMHTLTKNAVIDSETVFDHFDNQMTYSGLTRYCDSKLVVDTFVRTLSSHVSS SEVIINNPCPGYVTSLENRVQQLEALLARLLPDGDVESALSQVPSPSSPDFNTWVTVE PRRPKVETTDSLPDEKEIPSEGPPDDLPILSNGFEWSEQDDDPTNYIADGMAALSVDP QGAGYLGSAANVSLLRSLQRSGWCVVSSRASLSELSGNPSSLLNTWSILLQPSNHRQL RATLIDAYFYHYHPAYPIVHEATFRAQYNEILPKPSCSSWELLHNTILCIGAWCLGHD VSGIKDLFGLGSQGFKFAASFSSGNLIMVQALMLWSNYNQRQNKPNTSWNYQGLAVRM ALSLGLHKEFPAWNISLLDREIRRRVWWFLYIVDSGASMTFGRPVLLPEAGGSMDIEL FLNVHDDCLTAATTEIPEDANEPTLYSSLLMQSKFHLMANPLYARRIGNPDLGVAEVM TMNSRISSWMLTLPYYYQENANLPNLTESLALSRYRFFWRIRNFRMLLLWPILIHYTE KTHNIPNARDNEINETARQLCLSYAHDTIASIDEYLLSNISGAFGDWYALYYLIQSSL IPIIAMLTVPESPDAVVWTADIETTKRLLGSVVTHQELARKFLDIVNRFWPSIDAVAP GEFMDGQQSELLNQIYTQFTMDSSEPQFLWQGMYESGNWAATP VE01_07209 MNFGAINTPPKYVIASILASFGAIVFGYDTGIIGPVTVMTQFAD SFGDFSETAHGAIVSAILIPAALTALFGGHLADSLGRVKAIALGAAIFGVGAALEAGA AHIAMFVVGRGLAGVGEGFFLSTISVYVTEISPPRIRGLIGGIPQFFISFGLCAGYFV CYGSANILSSLSWRLPFAIQSLVAFIFLVITFSLPESPRWLTSMGRKQDAAANWEKLE VLAEDREAIVEDTELTLHHTISRPASVVVKSKINTLFAVFSPTAWRRTTLGIFLNAAQ QLSGIDGVLYYAPTLFLNAGLSSSSASFLASGVSALLIFVTTIPAFLLADHWNRRTNI LTGGSIQAMCMIIIGALYASGQVSPGSAGAWTVIVLIYVFTIGFSGTWAVVIRVVTSE VQPAATRAAATSLAQATNWFVNFIVALTTPLFLARSAGGVYFMFGFSSVIAVVVCMLW MPETRGRNLEDIEGNFNGKKRGTEGQLPINEKRQGRGMGETVGT VE01_07210 MNMSLSAADLPTAVTSPMRQELQTQLQFVNSAGPESLRKPDTKR LIRAHAARAAHSKIRRARIVEYQKSKTSQEEVDEVSTPGPVTLLGSGRIDPFRTYAVH CTAFEHALIDHYVQFLIPNPSSHFSPLGSNTHTGRMYSHWVSYTITDPGMMNGLFLAA CRSLANQTHEGVYASQALRYKGACIRSVAKAIEDEGDSVTDATVAKVLFLASDEFHTG NLNGAKSHTKAIGDMVKMRGGVETLGLEGLLQQLVLWNDRTSTFYSGTMPNFMHTGSC QRLTAVDHLTPGFLSYFEMSVLSFYVGAILNDMCYFTKTINHFPKGAGIPTELIKRIN DKELDVRLLILSSDHRCPSARRSYTQIEECLALALMVYLTKVLHPSGSDILGSKYLLE RLRDSLSVARSNPMWRRGADFMLWMTFMMSYVVYEIPKALSQLSAIDNSGVSDPAFAE VESWLLGLLCDLFEALDIRSFLEMEKQLRNFMWIEKPCLDLAMELYTKAIAFLSDGKQ IVSGSRDETIKLWDIAESFKASRLPGNTFSSHLKFRTWQEIKTSKLASPLKFSTDCRY LVTNLGQIKNKRVFANTLSFEFESLENL VE01_07211 MNSEKETESAQSSADIDTPPSPIDIRPDGGLEAWMVVAGAWGAC FCSWGWINSVGVFQEYYGKNILKEYTPGTVSWILCLEAFFLLALAPVIGKLFDRYGPP LNCVVGYFDTRRSTAFGIVATGSSFPWAMRATALLLLVVLTMTNLTVKSRIPLNPSPF SVKEYILPFKRTAFLLTSFGNILFAFGSFVPMTYLVVQAVSMGMDRRLAQYLVAIING SSTAGRLGAGVLADVYGPFNAWIAVTYAAGILILSLWIPTTNDASIIAFASLFGICFG AYVAIQPALVAQITPIADIGICTGLYFSLSAFSQLVSGPIAGHTLETSGGSYLEMKIM AGFLCLAGATFVLGAKLYATGYKLMAKF VE01_07212 MTTPMNETFLYRIGWRTGPLHPQLNGNFTPYPGNDDDQGVSLRL YDDDYVGLIFQVSSLRILNSAFAITESIFDSSRIQMNIDCVYPISGQYNTLSRALFYV LIVFSLVFRRHVWISVAALGTAMTYAAVSAVHLFTLVGLFRFRDPGGWDPESTKEYMD LDLFGIFPILTASGIMLTPILMWSNTVRKHHAQAVIVCWGALIFVALATCLGVMMRGF GLTSGGRMVVNTLPSFALCEGTPDCIVPQTDNFLALEYYNRCNCIDFCGTLSPIAPMR RGENMVPYFITKADKAASTKAFNNLFYTILFALAFITINGAIGVLESYYSQSEVRNAI FRVCNADLRLWIKVLFEGKREEELLKQYGREDKNTEETTRKKIRYHIAKAIAAMFYVS AIFLSVICPIVFIASVIASEILIQTIPPSEHSDAIGAWGAWVGAILVIFAAVIDRYNG AWLEALVVLFRAGWRVVKYAKSERQSIVETDKDLSVRKKIKDFFGELGSPFVHGWNST KCAIWTGGTNMRLFSAWWKDTVYQSQMRGADLQLVWDAEVTKNPGGKPICPCRMCHND RRDKKNKGENETHRHVALDRVRTKALRKRDEYENVQKMRGAYESVNNAPDTPALGTEM SKLQRLHQDRTSGLTLTGGMEASEEDLYDGPATTSRMSGSRMSVAYDPTSPPAIPQSA MQRPGFQRGESGPSSPSPLISPHQVPLPEAALERRSGYARRDTDQSFGYFDTRRESDQ SMQTLVRRDTDQSMGPLTRRDTDQSMGPLTRRDTDMSTASRPRRKPVPSYIAPEDEEA APWPRTMSPDQASPRRDWPDSGS VE01_07213 MKFSQSIIALAAAGIVSSSPLAKRAGPTDADILQYALTLEHLED NFYRQGLAKFTEAQFAAAGFDATFYANIQKVSSDETEHVKFLTSGLTAAGAKPVDACT YNFPLTDVKTFIATASVLEGVGVSAYLGAAADIMSKAYLTAAGSILTVEARHSSYIRA GLKQTPFAAPFDTPLTLDEVYTLAAGFITSCPTTNAKLPVKAFPALQLDPAAGTVKAG SKVKLLTPGYTLKAAQGVATVYAAFISVTGPTFVEATPVAGGFEVTIPMGFSGQSYAV LTSCKDTVSDDTTAAGPAIIE VE01_07214 MKLVILAALLSALQLTALADSTITCSTCPSSTPAAPDIVTRTDA PVTPCSAAVLTAVSDSSTGGAGGSGGGTGGGANATGTGGAQPTPSPNVGGRVMGSAGG AVVLGVVVAFVL VE01_07215 MEQTSPPEPLNRPLRLLSLDGGGIRGISELVILQEIMHRVGRAL KVETPLPADYFDMICGTSTGGLIAILLGRLRLSVPEAIDTYRALAKQIFSEKKAPGKD GTYKASNLERAIKETIESKLGKGHADDNMFITDTVSCKTFVCAVPAMHVNNQPRLFRT WSADENPGYNCTIWEAARATSAAPTFFKRIYIGDAGIEEEFIDAGMGCNNPVRYLVEE AINEFGPDRKVNCIISIGTGKSMAAGFKAPNFFQRAVPLDLIKVMKKMATDSEAEASR MKDRLRNCHGLYHRLNVEQGLELVTLQEWEKLGEVKTHTEAYLQDKSIKQEIGVIVDA LVGKPLDAFPLGQLDGAVTAPVNAHSYYLYPSHQVTHYVARKDFVDAIQNHFQMRQHN SAPTIAVLYGMGGCGKTQVALEYCRQGQNRKWFSAIFWFDASTPASMAQSFADIAHKL SRPDFDVADVKGNIRFVLNAIETRNIHWLLVFDNFDDPSAFGDENIKDYFPRGAHASI LFTTRHAGVKDMGLSIDVTSMLDKEALDLLLNRSDVERSDENIQEGENIVKRLGYHAL AIDQAGAYIKAGDLDLCLYMEHYTERKKKVLSEIPELWDYQRRLKTDSETMTKLTVFT TWELSVQLITGTPTEQKDKIHILTLAAFLDSKEVSEDLFECYGSQNIDWLVSCVTDGV WDKYEAQDILKELRKLSLLQNLNTRDNGTTFSLHPLIQDWVKLRVINDHRAYATEAIL LLSTFLQKHPIYEMTLSTRQALLSHLEVVFQNENKYKVLQGDLEGIKLHAATFYFAEF FDSQGRYNSSEPMIRQALKWTKRVLGKEHPHTLLSMNNLGIALQKQGKNDEAEQIYRQ TLQVQERVLGKEHPNTLASMNNLGIGLQRQGKNDEAEQIYRQTLQVQERVLGKEHPNT LASMNNLGVALRKQGKHDMAEQIYRQTLQEHPDTLKSMNSLGVVLQEQGKYDEAELMY RQSAQLAEKVLGREHEHTIMYTKNLADLLEFRERSEQQNIVPT VE01_07216 MSTPTKITGGCLCKAVRYEVNFPEDHDFKANSVACFCTLCRKQS GAVAVHVHILPRSSFAWTSPSATPAAPLANYQVIKDNLRWFCTACGSYLAWEGNGIIE VTAGSVDEECLVGKKDGEGNVIEKGVGEQFCHPEGAVTWVNNSLGPVTEGVKGTRWKF SVAHGVRG VE01_07217 MQEKLPVKAAGGCLCGAIRYSVVFPPGHDFAMNSTKCLCTACRK QSGALMLHLHTLPLSSFSCVAPASELGDYSRSPGYHRLFCKRCGSFIAWRQDPRLCCD VHGLADIGGSRATRQALDGMIDCAPAHEEGEVEICVGTLDEEFLVGRRGPGGKVIPGT GFGSLLGHPEGRILWAENDIIGVTDRLTGVRYQNNSANEVKIKEH VE01_07218 MAPAKDTMFRSVDMSMVQLYVANEIGREVINALGEIGQIQFRDL NSETSAFQRTFTQEIRRLDNVERQLRYFHSQMEKAGIPLRKLDLDIESLAAPSTSEID ELSDRSQSLEQRVASLNDSYETLKKREVELIEWRWVLKEAGGFFDRAHGNVDELRTSI DQDDDAPLLQDVEQHPQNGDAGERSLSIMNIGFVSGVIPRERVAAFERILWRTLRGNL YMNQSEIPETLVDPTNNESVDKNVFVIFAHGKEIIAKIRKISESLGADLYAVDENSDL RRDQIHEVNTRLSDLGSVLRNTKQTLDAELTQIARSLAAWIVIIKKEKAVYETLNLLS YDHARKTLIAEAWCPSNSLPQIKAALQDVNNRAGLAVPSIINEIRTNKTPPTLQKTNR FTEGFQTIINAYGTSKYHEVNPGLPTIVTFPFLFAVMFGDLGHGFIMFCAAAAMIYWE KPLKKVRDELFTMAYYGRYIMLMMGIFSMYTGLIYNDIFSRSMSLFSSAWEWPTDFKK GDTVVAHLNRDGHRYPFGLDWMWHGAENELLFANSYKMKLSILMGWCHMTYSLCLSYI NARRFKSPIDIWGNFIPGMIFFQSIFGYLVFTIVYKWSTDWYPLAPDDWPAGVQAPNH RNPPGLLNMLIYMFLQPDKIDVPLYGDGTYQKIIQNFLVVIAIIQVPILLFLKPFYLR WEHNQARAKGYRGIGETSRISALDGDDDDRRASIASETEGVDMITQGIDNDGEGHEEF EFGEVMIHQVIHTIEFCLNCVSHTASYLRLWALSLAHQQLSLVLWSMTLNNGLTSTGI GGVITLVITFYMWFFLSVCVLVVMEGTSAMLHSLRLHWVEAMSKHFMGDGIAFEPFSF RQMLEEDEEVKEFS VE01_07219 MTSMHLNQLRKWVYSSPPVEWGMNQLRELLIGAVSQGPVPQHIA FVMDGNRRFARSHKIETAEGHNLGFESLAKILEVCYKLGVKVITVYAFSIENFKRSKY EVDALMDLFKVKLAQISQHGELLDQYGASIRILGQRDLIRPDILEACNNAVEVTRGNG DAILNICFPYTSRDEITTAIRSTVDELSTPLPTPKRPFSERRIAQKLRSRNLTSTSSS PPRQESPTRVEVPSAGNELDDSISSSATLHPDSVSSSDLPNPEEPTYPDPESITAATV EAHLFTAGNPPLDLLIRTSGVNRLSDFMLWQCHEDTQLRFLDCYWPEFSLRHFLPVLI EWQWQRKYGDDKEGGAVKTRPKSAKMY VE01_07220 MHSSGFAGAPVSRSLVLGIIAASILVSVTDIKYYFWIQVDPHFW KYGQLWRAFIYQLCYTNSSEVLMAAMTLYSLRGIERLWGSRKFASFLLVLFPLTALLP PIILALVIRPLSFNKINYLPAGLTPLIYALLAQYYAAIPHTYKYRIAATPTPPPNAPF TGITFSDKSYTYLLVGQLALAQFPGSLLGAAIGWAVGYLWRNEVLPGVMVSWRIPGWM VGIAPQKRGEGFEGLRRRLEEENTNAAAATGSDGRQGGNVAPRRTLGRQLVDQFRGAF VE01_07221 MRTARQMPPDTSSGRRAQPVRQTRANPPRAHSGLGRSFGARDAS GRISPDEEEQTEIFPAITHFTDAITALPRELVRHFTLLKEVDAKIFAPEEALVQLVET ALNHPLPARSQSTDRLQITDSTPTPGSVPMSVNGSIATGRNTSMLDDTDNPDRATAVY DADNLPRRQLFRQCAYTMQEMLVSLDEKNHVISTATEALDKHLARLTDCLPFVEGEIS EEARHGNTKHWAYTENRVVPKPNERSRRENANVNHLTNAAAAAAAEEAAARSDARKQA MLAKKGRQQLPESDFDDNTDHRKDNKRPHGNTKKGRPAETSNSATTNSGGAHANPPSK RRKVDKGPNGGAVMERSISSVYGSNGVGAKGKTNSPRGTPLPDSKKRSKAAATTNGQP RKRTAAANSNVMSPRLASSPVRNVQEIKNNRNSPPLANGRPTAMRARQNSLQNVVDSS RPRASSSASIKPNGINTLPDVTNAASVTGRPIPEIKTTMKETAANTKGEHVLEDADPT DSAIRGGLLVGPRKDGPLKHEDLESAADAAQTVQTVTAPPVMTKSGRASKPSTPAMPT FAEPVRAGSRRNTVDGGAAKRSHKKGAGQAALLVAAQPAEEDAANSVLGDAEDDEEVE VDANEPRYCYCNGVSYGEMVACDNDACAKEWFHMECAGLKVAPKNAAKWYCDDCKENM KSRRFNGR VE01_07222 MATADPFANPAWEGNSRLPTHPAQSFQSSIAPSTDAEEKALEIG APPYAAAAPTYIPPPPAAAAARPGLKERFDGVMPSHRRYMGRSRRTVLLGALGLLALL VLVLGLGLGLGLKKGGGDSAALPLPGNATPHTGDLTYYSPGPGYGSCGFENNADDPIC AVSHLLYDAASISGNPNENPLCGRKLRVTHTDARDGKTRSVDVKVVDRCTGCKATDID LSPGMFLKLAAEEEGRVVGTWAWLD VE01_07223 MPKPLIRPSLYTLRNLTPTTIGIPPLTTRFPPTITTTTTRLSSK MTTPIPPSTLTTLASYTACDISDALLALSLPHGGFIPDLSPVSPPPSSSPLVALASTL LYLPLNPPAPASTPSPAPPSNIPAGSHWTDLVGEDTILIQSGPVSHAAMLGGILAMRL KYRGVRAVIAHGRVRDVAEIREVELPVWSLGTSTVGSGGGVKAHAVDVAVEVGGVTVR AGDVVVADPGNGVVVIPAERVGEVLALLPGLGEADGRVKEAVAGGMGVGEAFRRFRK VE01_07224 MLKSATDRMKSWVMVSPQSSEPFVKLPNERILYRSPIRTSLTLS SPPNTHSATAPWSVSSDGGVAYITNQRIVYIPTTPSPTLTSFSSPILNLHDTYVRAPF FGANYWTASVRPVAGGGIPPSLALIDLRMTFREGGAFDYHNVFELIKERLHQAVQAAR DAGRSVGGAGGGMDPGVLQGLHLEQLPAYEPAAATAVAPGTEAAAADEVRRASVVDGQ TTAEPESAAAQQPDEPPPGYEEAQAQAVGIDLDQRLRRRAEFGRDSGDE VE01_07225 MGFWDFVEAATPWSTVEAEAPAKEEEETSTTEKTEESTEDAPAE EEEEEEEEEDIVDPKDTLEAECREAKECSAPKHHYDDCVARVTAAEDNGGSKEDCVEE FFHLAHCATACAAPKLWAQLK VE01_07226 MKASSVLSSLALLAGSLVSASPTRCRADKPAAFLLAGDSTTAVQ STGGGGWGTGFLATLTNGAVGTNYGHNGRTTVSFVDGGDWANVIADVKSKASKYDTYV TIQFGHNDQKAAANISVAQFTANLQNLGNQVRAAGGEPVFVTPLSRRNYDANGKIILN LADQVAATIVAAKAISAQYIDLNKGSVAYLEAIGLADATKYNLVEGDFTHLNAAGSVV FGNLVSGLLGKLGKEFRTYTVEDKAIKAAIAAGKFILPSV VE01_07227 MHLFKLFVGLFALLWTAHATDNGLQKIVEWDGYSLMINGKREFI YSAEFHYQRMPVPEIWLDILQKLRANGFNTVSLYFFWSYHSASRDVYDFETSGKNVQR LLDYCKEAGLYVIARSGPYINAETNGGGFALWGSDGSMGNLRTSDETYHQAWLPWVAK MGKIIADNEITKGGPVILNQLENELQETSHVATASAVVYMEQLKKAFLDAGVTVPSSH NEKGQRSMSWSTDYQDVGGSVNVYGLDSYPGGTSCTNINSGYNVVRNYYQWFSNYSYT QPSYVPEFEGGWFSPWGGKFYDECLAEHSPEFADIYYKNNVGQRITMQNLYMTWGGTN WGHSAAPVVYTSYDYSAPLRETRQQWDKLYQTKLLGLFTRVSKDLLKTYMVGNGTGWS VSTDAIWTWQLANPDTKAGFYVVQHAATNSRNNETFSIDLTTSKGNVSVPNVSLTGRQ SKLIVTDYTFGKHALLYSTAEVLTYGVFDVDVLVFYLREGDIGQFAFKGMPAHLTYKV YGKSKLTSATTDGTTAYTYTQGPGTSVVKFSDGTIVYLLELWTAWQFWAPSTSKNPTV EADKQVFVIGPYLARSAYVQHGDVFVSGDSNSTTTLEVYAGSSVRNIVWNGKRLPTTK TAWGSVTAKIAGTEHRHISLPEFKNWRSADSLPEINPDYDDSKWVVCDKQTTLSPVAP TTLPVLFASDYGFYAGAKVYRGYFDGTSATFVNLTTSGGFAFGFNAWLNGKLIGGHGG DPAQSNLISQLSFANATLRATNNVLVVLVDYHGHDETSTAKGVENPRGILGASLSTGP FKTWKIQGNAGGPANIDPVRGPMNEGGLYAERLGWHLPGFDVSKWSRSDGPTTGLAKS GVQFYVTTFRLAIDADLDAPLGIEFSAPAGTVARLMFWINGYQFGKAVFHIGPQLRFP VPPGIINNRGENTLTISLWAQTDEGAKVSEVKLINYGLYQTDFEFNADWKYLQPKYVG GREKYA VE01_07228 MAQTSPPAAQKHIVVLGGSYGGVSIAHYLLKHAIPKLPSPASYQ IILISPSEQTMCRPACPRVLISDDLLPISKVFVNIPEVFAQYPTVNFRFLHGKATGVD HTSRSVSVSLSAGGTETLTFHSLVLATGATTTSPLFGLNRDDIFLRARWAEFRESLAT AKSIVIAGGGPSGVETAGELGEYLNGRAGWFSSKLENPKVKITLVTSAAQILPVLRKS IATKAEGLLAQVGVTVVKNTRVAGVVPEGAGTESVGSKATVTLADGTTLEADLYIPAV GTQPNTDFVDKSLLTADGRVETNAATLRVDGAGSRVYAIGDVASYSRPAIHLTLAAIP ILGANIKRDLLLDAGVAETAVGDDRVYVADKRETQLVPIGTSKGVGAAMGYEFPSFMI WLIKGRDYWLWTVGGLWSGKEWNKEK VE01_07229 MKFQLLTSLALATVVAANGFTFERLDKNNAVLLVVDHQTGLKAV VRDYGTVEFRNSVLGHAALGNLFNLPTILTTSADTGPNGQLPKEIVALHPNATFIHRQ GEVDAWDNADFRAAVKATGKKHVILAGIVTEVCTSFLALSLRQEGYSVWANTDASGTF NEKLAADANRRMEQAGVHLGGLFAITMDLMRDWRSTPGSAEVLPFLDVYLPDYSLVAR AHGDAVLNGTVIPGEAEILDIV VE01_07230 MATIIREKNSPPVNDKESTATIESELDKDNSAPSSPDLLDQVNS SASPPDSDKPAPDGGARAWIVAAGGAAILFCCLGFSNSFGAFEQYYLTHQLQGHSPDK IAWIGSISAYLQFGAGMVGGPMFDRYGSRIMQPAAVVYVFSVMMLSLCKAYWQIMLVQ GVLMGVAMGFLQFPAFAAVSQYFDKNRAAALGVVVSGSSIGGVVIPIALSKMLNNSSL GFGWSVRVIGFLLIPLLAFACVAVRPRLTPRTTAFWLSRAYTEVIFVLLMVSMFFIFM GMLTPLFYLPTYAVARGMDPALAGYLLAIVNASSTFGRIIPGVLADKYGRLNVFAIGG VSTGIAIFCMNSAKTNSSLIGYGVVFGFTSGTIISGLSACISLCAKDPREIGMYMGMG MSLSSLGGLIGPPVNGVFVHHYGGFFEACMFSGAVCLFGGFIAVLTKIATPQGIFGRV VE01_07231 MQRRILALESELAGVSIKQTPTQQPPMELAPALLDPFSTQKEDT VTTTTKLAGTFHVHNENTECGIGYEGGIPRSVTHKTRVFGQSHWINGIVLFLGIPKLI ESHFLCETSRAVVGIQRCKSLARTIKFQRTPAARSLPPIPDLPPKEVADTLIESYLRT VESVHRILHIPTFRRDYAALWASESPTNTVFLVQLKLVLAIGASTYDTKFSLRTSAIR WVYQAQNWLSEPAFKSRLNIQTLQISLLLLFARETTGVGEDMVWVSAGSLLRTAIHMG LNRDPSLLPTRSLFAAEMRRRLWNTILEAVVRTSLMSGGPPLLSLSDFNTAPPRNFSD EQLSDDDSTPAPDGEFTQISVAIALRATFPLRLAVAKFLNDFGAHGTYDETLRLDTEL RTVYRALCHTLQGYASSSTGSTPSRFALRVVDFHMLRYQLALHVPFFGPALKVTAYAY SRTVVVENSLRLWYSVCPPSSGARGVAVVEEEEEEIGRDDLMRLAVCGSGFYCTVAIQ ANLLIAVELRTQVMEAASLSPPTLRPDLLAVLEDSKAWVLRRIEAGETNIKGYLMLCV VTTQIDALALGLGKDEMLRLCLEAAEESEEVCFPLLEEMVAQGRDGGGDSGIPTGLGT PEGGVEDWEFMVSDALFELGGVDGMSWMFGQESGQGGGGPLVW VE01_07232 MKFQSLIVSALAAVAVAAPYPAANEAIDAEALPAIMARQSATSD ELKNGACKDVTFIFARGSTESGNMGSIVGPQVCTALKTLLGSAKVACQGVGSPYDATL ADNFLPKNTSPTAIGAANTLFNLAHTKCPNTKVVAGGYSQGTAVIDNSIQALSAAVVA QIKGVVLFGFTRNLQDRGQIPGYPTSQTKVICAVGDLVCDGTLIITAAHLTYGINASS AAAFLASKV VE01_07233 MTVSAIIAVLLLALSQDFIVAAIPAAANSVVVTHVASDYFPNAK GDLTRFDVDARYVGYSSETQSWLARIDPSSGKTDEEIATQLIEAAYAHAFNPLDPDMA ADLTALLAAVAGSEPPSTIERRSSFEVSVAHAVKWASCAGVFSCLSGTTCTFSLDIGK APRSHCENQGGSNCCISWSNYNIRAGFFSTTWTTCNSEVQAEQKSDASCEGYGTNDQG GDVCLSNRANGCT VE01_07234 MTTTNATRQEPAQSFTLFPQLPVELQRRIWELCLPARIVELDRP ITENVTTPCSMYNTSQFNSRIPIAVQICKESRSVALLAGFKQIYDGNFVDSDAPGWKA MNSIKDLWVTPSIDVLHLNYGNCYNVGRDHPSDNPLQFLLWLRRRLGHSTHISIVAPL VLAFNDYQGAEFMTTYEDKNLDLLTEAGGSYLTTLCVVSLHLSLDAALRKEGGMLFGR LGEERVKLVRAGDKTALKAYYKLWAAGPRKALEPVMFFELALVRHESEWLPRVAQWKQ RLVTKWVTHNLRKAEAKNNCADIQDPEGIFRTRREDEWRTVLQGNSGPFSDYMRPPRG WAFLNHATDVTNTEHPWVAKVLRDMPCFEPMVMFRLCAEKCYAPKPPRPQHIIGGQGT VSGREKSDADDPLKNQHATDLVYYYYCYGGSVFVTL VE01_07235 MRSFWLLTSLAAILGPAASADTAPFTYNRTDFLLHGKPYQMIGG QMDPQRIPNEYWRDRLSMARAMGLNTIFSYIFWNDIEPSPGTWDFTGQNDIKKYFQIA QEEGLNIVLRPGPYICGEREWGGFPAWLSEVPGMAVRTNNKPFLDASKAYLEHLAEEV APLQVTNGGPILMVQVENEYGSFGNDHIYVAALRDMLKSQYEVPLYTNDGGGESYLAG GQITGVLAETDGDPKTGFEARDKFVTDPTSLGPQLDGEYYVTWIDTWGSNSTHNTNDG NAAALKSIQDDVAWVLTNKSSISIYMFHGGTNMGFQNAGLWIDNALSAVTSSYDYGAP LDESGRPTDAYHAIRETIIAHLGDNSTVPDIPVKSPMIDIPTFQLKPSVALFDALPEP ARQQNPTNMEALGQSYGFIMYRHKVNTAVKGSLKPGDVPRDRVLVYVNGERVGVVDRI YMVPATVTLDLKAGDVLELLVENMGRIDYGPALVEQRKGVVGNVTIGDNVLLDWVTYP LALEQPPAPQAKYRGPAPSATSTPIFYTGSFDVETIGDTFLELPGWVKGNVWVNGVNL GRYWIIGPQQSLYLPGCYLKNKGNQITVLALEPLAEATSAVGISKRVWGNNPDPDAP VE01_07236 MKIIVAGSTGFVATEVIRQALSIPAITSVVALARRTTAVPQNAG PSADASKLKSVVCDDFENYTETVKKELVGADACIWLIAVTFSNVKTMPFEDVRKICLD YTLKGMETMAQLPRDSASNPLRFIYTSGHGAQRDQSQKPWIQGDYTLMRGKTESLILE FAKNSNGAVEACVTKPGIIDAPGRTGPVMKVLGSIGRAIISLPILDVGEIAATLLQQA VNGIEKDTLLHEDLVRIGQKALAAENIAR VE01_07237 MGLLSFRKGQGPVVENPTTDADAISSGVDKGVTAEPKHYVPPDE IRKELSAFEKEHMWDPNMPQENLNAVKKALQADDVNAEMALENALIEEDSPYAEVRAA VRNYDEEVPANTIRAWVIGMLWTTIGSAINMLFSLRNPSIYLTPVVTLLLSYPTGVAW AAVMPTRKFKTFGITWSLNPGPFNMKEHAVIVIMANASFGGSTAYSTDVLLAQEVYYK QKFGWGYQLLLTITCQMLGLGLAGVTRTWLVEPAAMIWPSNLVTTTLFETIHTKTAPD AVKTSGWTMGRYKWFLIVMGAIFVWEWFPLWIAPFLATFTFVCWAAPNNVVVNQLFGG QTGLSLIPITFDWSTLTAFIYSPLVYPFHAIANTMLGMVVFVIITSIGIHYTGALYSE YLPMSTSGSFDNTGKAYKVANILNADYTLNEEKYKAYSPLFLSTTFGLTYGLSFATIA ALVVHTGLFNGKQIWRQFRASREDGADVHMRMMLKYKEAPVWWYGASFVVMFALGLVT CLAWDTHLTWWGFIIALLISLFFYLPIGIVQATTNVQLGLNVITEFIVGYMLPGRPLA MMMFKMYGYITVYQGLYFTQDLKLAHYMKVPQRTIFWAMFVATLWSCFVQIAVYNWAL GNFDGVCTDSQPDRYTCPGAKVFFTASIIWGVIGPNRVFGSNGIYHCMEYFFILGAGL PVLVYFLARRYPRSIIRFINTPVLFGGTGNIPPATVMIYASWGFVGTMFNKVIKKRHP GWWTEYNYITSAALDSGTIICVLLIFFALQLPKINSPQWWGGANGGYTNNGDWNAATQ KQVADGEIFGPARGTW VE01_07238 MASSRAATAAGKQIAAGTAGQSAHDTILNTLFPGSSADELSKLS RAELLQLAQEEHSQSPGTAEHQRLGEASDQTSDTDDEHEWNERQENQDPAADDVNGLS LQLNLKSYLGISSVRAALRTIFRLNPSIRDYVRQRIATWDVSNSWCFAPGGVGVGLHQ EPQLLSPTIDEETCIDAYFLHAHEMTPMLDEADFRATWKEGTRTDGPWIALLNMVLVM GSMSTGSGDDESHKFYYSRAKQYINIELFGAGCLESLQTLCILGGYYLHYVNTPNMAH AVMGAAFRIAIGLGLHRDTATLDTKIGAAKAGSRPQLRRQLWWCLVCLDTWSHMTLGR PSQGRWDPKTMNVSLSESSDSATLSLDHSQTFCKIATRVQDRFAHLEPISISELKAFD VEVQNWHRNLPPEFRYREMCPERLLTSYSFMSNRFFNLRLLLYRPVLLSYANRKVAYD TLLPEEQAAINSCQEIACNAIDNIASGFTEPNRLRVWNSVWYLYQATMVTLVSLIADP NHPNVSRWKASTEKSLQLFQIVAPWTKPADRSRDVLASIYEASQLPRNDISDIPFDFQ IAELDQFGLNAFSGGWQWDAFNGFEEDYQQQHTNTAMW VE01_07239 MTQSSVVKNPQTKFDAFAEFIGASFEANPFPSFLVAVCVFIFSV AATAWLLVGAFDRVVPRDPSIAIGKESNKEGEKSTEE VE01_07240 MADAVATQPHNLPLHISREKCAGKTYIVTGANVGLGLEASKHLV AVGAAKVIMAVRNLSAGEAAKASIEAGTGTSGVAEVWALDLSSYDSVKVFAKRAVAEL ERIDALIENAGVAAFDRTMAEGHLLNITVNVLSTLLLAVLLLPKMSDDAKKFGIVPHI VLVSSGVGFDAKADWDVIKSDPLVEVENEGHKGLKMYPLSKLVETIATRQLAALAPVS RTGVVMNIVCPGSCKTELGRHAPPAFRKMLQESKEKYGRTAEDGSRTLLYGAVAGKES HGCFLDSCRIADDIVPIWVTDEEGQKSQKLLWDAVAGELESVQPGCVKAIL VE01_07241 MPFQFVDNNTPIDRAARRRIRSHVATGKNAGRTLVRPSRTKAAA REAGSRPISAIICVPRVVADARDVEAEEEGGCAIERMIGDRLSVFSFPEQGSVKARGI VQRAFSFVSRPFQPDDLQTSVDMTDVPTSMWVQFMFLDEAFFHCAIAASVTARNGLVV EKDDPKEAMRHLSQTFRLINERLSRDDAVSDETIAVVVILAQHERLRGQYREGLVHIS GLERMVQLRGGVTALSRYRPGLTQKMFKVDLEYAMHQGTATRFSTEDIVPNSTALFGR FTGPEMNYDINMIDPRLSKHLRNDLETIFMDMNSLARLMNDACAGYCPRIKPYIFLDT VILLGYRLLQVSPLSGSRLFSSLENAVHIGLAVFLMALLRGLAMKVSNVPLISNLARS VAQGHIGSGRVDKEVILWILFTGRASAFEEVDDEWFLPKMAETTRSLGIDSWEGVSKV LATFPWVSDVHGKSGQALWYKSTFF VE01_07242 MQSIVALAFVGPKSIPSKNVPKELTGILNQGVQGSQRVDLLPFF NGSLASTNLNNQPVGINWLDDGGLTPLSNYLSGKTQSVGLFSSSNEYRLFGHFYTSFA HVFGCSLPPADPPTPGGSLNLAYAHKFMSLDFAEVAYFIDQLTRAAEFYGFSTADAQA LNTRMNSMYNSRCALSVTFNPQQGPQLLSLCQDPSCPLAVPNSDCGPYANLTADGGAS SNPSSTDSTTEPTSGSADPSVKGNDKLSAGAIAGAAIGSIVGVCLLAVALFFIQRRRN ASLLKPQARRHWSGPESIDYLSPQSDKVNTFLPHSSHSPAELHSPKSPGPPVELSGET NGAESERISRAS VE01_07243 MDPDTHQPPTTPHSFPELHIIEPISPHTHTAILLHGRGSNGPEF AEELMEESKLPGQPTLAEKLPSWRFVFPSSRELWSTLFEEDMPAWFEAHSLSDITSRQ ELQEPGIVEAVGYLSSVLDYEIVRIGGDAGKVVLGGISQGAAVGMWTLLCGEKRETLG GFVGASTWLPFAGHIGEYVGKGGEDSPGRDFVESKMSHLRHLVASPREFRGVLNTPVF LGHGIDDEMVDIELGRQARKVLEQLGMEVEGKEYQGAELNGHWVKVPEEMDDIERFLR AVESKNGSN VE01_07244 MSNFYSLYPTTSASGVAATPTEMAPPPTPTSLATRGRSSTSTSS VSFSKGSASGSKRDSRDSKASVGWSRKDWMEAPLPVGVTIGGKERVPQAVALLAPAFV DNPLNTYLLNSLDPVDRRAFLPKYFTALLTQASLNGGYIFEHSNWESCMIVLPPGKGV GNPFTLLQSGLAGLLRKVGLTCVKRMLGEFEGAAKKSRKVGLAKGEVPYYVFFIGTRA DRQGRGLGTALMEEVCERAGREGRSVWIESTSEGSARLFRRLGFVDVEEVVLGKGKVG EAGYGQVDGPGVKMWTMVWRKREFEESVDEVFKLNELGMDND VE01_07245 MSIASLPPKVIKKFDHDGSSKTYLGHSVICQLPQDSPLAATLRG LRQTLSQHKHSELFKNEALLPASSYHMTVFICVRDLERGENVMPKDGYAPEITERSGL TGPYDEWLQYTIQKAQDVSLEDQMRPPYMFFVEKEVPQIGYSIGVRLEATPDTSPKLA HLREQLEDLTGITAPASYIFHVTLAYLLRAPTPQEGNELKALVESHLANAPETVEFPA VE01_07246 MDPASGVSIPGNTFQFLTLAYTVSSRLTTYCKASSETPPIFHEL SVQIPLVADICQKLQDDSSITPERLSHVLKGCSRILETLNQILSKVIPETGDSVRSKV RKGIKSVTIENKAKECKTELESYKATLTLYLSAQRPFLAHAALELPTYHYLPSLGQAK FIGRKQLLKAIDRVLKAGGRDTCVAVLLGMGGQGKTSLAIEYCRGEKSREHFKTILWI NSVSHLSIQRSFAEIAKNIVALSGEQRVFPSSKAQITFIHGIVESRSAPWLFVFDNYD WPQKVKRVLDYAPHSAKGAVIMTTRHADVAQLGTLIPVSGMNEVDAVELLLERTGHAR TIGNLEQAKAVVKMLGYLPLAIDQSAAYIRSRKISPEQFLDHYKTRKEKLLKYLPSVW DYQRSSGDDEDEPPPLSVFTTWEMSFTQAEEHSPIGDNIGHFLTILGFFSPLQIRMEM FQAYYEGIQPTGANASSWLEPFSDGSSWDKYEYQDAVVFVSELSLVTHSETSTDPDDQ LQDAPGFCTIALHPLVRDWVQLRVPTSERRKYTIEAIIILEHYIISAGDDYRNWPLKI RLQALSHVDATLELQSKYATDWANTDYNELRKALCVICSFYADNGRYEESERICKEIL HADTKGPDTKSQAESAELQLTDIFLLQGRYDEVEEIIARLLGSSKNKSDKKTKVHMEK NLAKAFSKQGRYDEAAALYHDVLEQQASFLPINDLDVLHTKENLAYVYRNQGLHLKAI DLYEAILEAYRLAGLEDHLDALNSMVNLASTYRAQAQYSLATPLYEKASKEISAKLHA DHPTALSTKSFMAINLRELQRHEEAEHAFRDVVERSARVLGLLHPDTLKATMNFAILC DRTGKPFEAEELYRTTLIGREQKLGTDNPYTLRTVERLVSLLWSQNRLEEALEITAKA LKAQQRGSLEEEMKTLNLDKLDKIDTTWPYRPVEILFESAVARDNAKLAAAHRDRIET QKSLARVYESHGKLEEAGELQRLAEAGEEVLRLQLWQTASQWRGSRETLVETGVEPSP LMLESLPETTGRTSSEGTSERGRS VE01_07247 MYGLYPSSAPGATSYYGVLKITDIQHADGSPIKVQKTLNIAFKA PVAIIGNQDFNLTLDPWVEITPTTTNNEIDPSTFDVAAKLPFPKPYTINDRFAIDISF GGDITEDTKRYIESIVITQDSE VE01_07248 MPSEDPDNDDGDGMSYRATANKTPPQLQFQPSPPPAQPKSGAAP MDDMLFMDPPTASHRDENPEPTAASPIATPLTLTRSGFLNNPNTTVPSLFSRSTHRSY DPIDGSSDRDADGFSANTSPLLTTSEGSKGDDLAGFRRRLDTDTTTSPARFETDDNDD AVTASTALLHEPVVRRASTRGVRVRHPTPGLQTLQGAYTANVVQLERSAEKLSMTSSI EDSIRNAYEEVKKSDSRMNSLRQSSLMNLVTDIPYEGVSTSTQLTSAVEVASPLNFAS PTFPTRDTNSLHSTTSTSILDVNNAARSGGYSPGGAVILGARPRADSKTSKTSKYGTR PEPEMEGRPLSEFVPSRNNSYLSSRNVSRAPSIAEKEEEEAAVRRPLAIRNLSADDID VDEEKTDIGLNKLVPDDSQSEMDQAQELFDDFDGQHYANMAAPPANAPPPPPAAEPRP DIQKRRDSSANTLSMARPKSYADPLTGQQMVFYPAPVPSMLMLPQKLSNRPSPAKREK RRTQALSTLPPLALQSAPWLQDVVEGQQTEQSQQIPDEVLDAEYLAQHHKQKVGGRRS TQDLLHMPEQLRANEFFEQPQPHQLVEIKEQSAVATLDNILDASAYAPVSAFTDHAYA GRLGAEVYGAHDPRATRTKSQLLAPPEAPKKRVSSFFGLGLGGGDKSDRARSSTVTSM LGLDKTPSYTAVADDSHSPRLPPSPSNGLDNTGDGDEFDADGPPSPRERDHDDTYIGA PTTLLAELQLRKQHAKTRTQNLTQAAGSRSTLLELDAVALVESKARQQKRVTLAWQEQ HPEEEEEDDDVPLGVLFPGQSLQQLDRASRPMGLMERRELEDNEPLSQRRDRLLGRGG LQREPLRRHTPEPGHTPEPAEDSNPLPNARPVSGAFSLELLNQFGDPSPPDAAPEEEE EETLGQRRRRLLAEREAAAKPAPKQRRSMADILSAHPAASRGEYPHLAEHLQQQQQKQ QQQRSAGHRQSIFPTAPQLYPEMGMNYQSQVGGGMGSGMTGREMVEQMKAIRARQAQQ GGWGQQQMWQGMAAQGMGPQQGGGVDMVERWRRSVMLPGA VE01_07249 MARARTPGLFVIFYTTLYALLALILLVLLIIPPADAIRQALTNR QLYNVFVIASVYFLTALFALLFYASRLYTTRTILASIPKPYLPLTPADLPPKVHCLIR DALRRSALVASNARPHTPATNTAAVDIGLACPLPSATIEPTSRGLAQHTHRLLRRRRR RRREAEAADAALMPTADPVWGPIAHAGWSPPTSPDLPNLHYAPVIAELPHLIEAKAVA LSGATAGSLALLPRRASAGLREYMVVLLELKVLDAGVPWKEFVGGYEEARFSGRAVGE EEFRGLMGLFAEVLRGVRPLGGGPVEESESEMEEEDENDDDEGADESVVSQEGSVRRE PIARFDGGDDKMAYGGLGIQISRASTPRGRRSPSASLSPSESWVSLSNGSFMSGGSVV RHPELGGGGGSEEV VE01_07250 MLSLIGAALALAPVVVLGQFPPPVKDVTTLKSKYHEGPGICETT PGVKSYSGYVHLPPGLLSDISGENQDYPINTFFWFFESRKDPQNAPLAIWLNGGPGGS SLMGGLQENGPCFIGADSNSTYLNPWSWNNEVNMLYLDQPAQVGFSYDVLTNITVDLL QEGELKKMIPTDFTDGVPEQNNTFYVGTAGSQSLGKTANTTVHAAHALWHFAQTWFAE FPGYKPNDDRVSLWTESYGGHYGPGFFKFFQQQNEKINNGTIDEAGTHHIHLDTLGII NGLLDNLVQFPSYNQMAYNNTYGIQHISKEQYEKTVDEYERPGGCREKLAACQKLAEA EDPDWRGNVPSVIKCFDDIHKVDCASMDTFEKILTWGWYDIAHPKADPTPEPYMHGYL AQEWVLSALGVPVNFTTSSPAVGDAFDSTGDINRAGSMQAVAYLLDSGVKVHMMYGDR DYACNWLGGEAVSVLIEHEGAEGFKSAGYAPILTSEGEGGFVRQYGNYSFSRVFQAGH EVPWYQPEVSYEIFMRAMFNRDIATGLLPVSDDLTTEGPSSTFHVKNEVPEVPEPKCY VRAPGTCTPEQYESVIDGTAIVKDFFVVGNVKDETRGDGTQKVMGSLERVDEL VE01_07251 MSKRSAPSEGEVGGLLKRVLAPTGSNRPSRPSTPSQVWKHAAMK LQPVLKAMVAFAANREFEKRPSKYRLQVAEKQHGAITLTPLFVGVSAAFTDDEPNVAV VAIAVHDSVYLHDFTVHNVPLPTPRDSTDPIADFVVESLRKYQKKSLCKYIGGGLPVD LERVSPSLCSRLWSELDLVPLSLWPDQEGSEKDMEGFTFWKDRGVDEQADSMARKSIT AFGPNLSPLLQVGYRGIVQIDAGFRAHMHMLEDYQKTCQAVTWDAMLHYAAKLKEKKT KIAFFSSTPQGGGVALMRHALVRFARTVDVDLRWYVPKPKPGVFRVTKTIHNILQGVA EPGVRISEEEKASVDGWITEHAERYWLCKNGPLRPPSEGGADIIIIDDPQMPSLIPLI KLLTPDRPVLYRSHIQIRSDLCDTPGTPQQDIWDHLWSKITQADMFISHPVPEFIPQS VPAEKVAYLPATTDWLDGLNKPLADWDTQYYMHLYNQRAHGIRMTELGFPDRQYFVQI ARFDPSKGIPVVLKAYVEFRALLAKKVGEKSVKNAPQLVIVGNGSIDDPDGTLVHDQT MSYIEAHCPHLASSISVQRLEPYDQLLNALMTNAHIVMQLSIREGFEVKVSEALKKGR PVIVSRVGGIQLQVQHERNGFLVEPGDWKDAAGCLLRLWTDHELHDRMSEVAARTVSD EVGTG VE01_07252 MWSTLLVLAATDVVSGLAFEKSNGLKPRLDNGLGKTPALGWNSW NQGGCNAATAAVALDTAQAFIDLGLKDAGYTYVNIDDCWSTSSRDDSGNLVPDPSKFP QGMKSLTDQIHAMGLKFGLYGDAGTKTCAGYPGSQGHEEQDAKLLASWGVDYWKHDNC YTPCNAGEMQTCTDPAGNTQTWYVTMRDALQSSGRPIFYSLCNWGRDSVWTWGADVGN SWRMSVDNWGGWEDVVRIASQAAPIASYSAPHGFNDLDMMIIGNGKLTAAEERAHFGI WAISKSPIFIGTNIRKLSEETISLFTNKGLLAVNQDPLGRAATTFRPEGAASPVSGQL YPYWAGPLSDGVVVGLVAPDGDQTLSVNFSDVPGLGEGTFSWTELYSGNTGSGTSASS MDQQADHEVKMYRRMAESPKGHRGRDAVRTLLDTFYVDGPGDKHQCLVHPPLFESILT FLRCNPVERLPSEVIAFVLLRLFLALDYLHTECRIIHTDIKADNIMLGIDDDSVFSDF EEEELQDPVPRKEVDIDGRMIYMSRDLRMPNNMDAPVLCDFGSAMLGAQHHSEFVQPN IYRAPEVILEAPWTYSVDIWNVGCMIWDIYEGGSLFTGYDLEFQKYRSRAHLAEMINL LGPPPSSLLAQGELRDKFFSSEGKSTVLSSYV VE01_07253 MAPSEFSTPLLASLEQVSPAKDSKTPPRRKKTSGGDKDKKTSSR KAGLKSVSSSDLLPTSTPNGDADSQSKEPGFLERRNPPSFLGRCKHVVTKHSWIIPLI MMLAFLSGYAYNPTESNIIHRFIFLSYAQEPLEGADPSAPTLYGKGLWDFAFVSFYTV VLSFTREFIMQELLRPLARFCGINSRGKQYRFMEQVYTAIYFSIIGSAGLYVMSGTPM WYFKTHGMYEFFPHKTHVAIFKFYYLFQAAYWSQQAIVMLLGLEKPRKDFYELVAHHI ITLTLIFLSYRFHFMHIGLAVYITHDISDFFLALSKSLHYIDCPVVELYFGTSIISWI YFRHYQNLRFIYSLFTEFKTVGPYELNWETQQYKCTLSFVITLGLLLMLQSINIFWLY CLLRSAYRFLVHNIVKDDRSEVEESELEDLERKKARKERKEEEERNRAAELLLLNGNG SVNGNAKRAVKATGSGSTSSAAKKRKSAPRRSAAASS VE01_07254 MSEPKTDATQMEPTEDKMQNPGAPTTEQMLPHGSSVNIARKAKK KADGPLQILAGWLLDNQTGFAFNLIALLFLTHMSMSKARPYTSKFFTLSHYNSNTGKY AAGHDDLFFMTFCIVLFSGLRAGVMDYVLAPLARMWGLSKKKEVTRFAEQGWMLIYYS VFWPLGMYIYWKSSYFLNMDELWTDWPQRELDGLMKGYMLGQWSFWIQQVLVINIEDR RKDHWQMLTHHLVTIVLICASYAYHQTRVGNLILVIMDVVDLIFPLAKCFKYLGYTLI PDILFAVFVTVWLITRHVFFLMTCWSVYSDLPRLITSACYSGSADNLQGPLAVPDDWS HLLEPFRDPTGIVCFNDNIMLGFLYCLLILQVMMLIWSAFIVRVAVRVLQGHSAEDIR SDDEGEEEVEDDELEIEAGHPLEEEVGVEAINLKGWERRNSVKRAGSSSGITLPRHSD PKELLNRIGCEKQID VE01_07255 MAGAGGKRIAKELNEATNPPLPGIQVTLGEDSDIHHWNVIIEGP PESPYAGGLFKLLLVLPTEYPFKPPKINFKTKIYHPNVSNDEHGSMCLGMLKGDQWKP STKIVGVLEAALQLLSEPVPDDAVETGIAEVYKNNRNEFNKQAKEWTKRYAK VE01_07256 MFSALQNPRQAMSQVLNFGLILSTAFMMWKGLSVIADSPSPIVV VLSGSMEPAFQRGDLLFLWNRNFFQETKVGEIVVYNVRGKDIPIVHRVVRKFGEGEGA KLLTKGDNNMADDTELYARDQDFLERKDIIGSVVGYIPFVGYVTIMLSEHPWLKTAML GIMGLVVVLQRE VE01_07257 MRELNAPPGKSDAVSYTCTCGEPGVVLLFYRYYANSPMLPEQYL PETIDPEKLAEFHRKQGRLCNLSGKVRVSKEGFNITVAGSEEGIQKYITACCSHWSFS GLVLDFDASVGTESNDEVERRRDEFFKPTPGCRCVFQGILNVRVTAEITPLGVTNYSP STWEAVQALEPAEFHQKCLDEKVKLVDVRNYYESRIGYFVSPTSGEAVKPPIRRFSQW PQWVKEHGDKITGNDEEKDKPQVLTYCTGGIRCEKGVRWMEEYMSKDELRDQGQIYTL KGGIAAYLTWMEAEIGTGKKAAEDSLFKGRNYVFDARGSTGLPGTEPVSNCHSCGVSS DHLSKCVSPGCHLVLVVCPACELGNVRCCDDCLKLCHEEQSGEAAGQRRPKPLCECEQ ARERELWAGERVKEPKKQGWRKKKRMQDGNGKKLDIRIKVAE VE01_07258 MFKRSHRSRDRVEKVSRLKKNLKDASKSSKLQRSFEEDNPLLGA APLISPVITLMVGKEGRLFAAHEDVLCLSPFFAAVCRGKFLDAQSKRIELPDEEPEIF SCILEYLYKGDYYPRALQNKRRSTWELEDAVSSPNPENGGGRGNAEATTFVSSVGEYL LRDTVIYCLADKYGLEELKRLALRKQGLQSGIEVGTILRSARYAYDNTPDTDSRLRAH YLALIIRCRKTFKRSGTMQTEMESGGKLFFDLFVALCNHVDDIVDIGQPDTDFCRRNS RSPKTI VE01_07259 MVSFTYLLVAASAVACVFGEEPTSPNPRLKKRTNQTGTHDGYYY SFWSDDQGQATYTNKAGGEYAVTWGGQGNFVAGKGWNPGKAQTISYSGTFSPNGNGYL SIYGWTRSPLIEYYIVESFGTYDPSSAAALKGTITVDGSVYNILQTTRTNQPSIDGTS TFQQFWSVRQNHRTSGSVDVAAHFNAWASHGMNLGTQHNYQIVASEGYHSSGSADITV GSAGTGTTPTNPPTSSTPPSSGNCAALYGQCGGQGWTGASCCASGTCKLSNQWYSQCL VE01_07260 MGCCASRAAGTYPASPSPQPNSSRAAINTATASNTALPRPSTHT STSHHRALNERFNVPLRTHAWTARKSKPWTRAKLDRERIAFFDTRVTGRPEIWGAIRA AVGELHAEAENGGVGGGGYATAQSIIDAAGATLPTGDLADGVYDALGAYYGIPEWVVC DPVNVAEEGVEAEGAEVEDEEDGKGKVVVAERETVKVTTRLSERGGVDVRVRIGRGDS VKTLARMVAEGSDLPPQKYVKIAYLGKILRENDTLIAQGWREGHMLNGLVFG VE01_07261 MSDNRSAIKRVMRQWFPDLSLWIVPIANMKLYLATVFFIIPVII AAPLPSPQAGEAPPKIPLIAGTFDIVNGLPVALGLPEIGGQVIHGFTSIQSNMQLRLV TIFFVVPAVLAAALPAPQGTQPPNDDSNQILGLLGIVLGTDHLPGGQ VE01_07262 MAPTRYAQVGTGSRASFFYTAIARDFQSEATLVAFCDTNQTRMD YASDNIEKITGQKVPTYKAADFDRMIEETKPDQVIVTTIDRTHHAYIIRAMELGCDVI SEKPMTTDETHCQEILDAVKRTGQKLRVTFNYRYAPHNTKIRELLADNTIGQVFSVHF EWLLNTQHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLASQPKTVFALGELNFY GKENAEKRGEEKFYSRAHGSEAAKDDPFALHMEDHPELKSLYLDAEKEDGYYRDQSVF GDGINIEDTMGVIVKYQSGAMLTYNLVAYSPLEGFRVCFNGSKGRLEVNVIEKSYVNG GGDRDLEGAVDEIEITVHPLFGESYKVPIDQGQGGHGGGDPVLLNDLFGQPAPDRFNR AASHIDGAMSILTGIAANQSIRTGQAVQVDGLVKF VE01_07263 MSVGKLQWLKPGRGGEALSHGVSFGMPWAKGQYQSGQTFVIEDD HQVYPLDCREVAFWPDGSLKWTAHSVSGNIGYSETYTIKGVDRPEEKPFLSGDKITTR LGLELKLSTVGSSSLFDSLSWEGRCVCSGATIVASINKKNYSTIVRDVQVENETFSRI LVKISGVIVCDGVEHLPFDVRVYLYHDSSTISIGHSFIHDLDAEEPLTSLGLQFHVPL GETELYNKHVRLGVSDGGVLGEEVQGLSGLRFGPTTQNRIDQTAGKPLNLLEQEWEKT DLTRGLACIPSWDSYSLSQLSSDGFTLKKRTKPSCSWVKVTGGGRADGTAYVGSARHG GLAVGMANFWERYPTQLDLGDLTKDTGTITVWLYSPLAEPLDTAPYHDGLGLDSYTKQ LEGLDVTYEDYEPGFATANGIGRSNQFFIKPYSSTPSNQELSSFSSLVRDAPRLIPNL EYMHSSGVFHGCWTPDYRTLGYSPSNEELDIEKNLDTLFEFYHKQVEQQRWYGFWDHG DVQHTYNHYRHAWRYDVGGFAWDNSELSTDLWLWLYFLHTGRADVFKMAQDMTQHTSE VDVYHAGKFKGFGTRHGVQHWSDSSKQLRISHVLFKRIYFFLTGDERTGDLIEELQSC QHAILTLDSHRKVQLKGDTPDGFAMANIGLDCGPLAASWLTAWERRTKGWIHAKELLV TLLDGISGLSHGIGNNAILLNPETGEVRECPPPTPEYSISHLSMLFGFPEIFNELLDY ASHDHASTVANFKLKALLPYARAYNGGHEVQRQEFGFEFPSHATWRQSHSTLTAIAAV EQKSEKLGREAWEQFFGTDGYDRTHDWGIVKTSPPEYPAKGEEAPWVTTNMSARYGVS AIFNLANIREYLK VE01_07264 MSLQFFPAPNPNNTGVLIAPGGGYAYVSYEKEGSLPAKWLNERG FDAWVLTYTCADGDIPAPIYPAPMEEALNAVKKIRAEGRVSKLGIWGWSAGGHLAAIT ATTPEVELDFAVLSYPVISMEDPTTHPGSRQNLLGDKASLELVQDMSAQNRVSKTTPP TFIFHTANDGAVPVQNSLLFATAMARYQRSFELFVLPNGPHGIGMAIDDPELTWTAEL DRWLQRFIAA VE01_07265 MTSHEDEKTAHQEDKNDPTSVVHMATKDEEEYVTPDDVLKGAQQ ATSEEHKMSLREAIRKYPTACFFSALFSSALIMEGFDKAFITAFFAFPAFQKRYGNLT ASGDYQVPADIQAGVNGGVSAGQIIGLAMNSYLADRFGYRKVMLGCLFFMCCFVFIQF FASSIYMYLGAGVLLGIPWGVFQVITTTYASEVCPNVLRPYLTSLVSMCWSIGYLLGT GVLTAFLKMEGQWAYRIPFALQWVLPIPLAIGILCAPESPWWLVRQNRVADAEVALRK LRKKDVDDEEIANTLSMIVYTIKIENEMNTSSAYKDLFKGVNRRRTEITVFTYVIQEL CVPLLSYVVYFLQQAGLPTSQAFKFGLGQYSLAIVGVVVAWFLTPRFGRRTLILSGIS FVTATTFLIGFLGIPTNNPVTYGNVIGALLLLQYFVFFFTCGPVIYTVVTEIPSSYLR SKSVSLARATYNVNVIIYGQLMPRTTQKAWWNWGAKSGFFYGGIMCIGIIWGYFRLPE TKDRTFAEIDILFMNGVSARDFPKTKVDLANQTVSREE VE01_07266 MVVQELHPDLVVPVALFYLVLRGLDTIEDDMTISLEEKEPLLRN FETVLDKDGWNFNKSGPNEKDRELLVHFDDVITELRLIDPKYRDIIKDITNQMGNGMA DYARLAENNANSVNTVEEYELYCHYVAGLVGDGLTRFFLQDGFASPALKNQPELSEAM SQFLQKTNVIRDVREDFDDKRRFWPREIWSKHVENFEDLFDPRYREVAVNCSSEMVLN ALQHAEGCLTYMEAIKEQGVFNFVGIPQTMAIATLELVFQNPRVFETNVKITKGDAAQ LLMDSSQDLRRVCGVFKRYVRRIQKKNNPKDPNYLNISIACGKVENYIESIYSSKDPK SRVQLANNRGVVIDKSEAIDDKSKTDIPYLILIMLGALFIISAIIVGIVYSAGVKFDV VLKELANGNIFPSKEIFLDVQRINVNDRGGPPIGHGELKISYATLKVYTGEIADDENR EISIYKKFEQLDSSHPGRKYVRTMLDSFGVQGPQGYHQCLVHRPLWQSMFELQHRNPR HRFTEPLLRAMLLHLFVALDYVHSSCHLIHTDIKASNILSGIEDESILTAFEAAEANS PSAKAIRLDRTIYASRKLGLPKSYGPPVLCDFGVARFGDEVNNEDIQLGVYRAPEVIL EMDWGYPVDIWNVGVMIWDLFQDKHMFDGRDPSGKYSNRYHLAEMVEYMGTPPVEFLQ RSEESWRYFDKQGQLLDPPDISASSLESSEENLKGRRKGLFLQFMRKMLQWAPEDRES AAGLLHDPWMNDEVE VE01_07267 MSAADTKKRPRARILRSKSGCRTCRIRKVKCDERHPACGKCAST GRTCEWFAPESPPVVQETSIERRRVLPLVDATILERRSFDFFASRTVRDLAWLFDSDL WEFTILQLSQSEKALRHAAVALSSLQEAEETYGMPISKDRAGDVRHRFAARHYNLAIH YLSEGMCAGAENARLTTLVTCLVFIHIELLRGRYEESLTHLRSGLQILEGGKAASSGN NDELEDEILKAFQKLDLQAAHFGEPTPRPRLVLDEADRTTPGGSSLPPFTSISEAKDQ CDILMSKNFHFIASCVEQTPQERAQNDQQLVARQAELTDLHHVYLNALFKLANSQAEL SPKDRRGAMMLRAHLIASSIHLAACLAPNSNILFDQHLAGFKDIVALAESLISDFSTP TSSPETPGRKIITKERMPTFLTDWGVVLPLYFVAIKCRDSPTRHAALNALDSWRHREG FWDSALAHKIASQVVKMEEVGILGSGAVKIYDDVDGDVGNEPRSYLGTSTRSSSGKKK KAVAVREPVEADELPGQVRIGNTFVQISEDQQHITVTLTRKLWNGGEGEIQPGVAQDD EEGWVTENYQLTL VE01_07268 MDNKEEGAVSGAEVVNQVEANEPLRIEISSTTKEEGTQEEADDI TPTATRKTASTAPSLITVPSLINGIEETSTSIFPVISPYTNTPCWNATAATPSDALRA VEAASAAFPAWSATKPTVRRDILLRTADILESRLEEISEIMRTEMGADVGTSQFFIAP FGIRMLRDLAGRITSICGSVPVVEEEGQSAIVHKEPMGVILGIVPWNAPYVFGIRAAA GALAGGNTTILKSSDLTPRCYWAIGRAFTDAGLPPGCLNILSCRPEDAPSVVNTMIEH PAVRKINFTGSTATGRKIARTCGENLKPCLMELGGKNSAIVCEDANIEVAVREVLAGT LINSGQICMSTDRILVHSTIAPTFISAIKGALNASANQSFEPPTLVSTASKIRVQGLI TDALASGAHLIHGSVDNDSSPSTSATSVRMAPIFIGDVDEKSALWQDEAFASLAAIRV VDSDAEAVRIANQGGYGLSAAIFTEDLRKGFKLAKQIESGAVHINSMTVHDEVVLPFG GVKNSGWGRFNEKQGIEEFLVTKTVTWKD VE01_07269 MSVPPSAATSGSEGIFLKSSTVTPTLTPTVTPSGMAAAAPKTTM TRENDVPVILIPQPSDDAADPLNWSITKKLIIFACICFAGFAGQMSPNSNQLTFVLQI PNYVGRTQADMLNTVAAALAGWMLGPFILVPLAALVGRSSVIFWSLIGTLACQIWAAK MTGPNDFIPFAISRAFCGVFGVIPAILGTGYIMDMFFLHQRGKAFAIFEVLIIFAVVG GGTLGGFIAQYGPWSGVFWWTVGPVSAAAILVFVFVEDTTFTRGPNAVQRPPLPKAWF ANRVATFFPGVKTQAGTLDKRAAVFRRFIVPLQITITPITILIGTFVFVALGLPIMQA STLAIYMMAPVSAGGYGFSALQLAFFTMTAWAGIITAQLYGYLSNDRIPLAVARRVGG IWRPEYRLANTIIPGFLLPIGLAIYGVGLQFHLHYMVLALASFLIWFAALLALPVCYN YIVECFVQTPVEASVALNSYRIAFGLMSVFIIMKWQGAVGVGWMWGMGAIFVLLVDGL MMIVLFKGHEVRKYTNRISTTIAATEDGQKIIEQPRIIRMADVERDLHAVRMRSC VE01_07270 MAISEREWKMIIIVSVLVGLATISAILRLFARYKLRVRIEADDY LCFTALFLLYGMLIQLILWVAIGGNGTHIKYLSPETLLTFGKIFIANQFTYFALVPIL KISFISFYRRIFFTSHRFNVISCTFIWIIGIWGAGIFLICALQCRPLRGYWDKSIEAH CINGNVFFIVNQGFNVVMDFVILALPLPIIFNLKRAWQDKLALTGIFALGGFVCFASI YRIVVLFYIDPADPTHTVYRATLWTHIEPSVGLICSCLPTIRGLFPAYRWHSRSSPYP PDHHDYINSSSNRGAEHLVPSDLSKNSVYIMMEDGLVKPEQDHGWVEDRHDIEENEGR REGGIVRRTDTQVVQGLV VE01_07271 MAGDLIVITGVSGYIGFKTMYMALAQGYQVRAIVRREEQIEKLK NHPKVTNAENLSFVVVPDLVAKDAFAGVFDGAKAIVHLASPLAKETDDYERDIVKPAR DLLMAVLEAAIHITTLRRIVVTSSAVSLIPMEWLGTSDTETVFTERDINSNPTRPFHS AMEAYWASKAFARLSTNKFIEERKPHFDIVGLLPSVVLGPEDLATGNSTLLVGTRAML VPVLQGAKLDMPLVGTPVHVDDVARAHVDAIKPSVPGNADYVLSSDGEEGIEWDSMTD IARKYFPDEVESGLLKLGGSMPTRKWRLNVSTTEKAFGWKCVPFEKTMRDLIGQYVEF LKKEQN VE01_07272 MSSTTREYDIVVLGASGYTASIGAEYITKNSRTDLRWAIAGRSR GKLEDLAEKLKAINPDRIQPGIIVVTMEQPSLNEMAARSTLVINGIGPYHLYSTPVVE ACATMGTHYVGFSLETPWVEEMISKYHKTATENGAIIIPTIALCPSDVVSFLVAKTIH DRYGGIGTGEVNSTAKLDIQGMSGGSLNTVLSLIQSYGIGWILVGNPWSLSATSTRRK PNRTPLLSRIFGYRSIPGFGDVTTSFLAICNESIAHRSSSLMPKIYGPDFNFHEYLPT TGPFAGIVAHLILRLVMLIVSFPPLQWLAAKYLIPASGTGPDLAIAATAERQEFVAIG ASLKSKGEQVSARYVYEGSLYYCSALMGVEAALSILGEKTYAHDIGGGILTPATLGMP FVERLRNAGIKIEVDA VE01_07273 MKIFAPFLVAALATQSWAVPTLYLAGDSTMASGGGGSGTQGFGE YLKNSFSGITIVNKAIGGRSARSYWNEGRFQAIADLVKAGDYVLIEFGHNDGGSLSTT DNGRTDCFGGGSEVCISPTTGEKVYTYVFYLLQAGKLMTAKGAHVVIASPTPNNLWET GTWSYTAPRFTGYGRSVVTSLGSLAAFVDHGLYVANEYKALGATKVNAFYPVDHTHTS PAGAESVAGQFVKGLKCGGSALSAYVTASISGSCY VE01_07274 MSERSCPVSASVGSVCPMGNGAGENGRARGCNFASFSRPEDIHS TFGIPSDADVTDFLRQRERKAINELLYSDVPSMPAIKDLQNAQGTKSLDTLNVNDQDL LAVALGAPARQVLLRAEEVGPRTGWRDGYLSREHGFCPPDADEAPSALARSPGRVWSD MCERMPGCVARGRVRQSIAALPLVEGTADVIPDKALWAALVTLGMLCSIYRYEETHNG TEGVNVSTRSPTPNVEMSDDLGDEVKGIPKCIGLAYVQISRRMGRSIPHLTFYDQASY NIKVRDPTSTHPYIGRFDNTDLRWPMFGIRSEIAFLKGCADTSASFQHGVDAIASCQE FVMSKNKAGLLRALIRLKEILERMPNAFHSISLNPSSGANFVSPPEWVRWAKFSAPLS KRCPATSGLQFPPYLLMDAFLGRTKYESFLGEEGLHLRAWLPSNWRAFIAAVEYHYSI PDFVNQSGDPRLIGAFDGIVEAYTGERGFMGTHRYKVFGLLEIAGKTGRAETNGNSGS AEVNAKPHEETHKAFSDAMKERLEPHRGNLHLEPHEMRGSFAECRYKSTVLSRSLVDS DPERSIARVIIDIQDTGITFQPGDRLAIMPLNSWLECAKVAAALGLDVMLESPVSLDN QWNRFADHLGSVSRTPTPRLTVKDILRRGHLAPLTKDLVMKLHTLLRASSNTVLQILA TTEWPVRASLGDLLQAAVTDTPSKIWDQAFDISGNLSWLPEIVALEVPRTYSISNYPD ELLPSTVELTVSRSEYNICPTLAGPEPVVRYGVGSGFLNPPVSGQDEFITDDEEILIG ISRPLSFQLPIDDAAPCAFFAGGSGIAPFRSFWQSRAGRSVGKNLLFLGVQSREKFCY ENELREYVNAGFMEVYTAFSRDSRGLNYDSYLRDLVEKETTPRYIDSLIVEQGSTVCD LVMSKKQGGLGGYLYVCGSLSVFDSVMSGIRKAIYNHRTASMESTSVILDTAFAERRF MLDVFMSPKPLPCNIPTILPSQLAMHTGHRPNSRIHIAVHGSVYDVTDFCPMHPGGTM IIQSNAGVDCSKSFDLLAHSNNPEVSSLLNKYFIGHLTPKPNYRKCEDISMLYDLWSD YLRTSVETLVGDQFETREFMDSTNIWFQGSLFNMGGVRSFYQHQSRLLQNGFSALFGA KLQELYLKLSFTLANSGASSGQLPDVLGVIGRAKSSPDAMITSNEVSQIGKFTCDSEA ARFHERGIIEYVKTSIQLNLELLEGIREEACCGMDAFDTIMDLEVSSETQRLTALSTF LLQLTERMAIRLEGFYSKLAQHSVYHPEMEHNPARTRWNLVKRKVRDGSFFVLTQNVA INTGASYVPRRGQEAVEFDHVITQIQHSINKAPIQVRKTMELNEQHIARGRTNPNGVS ANESHENSHAMNRMSSFVNNNMRAIRRLSKLPPAGVSLEQLMNTYGNSAHQSYDRHPA AMRSLTPNQSRSPSVSREHDGFDPHQLSKLNVRGRSVSNAGSLTRGSSHSSHVSSSSH MDFHLPMTMSPALTPPSSAPQSSASSPVSHTPASAALPSMFNKMNRRQKSSDMAPTLA SLPENRMRKLMQGSASNSGGYSSMLPKDSGSHMRARSTTGSLRALKLPEMRVAPTF VE01_07275 MKLLITSRPIVDINIELGDTYMEFKARPKDLGIVIEGRVTELRH FPADLKNNSSTKMMAYAVKKEEELPLASLPLILPAVTPGLLRRTQRECLVANASPRDD SIIRLITESHF VE01_07276 MDNSGQRPLSVPGFGGRSLYEELGADDRFADAVSGLEQRPALTA PEMAMLQLMSDLTDKRDWNIDVFNDDIVAKWREETFKAQEDAEVRVALRMRLISGRAW GWCIMELRDKASMFEEDKLIRLFDAGSAVCKSDALVSDCLRLALKDGIAPMLKKSYSD QDQMLVDPSLFPLVFGKTSVLMEGRVGLRDGFKLIGSGRPAPKQLDERMDTSGVELRI KEGDAVVFCTNELDELKRFYWSSNFQLLPCEVEFDKSGTDAHITSYINNLHPLRHKSM YDSIEKLISLAIKPWNECLVRGEKGRWPIRIRTYGLTWEPEYPQSSIIDGLYQGCETN AYKEAMKEAEQFLKLPNRGSNQPTDLPEGWDKHFHTEWHVNAKWKNAYKLHHPEPDMS FSYNDW VE01_07277 MVNSGQGEFECAICHRQYAARSSYTRHTKQCLEQSEVTPRQKSC HECSSAKARCDQQRPRCSRCVERNAHCEYVSGKSINHAKQVNSQDSNAQLPHKQRSDE IGDSFLEALGAEQQTWDAQITSMDYLPPNGQGVSDQNAPVVSEHSSNHSTPRSTASTG CLSATLSSRTIDTAATSNHSPKDSNYPEQTRQLVPDEDFNVIRDRWLYPYIEPSPLSY ALREQSMFYLCRVFRTFPRMMARRERLPPMIHPMQATQEMKLPLKNCFSITRMWEGGA EEASSLVQGTIEREMERLFAEYRTYDEETLITAFQALVIYAIILLFPTPQKPTTKNLS LQTVVNLQEVGYHVGQTGLMLHAEASHVRPTWDAWILMNAKRRTMSALYCLEWIYAML NKLPTFPCKELGFMPAVCSKALWHARTKDEWEAIYNCWLARWTVGGGYLMRELMAVEP GPELDLRTEMWLEEVDEFGMMYMSLVNATEVPGGGGELRPEKQSVET VE01_07278 MASYLVTGSSRGLGLAMVTHLANSTPSDARVVFATARSQNPDLK ELVEKSSGRVVFVEMDTTDQASVDKAVKAVEVQVGDKGLDYLVNNAGVGGFAPEWTEK LANLNEEFNVNVTGTHIVITKFLPLMRKGQAKKITSIASTMGSLAMAEPFGIFPLPAY KISKAALNALTVQWALALSSEGFVVTGINPGTVKSAMGGGDIADLTLEQGARGVIEAL VKGGAEKNGKSFMIEVAGWENATGVHQYNGKTMPW VE01_07279 MGDNTTSLEGYKITEMTGSKWDNDDATSSHIMNNTESPFLFVDS FVPKNDTATKWLLSWHIDFVGNCSVGTRSRENWSSDPSQQIQFNTAPGGKLSDILTDD TTCSSYSALFEVQDTTTDLSNDVCLVLCPEDKFPTANPCAFKVDKAVAASVASAMMQS AGCTKTLGQTPTAVWPNITGPCVKSAAAGRVGRGATLCENPDIRVNSTKVARGLAKLS DDIAVKYGRSITDEEVANQNFAYEYSLTSEIKVPKIHRFFRIGYKAYIVMDFIEGVTL DEINCREHPGLIDRLALAIHGLFSQIPCGPPGPTNGGIPRGYLFSEDGALTTFNTIPK LNKWMNKRLRAKDGEPGFDFTASECVFYHQDLARRNIILRPDGSFCLIDWEHAGFYPR ICAAYCLQFVRIFDWEFANDLLEALEKLWPKTENRDELLKMLNRVYQNNLRYSYFPSD HPARFENFCKNNGIAIPSTGKATEIYPYPPPDFPSPPSSPLE VE01_07280 MFGKGSKKSASGSRSTQATSGSPSDYDSSSSSQKEAKRVAISLD TFYDTSQYVSSEVTTLRVVVHTGGNAQYTEDGRSANHWSIFLLLAGNEAASVRLNMSN VDGSDELGSFGVTGHDYLLSRSELAYFDYPVVPGKRVYEFCNLVVNKRRNRYRMTGNG NGCRHWVWYLFNDFLAYDYIDIATPDRRNS VE01_07281 MISRVRQLEKAEKNCQTRTFQCPYCYMEYMVDAKDFGERGLAVI TTKWVNLGAGRETPDAKWKSHRDRYGDRDRREINQTDEHRRSLREDFENQAEVSLEDL TADNEKKLFSTRKPQVVTRAPDGCIWQWRYGTRWYLDPSGTTGSAWEYWL VE01_07282 MDFAPYQDTSPDATRTLSPPPNDRRSTSASPPLQTSHANPWAAQ SGSPPLAQGGGGGGFTNTRDVEMGGRGREALHDYETSLPLRLDYEACLAYLALPPAGA VGLLLVEWKSDYVRFHAWQSALLFSAMFVVHLVFAWSSFLSWVLLVGDVGMIGYLTMR AYKDADTLDRCEVPFFGPLASRILDDE VE01_07283 MPGTPSVRVDAAAAEEAMRLHASCPPEEERGEAWVAVEGSEESE AVGGGGGSQSATPTPPPPDGQQMMKRVEAGERASVEMLSPVSVEEKGEEKEREAPVLR RSYAGSSPSMSYEFSNVRLKPSSPSSFLRSGSQFRGTQHSERQVYEVQVEIKHVDMRE SSLCGYLRIQGLTEDHPTLTTYFEGEIIGSKYSFLTKHDDWGSTDKVDLEHWSKFQAF RPFSKAARRGNLHIPNLAQRENIFMRWKEHFLVPDHRVRTITGASFEGFYYICFNQRS GSVSGIYFHAKSEKFQQLELKHVPDRGTYGAIEFR VE01_07284 MAATGAANSEPFYVRYYSGHQGRHGHEFLEFDFRVLGDGRSASA RYANNSNYRNDSLIRKEMCVSSTMIDEIKRIIKTSEILKEDDGKWPQKNKDGRQELEI RLGNDHISFETAKIGSLVDVSDSADPEGLRVFYYLVQDLKALVFSLIGLHFKIKPI VE01_07285 MASFLESSYSLVHQDNLSDVPSMSELRTQLEKGTDETKIDTMKR ILTIMLNGDPMPQLLMHIIRFVMPSKNKPLKKLLYFYYEICPKLDAGGKLKQEMILVC NGIMFDLQHSNEYIRGNTLRFLCKLREPELIEPLLSSVRSCLEHRHAYVRKNSVFAVS SIFQLSEALIPDAADLLAAFLETESDHTCKRNAFAALSSINHDKALAYLSSVFDGIPN ADELIQLVELEFIRKDAFQNPQNKAKYLRLIFDLLEAGASTVVYEAASSLTALTNNPV AVKAAAAKFIELSIKEADNNVKLIVLDRVDQLRRKNEGVLNDLTMEILRVLSSPDIDV RRKALELALEMVSSKNVADVVLLLKKELTKTVDQEYEKNNEYRQLLIHSIHQCAIKFS EVAASVVDLLMDFIADFNSTSAVDVISFVKEVVEKFPKLRQTIVERLVSTLSEVRAGK VYRGALWIVGEYSTDANDIRDAWKRIRASLGEIPILASEQRLLDEGSEGQEEKEAATN GTDKPSAPTGSRRVLADGTYATESALTSTSAVLAKLEAVKAAQKPPLRQLILDGDYYL ASVLSSTLTKLVMRHSEISKDEARTNALRAEAMLIMISIIRVGQSQFVKAPIDEDSVD RIMSCVRSLAEFAQKKELETVFLEDTRKAFRAMVQVEEKKRAAKEAVEKAKTAVQVDD VVQIRQLAKKNASDGADEIELDLEKATGGDTATEDLSSKLSRVVQLTGFSDPVYAEAY VKVHQFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFQNVQCTI KVSSTDTGVIFGNVVYDGQSSTDNNVVILNDVHVDIMDYIQPATCTETQFRTMWTEFE WENKVNINSKAKSLREFLTQLMACTNMTCLTPEASLKGDCQFLSANLYARSVFGEDAL ANLSIEKEGDDGPVTGFVRIRSRSQGLALSLGSLKGLNKVGEVA VE01_07286 MTDHHATPASPTRASSDPFMDPAAPDASGDGDIDFARDTTLVVG KDASLTLGTESLIVLGSSNTRAIPFYNVLWAETSDTLLTISFAAPISKIAVRPEFLTY PLPLDPGPARIWIAKLLDRAYGESQKRKRAKVLLNPHAGKGSAVKWWIRDIEPILRAA HVELDVHTTSSQGEAVTIAEKLDIEAFDMVVSCSGDGLPHEVFNGLGKRPDARRALAK IAVVQMPCGSGNAMSCNLTGSASPSLAALATVKGVVTPLDLISITQGSTRTLSFLSQS VGIVAESDLATENIRWMGQARFTYGFLTRLLKKRIYPCDLAVKVVIEDKAAIREHYRQ ESERRGTLGERRGNKDENDDDSAASTSAANEEGLPTLRYGTVNDKLPSDWVNVPYDTL GNFYCGNMSYMAADANFFPATLPSDGLMDLVCIDGRIARTAAVDLMLAVENNKFFDKP LVSYRKVLGYRIVPREQESGYISIDGERVPFEPFQAEIHRGLGTVLSCSGWKYEAQGP VE01_07287 MTDSTSTPDLSQTPFVKQLASPDRQTRTNALDSLRTYLSGRRSL PQDALLKLHTALFYTMWLTDRPLPQQSLAASLAALPAITHTSNRTAFTAAFWTTMARE WTRIDVLRMEKFLLLTRRYVGAAFAQCADKGWTAEVVEEQMRVLREGPLEPEARGVPN GMRYHVIDVWVDELERAGGLGEKRKGVELEVLLEPLKVLGTESPTKSVRKKCKEALAD ERLPGNEKEDVVMEEDEGWGGFAE VE01_07288 MSLSVAESSLAMLSTKRAGDNEATFSNGTTSSSDMVKVKRAPKS MIGVNRGMVARARALSALLSTSHLQLSKSKTRPQPRDDRDRQRDQKHSTKMPLDDMRE RHRLYHGTTKPAEELDLSVLNTRRQISRHPSVAKAPSQDENDDVVFLYDTKGLEKEKI GCNFCELAKFSTHSDYPVTVTNNVDKATFPEGFHFIEHSILREGVARADAGFRMGCEC GEDGDCEYRGCYCIQDMEAKKNKLGQPKKANAYLSKGPKAGCLRKDILDSRLVLYECH DSCACSKDCINRIVEQGRKVPLEIFRTSDGRGWGVRSSVTIQEGQFLDTYVGEIITSA EAQRRREDSRVAQRKDVYLFALDKFSDPESIDERLSGPCLEVDGEFMAGPTRFINHSC DPNLRIFARVGDHADKHIHDLAFFAIRDIPAGEELTFDYVDGLEGDLAEDGKVQKHHK DMTECLCGAPECRKFLW VE01_07289 MATSTDEVTDEDLQAEQQALLEAKARYALKKSIAESILMANPIL KAVHAGSNASPIERDLLPLIQQRDDVSTELAATAAQVLAAREALTAIEAERLVLSRSN TEMAGRMVGLAEQVEGQKKGGITDPEMRGKIEEMEREVRRSRQKWRLMKGVASGVVAG SGMDWARDEKLRALVLEEDSDDE VE01_07290 MALQVYIAHTGARLQTEPGAFASLDGFKAWVADKGGVSVQNQIC LTGSGKSVRTQSLFTEHEIFVYNRLILNVSSSNSAMEQVSQLPMPATYEIENPPDTIA DQNDLGAWKDLFHARREWASKVVNDSERMSREAQKRFGEIDVIARSVHAAVVNLGGHV AGLEQKFPQTQKWAQDIVDEYDVRISELEQSAKLLRTLPVTTTMFRFISGKNPKGGNH QPSLADLIDINDIQQAGAEASDAISALRTNLDDMTRDLKRIDSGMDKITKKEKDSVGA SAMAKSDEPAGLLADIKAILNKIGKDYENLLTYADTQKSISQASKTALLHTRSFLPSL SKRCMEMNEILADATNMRNSAAVEAVEIMQGIATLNTLCTEVNRRTDGLEISDDGQKS LELILLVARLPIVYASFVAEAIRRREWSDKMKSDSSTLANEMASFQDEEGRRRKRWQK NTGFYFWDEKPERKVLGLEVNLLGDEDQLPLVSRQDLEDLVNKLNSANVHPDIIAEVS QIVTDLSAPTKQQARRAKAFKNGSFHEASMGRSTLLVRGDDDIIRTLQSEKAKTETKL KGAESRVRKLESLLHQQSHASRTSSGNIFPLPSDEHDGANMASSPQFRDEISRPSSVS SRRYSANKTQDDRAATQRYIHLEADLIAERERAAGLEKEAAAKSAMLTDLRTQFEEAT STKQDLMQNLEAQLREFGTERKSLEDDNRRLKSQLEDYEDSIDRSRENERLTLDEQLH TLRTELNKVRADAEAESQKAQGQVDFLRGDAQLQRESNDSLQKQLNKAREENKELKDR IDSAEDGRREATASLRGVHSVLFPASDEQAQTQSTVLAENLSSRIKDLVTQAGVHERD LAMAHADRDEVKEKLSEVRKELADIKEKLSSDESESVRLHEEIVGERAKYAALESNMA EHQQELTSLRTKFAEGETGSEALRSRVKEEEQKVTSLIAQLTSSRTQVGSLQEQLKSV EGRLEESQGKLEKVSGRFEGRTMRAKDLTQRLYAQNDRIWRLLDRLSYSVSREADSMT ITRIPRQERANLTDSSDPSSAMRRSISGQAKKPMIESGDLELLYWMHNDDSEVESEKY EAYLSAIGSFDIELFCDTILKRIKETEHQARKATRDARTYRDKSHAAQKEAHEKIAYR HFKDGDLALFLPTRNQANGAWAAFNVGAPHYFLREQDTHKLRSRDWLLARIQRVESRV VDLSKSMTGQLSTAGDNGSFGDVSVDDSFEDDNPFDLSDGLRWYLIDAVEEKPGAPPL TPSLGKSTVAAANVDATGSIRHSKKISGSAVDNISKTLSRSLDSRRSSNNSRKAAPFA STPVKQHDSAAVVDGEDSLHPSSAAEITPRPNHPPQLQAGGSSGLEVRDSDATPAVLD RLLGP VE01_07291 MAVYISVPILDELVQSVKDNPTYKAVNAMACGILSYYFAPSNGY VISPERGRNDHGVDMAIYRIRRRFPDDQNTLDHTLVGVKGSMAPTEQSLDLLEGALEN QPLDL VE01_07292 MSRPKVLLLGKIELAHKEWSKISCVAEIIEPKARSREEFIQECK AGAFDGVVAAFRTVGSVAITGRIDEDLVPILPRSLNFIVHNGAGYDQIDVHTCTEHDI RVANVPMAADNATADVNMFLILGALRGFNTSMVALRNGFWKGFSPPPFGHDPQGKILG ILGMGGIGQNLMKKATAFGMTVQYHNRSKLSDELSGEAKYVSFKELLRTSDVLSLNLP LNKSTHHIISTKEFELMKECVVIVNTSRGAVMDEDALVKALDEGKVWSCGLDVFEDEP KVHPGLIANSHVMLLPHMGTWTVETQMNMEIWCIENVWSALEKGRLMSPVPEHKYL VE01_07668 MHYTQLISGLFLLPSILSTSACVTPNPTPSAFTDFNDNILFFPA ATAVQWKTLYARSLQLPDESLLMTWENYPAEPPLVTHPIYKSVDGGATWSNFSAITDK VNGWGMRFQPFLYTLPQAFGGYAAGTILAAGVSTPFSLTGGVYIDLYVSQDNAQTWNF VSHIAFGNGPEDITSGHAAIWEPFLMMYNGQLVCYFSDQRDSAHSQKLVHVTTNDLHT WSALVNDVAYSAYNDRPGMTTVAHIESTNQYIMTYEYCGSGKCAVYYKTAANPLNFNS ATGIALVGNNTNHSVPYGSPYVIWTANPGKSDGSGVIIASGGSQEPVFINSDNADPQG WKVVDVGQWSAYSRSLRIITVKGKKKLMFGNGGNMGDPSCNSVANGVIEVPY VE01_07669 MQQELPPPQGQIRSSTKRSVSVSRASAAYPRKRAVTACQVCRAR RTKCDQKKPSCSFCTSIGAECNSDPGSLSAFDPASIEIIERLDSLQRKLDSFSQVPLL DSVVQFNDGNGGDKNAHHNNKPLGNFLPGTLDAVLKWPVFEHFTLPVVQTPSQCSPAS PCSSTQAPSISDELHPAACNQWLDAFFRHDHCKNPVLNEQDTRRLVRKVCQEGVGWDA ESCLVLLVCANGALARPLSSPSISTDEVASSISNALFTASLKRLGTAMGSTGVVQAQC LFFAGVFLMSTLRPFDAWRMFLQSLAICQSFTHCNQPDSISDDRSRSTVEAEESIYWS SWKSERELRWELGLPDFDEMGLNTPPSFPSCSESCEADHLRAWCFYLSEISLWRLETA AKNEIKRLVLETPAQVFEALAEKAEENVQQVIAWQDSLPGSVSIAEHELPTGDDGDIL RFILRGRTTYFHELISWPFIYAVVNDGEETLHIRQWAARGFDFHLKRLSINRPGFYHR HHGTWLMIRSSARSACILLAGAQASSTSQLLPPNWQEAVEATISMLQFWCNDSAGLSV TVDLLNRLLLNVYDKQ VE01_07670 MLTTTISTLPRDAHLGQTAAPTYTFQHAVQAHRNGEPLSQTTQR LLSELSKTERLHLLDGDAPFYEGLRGILRDRYNRVPFVMGAIPRLEIPGIRFTDGPRG VVMGASTAFPVPMARGATWDINLERRIGDAIGLEAKAQGANYFAGVCVNLPRHPAWGR IQETYSEDPILLGEFGLALTQGVQKHIMACVKHFALNSMENARFRVDVRVEEDILHEV YLPHFKRIIEGGAASVMSSYNAVNGEWAGQSRLLLTDILRHEYKFDGFVLSDFIFGLR DAPLSLKNGLDIEAPFTQQRAVGLTEALESGELDWSVVDVACSRILRKQLEFAVNTSA SQPESSIVFCEEHRALAREVAGRSIVLLKNEPVTADGKPLLPLDATKISRIAVVGRLA NVPNTGDKGSSQVFAPHVVTPVEGLRAAFPHADIILEESDSVERARELAEKVDLVICV VGYNATDEGEYVVPSLQSDICLLDLFPLAETDEEKETLAIVKGDTSGGKLDSALEVGA GGDRRSLRLRPDDVELITAVTAVNPYTVVSIIAAGAVIMEEWIDQVPASVMSWYAGSE GGHGLADVLIGHTDASGRLPFSIPKDESHLPYFDIEATEIKYDRWHGQSLLDRLGVEA RFPLGFGLSYTSFSITDLRIGTLGSSDIMDTIQIHVNVANNGPRDGRHVIQVYGLAEM PDFPSRVLLGFLPIDLDAGQFKDVTMDVSVRPLQRWVAGEFVLPGKEVTIEVAAFAGD SKALRAITML VE01_07671 MAINPRTYQFLVGVFASFGSFLFGYDLGVIGGVVAAKSFESTFK SPSPNETGAIVSVFTGGAFVGAAVAGPLGDWFGRRLTIMTGAIVFCLGGGLQTAAQNL GFLYSGRLIAGVGVGVMVMIIPLYQAEIAHPSIRGRITGLQQFMLAIGATVAAWTTYG TNLHLGPTDNNQWRIPLGIQIVPAGVLAILILLFPESPRWLIDHGKSDQGLKTLAQLH ASGNVQDAWVRSEYEQIEVAIAHEHENSAKGYRDLFTNKSSFRRLVLVTALQASVQMT GVSAIQYFSPQIYATLKIPTQDALKYQGVSNILSTLAILCTILFIDRLGRRWPLIIGN LINCLCFIIVTAAIASFPNASPSAQHSLGWAFIATNWMYQISFSFTCGSLSWIIPAEI FDTKTRSKGVSIGAMVSFAFNTMIGQVTSPAIDSINWRYFLVFVVCNFTNAVFFWAFM PETAKRPLEEMNTLFSGSSWFVPTMRREDFETHDLEHRLAEIKEKEKAVTVEDSADF VE01_07672 MLVVPTYVPPPIFEFPTRVSLLEVRASNSLAASTLPPGLVAIFA GATSGIAEATLKQFAKHIVRPRIYYIGEFEEASDRVAKDLKKINPEDEYIFVKADLIT VKTVDEACKNIKAKGETLNLLFLTMGVGDSYQEYTTAITPHTRACFFLHLLPLLQKTQ SFRRVVTVFYDTEKDNIAVSDIRGRNNPLRRHAATFMTLPLATAMVPGVYSSTVFRIV VE01_07673 MDAHDVSSQHVDLLNKFKKTLEEAKKRQGERPNDRKPPEYAYMR FMMSMRPVGQNKPGYFIHTSFIAPAYPPCTTPVADLKHITIDELLLETHHRGRYILLR CITPPNRMTAIMVLAEDKNKDVVSLQMYQQENEETRPATDIADTGIILLVKEPYYKMM SDGEYGLRADHLSDIVQLKSSDDRIPSDWQPRVIEVEVSAEELKLKGNLAMKEGKFWE SIAIYSDALAQPTSADEADTIKRNRSLAFLRTKQFDAALSDTGFSNFGENASEKAMFR AGEALYHLGRFDECYNVLAMLCRLYPLNVLARASLDRAKSRLKEQKTGEFDFKLLQAE AKKLRPPHLDHATYIGPIEVRQTASKGRGLFVTKDVKAGDLLLCEKAFAHCYAPEESD AKKAGESTISILMNTETNTAFMGTQADLLTSIAQKMYHNPSVSSAFMDLYHGDYKGVD MTVVDQTPIVDTFQVERTIAFNSFGCPLSSINSQQKVRDHKEEPDSAFHSTGIWTQSS YINHSCTSNTRRSFIGDMMIIRATCDIKKDTELSFWYRCPIKGDATDAQKKFENWEFE CACSICSDNKSTAPPVLRKREMLRKSIETAFKVTSLGSGALKRIERLVGELNQTYSQP ADKVPRRLVWDPQLALAQAYASQNQSRNCIVAAGKILTGLGFLISGTDSPQTPFSIER WGMLQDYLVEAFVLLRNIFLMNKAVENSKKAGEYARTVYRMVVGEDATFESVWGLGGT LIYFHVQLSSLE VE01_07674 MPISEEKQKVISKAIEDCGLSPDLFETFKKIAEDDDGFGNDALY RCIVQAGKTIKVLKETFNETILLSLAKLCPCFVNSRPTMTPARARLNPIVCSSFHRTI VPFRSRRPQQATNHARQQGTRAGEVTKAGLRNGFR VE01_07675 MTSQLNYDEMYHDPTNRSTPAGNSNRPYPNIGGGGGGQRAVSSN FDPYAQQQQGQGYLQNEYAAMRYDAQRPDRLPQPQTLYQAPAFNAYDGAQTWSNNPSA AFSSTMGPPSRNRAQARRGALPAKTWLDQPQQQNAPYPSLQTQQPMQGMPNGHQPSMY HASQLAQPQRQDRQGSSTEDSASEELIPTAIVIKNIPFAIKREQLTDVMSEMHLPLPY AFNYHFDNGVFRGLAFANFSSPEETAAVIDAMNHMELQGRKLRVEYKKMLPLQERERI EREKRERRGQLEEQHRPMQMGVHNSASISSLSSALPATSPSPVSMRGQQETKIDMNDA TTLDYYGRLVVFKDDETRRMFVVEPPVPATYRRVLHELAHKLGLEHESAGTGDMRHVQ IFKDKRSNPLSMGSGLLYNDTSRRGLARAATMDFNESRDPLYHTLRNATSALLDVPSS PGTLTGAPRSLREAKSFGDLQARTSSPALSSSSFPANLSHNAARYADYQNAQLPPRDD YLPAAFSNLALGYERGGTAPRIPTAGAIGSQRSSHGLSTYEDVQRGGAAGGGAVERQP RGPGSEWGAGFARARTNGHASRGSGELDLGEVEAETRQQQQQLDGGGGGGGVSLLRYD VSS VE01_07676 MPPPANSRKRAAPGASPVPNLAMQNQPFPPPQQATNEQYMRWNQ GAADNAVFPDMNFGMAQYGANGVSNAPFQQAVPAQSTQLARRPVNQQLVPTASRTQFD NPNEWGLTEELFDPNAQIGGHAENDSIERLEERAQVAKREAQAKRKQIPPFVQKLNSF VDDAKNDELIRWSERGDSFVVLDEDEFAKNLIPELFKHNNYASFVRQLNMYGFHKRVG LSDNSMKASERKNKSPSEYYNPYFKRGHPNLLWLINKPKTPQKKGKGGLTKQEEGGAE ESDEDNRDGVEETFSGGYEHPSAVRALSAAPDNGQSGTVALTVVQKQLRDIQQQQGNI SSMIARLRKEHNDLYSQAVAFQTLHDRHESSINAILTFLATVYNRSLDGQGGPNIAQM FANSQDQHHQGSVVDLGNVNSGQPNASPIRRQQRLIMPPPSADGTSTAPSTASTGNYR PTPRPGAIEELFESSTTNSPPLKPESSSPATNNIIELINSTNANAPQNRDMAFPDALH HYENSNGASPLSPAQRADVLRAMASNSAPGMDALAPPPAPPQSLAQMGYTDSELEELA RMQREQDGRIEEVENMLSPLTPRLGGGPGPGADGYFGDATQGSNLDLDMYLDPGAYYG DPATGDVGGGVFGGGYGDAFADGGLDFGDTTGIGAGTGTETEVKDEGTGDANEGSPKR RRKQ VE01_07677 MLLYALVLGCLILGSSAGAGANVKGCVYYDSTPFQPFKVTFDVP TSVVHCMYDKGSNATAQVTGYGVTCASVGHVSGKSSSSGGDLCATDDSRWGMSYVAGP KSGTTYSTWSAPIFGSDHIDLYGQKSTTHICGSGSTCSDYSIKVSSGGEDTVYIIFNP LASPSIFDYLGSISSIFDDLESPNSQMMEILQEVEEDLKLAG VE01_07678 MEDAKTIFALKPLNGVDMEEITVADIQRHLSSGSFSSLELTEWT LSRIKQTNSYLGSVIEVNPDAAAIATALDAESKQGSLRGPLHGIPVLVKDNMATADKM QTTAGCRALIGSIVPRDAHIVHLLRASGAIILGHAGMSEWASIRGSEESMGYSARGGQ VRNPYNLSMSAWGSSSGSAVAVAAGIVVLAYGTETDTSIISPANYAGLVGIKPTVGLT SRAGVIPCSESLDSVGPFGRCVRDAVVGLQAIVGVDERDRYTAEAADHVVDYEKCLAS KESLKGAVFGLPMKRVWENVDEAIRPRFEEVFQMIRDAGATIVEVDFPCWEAMIDEKG WNWNSGPDDQSEYLVCGVEFYHGLRAYLAELTNTTIRSLEDVVAYNIAHHDGASPGDD PGFPSGQDLLEKLIDKKDVKDETYQAALRYIRSQTRENGIDAALTYQPDPSTPAIQLD ALLLADRAGPGQQLAAQAGYPIVTIPVGVDSDEMGGRPFGLSFQHTAWSEGRLIRWAS AVEDLLGVENRPRPMYREYLATNIPVLP VE01_07679 MAGGKGKSGGKSSGGKTGVDGSKKQQSHSSKAGLQFPCGRVKRF LKNNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGD EELDTLIRATIAFGGVLPHINRALLLKVEQKKKNKAIEA VE01_07680 MYPADIRNNISAPDSSMRYYRKAILTLNQRLESCSMSWELAILS SLIFLAHELLLGHDVVAFMHLKSGFRMLKAIRDRFTQYSADGKIVATNPSACALSGNL HDLVNAFARLDVQAATFERAYKPNNFRTATLPDRFTSVLQARDALNSILAAMYSLLQQ NRELIKKTLPYIPLPAKTAIEVEQIKMPLNRWSDLFSLFSKQNQVSPKQQMSIDILVI QHMAAWIHISTFFNHDQLSYDFYYSSFEQIVDLAQKVSSIDDDNKISRSRFSLDVGLI QPLLYVATHCRDPSLRRQAIKIMDGLGREGLYEGPSSAAVAKWVVKMEEDGMEHGFVR EGKRFHGELATVFDNIKRTFQVTGTRRNCDGVWEHVNGHATWEVHSI VE01_07681 MSIPENRLPVVRRILASNLPLPTTLSTVTKSEPAVEVTADDLSS APILDGNYAKISIFNHKSIPASTVGHGKLELEEVPGAGIVLPGGANIYYLDIAPGYST SIHRTTSVDYMVFQTGEVVYLTPDEAFNPETGKGRFVETLCKAGDILIQRATLHGWAN RTDEWVRILCVVLDAKSPSTDIQGGNARHQLSEVWDV VE01_07682 MSKGTTGIARHDLNVNDVAATSEVSRLLAEDTRPWHETAFELAD ISKTDSVVLGFDGSVLNGLQAVDKWQSHFGHPTSATLGLISASMAIGSVAAVPFIPYL NDRWGRRFNVILGSAIVAVGVVIQSAAINIGMLIASRTIMGFGLTVCLSGAAQLLTEL CYPKERARIIGMFQVSWYIGSIFAAGLTLGTYAWPSDWSWRLPTIFQIVPSLLQLVFI WFIPESPHWLISRDRHDEALAILIKYHGEGDANSAFVAAEFLQITETLRLEKEASSRP WRELFTTKANIRRVLVAFCVGLFSQWAGNGLVSYYLAKVLATIGITNRLKQQQINLSL SCWNLFTGATAAIVGTNYFPRRTQLLVGFGSMCVLFSCWTAASATFAKDNTNNSAATG VVALIFIYYAFYNLMMPLQYLYVSEVFPFIHRSKGIAIMQLANKGGTGFNQFVNPIGL DALQWKYYLVYVVLLAFETFTIWLIYPETKGVSLEEVAVVMEGDKANVGRFEKEKKGA SAYDDEYRL VE01_07683 MDAKKSNNGLRRLSSYSAIDPQNPFDAASIAPSSKNPFDTASLA PSTAASTSASLLSHASSTTITHTSGPFTPTSCLQIQTSGHSMVSLPTPPKTLETPIFT VGATGRCEVPVYISIRPLRTKGHCDLVRADDETRTPIATTRYRLGPSADPVVEILDDA TGRSEFTMETRWTSRTLAFGFGGQRFEWRYGGRKERKRVAEARGEGCDNLLILERVVG DERRQVARLVRGEETRTPGTKKSNAGNGGRLELSLEGEWSSGDGVDKGGSGISEAVVV VTVLVMLKKEIDRMRGWQAVVIAGVLGP VE01_07684 MQFIKLETSIPIPLVIAWGTSDDNPLGLGTFIIMEFIEGESLGK ILEGRPEPEHGAILRSDIDDNDLETVYRQVADILLQLSERDFSQIAK VE01_07685 MDPLIPETQPATPTLIRSRRRNFGNLILFLRLISVLVSLATFAI LIRYCVAQYKNGDMGGGVLGSLGSIIAAFIDWEQVGQLRHTRDKIGGLLPRSTAFMDA VALGFSVGSVVVIVRSDQKAADKVAEFGGPTDVDRQAAIALLATISGFRIVFFIFGGV VETGRFIARRRWIRRNREDQQRTDETGLMSPGRDEVVTA VE01_07686 MASSDVESSAYFHTSICKTLCDTIDDLICAGRIEPHLGAKILEN FVPSVKKAFAEHVREVVNMKGRLQYYRNFENKWWWVVRDCTVKLRTGVYVEMMYVDKL RIVGYPPGDEPNGKKGGGLEPVGRKVKQNTKRKINKEVEQDTKQDTTQEVKQDIKQET E VE01_07687 MSSLYNLEPQPTASCILHTTNGDISLELWAQQVPLTCRNFLQHC LDGYYNNTIFHRLVPGFILQGGDPTGTGSGGESIYDGGAFGEPRPPEGNGIWPMEERK GKNAGAHGAGFKDEFHSRLKYNRRGLLGMANEGPDTNGSQFFLTLENTPELQGKNTLF GRVVGDTIYNLAKIGEAEVEDNRPIYPVTLTSVEVLENPFKDMVARSRTAAPTISEKP APKPKKRKPTKQLLSFGADEEEGDEAPVLKKTKFDTRIVMDAEPTAASTSSEPKLEKK AEKKAQKIIKKPISVESSPEPSPAPKSLPSRPSNPVTREPSSSPEPVQKVSSLLDRTN AQIAELKASMKRTVTAAPVAAPKKSALESMIPSTSIRGRKRKPGGGGAASDQQALDIL KAFQAKLDSAGPEKEVETSTPLVGVESKEDEGDGDEEAALCDLHFIAECQSCKSWAAQ TEGADAEDDDDGAWMSHALSFQADKLGKDLSYRKKAEEELVVIDPREKARTLKEEKKA QRDARSGGGSRAWDVAKNEKMSRAAGLAGRGAK VE01_07688 MPEQVKPNVPYREIRAQYDDDTITVYQAYSASIAKAAVKEQKLS ASPDFSLQRMTWIKPSWAWMMYRSGYATKDARQSHILALKMKHKNFQELLNLAVVCGG GALSEEDRARAVRVQWDPERDPALGQLPYRSIQIGISRDISKKWSEEWIESIEDVTEM ATKLKEAVDGERELDVGELVNRGLVPIERPYTIPQELREILRMDCD VE01_07689 MSASQNPTTSSSPSTAQDSDNPGLTADELPTQPKSQSPTKHKGF PDTDFFNSFAEDGSRRSQRARKPIARMDSEQVPSPHQPPKTKLRARKNQPKRPRMTAP SPSAAEASYTDTGPDKAPKEAEAGSSPASILETDTGKAAEAYLSDSGNIDTPGFQTTP YDCCNDV VE01_07690 MSAVNLLKSPDDRNTWYIGPYGMFEIGPLRFPRSRKSVTYVHGR ASGETWATHEDGEAVQAEFGVEMGHGDVVAAASALYLMIEPEDEETVMRMATRTERSW KTWRI VE01_07691 MATNGGGAVPESVAAAVLVKSEEMPAGSKKVEELDFNAFADSKI TVEDLIAGMTNMGFQASSIGEAVKIINDMREWRDPETSDKTTIFLGYTSNLISSGLRG TLRYLVQHNHVSAIVTTAGGIEEDFIKCLGSTYMGAFSTPGAELRAKGLNRIGNLLVP NANYCAFEDWVVPILDAMLSEQEAAKGTEAEFHWTPSKVIHRLGKEINNEESVYYWAY KNNIPVFCPALTDGSLGDMLYFHTFKSSPAQLRIDIVEDIRRINTIAVRAKRAGMVIL GGGVVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKVDAASVKV YCEATAVFPMIVAATFAKPGSKDSAQKVELNTI VE01_07692 MYVYAPDSDDVRLRTRYAQPDSAGDSRRSPRDRSPARHSDQRDG GQFNGPARGVENNYRPGLDSRSNSNFSPRDNYRDSSRGSMRDFPSREPPRGPKALGDN IPSGPRVNSFSGDFRGRGRGRGRGWRDDSRDRGPRDIDRDFRDRRDDRGPPPPFRDER SHDREWEDRDRLDRDRRDSFRGGRASKIRPSSPRGRPRSPVRNDSRDATRGRGRGRDD WEPRAGRGRGMYFDGRGEPRGRRSRSPERGDRPRQDRPADSWDKTYPQRPTSSVSVSQ TRENPSPPPQAPEVPAFGSVISGTGGGQTAVGSAPVAIPTGPRSERPPASHGGRQEYP GSIQWTNPKFVAAPSKPDAATTSPVDRKAEEQQKFASSEPPKDVSNDVVEKREPEAPT GDDSTMMEAGEVDESPKVEEVTTLTWRPPTNYVTPEDEASDSDIDDDYFEDEISKVKS QLSQGVAKNTVEIFESVSIPFNNMSQLRSPVPPPAPPEPSPSIEAKENVPVKTTDAPT PMALDDVPVISADVEVPAAILPPVAKKSPEVSTPLFELENLALDVKPVTQPEEIEPVE DSIMTEPMLEVVRKRMATPPIADLPFAVTTPWQQETGFLKGIESDPDVMDFLVASLTM KADMRDREIEENSQIYKQKYKDWIDFHRSDNPLAVRCRERWEANRAAEVSRAASAQPA ESRTEGRRAVSRFATERDIERLLRQSEIEATEQRKRQDRAAKAKAASEKEAGIPDMLS IEEREATRYGDYTNLVASDRALAMFEVLPPIADFTEWERETFEKTMLDYPKQWGKIAE ALPGRNYKHCIQYYYLVKHELKLKEKLKKQIRGKGRKRKPKTSVHTVALGREEVEEEP TEGTTDRRRPRRAAAPIFGGAETAPSESDTTPAPTPARRGAATPKEGEPTTTGKRKGK ASGTREKATKQPKNNQLLAAAPNAAPKNQETKVPHAMPIIKEWQQPIVPPPEPVRFTS QIAPWDGPAPILPPYVPPSVVAEKPTAPIPSGHDVVPQGYPVPEHVGALPPGSAYNPD PQNQRNTAAPTSSYWSVPEQNDFPMLIEYYGTDWHGIAKWMASKTHIMVKNYYQRQID SGRSDLEEMARVADDKRARGENLGPPPAQTNHPIKRKYDGPSGSPQRPLGLTSMDDMD EMYLGGQLPPQTSTGMLFTGGMPPNRYDGFTNPIGRPSSSISTFEQDEKPPTSHALPG QHAPSPQPGGPRYAPLAQAGSISQASNAPSRSVTAPSKHLPQAQQIVQQMQQQRPPRG PTLGYFNSDTNRPIVRASSISNPSPQPIPAPDQARTTSRSHLVAQEAQAERQQALRLE EEQRLQTRQQQYRMKQETDVPGTTQFEQYPQQIPQHQQRGPPMHARTEAMSPVRAEDS RRANIGQYPPRNFQPSRNALGDLKASTGPSTPTSLASPGSSRGAPIAPPTHQHSPPVH QQPPSAPPPQPQPVAAIRQQETVRKTSSIMSLLNDEPSEPVASKHAPEPQSAMKPSPS PAPHQPMYQPRQAAPPPTQHLRRESSLTDIRGKAPPQSTRPPSTAQPPAIRHNDMAYS PIISNQNQPQSRQHVGSPLDSTAPDRGEYYAHQQYMMQQQQQQQHQQPPTSSPQTPIP YQPQQSQQPPQQSQPPQHPSHRQMAFGTSSHRSASPSVGYSHTSHHGSRHGSFDARQQ QGPPPTSTQGPQQPGYGTAQHPGPAMSPPYQQQAPQQHSLQPPRYISQPPSSSQARAS PLGQHSAQAQQMPPQAAQHAYGQLPPHRAQQPSPAPAAQRSYTPVSYDRHPGPYAPPP PQQPQPDRLTLQQQRQEEEAMLRRQPMRDGYGGPGPVIDRRAEEAYQRDLEERRLMEG RRVMEERREMDERRHQDERRQLGDHRQLDERMLEERRMMDERRQMEQQRRHMDDRDFR RQ VE01_07693 MPAYNSIFNSEPSPRLIGNFPLLPLRTRTRGPAFALPADPSLAA SESPEPDSESYDALDEVLGLFRANTFFRNFEIQGYADRLLVYGILWVSECLGKIRPAM SAREAQKEVQNVALDTNFSIPGDPGFPLNQMFEAPASRQDAEVLKQYLMQVRQELAQR LLARIYEDGSDRPSKWWLSFTKRKFMGKSL VE01_07694 MYSYVQPDAWVCLRLPSDTLKVVQVTPNTTIPLGKYGAFQSNLI LGRPYHLTYEIQDRKEGSPPSLRVVPASEIHADTIAEEEAAQNPTSDSITLGGDGVQF ELVNETGEVVMRSNRETIDDAARQTMTMEEIEILKRDGTDAGKDLIAKLMLSHTALDE KTAFSLAKYKLLKTKKYLRQFTVLPLDVPMLTHWLVDQKDAARILEIRNEVLALVGCW ANVHFSGDPYGLPTPALSPTVPGQGRWLVVDEIGGLLVASMAERMGILHASPPSADPP APATATTSKDADEDDESSPHDYLSRPPAGSNTITLIHANAQPNMSLLKYFDHNPDTPS ASHPLTTHLHTISWLQLLDPNADTTYSTPLPSLTPAELSTLKSGKRGQYYKKRRRLAR VSAIVDSTRAGSFDGLAIAAYMDPLTILPHLIPLLRGGAPVTIYSPNVEPLTRLADCY STSRRAAFVAAPPAEGDLTNWAGNEDFPLNPTLLLGVGVQTSRVREWQVLPGRTHPLM TSRGGAEGYLFTGTRVIPVEGKVEARGNYKRRKTEGGKSGDVSSVPTPAVQTPDVIEQ NIDDVVMGQDVDI VE01_07695 MDCIDAANAWSEAARRPTFLRRNLDKSTRDMGSLVSRQDSPQNP KRQASQENPRASKRQRIGIDGLDLTKMLEESKLSRKDRSAPESLEEPHSLAITFSGIS DKYGDKHESLIPRRLDGVPVKTRCSVQICYPTSECQNGTLTDIHEELLRISQEGEIRT AWNPATGHPETEINLPRSFVVPIRELYVPKPPTEKPKGPSVESPSEISDSEDDSDELV DQGEYGLAEKYFCHVSFSTVRTAPWPPLGLDSEISRVSPIGKQLRHGLSNKRDLKMVT KTELLPLEPLSMKLPLEIKLGPDRQTTQYQLALDAKWETPEVTQPENKELQAASKPNF VWKIEPGDEPPFYVPSETNMDDYTCGICLARLISVKALQLHLRASHTQFKFTLTKSTC DKQDFVITVSSTILPEIDTEVRSNSPVSVGSPVSNATSVDTDAQVNEAPTTLPQVNTR IHSNSPVGVGSSINNAPSVDPDAQVNKAPEVAIMRSIFDFEDDLSSPESIETNPLPTP EPSCVQNPSKPEQLPARDPSTSASVSEPQSKTSSPNTTPDAVSDFDESIEPRKRTRAP NSRRVIVDDDDEDGMPKLVVPKTAKPLYDIATKRVLKPGEPLPPSTVSQDWRIRKQAE LINNISDMTPAEKEFINRWDPFIFGQKLTSKIFMPTILKEFINVNREWLDEEQCRKKE LLKHLATLKMSGRIQEECLWECLEMFQTECISPRKARQASKLSAIAREERTLIDLWDK YVRDSKQKSLEKFVSVSETLRSGRSAHVSDGESVAMLRLFLGYYGRTSRRDIPALDSV FYNSNLPLPTTEAESEYVRFFSGFEKDFKASDETKSLEELMLSFLGDNTAWFFEEFQR FQWAKKHLQELQWTKKITLKIRENLLTYLETMESAYISDEDMPDADVSDSLSSKTQTD PHNNGKGKEATAPGRTASAPFVITPDPLNSPRPRNVRAFGECECGGYARPGESVMCSA EHCIARAFHRRCVPNPPPRGKVWYCRDCAAAGLGQNVETQLDRMDLSA VE01_07696 MSTFALPREALGRLAKEMPERSKQVAALGALVGPLCASPRNIVV YGLAATGKSAVISGVLDALSTGDAAGEEGALRYAIVRSKECITGRHLLERTVCAVAAA VDWEEGAGRCENLAQLAVLLGKMLEGREGRFVLVFDGVDKQRDAPPTLVPALARLGEV IPNLTTVFVVTSPRPHFLHNPGAPHVHFPSYTKAEAVAIVSRSVPSIYPSSPLEATSA SDGDDNVAIEGGEDNAIPRPTAKETADLYSRFLSAVWDTFAKYAGRDILSFRRIALTL WPRFTAPIRAGVYGPREFQRLLTSQRPLFRDESVLIPSIVNTSLALPPSTTPSQPQLP TPTISKPTAASLAPKHALTTPPLPYTSRILLIAAYLASYTPARLDTLLFAKSSGPTKK RRKNLGGNATKPRPGTAARRKLNRKLLGPQAFVMERCLAIFWALREEANGAGRAEAGG ADVLAAVAGLASLRLLGKTSRVADPLEGGCKWKVNVGWEVVRGVGRSVGIEVEEWIIE VE01_07697 MDQLQSSTLLQPPPQLTTTTTPTPTTKLHELPNTNMDDAKNPNG DSNISPTIEAINSAIDKLKTKFLPPIPHLLSVPTTDPYRGSRPWETHRNSPFEAFEFD ELQYMTLVTGDNRGVARPRGGWEEEMNSRSPYNVSRSRSGTATPQQGERGGAKPRSRI SLADYRSGKRVPKPAGDSQQTAGESSREKENVKVVTKAEPAKAAQNGATRSADGRHSG AVKNEDAPRPSDSRVPQSKPSAPQAKDNDRHARPPKAREQQNTTPKPTTMKQEISHPL PPKPAVSNHAPKRPLEKPEQSQPEKRLKIEAKHTQSGTVKGHQRNTSDPLSRLFGTAP KSKPSNAKQSQPTSVDNTPTKRADDKKASERGPASGSKAKDAPSATRVPEMPRLLSPL PEYLTSPTPVSFSSGHGAEKPGKNGRDSPSTTKAESSTAKRKEQSEKTSPFRLPELLP RELPPNVEELLAKNKQPTRKLDTVQARHEKSRNPDTPGVARKAPKLSAAEKRRASKAS DSSPPEITYEPPRQIVKLKYKKSMTKDIQRILKTKPIPNRAVLELADGIVPEKPAVSK ARAAESKRPRPVPDEQPERSIKRAKVPAKIDSDKAATGQLLPPFKSPGQPPTSGKKLP SASKVTPKKGDAMKSVAMRRVDSADDNTLTPKTGAASTPASTEKPRQNGGDKSIEVDR CRAANAKYSEMGKTLKRKRDVYIHSKENQLATLAGAESLLAYLVAFDAFDAMYRKMGR PNTGDNWLSLFPLLQANHDLGKAHLHLDIVILLIGGVASNALLAVHNLRFAKETPSNS PDYLRFRDAMSENIRRSQELWLQYRMACEELKSREPEAVREGGGMAFVRAPGGQALPV KTVKVEAARMLREYVKKHRLEWEMQVDF VE01_07698 MPHSTSPPLQLRRERSLSHATAPKPVAEARMSMEKFPDMDPSFL GEQDDQIPEPPRANGALNGVTPSPFERWQTRREPIPPSWTGKAAYHPTGRVHGRQKSL TDAFRTIRSRKGSVTANAHEIAGALKAPVSPKLITLCIVWYLSSALTNTSSKTILMAF PKPATLTLIQFGFVSSYCLLFSALAESFPAMKNAIPALRFGIRPPTRDVIVTTLPLAF FQIGGHLLSSSATQRIPVSLVHTIKGLSPLFTVFAYRIVFDIRYPLTTYLSLIPLTLG VMLACSASFKGNFIGILYAFLAAIIFVTQNIFSKRLFNEAAKAEADGQHQQSRRLDKL NLLCYSSGLAFLLTAPLWLFSEGFSLISDFLHDGSLDLENTSSPAALDHGRLTLEFIF NGTFHFGQNIIAFVLLSMVSPVTYSVASLIKRVFVVVIAIIWFQNATTPIQGFGIALT FFGLYLYDRTGHSNKADRKARLLDIKEEALLPIRELSGDVEAAAAVEPQSVSSASNPY VNGYNGPPEGNRLRSDSESVRGRPGGAGPPWIPPVTRPEDRWPRQDVKAS VE01_07699 MAPKMTKNQMRRAKKKEQKKTEDTAVKVEPTPEPEASSAPPVKS EEQPTPVKADDEDISQIEISALDISEDDPNYEMFKAIIDRFQVNKEGEDADEKANGDK GEIFYSDDEDIPDEEEEAEPKMSKKARKAQNKLSVAELKALVNKPELVDWTDTSAPDP RLLVHIKSYRNVVPVPTHWSLKREYLSSKRGVEKPPFALPKFIQETGIAEMRDAVLEK AADASLKSKQRERVQPKMGKLDIDYQKLYEAFFRHQTKPELTRYGEVYYEGKEYETNL RHLRPGELSEELKEALNIPPGAPPPWLINQQRFGPPPSYPSLKIPGLNAPPPPGGAWG FHPGGYGKPPVDEFNRPLYGGDIFGVLQPQVNNQAGEPIERTLWGELQAPEEEEEEEE SEEEEEEEEEEDTTGLETPGGLVTPGGMASAVPSEYPSEIDAGGAFDLRKSRKGTETE EYTGPRTAYTVIPERAIRAEGFFGGERAYDVSSINRPPPNVPVLGEEDQRKRKKPGDV EMAIDPEALEAGPDELRRRYESARREQDVAGVQGSGKWGTEEDLSEMIEKESRKRQKR DEERRSAR VE01_07700 MASRKQEPNEGVPTGGGGNGAGAPQTQPTQGSGEGAGSERREQG GGHGGNEIPESLRAGPQGGPGSTNPFARAQNTGNPPASGPTAEVNPWAGEEGKGGPGS SAPGANVPKANAPDASGPLAPSQRQDPNVTDQFQNLNIADQDTNPWEGPVEQKSIAEE KSHPNAQPVPPSFGKEDSGNDAWSSAPPPARTPPPVKVDETNESSNWDDDQEDLFPAP TGERVVTEEAPGESSRREVALAPAVPQKEPVREDGGSEPTGAGEQQSGVIDAAGQETS SQRPIPPRPPNLPPRNIEGSEEATTSHDENSGGEANAPSSRQDPGDSEEKSKGTYAIR NITWLDRRAARNPRVTPVLMQNANGPCPLLALVNAITISTPPGQASSLIQTLQTREQI SLELLLNAIIDNLMAGLRGDGTEELPDLGDLYTFLITLHTGMNVNPRFFALGATNLAN DPRRSMSHIHPSEREDLIPGTFEQTREIMLYGTFLIPLMHGWLPERGSDAEAALARSA RTYEDAQNIMFREEELEMKFIGDGLTLEEQTTLDDIMTIKSFLSSSGTQLTKSGLEII RRALAPGSVAILFRNDHFSTLYKNPQNDELMHLVTDMGLAGHDEIVWESLPDINGENC AFYSGDFRPVGGDTDSRQAASDWDSRIESSEPSTDSSGAPFGRHQRVASSSVEQEDHD LALAIQLQEEENERHEEEVARRRRQSGSTQQQQGASSQARNTSSNDIPVTRSDGASSS GPRGGNAGGSSLPQAPAEFPLESPPLQTSNPPLSPDEILPSYDIAARQPAFNPPPQHP SHPNISPEVGRRLRDLPAAERQAERMRLARAQVPAPRMAPAQPVGGRGNRRQNQDGRG RGKDKECVVM VE01_07701 MDIILNYDDDCDEYDDGEVGGGEDGEVFEVARVQRPVKAFRTRS FSERIANDMGLKAGGGASKRHPGSSVTPPSRIYIAGKPQLPDIPTSGDYTAVDGDKGC GDSEHGTTQTSLPQAGTQVPQPGLPIQTQPENTTINSVSQLSHIIPETNTVPGKGEMM PNPAKPSFLNIPASPTMPNLPSRPEPRVGSDLIVLLPPRRQQVLSRRQAPGVPARVST DRYRPAQSLTVPRRYAPRGDGEVTKMGAGESYRPSVRPRSPRSDTSRFDNRDRDRSPR RERPRSPQASDAYIPGGRDRSPLRRRSRSPREGEKSAASEEPSPTQEPTADEEPSEAE EPNAGHGLLLTTVAHYELDHLSQEDLHQQDPGGVDGVQGLVAHRAVTTASQLPKRRSL GDDHDRHLLLEIDLQGETLNTAHVAHHQDLATAQRHLWMTFRVCARPFHETGLHAGIQ RDPRHANGRQHAQRLRCANRNFSAPIRSPSASGQSTPLSMPAPSRPEGTAAVAPPSGP RNFNPPPARGGFLPRGGRGSFSDRRPEPGWGGVHNNRPPSVQSPTLPATTPSATPAIP TGPRASSSGSDWKTAPPSRSSSISSAPFHGHNTKTFTPSVPRVHPAIANLPPVIPGGK IDPTYSPVPKELEARIRKTDEDLERMREDLNAKEEKLRKGLAQWDRLARESASMGLKA ELSERHVRMLAGEGVGGAAF VE01_07702 MPIQSAAEEYFQHNHRLVQRHRNGQLFAGPIDSQYDYLTTYLKE WVSSPLQSLIMVHYPILAEESLKGLCVDLVGKFKECNIPVIWLMRGPETPPKEPITTH LLRSLTMQVMQLPGFLGQPEAIDLMNESESYWYLYLGAVLAQFENIFIVIDSTVLSSG PLISGNPWITTLLIICENHRQRFSEYRYPPEVGVKVALAIRGRGERGLGPPGPQWEDH WSYVDIAREEESSEQRTVRRTGNWLLAEVIEAYNDRITGRSSRNSTGQPSVPPSRNTV AEPVKVFPGSSFGPPTRTGAPNSQPRAVKSPFVGIYPSETVEQRDELEWLNQEWRRKV WASHDEEERYARENQRERERYRRERNRDSLTRYKQYEEHYFSGRERERPASRQENEEA ERYLRRRDMELLAQRPVDRAEMQIAEGPSSTLRHHSSAYSLQTQPNSNSIMFPISTQP GPSTTSSNLSPYNFSADNLGRLSLQDLRQSSDVRPIATQVPRSQFPTAFSQQSQEQPA GSQQTSSTTKLISHKADVLVSNFELFDNQKPSGDAADKADKADVWFKYLRDRVHPAMP PIPKKEDRIGIAVLDTGIYMKDDFIDLKENRTRVTYQSFVTGDTSPKEPSDLVGHGTH AAGLLLCVAPNAEIYVAKISDTDGIHVPQEIANAIRHAVDIWHVHIISMSFGFDELTL ERDCIRSAILHAHYKGTVLFAAARNNGGLKQIAYPASETEVICINSTDGEGNPSSFNP SSKNDKNFGTVGEAVLSSWPHSGKKRMTGTSFATPIAAGIAAFTMDFMEQKKKGWSEH DQYVAARIKRNRGIIAVFDKHLSDLRVDFRFLCPWLFFDKDETGLDRAILKTLRRYA VE01_07703 MASAKKTYFLVPKWDFPPEALRLGNLIADPAEPHRPLNATPPLR TPPTTSPDEQATSPPLIGIDSPIYETTKTSYTKIASVTKKKSLSLIAQISLITGIGGN TSLSSARNEDLSYSFRSMHSEWFVPSSSFISAAVAQPKVAGFISMLSSRRPIYMITGL KHITGVVASSHSGKERSGGGGVSADATALGVPLSVGINAERGVGTGETVEWECEGPIV FVYQLEKLTRRNDEWNGKEYSTKGAFMGVEEAAKEDEWVVEGDNGILEGLDEEDLEIR GGGWDDLEEEECVLVVPRV VE01_07704 MSTTTSTTTTSTSPSTKRHHHEHDDVVDTPRKRRQTIQKEANVE SFQVTHQEVLLLHGVKQPYTHTTKYEIPQIKTDTEMLVKVNVIGLNPIDWKASDFGWG LPALPCISGRDLAGTVVKTPKRESRFKVGDKVIAVSTDYRDNRKSAYQEYAIASEHNV CRLPPSISALDAAPVGVAYVAAALMLGVCIGLDFSHVNGPDLYTISRKLDPESVPKDI KDEVFNSNITPALRPKKGDWLAIWGGSSATGCIALQLAKLAGLRVVCILDVARNGARM LKLGADLLIDRLDTERAVEIVRSVTGGQLTWGIDTRGKESAGLLARAMASPSDAKAEG DNDKGKALGHLVALTGRPSSPTPGIQYHTLPIKLFHEAAPVGEALMVWLERLFEEGKL HPPPIEVATGGLQGVNGALDRLREGAAGGERIVVPLT VE01_07705 MVSSAPASVGEDVYFQVPPPLSQAVGYVVVVVIGLVFAIGMIFV TKLLKKTVNEDNTKTEMFITANRSVGTGLTASAVISSWLWSTAILGSSLVGYSYGISG PFWFAAGCSPMIVFFALLGMVCKMRIPEAHTLLEIVRIRYGTVAHIVFMFLCLLNNLF AVANMLLGASAAINALTGIHTIAATFLVPVGVVLYTFVGGIKATFLTDYIHTFAILII ICFFTVKAFTIPEIGSIGGLYDLVVSAALRHPPTGNHGSTYLTMTSKDGLLFGILHLC ANFGLVIMDTSFFVKAFAASPKATVPGYVLGGIMYFAIPWCLGTLMSSVALGLENNPA FPTYPRRMTSTEVGNGLVLPYAAMAIAGKGGAAAILLATFMAVTSTLSAQVIAVSSII SFDIYRTYFRPQATDADVIRWSHYGVVFFGVVAAAFSTLLFYVGVNLGWTLYMLGVIT CPGIFPTVFTLLWKHQSRAAVIVSPILGMLTGIGVWLGSAYALYGAVTIETTGFALPC VYGTVASAFSPLPYTLIITVFKPQNYDWEDFKKEKLAFAATPSTTNTSSSSSTEVEKL DEPVSQAVMRRWAYIAALFSAATFLGHWVLWPLPMYASKYIFSKSFFTAWVVVAIIWV WGTLLVAGFYPIVDGRQQLGLIWTAVREGKLRKGNSGGKSERGGSETSSTSGEAENGG VKGADI VE01_07706 MTTTNGTSKAEGAQPPAGKKLLILNAFVMMCSGHQSPGLWRHPK DQSHRFNELEYWQDLAKLLEKGKFHGMFIADVLGGYDVYKGPQNLEPVKVSGAQWPIN EPLQVVPAMAGVTKNLGFGVTIATTYEQPYHLARRISTADHLTKGRLAWNIVTGYLDS AARNLGHTSQPNHADRYAAADEYIEVIYKLLESSWRDDAVVLDAKRGVYTEPSRVRKI DHVGKFYNVPGPHICQPSPQRTPVLCQAGTSKSGQAFASKNAEVVFVAGHSPSVIKKN VAAIREQAAENGRDPQNVKFLTMLCPILGRTQEEAEAKYEEYTNLASVDGALALFGGW TGIDLDTYDDDQELRHVESNAIRSAVEGWSKSLPGVAKWTKHTVAKHIGVGGLGATVV GTPEKVADELERWVAEADVDGFNIAYSITPGSFEDVIELLLPELKKRGIFHEDYEVDG GTYRENLYKRPGQSGLLPDHPAAHYRWRVPEEDSQANNKRKREDNDTKP VE01_07707 MLFYKPLNICSGLLLLCSNVDAQQASNAKLPDPFHFVDGSAVTS KSQWDTRREEINQLYQNDEAGTFPPKPSTFSATFASNILTITCSEGGKPISFTASIKY PSSGQVPYPAIIGYGGGSIPAPAGVAMINYNNLDLAADATTRGGGKFYTLYGTSTTAG AEAAWAWGASRILDALEITPGARINPERVGITGCSRYGKGAFMAGALEPRYALTIPQE SGVSGSGCWRLAADLNKNGQSVEAAGNLAMPNTNWLCPAFDTFAKAINTLPFDHHMIA GMIAPRGLYVIDNLDYVWLGPESNFGCMTAAQEIYKSLGATSSMGYSAIGGHAHCAFD SRQQSELTAFVNRFLLDKDVDTTVINNGGGFTFDKSKWINWTTPTLT VE01_07708 MAQRARRQPRSAASTSSSRHAGHRISYRESSSDSADEVDEKADY EPSRAPKRAKTSSNAASARPRRQRAARDGSDSDEQILYEHSPRKRRLQPASRTSTIKK TIPMPKPVVDVDRYSAGVMPPWQTLPYQILLQIFYYASYPLVDERTFNQTANWQWLFQ TSKLCRSFSEPALTILYRSPCLTPMDRAHTLCDLLKSDQSNMVYNYRSKVEKLQVEVL QTAAYTLTGSGVLDLYGLVFHAPRLVDLELYHQKDFTPYRELDETIKWSYPPQLFEAL SKNVDGVPTRLQSWRWSSRMVSKHPNPENDLRRIIELHQTPCFKGLRKVAFINYQAPV RPKKITAEFVAPEHVKLLAEAISHLPNLEHVIFEASSLLNHELLLGLPNNLKHLDIIA CSELSSDDLAEFLVTHGRQLRTLTLNHNQSLSISFLTILGSACPNLVSLQMNLTYYNL HATFKDSEPFFDQLLREDEVPTWPTTLQTLELIQLRKWDVDAAEVFFRSLLDSAGTLP HLRTLVIKAILDTISWRDRGGFRDRWQKAFERVFKRKPTPPNPHLRSMGAYAAHKKQL AEPPKPGPQVIDLSDDDLPMSRLTRKPRLTTIFDEDEEPKRRTLRSANPPPKRRRVLE RLSYRESSSEPEVTPPPGQSPALSPKSRHAARELAALRSTAGFHGPKALSPSSRLPSL TSDASDSDDAPLVHRRRGGDGGKEKEKEKEKPKREVVQGMCEVVDFKIDNLRPTEKQF REEDFLDSEPEGDEDWDGTNEGGAGDGYAW VE01_07709 MGHLITVATCSLNQWALDFQGNLQRIIESIKKAKLAGSKLRVGP ELEICGYGCLDHFLEDDTILHSWECLSEIIEHPDCQNILLDVGMPVKHKSVRYNCRII IYNKKILLIRPKLSLANDGNYYEMRYFTPWKGVRVVEDHYLPRSITKIMGQKTAPIGD ALISTLDSALGCETCEELFTPQAPHIAMGLDGCEIYTNSSGSHHELRKLHTRVELIVS ATLKSGGIYLYANQQGCDGDRLYYDGCALIVVNGKVLAQGSQFSLNDVEVITATIDLE EVRSYREHKSRAMQTRDQPKYERIEVEMSLSSEVDEIDLLLHPSPARAVVYNTPEEEI AYGPACYLFDYLRRSKQAGFFLPLSGGIDSCATAVIVHSMTRLILRAIQLQENPQVLI DLHRICGESEGSTWEPKSPQEIANRIFCTAYMGMEKNSSPETRKRAADLAAIIGANHL DFDIDPVFDAQVKLLTSTTGFEPKFKMYGGTKVSNLALQNIQARLRMVNAYTLAQLLP QINGRREGAPGSLLVLGSANVDEQIRGYYTKYDCSSADINPIGGISKTDLKSFIHWAS QKDNFGIGLLQQFLDAPPTAELEPLTEDYVQGMTYNELSVYGRMRKIDKLGVYGMWEK LLHVWGDKLSPQQIYEKVRFFSWNYAINRHKMTTVTPAYHMEAYGVDDNRFDMRPFLY PSFEWAYRKIERSIKQMGAAGTRAAATEDKDN VE01_07710 MAGSNSILTAIHKPPRLSLVNYLEEIELFRRQDMPDKTLLDIAA LMGYDALVTVLLKRKPLPSIDSMLSAMVHASAHGNNSVVKFFIGSKTKILDPQFTFRH KSPIAEAAKNGNRSTVKLLLALGVSLEKSQWCSERIALIEAAEHGYEDIVKLLLTQRL TDSESWEYNSVALRLAAKAGYYGIVEELLENIGKNDLDHVAVKRRAVSIIDSQDEEGR TTLHHGVMNGHAKIVSLLLNSGANLEVQSAREHFAPSSTIYNAPVWSYSENWTALHFA AAYGKADIAMILLQHGANIDAVDSHGQTSLHLASTKGTVETVRVLLDYYPKLEAIDNV GARASHKAAASGHLDIVRLLIDQDCRINAITSRFETLLHLAVKNQHTEVAAFLIAHGL DIDAEDSSRRTPLHLSALNGDLPTTELLLSSNAYLQTKDIGGKTPLHYAARYSSRVYD LLLSHGANPDTKDRQGDTPHPSRLLQNFEAKNAQLLSPKAGDVPELTGSLTKPRTASS SQNLELSPELNDWIFKFGNQEGKGAVSMLNLLAFEEGKKEEYLKYGAEFAKSVGSRRG GTAKIVGTVVRQDGDGGEGWDEVALAHYPSIWHFADMLASEDYQIVNQKYRVGSLRDT FILCTTEIGIETEADKNHAKL VE01_07711 MSPSQNKQRGKRTTSTTDNELPRTNGQSGSQSNNSTNRESSNAP SVSQPETDLSPLAQELRGASEQLSNIQKAIGAITASCIQHADDITQIPEFREKYESLR KEVENKDIIIANLRTALDVLDERAAYKEKAAADDIEANLAEGRRLEQEKVEFERQKKA AAEGLKEQESKLKDEVTKTISRREEEQDKKFSAQRKALENDIEKRKKDQEEELGNLKT KTEKDLETIGKLKAQIDKLRFQSKKEVQKYEDEKRLKEVYKQDIEGLEGELKNLQEEF SLSSEPLEYYQSEFLNISGAIQNIAVRYLSRDLNKEEVATLPSEIPVADVTFSDVPFS NTETSRQLRIAHSQRVIADAVHGTVWQPFSSDITTDDSKLSKLLQDVGAAVGTSRSAD VWRAVTMRALKSISNAHTQTQHSPSQPLSVAHAIQSREDKLMELVLSVLGPLLDPLDL LQFKADLLQITKQAISVWTSAQADERTFTVNPKLNQGNKHDWKIATLDYAPFYVDNSS QVVGPMRRNAAGVFTLFPIITATKRIQGQKVGLAPPGSWPGKDQQQVLNAEVTLIHDS LGLPQDSDIVQAGISEREEVIKMRLVHEEEWNQKIAEKVAHTRNNSTAGAMSGPPSPS ASWGMNKLSSQKSETGK VE01_07712 MPTLAVTNFNVVCSVLGGFITLFGLVSYLFKEKFYLSEALISLL AGVVFSPPATNFVRPLEYANGDKIALEQITLAFSRLVLGVQLVLAGVQLPSRYLKREW KPLSLLLGPIMATMWMCSSLLIWAMVPNLPFLHALAVGACVTPTDPVLSNSIVKGKFA DKNVPKELQKIIIAESGANDGLGYPFLFLALYLIKYTGEGGLGQTGGAGKAMGLWFYE TWAYTIILSVVYGAVVGWTANQLLHWAEERRFVDRESFLVFAIALALFILGTCGMIGS DDVLACFIAGNAFTWDDWFRLETLDDSLQPTIDMLLNLSVFLWYGATCPWELFLNNNV IPLYRLVFLGLLILAFRRLPIVFAMHKFIHQIDEKRQAVFVGFFGPIGVSAIFYLYIG REFLRELSTGDAERPDAESLAETLNVVVWFLVICSIVVHGISIPLGKLGFYLPRTISQ ALDSTRESEIEDIEEPRSFQLRRRNRNRRERTHSGEISAPQDAVLNRGTVIPASRDDT APSSGRSTVVGRENGTIKFAGDSITRVG VE01_07713 MFFNRPALVARSLAVAAAFFNSADAKTAAEWREASIYQVLTDRF ATTDGTSPHCDISNYCGGTWKGIENKLDYIQGMGFDAVWISPVIHNIEDSTQWGQAYH GYWGNDPSSLNPHFGTPADLKSLSDALHGRGMSLMIDVVINHLAANQASGSVDYSVFP APFNTASAFHNPCSIDYNNQGSIENCWLVTDTPSLPDVNSENGDVYTAMVNSVADIVK TYGIDGIRLDTAKHVPKPYLTQFQEAVGVYVTGEVLDGNPDFVAGYEGPISSVLNYPL WYALIDSFMGADFSRLASMMGTVVSTFKDVNAMANFLDNHDQPRFASRQGNDVVRDRN AATYLMFASGIPVVYYGFEHRFGGAADPNNREALWGSGYNTDAALYKHIAQLHQIRDI ASNLAGKAEYFAWGAEVLGTSSQYLAMKRGPIVVVVSNVGAAGTINGFSVPSSQFDSG DTIVDLFTCTTVTAGTGGAFQSAANNGESRVWIRTQDKGSFCP VE01_07714 MAEKNTDAHVGPNGQPISASRRGSVSKSAGPFQTEIEDVTNVEM TDEQSRKVLRKIDLFLLPIMGFCYMLQFLDKGALAASTLLGILDPKTGIHLKGSDLAW CSGIFYFGYMAWSFPSAYFVVRLPIGRYLSMAVVLWGGILMTTAGCKSFTGLMIQRFF LGVAEAAVAPGFSLITGMFYLRKEQPVRQAGWFIGNCVALILGGLVSYGVLNIPNPAI PHWKLLFLIEGAVTVAYGVFMFFVLPDSVSTAWFLKPEERLIALARTLKNKTGIMDNG KFRWPQLWEALRDPQTWLLVLYTFCVNLPNGALTTFQPLIIKGMGFSSLKSILLGLPM GGVEIVFLVITSFLASYLRNARVLLMIFNTAVSMVGMVLVYCLDSQAGRMTGLVFTVV FAMNIPISLSLVTSNVAGFTKRSIVSSMLFVGYCVGNIVGPQFFLASEAPVYKTGLAS ALAGYSFSIFFLILLYLYYHFENKRRDKLYGSETTIDSDQELADELSNQTDRTITSFR YLL VE01_07715 MGGDSSALAGDIKVEVDAAIDSLNQRLREVNRKIWENPELGEQE FMAHDTLCSFLEEQGYAVTRSAYGRPTAFEVLSGSGGRLVNFNAEYDCLPGIGHACGH NLIATSSVAAFLGLSMSLKKLGVPGRVQLLGTPDEEGSGAKIKLLEAGAYKGVDASMM AHPISGEMSGSGDAVNDGVAGVRMIARQFLSAEYRGKNAHAGGNPWKGVNALDSAVAA YNNISLLRQQTAPDDRIHNVLLESEKTVNVIPAYAKAAYQARSPRLASLKVLTARVVN CINAAALATGTDVKITYGALYADVVLNETICGLYTDHTARYGQQVTKISPIIVTGSTD QGNVSQAMPSLHTMFCIPSEDGVGPHNAGFAKAAGTDVAHDKAIIVGKTMALVGFDIL TKDDVYKNVKADWEKAMAA VE01_07716 MASLKLAALELISLARLEPTEHLLLKQFVEGAVDPERAAQYLLS NINKSSHQEVETSLRCFKKDWRDLVMTLRALDPIPVCLDELVRRRDGPYCSTSSIDPP KKGIVSMSETAYIIPPSMFQSVDLAKDGRMRTILDAFLSPLHIARLRTLLQSHGADDR TILQNLWLLSPTIHRAFRGGHVNVEARGLTSKNPETELQEIDSEPEGTKLPEAGPNID QLVLAFEAKAYIGSTNSPEECSIGLGAGSMELAPVQRVPFGLVIKDCLRSYENEVNAL RLVGHHTSVPAPRIVDTGVYGNKKYLVMSRLPGQMLGDVLHLMSYAERDRFADKLGEC VAQIRQIPNFTPHHLCDTLGGPLSDHRIPDTCGGPYNTEEDFNDHLTSHLNCTSAAFF NGQTPPQNHTIYFTHSDFHWTNLLVDRGQLSGIVDWEIAGHKPEYWEYTKAVWTSLGD PILQALFHRAFKKFGNYEAELAAERKLWRYTPFGV VE01_07717 MANLPEKNQDGKLAHEEEDPTLEKHNVVETRVVMGDEAFQQAML KEPPIPWNAIAIQLYLISLIGFCCSTSNGYDSSLFGNLLNNKAFKDFFHVKNVGIWAG IVTSMNQIGGIAALPFVGPAIDTFGRRIGMIIGGVTITLGVILQGTCVSNRSIGQFMG GRFFMGMGITLIASAGPCYVIEVSHPAYRGVVTGLYNVFWPVGAIVASGASRGSHDMP SPITWTLPVWLQMLFPGIVVVGALFIPESPRWLYTNGKMDQARAFLVKYHGNGNPNSE WVTLQMNEYEQYLELEGADKRWWDYRALFKDRASRYRLMTNCLTALFGQWAGNGVVSY FLSAVLDTAGIKDSVTQGNLFVAMNAVQIIISGIGSLFVDKIGRRPMLFWVNVGCCIC WIGVSAAAGIQDKTGSKASSVATVAMIYIFQAVYSFGWTPLQALYPVEVLSFEMRAKG MAFSSLFVNFGTLVNQFGFPVALEKIKWKTYLVFMVWCAVQAGIIWVLMPETKNRTLE ELDDIFRAKDPRKASTEKKKLELDANANILAVGRDNEVD VE01_07718 MTGASHETHERVDKSPENFVAGPSYETRERMEKFPENFMAGVSF ETREGMGKGPENTTSGASYTARDSISGSDRIELYTGNSRDPLPLPNPADVAFAEDRDR VYPPTGQVILIMASLLVSMFLVALDRMIIATAIPKITDEFRSLSDVGWYASSFMLAGS APILLFGKIYTFYSPKWLLLGAMGLFETGNLICGVALSSKTLIVGRAIAGLGAAGIFT GCVVGVQHVLPLQKRPMAMGLFGGVFAVASIIGPLLGGALTDGISWRWCFYVNLPIGG VAMAVLAVVLKLPAPAAAETSLKKKLWQLDPVGTICFIPGISCILLALQWGGNQYPWN DGRIIALFVVGVLLIIAFVCVQIWLQDGATVPPRIIKKRSVASGFCFSLCVGASLIVC VLYLAIYFQSVKGVSAVQSGIDTIPLLFATSFGAIVGGGSVSRFGYYAPFMLAGPPIM AAGAGLLTTFHVNTPPSQWIGYQIIFGLGCGICMQQPSVAAQRVLSRKDIAIGSALMM FAQQLSGSVFVPIAQSIFQNRLVHTLSAIPGVDIKAIVDVGATDLRRIVPPAQVDGVL KAFNDALVATFFVVTVASVLALLPALTVEWLSVKVKKDTAGGEKIPMGDMGA VE01_07719 MSSSRFNAARPKRAGEEYTRFQQPQTADGPSPKKPKFDLRNPSA LAPDAPEDDAVLDADVLGGGAHTKRNAVNIDGYDSDSENEGFDARAEERGRGKGGDVN LFEQLDSYEKNGSSRAGAHTKDEDDEDDDMFGGEEDEAGEEGAEGGDNVRKSKGVRFL EEDEIEGQVDASKSGGHISGNFALDPKGKLSTHAMDDRESSDDEDDAALAAEEEGVDE EVGAGGLKRNAPRVDAFNMKAEQEEGRFDAAGNYVRKAGDPDAAHDTWLEGVSKKDMK RAAEAHEKREAERRQQRLDDDAMLTSDILRTLILQLEKGESVLEALARLGKGQTKVKK IPKWKLKKQKQGDDGMDVDAEKPAEDPAQVKIRDSINAITGAADQLLTRGQTDIYEQE REMLIRQYGRETGDAWVEPSSEDTEEPSGARPAKMWEYRWIDGRDGAAKQGPYDGPTM VAWQGAGYFGEGVEFRRAGEEGAWSRVVDFV VE01_07720 MFNPDFFDANDPAQMYNMLQSGAMRGAPARRFDEYYRCYPTVMM SGPNRDYLNYGGKIIMPPSALDKLTRLHITYPMLFELINKPKKAQTHAGVLEFVAEEG KVYLPQWMMNTLHLEVGDLIQIKSTNLADAKLVKLQPQSPTFLDISDPKAVLENAFRN FSTLTKGDIFSFHYNDTVYDVAVLEVKPESDKMGVSMIETDVEVDFAAPVGYVEPERT KGSGTSTPRSTKGGVPAGGLLHNQGTMAQSINYDAISPNSTAATSGAKAVSSNFLLGG QKLNAKKGGKAPTPKPSTPVAGASTNAPEAAAAIARSSGPQPLRLPPNKLFFGYDIKP LKTEEDREKENADAMQPAFAGQGQTLRGGVKRKGDNTEEASKEEAPKKPEPSVGRRLD GRKP VE01_07721 MPSPWTPEADRDLLLAIIDENKLQGLDWRVIAAKMATKNENYSF SHEGCRQHFQKLRKASNTGANGSVPSTPRTPKTPKTPASRKPKQLDNNVDDEEAEDFA TPSKKRKRDVVKEDQENGGFKEINGIAFGGQTAAQFKMESLSGEEEFVDLDEE VE01_07722 MPSEAQMAKFMYYIVKQLDVRSVDWNLVASDMEITNGHAARMRF ARFKNQMEGTVPKARAPRDKSRAPKAKRVKTKDEKKEEDGGEKALLKLKLEEGAAADS APASGEPAEQALARSPAVKPEPADDVVLGDADAPGEAVNESELPKQTTHMSHTPSHSP PNSTNPTPSHSLATPTHLHGSPYHHLLPEPRRSSVNYSPASSYTFSPHEIMSSQGSMF MQSMEGVGSQDMGMAPTAAFYDPFLYVLPQSHQQQQQQTLVDAQGRLVKGELQWDTSF C VE01_07723 MSGQPPSSPAQPGATRVPTPLECNFLVAIVKNSDGVTNIDWDAV ASEAGYNNAATARVRFGQVKKALGWTVRVVGSKGSPIKSPTKVEKKPAKPRAARKPKA LTKKQQEALAAAVDDDSNDNEQEDADVYKEEDDANGHKKEFGNGYKQEHDEVVKTEDV DEDADTAVEEEEYASADDYA VE01_07724 MPSKKLDTVAEGVADTAGSGMRADDVLFLIDCLHNNTGGQVQID CSAVAKKRNMANPRSVANRIAIMKKKYGLPLAASTAKVAAKTSDVVDGNNDLAIGTQA SPVKRTAKKNAARKAQYKIAKREETRAQSLSDNNVDKDHQSAMDTDEMEKVVFGNPDD DEENDDHQDGMEAMVNGNHDIV VE01_07725 MPPATNEEQFRFLISCIRWSNNGKVDFTEVAKECQVVSKGAAAK RYERMMRAHGIHPNGGPGRAPTARQPKTERNAGPSPTKKAKGKAAAFDESMNVDDDEE PAGSDNFKAENSQDEELLVIKSEQFYEVPDLQDD VE01_07726 MAPVKKPKVGTKSATGSEAKNTIARRRNSAAKVAVGRPTSRSPQ KRPAKSNEDDGVGDDEPPYEPSDDEIDGDSTEGNFHSSPVTKATKGKKGPVKSAKKMI YKKHLRRDATKFTTSQAMVDAEEEFDPRAAAYRGKATQSGGNGRKKGRRLIRWTAQTD QLLLLCLHHELARNKEELPYEAIAQRMFPEKNATGGAIKERFAKLRIECLNRGSWVPP ILGKTPQNTRPDVRGVVRLAPGIDKGRFVLWKEDASKLIDPKDINKGHVDAQKKGLQY SERVWISPGAEKEYLKSTHAHKALNLSPISGPVPMDDDELLSDEDEEEEMEAVSDDGA VVPCTPKKRKATVINDSSKKKPRPVARPSPKKIAAKGRATQADESEYEGTTAGAGSNR LSPKKEPGAGRYRSPRGRPKSYDMTPPDEDSDSGATKTAESSDESEDDESPTNNRLMM MAFEKDEEVRPLRSIVIVRGFTPGALSKFPPGIQGPGDDDYADDEVAEGPGIQGPGDN DYADDQVAEGPTASYNGSESVIDADDDKAALHFEDNPDIEFDDSIHGVGQDGSMDEQN FNLQDLLAAMNRNTDNNGQLINKDLWEIHVEQMNALKGAGMQNPPPAQSRHQTQIPQY IQHQTQNQLTPNGFGSFPGNFGGPQVSFGGSKIRFGDIDFQPMHSDGIQGKAAYYNTK NFIENGMIDTAQYEKMKGMESNSSGHIKPDPVPAGFSGFDATIPPVRDDEFFSPDYVG GGEASLDGGFGPLGPLEGYF VE01_07727 MQRALTQRARASVLSASAVSKLRPAASVNTQQLRFAHKELKFGV DARASLLTGVETLAKAVATTLGPKGRNVLIESSYGSPKITKDGVTVARAITLQDKFEN LGARLLQDVASKTNETAGDGTTSATVLARAIFSETVKNVAAGCNPMDLRRGTQAAVEA VVEYLQANKRDVTTSAEIAQVATISANGDQHIGALIASAMEKVGKEGVITVKEGKTME DELSVTEGMRFDRGFVSPYFITDTKAQKVEFEKPLILLSEKKISAVQDIIPALEASTQ LRRPLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIGILTNSTV FTDELDIKLEKATADMLGSTGSITITKEDTIILNGDGSKDSISQRCEQIRGVINDPTT TDYEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRYVDALNATRAAVELGILPGG GTALLKAAANALGNVKPANFDQQLGVSIIKNAITKPARTIVENAGLEGSVIVGKLMDE FKGEFNKGFNSATGEYVDMIEAGILDPFKVVRTGLVDASGVASLLGTTEVAIVEGEDK SGGPPMGGMGGGMGGMGGMGGMIVQVSQECVSKFNELKLGKTIKYIIYKLSDDNKEIV VEDTSEDADWDGFREKLINAKSKTKSGALTKGPRYAVYDFAYDLSSGEGSRSKITFIA WSPDDAGIQPKMVYASSKDALKRSLNGIAAEFQANDEDDIEYASVLNKVSKGLA VE01_07728 MSELSFAESFLTTLSNRPSKISPTHAEDPKSYPPRGAYILPKLP KTLPKRRKLAPGTQRTLTITLRSARNPPIEVALKQQSPLLSVLDLKAEVSAQANIPVD KIKILHRRKPVGDAKVVEELVGEEDTEIEFGIMVIGGAASVGTGTRGKSEEQLPSAAV GESGREVFGTEAFWADLRGFLAQRVKDAGEGERAAALFREAWEARGGAS VE01_07729 MGPSRRMQKQGMPDKLDEAHFTNLKRKAGLSVTEARDESKRVKV SGKKEQDHNMTKKGSHSDKPARKTKKTTQVKKGKPVVKAISKPAPKAKKGPMPLPGSD DDLEGADSDESDLLNDEFDDLSGDEAELANADEFMDSGSEVYDSEEDHKTRAMFSEDE DESDAEEKLTAANIAGLSRKLDEQMAAEAADAQQELEDAAMQTNLAGDRPKVFSDDEE SGDEAAVKSKALLAPDLQLLRSRINDTVRVLDDFANLSEEGRSRAEYTAQLLKDVCAY YGYSEFLAEKLFNLFPPKEAFAFFEANETARPVVIRTNSLRTHRRDLAQALINRGVTL EPVGKWSKVGLQVFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQEHERILDMAS APGGKTTYIAALMKNTGCIFANDSNKARAKGLIGNIHRLGVKNTIVCNYDAREFPKVM GGFDRVLLDAPCSGTGVIAKDPSVKTNKTERDFLMLPHLQKQLLLAAIDSVDHASKTG GFIVYSTCSVTVEENESVVQYALSKRPNVRLVETGLTFGKEGFTAYMGKNFDAKMKMT RRYYPHAYNVDGFFVAKFKKFALTPGKGLGNAAPSKEQAAKNDELDVDKRPIELMGDE DKMEWDDEADKVFIDKAQRARMRRKGIDPNGAAKNAEPVVREEKKKVNKSKENAKKNL AKKAELEKKKTDVEMADA VE01_07730 MVQIATGSLLGRNAPRSKTGCGTCLKRKLKCDEQRPKCRRCTSL ELDCEWTARTRKPRTVSKAKGDKAQRSIRLIESKEDIPQDDALSRSDSEPSTVQVSAN NQVLNWLHDDNSSSTPSMRNFAPSISPSLSVEHIPCANSLLLSPRDRRCFEYFPSCTM VTAYLKPWRWSNLSYIYRYTAANDAIVMRMILATSGSEMHRLKKGGDDSEDIGLHHYN VAVRELSTALGKEHTDDPKQRLERLLAALLFMVDYEVRFGYSRHHLRLHLDGARSLYA SYEKSVMDSEGSGTVATVDDEENGGDSHLSLLSSLLLLWISYIDAIGGQGLSSQSLLS QISQSSLPSAKLERLYRRARISGRHCWGEEYPEDAILDDVENYRPLEFLHHGLLMRSR IWQLAIARHAGKDGVETPESLFEELIELGERYQDLILTSRLSGAGQYRRVYATIRSAA CVYWADVLFHRITLRKQQTPTKIHRTAVSSIMQIAYTDYNRDKSTLAMKVWGMFMAGI ETEDGIHRDWILERLTELGGMHFESKWTSDVMERLIRERKGMGEAGIDLMPLLTLECS VE01_07731 MATSPLSIDVLTVGSVKMRERAELAAIVSQIPLRAADASFVLDQ LRNTTAMVSLLPHRGPRPFRTDRVAMLGHSLGGVSAVIAASQDPRLRGAINWDGTFLE IPSPLPQPVLLMSHGMADASWPAAWLLLKGPKLWVDVANTTHETFSDVPTLLQAAGPD KAALAVLLGTIDLGEMVRILVVYTTAWMEGVFRGEVGGLLLEGQEPGRFLEVLIVMKG NF VE01_07732 MEQQLQRQQGSQMGLKSTLPSSAPGSPNIPDRSESSESGDVENQ NTHESVGFTKDDHDGPDSEGSRIDQASYIIHAQDGNMRYFGSSSGLPVGSLQGANWLK SQTGTAALHTTTHRCAGRWQLNSWLPRTLQDSFKRRISQPLPCKSLAVELVREFFETF NKAIPLFNETSFMRLFKRQFSWNPEESPSWWVSFNIVLAFAYRERAQESSVGSEDWER SLGHVKNALNVVVELFMRNGDLLAVQGLLGLAIYFQGTPNPQALFMFAAAAMRLSHSI GLHRSSILGLSESQIEDRRRTYWIAFILDADISLRVGRPLVQDINDYDTPFPAKHPQD GNGVFSVDDTQIHYFRLLAQFALIQRRVYQHLYAVAVHKQARDSALKEVKACEEALLR WKASIPAKLQPQNTFSAKPHYFLQHILRLHYAYHCCYSNLHQVCMFTRQPVGSTSIRG IPTVENKIAQTLAGSLESARSAIRLLKHVRGFGSSYRWDILYFVAAASVTLASRILVY PSNPRAEEDLSLIHETNDFISKISSDEPGTFADYVLGISSDLEDAARKTLDQLHQGYR QQQEGGAHSNSTNIFADENRDKHSGSGMDPTTSTTLLDRVSTITPQNTDHTSNSMDTP IQGGSLPTIDLPSDLMMNWQWSIPPFWNLQDIMTSTPPSPVPDVISGQEPKDAIFEF VE01_07733 MAYSHETIPSCDVYGPGTFMDKNVLPVPEDARRVFEILASRTPC FTKSRSAWNTVHFEGRPDPMIPGPIKSPVVAAALHAMAGLVANEILELRNGRPLEESR VTVDTDHAAIWLASTFTTYINGSDISTLARSQKLATLFNLDFEQGFGKGIAGRTTAIY QTKDPRVWYQLHGSLDANKTLESMGIDSSVTFSSAKEYYDYIQKHVCQWSPDELEMHN LRHGLCGSICYSPEGWRKTEFGKRLAKHPLVNVTQESYANPTPQVPLLKAAGSDRRPL VGIKVLEMVRIIAGPTIGVTLASYGADVIRVNCSRLADLNVLQLTLNAGKRTIDLDIT KQEDMTRIQELLAEADIFIQGFRAGSLERQGLGLHAMLELAAKRSKGIIYVDENCYGP DGTFAERPGWQQIGDAASGSSYVMGRSLSHEEGKSVLPPLPVSDMITGLVGALAAMIA VKERALKGGSYHVTTSLVAADTIALEEEIGLYPPETVRQTAEWFGFVPSTPDQFVSEI MIQVIDGWKRRLPDYLNEDSPLMTGFDEQGPWGNQKVLKPVARLGDGKATPKWTSPAV PYCYHDRNISWL VE01_07734 MPRNIGTHPLRVANCSGYHGDPAYEMHRQATLGDVDFITGDYLA EVNLANNAQSWRAGKHPGYEETAWEGLQLTIDVIAKKGIRVVINGGALDPKALAMKTQ ALVAEKHLNLSVAYLSGDDLYPRVGPNMPTTKDELQHLDSGNPLAAPSDLTFAFLNNA KNAGPTPMVSAHAYLGARGIVDGLRRGADIIICGRVSDASPVIAAAWFWYDWAETDYT RLAGALIAGHLIECSAYVTGGNFAGFDRYPLDSLVAPGFPIAEISDDGTCVITKHLDT GGMVNVDTVRCQLLYELQGNVYLNSDVSAYIGDIVVEDAGTDRVRVSRVQGSPPPPTT KLAVFYQGGYEAEILLNATGYSTAKKWDLLEKQMRHFIPKAIAADLETLEFQRIGVPS PNPSCQTESTSYLRIFIASRSEKSVAAVAMAIKDISLKHFSGFHASLDTRTAVPRPFL AFYPAVTKQSDLNETINLIDDQNTIRSFNTGNPPQYEALKPRDSYDPPDTELFNGPTK DIRLGDVALARSGDKGGNLNFGIFVTDEAYWPWLRSYMTIDRMRALMGTDWQDSFCIE RVEFANMQAVHFVIYGILGRGVSSSSRLDGFGKGFADYIRDKSLQKEEDRLRLETRMT REAVATAMARLNRLEQQQDLLKTRGAKMLRRGLKTLDELEEVEERERHEAEAHAHLAS PSVTNPRSAVPKHDYLSALSPGFFER VE01_07735 MATHLLNINFNALPKTFQDAVTIARAHRVRYIWIDSLCICQDDG EDWQRESAKMASVYSNSYLNIAATFAKDSTGGCFAVRPPRRHVSIDYTSPKGESGELL AFLLPLREEGFGGYLSLENEPLTERAWALQERVLSRRILHYGTHQMYFECNRGFLGEN GLQLPYRFNALSGTTEEHPETISQSHKVKSALGQWNNLVQAYGERKLTNPSDKLPALS GLARIIGERLQDSYVAGLWRNHLVEGLLWESLHYSPLLNDKYRAPSWSWASFGGSVGI MDTSVKLESMAKILDCHIQLKGLNPYGEVTSGYIRLQAPLVRLFIVEQDASEFAGVPY ERNPRLHTESGDARGEYSRLDYFHFGKATPNDSLALVASLKDTKLFALVLAKVSKWEG NNAFDYRCLIVSAVEGGSPEMRRLGFIDLDEKSLGNGVLGSSEQPIITLL VE01_07736 MTTRGELPVGHSRLTTEQDRNSITEDVRKMYISSEGSNLEAQVT RDGRVSINFSGRTKHLQRRAPWALPQRGEDLSSDRNYETSFQGLLGNYSGVPRLNIAI HIVGSRGDVQPFIPIGQILSKPPYGHRVRICTHPVFKDFVEGNDLEFFSIGGDPNSLM AYMVKNPGLLPGKESWKAGDVGKRRAEFALILEKCWRSCIETGNGMDDERTGAATNSG PQVEEEARSFVADAIIANPPSYGHIHCAEKLGIPLHMMFTMPWSPTQFFPHPLASLQG TNADPKFANYMSYTIMELLAWQGLGDVINRFRMKTLHLDAISPLWGHMLLSRMKVPFT YTWSSSLIPKPPDWGSYIGICGFSFLKTKSNYQPPEDLVKFLEAGPPPVYIGFGSIVV EKPDELTGLIFRAVKRAGVRALVSKGWGGLGQGEAPKDIFLLGNCPHDWLFQYVSCVV HHGGAGTSAIGIAMGKPTIVVPFFGDQPFWGTMIFRAGAGPEPVPYKNLSEENLADSI TKALQPGIRSSVHEMSEKISGENGSAAAAASFHGSLDYDNMRCHLCPDLVAVWRVRKT NLKLSCLAAATLADNGMLTLRDLKLIRHRNWYIDEGAVGPIPGILAAVSDTAMKTWDS THTYFHDLSNILRKRSALKRTRSPSPERQVTADMGILSGTPPAFPVQAALSFPPEYLE RVSYKMASGTLPETQNGSNHKKIHAWSPCMQMTTVASEATRSSKKGYKEHGRVYEATS ETSHFAYSILRISLHAPVVLLYNLANGFHNVPNFVLNDHTVRRRDNITGFGSGVKVAA KGFVFNLYDGFTGIVTHPYRDAKKDAVVGFGKGVGRGVGGLVFKTMAAVFGLPAYTLK GAEKQIEKHSDKDLKAEILRERLKQGLAAFQRATEEEKREVLKRWEELNVA VE01_07737 MVVYCNNKVDGEKGWKSPTIEMVSDPNFEIRDQVIVATNALGLG IDVPDIRVVIHVEVVWRLKDYGQESGQAGRDGQSSEAIIIMPKRSGQPSEVARKNVNG WINI VE01_07738 MEAFEQELLILMHYTGGQPARAPEVMGTRYQNSRNGGVRNIFIE DGLVCFVTSYHKGYESSEKLKRDAVIAIGRKFLRDIFNDGSTPEDCDVEGFDEDNEEG DKPWDLQSGHGTHVAGNIYTRLVTEGKFETMSKREQFRTIMARVGRKRKRSPWEKADR EFQLERWKQLRTANIYRGLAALLGPDKEFRGKQRSPLRPS VE01_07739 MTSLLPVGQLESLGLPGQSYDLCLTPSILNVLNRNGTKLLPDAE VVFSETGGFLDYKNDGNLWSPSALVFYDPTANASPAVELASAQESFFSPQSIQNAFGA SFIIRYDYYYLETSSTQDPLGNTLTGNLDYRTLRLQKITDENGNISQVAFDALGGAVG VAVMGKQGENRGDTLDGFRPNLTNDELDQYFADPTATGKTLLAGATSRVIYDVNSFYR RGPSVGMRSSHVVREAHLHDPAGKAIRIQVSIQFMDGNGQSIQEKASAEPDPANPGNA SHRWRCSGWVALNNKALPVQVFEPFYTSSAALELNAIAGNSTIEVYDAGLGAWKSESW DANDTVLSSPDEDPDIAPLIKSLPTSRYLPTWYEQRDTRLLGAEEQAAAKKAALCNNT PTVSHMDSMDNAFLEVRMNEGGETTSTRSLYDIEGKVFRLVDAQGRIVSESIFEMSGL ELNSKSMDFGPRYRVRFGYDILRRVTKRYILNSDERVSEYLDAKVVYREESQQPDPEF RNFRKKEYQVFDQAGTVTNLEFYFKGNLLREQRQFAKDYKSNLDWSDDTNIESEPDIY LNSTTYDALNRIVTTTTPDLSTLRTTFLPGSGLLGAIDVNLIGEQQGTNTVWTNFVTS DEYDAYSRRIVRLNGNPVQITDTYDPQTQNVTRSQVRSLAAGVEVYQDNAYTYDPVGN TTYVADAAHPPTFLRSGPVDAHLEFTYDALYRLTESTGREHVGQTRGNPPGPPSSPNL GPDANTDDNALAKYTESYSYDTCDNLISVRHQIGDPHYPGWKRTCQYNNPSALRDGRS TAKGNRLSSSTVGNRSENYRFDNHGNMVSTSFSNLSQMTWDCFNRLRSSSRQLLAVGN SETTWYVYDLDGNRVRKVTERASQRGAASRKLNEQ VE01_07740 MSSSPIFDEDIIYFRGSIAQVYLNFSGNAFGAPVSLESLVPAFD RLTTITAVDMLGAATTCLVWSSSLPTDAGPQAFKYVDLTPRMKPYLLTKMVNNMGRET QLLYAPSTMYYLQDEQAGILWATRLPFPQQCIDRTIAVDLITNRVYTKRFRYHHGYYY GIEQEFWGYGMVEQWDTDKFNVLAGTARFSNTETLMDTPPLHTKSWFHTGAYTDYEGL ARLYARSEYFGSNGLDESQFEVFFASLLHDVILPDVHDLTPDELRLASRAL VE01_07741 MCGGYEEDPGLLWHCRKDPYSSYKAAFEQDYLVSSVELTYAENP AVSLLTTVRGAGHVLRGPLDPPPSPGDEGPYHTMRLPPLELEYSLPLTADEMKDLSVE DVREAPGLENVPAGVQGPFQWVDLLGEGLEGIFAHQGGNWSYTRNMSAINFPAKRTYE SIIQGLASLRVEDVEFSPLETVENQPVLTSEGQSGLNFMSVARDSCLDLVRMRGEVNG FYRSLVSSTIGPVNTSTAGWTPFRPFESSPTISLDEPNVRLVDLSGNGTADVLVTHDD VFVYYESLGELGFQTAVNESQGPRVLFSDPTNSIYLADMSGDGLVDIGSEMEAFAIGR I VE01_07742 MAPVVDPNSKGPSKGWISTFRRIVRNFTPSWFAITMGTGMISIL LFKLPYNGAWLYWISVAIFALDVFLFTLFFSVSTVRYICYPEIWQAMIKHPTESLFLG TCPMGLGIIIEMIINICVPAWGPWAATLAWTLWWIEVVTSIAICLYLPFIIMSVHKTE ISSLTTLWLLPIVSTIVCAATGAMVAETLTNSAHALWTLVVSYILWGIGVPLAMFTLV LYYHRLTMHKIPPREVISSVFLPLGPLGEGGFGIMKIGQVSLAIFPATNTLIPVAGQI LYVFGFVTALLMWSFGLAWLVWALASFGRAKSPFNMGWWSIVFATGVFTGSTITIGQE MTSRFFNVLGTVFTVIIILFWIVASAYTLRGTISGDIFFSPSVAQLEDTE VE01_07743 MRLLTWNLDAFHSGCEIRVHAALNLLKQEFDNTPKSLPIVFMLQ EISLSGLRQIKASRWIQDSFHMADISDRYWADKRYGTTTLIYKRLSNEKVFRLYYDSK FGRDVKTSSIPKTLRIGNTHLESLVSNPPLRPAQFATSTRNLRAPWICAGILAGDFNA IQDFDRTLHNENKLNDAFLALGGLEDSVEGHTWGYQCAKVLMQRFGPSRMDKVLFCGE IRVDESRRNELSSLTGGLEWITDHYGLSAMLTILPDEGDTSKI VE01_07744 MLAPSMESENEPSTAELAIHRHQTIPTRVLDTNSHLNSSVSSEP ESTHRIRRIGRACDECRGRKIKCDGRHPCQHCSLLELDCTYDQPNKRSSRLSRKEIDE LESKLERAQSLLKALLPNLDVDNPNLDANTLSKLRLPSTESQTVIQTGVGTSDTATRS LPHDGTEKDVALETVLEATGQLDLDDLGNWSYHGHGSSSAFIRRLGEQFGNISDSGVG KNTVLRLRSMPQIRESPGSSDDQSCKSAMQDSVPLPPRDVALDLTSSALNEACAILRF IHQPSFYSMFDRLYLVDPEQYGYEENMFLPLLYAVIAVGYLFSNSERAYFGSAHAVSE GEKYFVASRQMLDLTECRDLWSLQAVVFMIIFLHSSAKMSTCHSYLCAAMAASLQMGL HRREPEAMNPIERESRKRIFWTIRTMETYIVAILGLPRTIGDDDIDQEMPLEIDDQYI TKEGILPMPDGQVSMVTGFNAHTRLVQILGKVITKVYPTKCMQKEVAQRPRAYVVIDA KVREVEGDLQLWATNLPMHLRPGADSPRELLRVQHHLRLAFTHVQMVLYRPFLHYISH SKTDSPTSGQCYAYAAACVDVGRNIIHNARQMEKQDTLNGPYWFSIYTTFCATLALVF YVWENVEVEGTLQTLKDAEYGRDVLAKLAYKSMAAARHSEILAIIFTRLPGRLGKAQV TAQSKTRRKRHTSLSKKNKAREAHSDQEGTDHSSYEYQPQTSTSVNPQTSTQAIDSVE LGSSASVETPQEPWPVPETFFLCGDFSRFLGPDSIEDMFGRQPLYLKGGLSPDFGSTV MNPPQNVDSLDQYVSTLDMQRGQIHPHDFTGRLDNAVIDNIGEPFVPLSPYLSQMHAP SGNSFVSRESNRLYTGDYYVVDQNDNLREI VE01_07745 MFSRALRTSRAARLPRSALSRTAIASRSVTTGAASAHPERECVP DEDDKPFEVRLSDESFETYEFDPPSYTLNTTKKELKQMYYDMVATRRMEMAADRLYKE KKIRGFCHLSTGQEAVATGIEHAIAKSDDVITAYRCHGYALMRGASVKQIIGELLGRR EGIAYGKGGSMHMFTKGFYGGNGIVGAQVPVGAGLAFAHKYNGDKNVTVALYGDGASN QGQVFEAFNMAKLWKLPVLFGCENNKYGMGTSMNRSSAITDYYKRGQYIPGLKVNGMD VLAIKAAVAHAKAHAVAGHGPVIIEYSTYRYGGHSMSDPGTTYRTREEIKRMRSTDDP IANLKSQLLDWEVVSEEELKGIDTQARKDVDIEVAEAEKMEAPKANPKNLFEDIYVRG SEPDFMRGRTVDETYYY VE01_07746 MLDSWKGALTKPQSSFSSSNVPMTVSWCAWFEEGRATVQLVPRI VESLADLAARESVVYGAWLYGTYLGAVGMSLHHKLCHTLGGSFNMPHAETHTIILPHV LAYNAPSRYTRYYEEVSDGDATNGLSMLLQRPKVKRSLKDFGFKDEDIDKAAEITVGN SYWNPRTIEKQLIRELLRRTWSGEDARADL VE01_07747 MLAASLLCLLAAATLGAASPTRRYIDHVVHESLEHTPVQWTKRE DFALNPGARLPLRFGLRQQNLHRMYKFIDGVSNPQSKSYGQHWTHEQVTSTFAPSDES IAAVTEWLLENGIADHRIEVGSSRLWISVNSTVEEAEMLLHTKYHAYDHDTTGQGYVA CEGLYSIPQVLSEHHVDLIVPTLHFDVPLRAPTDGERRRRGERDDKRSLDLSLAHPLA IYSPQPPAGGEDLDSCNITMTPTCLRTLYSFPPAKRAAKSNSYGIAEFSPASYLGSDL DLYFSEYSPKLVGQRPIQVGIDGGAEQNATYGFNFQGRINLNLQIAMTLVAPQKVTLL QAGDDIVGASFNTVLDALDASYCTYEGGEDPTLDPTYPDQQPSGYDGPKSCGIPKPPG VLTTPVSLSEAQLTPFYEDRQCHDYAKLGLMGTTVLYSASDYGVAGVGNRCLSDDGSE VVGSGRYNPTFPGGCPYVTSVGVTQVILGINITAALAKGEQVEKAMSLGHIFPGFGYS GGGFSNVFAMPAYQEHAVHRYLASPNLSSISQYFNSTGRARAFPDVSANGVDGQVLRS SSASCSATTFGSLITQINGERLAPGKSTVGFINPALYAFHEVLKDVAIGSSPGCGTDG FPAVEGWDLVTGLGTPIYPRMLELFMSLP VE01_07748 MSWSMNCQLRNLTTEIALDPSKAANLKLFRNVDKFKKYFYETGV GTQLKRWNISREANDNGKSCNTKKNDKKYTMFVRREGNNNIWHKLLEMWQTKLTLDAL QMTDYGSSLGQPYLTAAARKEVQVVFEDDAEGPVDSLWSIVTGSEPVRLSTLSDTCLG DVILPLVGTSSPFWIGVWDDMDCKSAYLIDPFIRDIYTHLGMTYKERTTENTVVTIIN RTTTRQIFNIDSHIAKLKITFRDTKFQIVDFSTISLREQFEIISQTDVLIGAMGARMT HMFFLPKQSTVVEIMAPGAHYTGFRNLAKMRKLPYFTAHGTPEDEWKVAHGAQNDARE SESEMERRHWQNDKYLYLTEEQFVALAGAAINAQLNRGTRSADVKPY VE01_07749 MTTPGRTHVPWQTQTAQISELISYIGFLEAKVSYLQRHHEHCDT GVDGPPLADANLPYLPPDIVVVNDESRIVQATQSSPALSLTTTQPPKNIFGGNPRWKQ IINQLTTGWDKASSWEDKRVVIGLDSVERNKYALTAILGLKKDLFPPRDESPPSTSRD SFYATDALIMSARKYAIDSKASQTSEGLVVQVHIFRELVFASLCVVMEHQGLPIDTID SLMRICISSSGSANLYRLRRGALWINRVISGTMMKKMGWGRGSTEFFFLSGRPVSQYG LLWEACTHSFPYLSKQLAHISCNVNVPIDDPSWIPFSIPVIIKQLLGDVLTIEQICNA LDYSIDAV VE01_07750 MKFNIGLLLALGGAIAGVGAEGINCKGNARCAQLSLYTQVKNYV AIIDGVDPNRWYNNGEHIACIKADVPTGLYFSDHISSCVFLQNSGGAPGHSLPPLIKL LREHGCKGCGSVPLFAPQGNNNVADGELTVNYVSDTYGCDYGLC VE01_07751 MSTKPIRRVTLFKIPTEEGQEQLLAKYRTLPQEALKDGAPYLLD IQAGKAFPDQRAQDFTLAVSTVFKNKEDMLFYDTECTGHAGIKKVAMAVHEGVMIVYF ESIFD VE01_07752 MAPQVQGRKIAIVGASGQLGKPTLKALLAKGVHTITVIQRPEAT STFPTEVAVKKGDLEDEAFLADVFKGQDAVVLMPPLSHIISIQKPAVRAAAKVGVRWI LPSEFGPDPFASKLIEENILLINKKEIRDLIDELGVSSWVSIAVGPWLDSSLPVGLWG IDPKARKATIWRGADAKTSTATVAHTGEVTAAVLSLLEADLAKYKNKAVYAPSFRLSQ QEMLEAVQRATGTTNANWDVTTREYKDVASEYEENIKKGDGMAPFIKFFVTHFVEGLG GDFDHKVDAAELEKLEKLGVPKDNLEEVIKVALQ VE01_07753 MAKTLPDGIYAPLPVFFNESDELGKSLSMPSNYLSYFEAYARHA KFVTAPRIFPVVSASIGEAAHLDSQERIKLIQTLRLALDDIGLYKTPIVAGVGAHSTR QTTQLAHDAAAAGADFVLVVPPGYYAGVLKTNPTAIRKFFIDVAAASPVPVIIYNFPA VSAGIDLSSDDVVEIAKAAPNICGIMLSCGNVGKLARITALVDDSSFKTLAGFIDFLL PSVAVGSAGAISPLPNIAPNFSSKLWQVAQSLGSGVDFQEAKELQGKASLGETALLKE GLPGLKGLLNQQFGYPAAPRLPLMVVSNDAVTKMSANKHLQDVMAQEKILETMNER VE01_07754 MAPKIAIVFYSMYGHVQKLAEAEKNGIEAAGGSAEIFQIAETLP EEALKAMYAPEKSSYPVIEPEQLTQYDGVLLGIPTRYGNFPAQWKAFWDKSGGVWQSG GYWGKYAGIFVSTGTPGGGQETTAVSAMSTLAHHGFIYVPLGYKTVFAQLTDLSEVHG GSPWGAGTYAGADGSRQPTNLELTIAEAQGKAFYEAVAKEGSA VE01_07755 MNVEGRQTHRQRLRIACDPCRERKRKCDGGRPCNICLGYGYDCS YRSTPRNRQPQRGAGRSQTVITREASPSVPAGILPQRLERQQQYNLPSGRCQRQHQHP QQGQEQQAQEHQDPDGYLRSAESNSGAAFARLLTITLESSDRSVSPMRMLAWNLFLGE RQTAGPVHQETLPAVLSELEMRHLATVYFEKVHPCYGFIGKDMLLRSISSTWDGQGSS SAEDALLCGVVALACLFSSTQDLAAELSLVALAKRLLDPSTADPPSLHSATAWLLRTV YLRLTAKPEEAWLASCTTLHIIDAAGLMSRADHGSAFSLPQDPRDVHLRRRVFGVAQH LNTWMSYDLGRNRVLVPNADTVPPSAQPGEYTTELLDLLPYSQDLDPANGLSMGSLVA ALVEVLDRTHTEPPSVLAQCNLMLCIHRRLHSSKLEVPDDVMNKVLGLIKNSIQAVRS SVAAGLPWHHVANIPFQAICTLLVIDTVQSFALLNESLACVIAVNDAYQTEATREAAT AACTLLQLHQKRREADIKKHSDMLSMYPSVDFPLRDSHGGPLNGYDLQNSWWFSEFVA HSDLALGVTPLMQTP VE01_07756 MSPLLAPLKTNTARRVLNSFPWMTAPFIVAAPMRVMSGPELALA VSRAGGLGFIGPGAKPDDTITDLAMARRLMNAATTATFNSSMFDTVLPVGVGFQLWNG DIDSATRAVREHRPCAAWLFAPRNGQPELDEWTDALRKASPGIQVWIQVGTLQESLEA ANSRSRPDVLVVQGAEAGGHGTAEGAMGLMTLFLEVADAVHRSNMPLFAAGGIADGRG VAAALALGATGVALGTRFLASTEARISKGYQQEIVRATDGASHTIRTTLYNQLRGTKG WPERYSPRGIVNKSWHDHQAGVPFDELKRLHDDAVQAGDSGWGPDGRLATYAGAAIGL VHSVESSSVLVNRLQTEACDVIRRLPGS VE01_07757 MPLVKIDVIKGRRSPAQLRTLADTVQTVMLQKVKAPPRDRYQII TQHEKDVIICDDTNLGFERTDDLVVVQVFQQGRTTEDKQNMFAALADHLSTECGLEKT DLVISCVENKSEDWSFGLGEAQILNGKL VE01_07758 MSGPSTVLVIGASRGIGLALVQQILTCYPSANVYATARDVAKAS DLQSLAALNRGKLHLITADVMDPNSIKAAAAEISQRTDSLDHVIYNAGILRGVGKNIL EIGIEPLKENLETNVFGAYYSAVEFTPFLLNSKFERKSLVLMSSNFGSIVLADTLFQL KTAAFGTDFEPTAMYNISKTTLNRLGKELDQLLRPQGVPVLLLHPGLVKTDMNPFGNI GLKESVIGIVDVISKYSVATKENYVGWNSETLPW VE01_07759 MALPASNGKTILITGINGYIASVLGQLLLSKGYSIRGTTRRTVA SDPLLKGPYALYKDRIQIYEVLDMTSTGAFDEAAKGVHGIFHVASPVSFFLNSYEATV VPAMRGTETARYIFTEKDFAHVALDIATENKAEGIKTLAGILYSASKTAAERTVWKYR EEHKPPFAISTVNPSVVIGLPIIMPDSGSKLNETLLLIYNILSGSVSEMPAQIRGGAY VDVRDVAKVHLWAFENSEKANGERYIAATSYRPPQGVADILRYKYRGTKIAEKIIVGN PGEGYIGYNKETGEVSQPDYLPESPRPSAKKAQESIGLTWIPFKQSVIETAGVLEALL VE01_07760 MADFAGSRLATAVTIAGGVGFIGAAVDMELLSMQLEEATSVLAT SHLSTTRPTLPIGVGFLVFAAKVEDAAAVVAHHRPAIILLSCPPAPQDFALWTKAMHV ASPKSHIWIQIAGVAAAVEVAQLCSPDALVLQAADAGGHGGLPGAGLVSLVPEARSAL DTAGFPDIPIIGAGGISDGRGVAAALACGAEGVLLGTVFLASREVDLSANEYQEAILE AVDGGISTVRATIFDQLPGESIWPAGYDGRALVNTSYKDYQGGVGVEELRRRHADAVT GPDKGFGGERRAAIWAGSGVGLLKEVKAAGDIVQVLREGCKVALDRAYSRL VE01_07761 MALAISGSVNSSDIRLFLTRLSMKFHSLTQAAIDGNYHWTEGDF FAGSSEGSSTCLRADIHRLNGEFSTYMRDKGHLRKLFSDSEPDVGSESDVDSEEEGEM LRVAKHEVETWVKRVYLKTRGRELPGNYNYVLLSELYHEQSSRWTMIGNDHLTSVLAT TANFVDMVLNCIIEEEDVESRVREIIQSKFEIKKAGAAKELETLIKDEKRQPITYNHY YTDNVQNARHDAMKGNIQKAMQSVVEHDQNGKLQALHTLPPPACSTETSAPPDSALSS TPRIFLLPNTSALSPVAEPQSLPLRAAVDWGAPLAQTRFLSHFQPSSGLLASRIRPEM GWLAAV VE01_07762 MDETGILEEGEIFCIVEVNGTPKVITRKKLIITRSPALHKGDIQ TVTGVTVPDNSPLMNLCNCICFSQKGKRDLPSKLSGGDLDRDLYQIIFDPNARPEWVF PPADYHSPTPIELDRPVERKDMTDFFVQFMATDQLGRIAVLHKVLADQKEHGVLDPDC LLLAEMHSTAVDFSKSGIPVSVKMPRYNKYRPDFMAPGPHVLVQNNKPLEFDAPPEPE LFQDDDDVAGYKYYRSEKILGKLYRAIDEREVFGSVQKEGLLAYLNEVDKKTISVSTV LEGIWNYVQYRCQAIPWKHHLDRARGIRDEYEDCVTNISYEYSSQPSRAISEREVFVG NILGKFGAQNKRQHELSISMKERFNDDLTYIVNCIVKDGTAMSEESLAKSIACLNVAL EETTYSKTGVELVSFRRCSRRNERRTISRFEEADFAATDKQDKGRLEIADSKTEKSDN TGWWNFMQWRPHFGSRNIRRIAHASRLPNRKDKQLQRAGEIVNSMVKGAMDRLSSLND DTPYWLRTANLTEKVENQPMETAAQMGIRLTTQDYRNVAINIGREYIRAEFMREIPTT EEMPHEDMDVVVSAVDLAAAHGKEIAERYGVRGDIIRNLSDESIRIFGAIGTQWH VE01_07763 MEVFVRGASERATEAQMTNFFQPTMSGLSIESWHCQKWKQKRFA TLTFLRKEEGNKFLNKHGQTRNSQTRGSSPLIFQGALLLCSLSNKPPEPFALKSLEMD ANARKSGSTTKKTPHSVPGQGHSTLECSSVSCGIWDYIGSDLVFTSYLDLKVHGKVKF GSKVAVITLDTNQRIDILYSIVQQITTEGLPQPAITVTLSEAPHFFKSANSGDSTSTT LAMNTEHLVRLMESLITKPTQGQSRLRVPGLDSEHEKISGSCLVYRIGLVSDSLSEQM KALSYARGIPPTVQRHVSMRRSKDRYVTEINRLLQTLATSYSTLPFEIAFQVQKLAQN SYLSPSTVLELLPEIVCLWKRSDHRVCTRAVRRLFNEIPFRGPDTDAMTFQVDTLISL LKDNEKKLKSGGLYVDEPMESDNVAIIHRISVTPTGIYMYGPDPEQNNRVLRKYPKHH NFFLRVQFCDESGEQVQYNPGASNDPIFYERFKKVLDEGILITGRQFSFLGFSHSSLR AQSCWFMAPFFHNGHLLLDRNLIAGLGDFSNIRCPAKCAARIGQAFSETPTAVTLEPG VAKVMNDVERNGRVFSDGVGTVSMSVIEQIWDSLPRARQKQTTLFQIRYSGAKGMIAL DSRLQGHSIYLRKSMIKFPGSDSTDIEICGAAYKPLPLYLNQQSIKILEDMGVKDEFF LDLQAKEVERLRMVTTSSVNASIFLRTQSVGDLIQLPWFIKKLDSLNLSFKADSFL VE01_07764 MPCSPQARQLPREPQPCRFNVLVGSTPSGPPISLPSANPLRNRL PHHLPSNLQTHHRRPRPPKPRNVLRAGLLLQSRPPCTFPAHSPFLFLALHPDTSHETE RINRLFALLSARSDINHPVCTECTTLLFSSLSSRLSASLCDAFARQLSERGK VE01_07765 MASQTPPTYLEEAARPIYDDQELCQYLLRSLDAPASLEILPSKI HLNGTGLFAKEAIAEGAEVFRSTPLVSCVDNGLYGVICDFCFTSSAGKINPVTGHFRA KGDIMPKINLCAECQVCGYCSKDCQKKAWKKYHKSECLALKKIPTAFVSTRALYRVLA MQKQGTLAEQGWRAVNHLQPHRLQHYSKSGVEIILGVSMNSKTLIQSEHSLGAIQDLY CRILTNCISIQRPLEENAGTALDLVGAFMNHSCDPNAFVFFENSQLRVRSLKPIQPGD EITQTYVDDKAGMMIRGEMLRSQYFFTCECRRCDDELLELQTLSSTEGFSIQTFADTE KLLVDYLNKVDSSNASELDPEQVIIKLSQLTTPIFPTRDWPDAAWPMPAMHLILAKLW NSSNLYSQAAIHATKGCLANTRRLGPHWVAYLRDFILLLNPVVVAANASGGWSGPGAP GPRQLLDFFNGLMHELLLQARKTYGVDVAYYSALARWYAIQMDAPYGPLPGQKGFTKR FRVAQAKVLGWARVDGSMGVVLSEGKAVVVGSQAGSRTG VE01_07766 MHFHTALTILVSIASIRSVSAEGINCKGAAGCSFAGSGIAKQLQ KYINTANSDTWFNNGEQIACSNGICAFFQGTNGGSGGDAQRLAADTI VE01_07767 MKFSSYTAKFARAFSTFPIVTPVTEDSNRGEALGYYAVEEAMSP NILRNGFLCADSVTFWLSTEDGFDIQNVVAEEGAFQFIGSLKEQQVLLALSMWKPGLR GDPTDLDQMSGNILQDTKVESGDMMVVLSSREAVELHNLEVKNEAVLVIAQMPIELLQ QFATRLGVTFTPSEYGVYKKVFCPSTHIFTIIRNRLWLLHGVFTTIGTLHGRVLDVQD TAANKWKAASADAVQRLERKLGHIGTDDCAICIQSLTVTKANCPHLFHSCLNEWLLEH SDSCPLCRTNISSKEANAERSSTAVGQAEPAHDVINETTDGEVGSEPVCDTARDDLTS SEAVG VE01_07768 MPCLISPIVALAQSPRPTGTPRPNVYAYNSMVASTAKAWMLYHF CVYGMSKSTARPQTRAYAADDVVLDDVCHSGICRDAAAGAVVDDGESAIGGVQGTGVE ECGQEEYWVAGKASVGLKGLVGLWERGRLPPRLKMLEDMRKKTLDELLMAGLSQEWAG RDEEDDGEEEEADGAAFVARKNVMAAFVQRSSDDGQDEISNDSSAVGGVTRTCSVHKH CGSTPK VE01_07769 MAEISAPPPPPPNGLILRLCTSRPRMWANGTIIRVGFLSGSVAM REKVIQYASEWFEHANLHLVLVNIHEADVRIAFTPGQGHWSLVGTDCHNERGHQQPTM NLHLNDWTPGRVFKRTVLHEFGHVLGFLHEHQSPVMGIKWNRPAVLAYYRKIGWKDTD VEENVFKQYDHDMSQSSRFDRLSIMLYAIPSDFTNDGFSVGWNDKLSAMDKDFAAKAY PKQPRVFFPC VE01_07770 MEEEIHNLVRQKICEVLTLCGLKNGNNEQLKEEIVNISRIGIKG DLESNDFVLVRVHRSNRVKSSVQKRKFDNLKGLTIPCKRKRKSSKSATSAKKDTTIKS PAAIIEFSADVDTTVGTHSAVDEHGTDAAVEHAAVDTVAAVDGRGIDVAVEDTTFDTP SEHVKSVSNGVMTDSLQSSRQTDNPSPESSSTTANTHIGAQPSLLLSCKLPTTADLLY PEHAIYVVTSVLLELVNRHDRIGQFGNRTEREMVTSTTSSTKIRAFTKQLASLEYEAA VHAISKRNARHAANGIQIDSDENAYWEIILKGVKILDPATLPKESYKGPLGCFSAAEK VATENFMKRAGFGTSPENQRQCRCFWKALFDIRKASVEMITCYRTAEFTQYCKTYPRR SDISLVDTIVSWEKVYGPQIDQLESRVFEYRKGDFSGRSRLMQKHVAGRLQVADSLWN DASNEWCSNDEKAAFKLATTVKATSSKSLASLFNDRANTHQHWNKAIFISFWPHGDKR LAVSPIIPVLPGDFLGIYSGIVRFSDIASNSHAIPGPEPKLWLDYSQNTGTLNQMQVS KHNADANVHLRWEAVNEQDETGSCESWRVLVIASKAIIILPFAVEYSSSPYPSSSISP PIFSSPSQDPGHLP VE01_07771 MELGNSDKRIHIPAETLSLIFSYQSLEDVRIARLVCTTFYSLAS SYFLDTAIAGSQTATIQRIEAIAKHAVLRTTVTTVVYSVCSLSGEYSTVSDYYKDMRM RHRYSGKQLPTIEQCERHWIEYQRIYKDQVELQKGQDKFRIQNALRHMPNVKHLVLSG TAWKLSSHPLNTMWCQPDYTIIEPNTDPDNGPQQFSHGFNVMSSALLSSNICLSSLSC TNPTYTSDSFRSSYFTENSQGLFRSLRRIVLYLSADFDQTPLSDNKVGQCISVASKLE ALELVFEPEGQRQIMFPRILLATWPELYHLKLEIDIDYGVFTDFCQRHGNSLRSLHLE NVHLFGGTWEMLLQVMKDCLHLTKVCLGTLSEDPHQDRLWERRNGLEEQDWQRLSEAE EYLLCGGENPFRNNTFQLVSL VE01_07772 MTMEVYPNKVERLALLLFDAHLETHGEIRLTGVASAQLATGLDH NVGGVCVITINVGDDILPVGLQLAATRFFQDHVFAVMKDNMSFQNDGAEVLSVSAQPA NNPATNLPTGAVLSARNITLLASAAVVPADGVSADLGVVRAGIRAGSGRDAPSIPDAE VLGARRGTVRGRCGSRSVRSLLFHGIVEGARELGAGASEDDGEPDEGRGEGRFDSIRW PRTKKGRPIFLRVASLSFHYRPEVGASRHSLIWFRDLGAKSIGGPDGAGKFLEEVFCD LWQAEMVAFVSHQVRRQWSGATATTTDSGGDVEGVGASVLVEHLRAIWRLTARDAMTT GASTVIPKSRQDFAREMYQGCVGKHGPTRQGLSSLHSTWFAVLQAALTFTPVDRVSEE QWRSEIGAAMLSQQVECMPGSHRARITQRPA VE01_07773 MSAQNERVQASCKIIWGEADYDFDLETDDWVTYAYVVRKDFGSR FGPPLTMTGICNSETHAWEELERMLSVWAKQVQSGKPMTKSQSIEIFGGPNGRNSYIL GKFIDEAERRGINH VE01_07774 MLYAPKSIPIKEWDDAGIEAAKRTILQEAQVLVAARHGHVVKVI EKYFPNDEHYTLSIFPTRLISVVVPTARWPTYLGVGSSPQVLTINRAMKAANMQLQRF NLEDSLVIYVREADGRWIP VE01_07775 MAVPRTVFRDRLSISNELYRLVQDQLSEAPRTNTLNDLKTTIET LSTFTGACESVLTDISSRGQETDLRTAVEGIRNVLTWAKFLDTIRTTPSDPNFLFRAH KHAATSQPTFVPDLDVPFDLGFRRTHSIDKFVEDLAEHLGKTRKAKSETYFVSMSPIL EWTIHTAGQKWIHRGQDEVGLAIFDVKKLQQNSGTIIFRVSDVLKFLAGEGKDSLIEQ GLQQWARNCDEYVSVGKISDDGLVRWVAWDKLYLSPANILSKRCFVRARTLGVYRKWI QEYQQPIELEDICQRMVEFGKVLAGPQEDLLSPLIELLLKPGILFWGFINESSEEVVI ASIRALVDETGLESLSGLTI VE01_07776 MKQLLVYYYRVVHCEGGHFTWAKPDQVPPRDIIRPTKTQTQAID EIMAALAVEDEAEAELALKHAIRRLYLAMICHTVSSVPFKSPVLSFCAMLGRKLVIFD YACFHKQDDEDQIPVFLARMCKKFFQQLAETPFGHILQWRLYLFKILHLVLSEYQKAH SLLWDELLFGGKGLIPMESWRLKDDLDMEEFGGSWLSHPSNSEFLDGAKLALFRRIQG NPQLRAMFLTTAADGSVALCPKAMKIYEAHAQDFLGSGLILCHVPLGPPLRVSELLSE KLVMIYVQYHKGQQQSGVYKDNIRFLPKAIGDLLLMYIAYVIPLRQMFLRQQTPGALI SPYLWSKLDGTVWADDTLAACLAKACTRAQVPWFKTAQWRQFAASIM VE01_07777 MPPKKQEKQGGGKKPSATKMVEDRTFGMKNKKGAQTQRQIAQMT QSAKAGGTPEEKKKAAEKAQAERDKAASLAAQKETMELFKPVQVQKVAFGVDPKTVLC QFYKKGHCEKGKKCKFSHDLAVERKTEKKDLYQDSREEEEKAKKEETSADWDEEKLKS VVLSKKGNQKTTTDKVCKFFIEAIEEGKYGWFWNCPNGDKCMYQHKLPPGFILKTKEQ RAAEKALMDKSPLKTLTLEDFLESERHKLTGKLTPVTPESFAKWKSERMDKKQAEQQA REQKEATGRAMFEKGDWNRDIDEDEGGDDDDAWNLEKLRLETEAMRAKKEADRIEAAG GPSLSHLVDQGSNDTGDGSDAGTEPSAN VE01_07778 MATKLDQSLDEIMTNTGASRGGARGGARRGRGQRQPRRSTGAPA PVGGISKNTRPAKGAVKATPTGPAIHRDSKIVVSNLPRDVDETQIKEYFAKSVGSVKK VDISYGPNGQSRGIANIVFHKNDGASKAVAALNGLLIEVLVDASQAPAIVAPKGLGDR IAQPKVQPKSAAATKAGANNAAASTRGKAGKRGRGGRARPARPAKKTAEELDSEMADY FDATAAPAEAAAPVAANGDAPMDDEIL VE01_07779 MRLLVPFSALVSTVFAAYKWNNVKIGGGGGFTPGIVFSPKTKGL AYARTDIGGLYRLNSDDSWTALLDIANDATWNYWGVSAVAADPVDANTVYISVGTYTN SWDPNNGAILKSTDKGTTWVKTALPFKLGGNQPGRGMGERLAVDPNNNKIVYFGAPSG NGLYKSTDSGASFSKVTSFTAVGTFREDPTDTSGYQSDIVGVTSVVFDTTSATLNGAT SRIYVATADVTASVYVSTDAGATWKAVAGQPTGRFPHRVKLSVSEKLLYFTYSNVAGP YDAGDGTVYKYSLSTGAWTNITPAWQATNSLVFGYGGLALDANNPGTLIVASDNLWWP DAQLFRSTDAGASWVTIWDWNVNHYTYDTDKAPWINASRTDDKALGWMIASLEIDPFD SNHWLYGTGLSIFGGHDLTKWPAVHVESLADGFEETSIQSLICPPGGAPLLSGVGDVC GFRHESLTVSPAINFINPYYPTTPDLDFAGQKPLSIVRIGNDGSTAVNQMALSTDGGK TWNPATVSSSSLCCGKVAYSADASSILWATPSGNYVFVNGVQSGVGTLPTGLRIASDK VNAKYFYAADGNSFYVSKDGGKTFAISAAISNNGVNSIKVHPAVAGDVWLSTSSGMYH STDFGVTFTKIASVSASYAIALGKGTGSYPNLYAFLTTTTSGSNILALSTDKGVTWTQ INDAQHGFGAASANCLAASWDTVGEVFVGTNGRGIFYGLPDGGSTPTTTATTAKTTST TTSNPTTSKPVTTTANPTTTKTSTTTTSTPTQGGGTIPKYGQCGGSNWTGSGTCVSGS VCQVQNPFYSQCL VE01_07780 MSAVPAPGGASGGTNREPSHLSCERCRRRKVKCDRTRPCNQCKK AGAECINAGGEKKRPISRLYVEALEGQIASLELFIMNLTAASSSERDVMLANFKETSM EHLPPLPALAKEIPLAPSLPKGRLMRLKEGSADQFYGETSFFQINPSETDDAEAAFSI MTVTPPVQVKPESQSPESSVAISPEGNIVEHSFSTPWTPMCQKLMGVFFDQQYYFHMC LYREYFLRDYKAGGGPYYSDLLMYAICAMGALASSDETVRDLSAVFSNRAQELLYGSA LDSPDLTTLQALLLLGHRDIGRGKSSRGWVFTGMAFRLAQGMGLHLDPSHWNTPRDTD VEREISRRAYWAAFIADKQLSLYFGRPPALYPSESDVHKSNRLIYPPDWDSLLDDYIK NNTTPTEYEDDLSFVGSFVQQAELCKIVHRMITEVFQNHNAKADASILAASAKGIHVA LSKWLADLPARLHWNQWSRGPVAAYILNLHMFFHTVMIILHRPPRHSSLAHLATVTED LDICSSSLNSILQLMKVYSKHYSYSALPVTFIHTCASASSIVLLKRFLSASPQGIPRN KRDIQETGTQLEQISKVIDSIAETWDSAKQIQKAISSARETIRLEDEATAGAMAELGA GVDVTQGALGLGWDESMRFDWDDPSLQMGPMSVGGEGVKWEEFSGVGMAGEFSEGLGD YSQDYEALFGLLGEGGQPEINFGDVEEGLI VE01_07781 MTKINSSLHSSRRKSRKSHFSAPSSVRRTIMSAPLSKELREKYN VRSIPIRKDDEVTIVRGSNKGREGKITTVYRLKYIVHVERVVREKSSGQSVPLGIHPS KVVITKLKLDKDREAILERIKTGREIKEKLKSKSE VE01_07782 MAASNLYAIIAGVGGGTGRSLALKFSAAYPTVFLLARSPESYDS IVKEIKASGRNAVGISTDVSSASSVSSALKAIAAETANKNLAAAVYNVGGKFVRKPFL ELTEEEYSAGFEAGGRGFFNFAHGTLPLLLETAALKEAVPNPPSLLVTGATASLKGSA LCSSFASGKFALRATTQSLAREFGPKGVHVAHAIIDGAIDTPRLKESGWKVNGGEEDG TIAPDAIADAYYWLHTQPRSAWTQEIDIRPFIEKW VE01_07783 MSTYARQNQTFTLPDGRQLGYAEYGDHNGTPLLYFHGYPSCRLE AYAIDDIAQRHNTRILALDRPGFGLSTPQPNRSITDWPADVSAFAKSMQLSRFAVLGA SGGGPYALACAHGLPQETLAGVGLFASGPPWVGGAHYNSIYRRVARTMAIYWPTGLRI LFDVLVRVSTWVVHSGPVSRRIDRWLEQEDKNKEDSHQDVNKTSAMITHKKTTAERRL ELVHALLEEPFAQGGKAAVEEARLLSSNDWGFSFESVRHNPVIIWHGAKDTNAPVELI RYMVKKLPHCIYHEFKDDTHYTMFKHFEGAMEELVSEKKCR VE01_07784 MSTRRQHAKSRKGCIICKARHVRCDETGPPCGRCKIRGDVCEYA GPRSSTEDVAASTSVSQEPVGSISARPREVIFPANSHLLELQLMHRWTASTYKCCCTP GAEDDEVWQSWVPQLAIKHDYLLYGLLSLTSFEIARLAQTPHRQQYIEAATEYHGLAL SSFRVQISSSIIDDDNIDAAVCMSLMLLVLGFASAQTRATNPSGDESDSVIQTVLVNV ELLRGCTPIIESKQDYLLENPYVRKLKPFESLPRSPLDPSVEGALVMLANLNDKRITS SVRDTVSQRAQQVEYWEVCKAALGLLQTMYEKCVDDFTRGYALGWLNMAGDRYIEAVK DGDAAALLILMYWGVLVEKCGHQVWWAEQFGSSLVAEIACLPLFKDADAVVQDLILRA VE01_07785 MTNNNYNYGSNYPPGNNRAPTSQQGFATSSATAGDPYHGAKTTA TPTRTYPNNDYQYQQYPQQASANNGGGYNWGVYSGGKATAATGMESLSNPSVPEQTLS TTTKSNAQNTYDTSGPGNLAYASGLNYNSAAEMAQNTARYSGASVYQNTPSQVNDRPR QDSTNSRASPATGIHSQIHAPAPAAGIKKGAEYNLPTPSPAYPQSLINSYPQRSTNTT MPSGGTTVTSRAPHTMEPSSRNIQQCQYAPYTPSQPQKPAAARAFKQEQSRQKSTPPV APVARSTANTSSNAYINQPRSQAARGPNNQSGRAIQTCPPPAATLPPAKMPTNVIRRI SDGQTPTTQNINSQPNKYQPAPTSQLPPTSNYLPLPTQVSTARNQQLPQPQRRPSPDR PTTVDPSHVYNSYHEYQKQIEAAEAEAARLAKLKEMAAAEEQQQQEALRAQQQRERAD MTGAAVEAAMTLTHAMSANNAAEPESESEESEEDDQGQDSNNADEDNEDEQQDTAVPT SENISHPVSAAPVNSKGKASAPSIPKQMAGPPEPPKDDTAVTMEEEMRRMVERLRDYQ SKDPKLFLRVWSSVRKTGAGQSPQPQAEGSQTQGSPVTQSVEAPLKSPTSVVSQPAEA PKATPVVSAPVSAPAPSPAPKGKKATLQSPGSSQSPSTQAKPLTKEAINARRREKRPS RSRKALAERAAAELAEAEAKIAAAAAAATAAASTTIPAAQEHALVTPGKPDVVGSQET NKSTTNTPGVASIAQPPRRASSSTATPVVVNTAAASRKPVNSSQQTLAATSQITGRAA ILPSSQQGSTWPTDKRDAIAITAATVLNEIPENTGKEILARQIRDMLEGNPSYLELCE MIEKLGFKMDRARFAKTLLAILPSGSKPRPQPPRPAAVTPTLWQTASVTSAPQAQASQ QNTPQPILPPAAGVAPGQAARISPLTPGTTQAPPQASQTPGSGTPNNGIERRPVGRPR KDGLPPMSPFSGSRVGRGPVRPRKDGQPAESPYPAVEAGRGRGRPRNDERLVDEQSIY PSRAEEGQENHVAPVPAVQGAPNAATTATTTAPDTSKSVATDSPNSPAQAPLPTSVTA TSPNAPGLHPQSALATTAPPNASATAAPPTATEQPNAPRASEGLGRSAPLTTEEIHHM SLLQADVGMGKPRRVSKPDSGGAGNTYSSPYQNDVAPPPKGGWKTWRDSTANSTTTPT HPYPTRHNISNPIPHPPHHNISNPSPAMGGPSPPVQYNSQFGTPTGPHGPPQHGPPQY GPPQYGPPQHGPFLPVAYPYHHHGKQLLPNAYAAPQPAWAPAPVPPAAPLTKEAQARK RTFAEIIDLTKGESSDKGEVHNEKRQRVDATAGDRINNLSVGHGPITGEVPTRPRQPK PLPFQHHHQPHLNPPPHNNTTNITVNPQVQITRNPLLEYGGIVKSIDRSLALRRSRYD PKTIARDILISTGKHPTQRGLNAHLKQLKERFTKVDNTSDLSTFRWDIVDPGGAEVGS ANMPPYGPNKPVPYPTPLTEGTHKNYGPSRPSGLRNETSQSNFAIVIPDDTNGDDEEM EEIPVEPAAAPAPKRRGRPPRDPNAVMPTPAKRVLTRTRRTPGGPASAAADEDELELP APKRRGRPPAKDKRPEIPYSRLGQPTFIPFLCEWRGCKAELMNLETLRKHVYVVHGKA IRIKEFVPKSCLWGKCGKKFESRDGDGDEEMGGVVQEEIFGDEEAFKAHMEKAHIVPV AWHMGDGPRGTTTDGNESDHTSYLNSPLTGRPITPSIANQGITTTIPRRRGRAPASAK TWTQWEYWCGPKYLLKASQRKGKFRSTYAQGWRGGEEEVERVYGGEMRSAARAKAGVV VYSREMPQEMREALGVE VE01_07786 MTIPCEPTPHALAALKCDHDHPIATPPRPSSPVHRFGTLAVHAG SPHDPVTGAVIAPISLSTTFAQTAVGVPVGEYEYSRSSNPNRHNFETAVAALEHAKYA LAFSSGSATTAVILQSLAAGSHVISVSDVYGGTHRYFTQVAQAHGVSVTFTPEIAVDI AAHITPDTKLVWIETPSNPTLRLVDIRAVTAKAHEHGIMVVVDNTFLSPYVQNPLDHG ADIVVHSVTKYINGHSDVVMGVAAFNSDEMKERLGFLQNAIGAIPSAFDCWLAHRGLK TLHLRAREASTNAQLVAEALSSSPHVIAVNYPGLASHPHRAIALRQHRNGMGGGMLSF RIKGGASAAEKFCQATSLFVLAESLGGVESLVEVPSSMTHSGIPQEQREAVGVFDDLV RISCGVEDGEDLRADVLQALEKAVVWPKVANGVAAGMGKATQ VE01_07787 MPPRRSHKKSRAGCQRCKLRKVKCDEAHPICGNCTKHGVPCDFD DPSALSPPNSLVRYTTPSSSSNSSSTPKPSPSSSTSHQFPPSPNLPFHLDPPLPSTTL SPYSPTSRATELRLLHTYTTLTAPTLAWGDTPPAALAWQLAVPNLAFNTPCLMDALLA IAALHLRALTPSDPSLPRLFHAYMASALSSYTATLHSGVTAENGPALFATAALIAFQA SASRRFLNEPGSEAEPYSLPTQWFHAFQGVKTVVIAAWPFLRSSDIRPIISAQPALAL DLHPSRPAFFDDLLVGLDEQLEALPEGERDETRRAYEHSVAYLNWAHARPEKARIVGF PATVSRRFIELVDRADSRALAVIASFFAMTRAVDGAWWLSGVALKEVRGILGLLDEEW RERVGWAVRVAEWEGEVGEDVWGGRWGEGEGVDGGSGGWGDVSEHIEFVLTRGGGMVE GEAYGGVAEEYGMALD VE01_07788 MSNLGQRSEASFHSSRPIKSERHVSRKPSRANRDRARGANFAIK RKQLEEQRSNLEMASNQYSYPPPPPPPPPSTNAQGGPPAGYQQYQQPPYGQQQPARGG GARGRGRGGFNQGGQGGHGEFQNSQYPPHAGGYNGPPAPVGGYGQQGGQYAGPPAPAN GYPPPQQWRGNEPQLQQQHHQVQHQQPPHPAPLSVQNYHPNYAPQVYQPNGPQQQPQY GPQQPAPYQQGPYGPPAPMPPQPQQQWQAGPGHQSQPPFNNANRGGRGGRGGGGSGRG GFEAPLMGPPIRMGFDSDRSAGPMAQASPGFSPPPYGGPQHGSPVPFSAPAYPPQNQY QPYPSQNAFPPRGGRQSLESNPFQSNGPNRGRGGMQNRGNKREQFNDRVRHRGQKHPG AGHNKSSSQGAVTDQPQKPAADAGAKSGEKRKKKKKRKTNTLGLTPGTEDYQESEDED DADEEAKLAAAALGSALPEEPSDLAAWLEERRKKWPTKARRDEKAAELASRRAAAAEQ SARDKAKAKHDKDVLDAAASVKPETKIEKQQRKADKLRRQLQRAEQKVKDALKAGSKR KRDAGDSGDEDQDDGTARVKSENDNDIDALIASATGAASSSSSDSDSEYTSASDSDSG PDTTTSKRTAPIVVPAPKKPILQRHCKYFSTGGTCGKKGKCRFVHDQAVRDAAIREKE MNGGVMTLAQRLTQNDREKDELMVLKSIKYLHEKGMLDEPMEG VE01_07789 MFILTKISDLVQITPEDFSKPSKQAIEDNINAKYANKVIQKIGL CICLYDLLSSSEGLIGHGTGLVNVNVEFRLVVFRPFRHEVLHGRITSASPEGIHLRTT FFSDIFVPATSLPAGTFFDHSQGVFIYRHPHIPDLMHFDTYEMARFRIEEEEWIDQTP EKPESDTAMDEEERRARRLSPWRIIASMADDGLGPCFWWDEDEEPEE VE01_07790 MSSFAFSFGGDDIEETADDLSNLSLSSPPPAETGTLTPRRASSA FPVANQPLLPATTHSLSSLLTGLPSKIAYSTLPITVDGAPTIALPRRELWDVRVQLMA EDEEVGLGSADVKTGIYEGGFKSWESSVDLVRELAGEGLNDRRRFLELGCGTALPSLA ILQRHLEKDGEKGKLELGFADYNPSVLRLVTVPNILLTWAALRPTPDDAEPFEEEGEL DIDEDLISEFTSDLTAQGVTFSFFSGAWGTEFATLVEAAPRDTSAELLVLAAETIYSP AALESFAEVLMRILGTEKGGGAMVGAKKVYFGVGGSMEDFVVRVEGLGGLVERIREEE EGVRREVVMVRTKEETKA VE01_07791 MAPSQPPPKAVNDDPPFTLLTSTGRASYPSSSTHQCAFMASIMG EFDNCVLRALNSAYRHSFTTPPSRDAADLLRYSLTICSMIAAHHDWEENSYFPALDAL AGQPGILASNIVEHHEFEDGLTAFQAYCEATTGDGFSGEEFRARMRAFAPPLERHLSG EPETFYRLRELGSGALLEVYREQEKIALAKGDMWLIMPLVLRCEDKTYDIDGRKEHTH AFPWILPYLAHYIFSWRYARLWRFNPCDFWGNPLKLKGE VE01_07792 MVSFQDMQNLALASNMKGFGKIRKSCEQAVRDGYSYVWVDTCCI NKESSAELSEAINSMFRWYKASAVCYVFLSDVHTITLSGGTMMPEIGSSRWFTRGWTL QELVAPQHVVFYSQQWEFLGTKQTLSKLLSSQTHIDEAILNGESPSNRTIAQRMSWAS HRVTTRVEDTAYCLLGIFDVYMPMLYGEGKRAFLRLQEEIIKRSDDYTIFAWPIRLDD QPGLLADSPAAFADCQHIRSITTRKVNAPFSITNRGLSIKLMATPFALDTYVVALNCV NGQLVTDGSPVGSYRLGIFLRRLNEDDQYARVNQNGNTFVQLKALAWDQEVLKHLNTK RPAQEIEINVRQQVAETDKNVYKDRINGFHIFSEFLEPPADGRDHFSISAFSWHPEER IVVVMKPGDFGTMGFLDFGVQGRNFKCIRIGFDFDHNPVCFIATQKGFKDTHFPRLTD VPQWTDEVAGIHKRTPFDKFAWSKVFNWLAHEVERHPGLWAIKGDRIRGVNVEIHNLV SLQIIRGEFQNKLVWNLYLRDIEVTGKVRPKP VE01_07793 MSNKAAWINSKGEAIKVCDAEMPTPGPGQLVIENHAVPLHPGDW KLAKGIIPIPLKYPTILGNYVSGYVHEVGEGITRFKRGDRVLSMSALAVRNDHNFGAH QRYTLSVETLTAHIGDTPFEDATSASIVYAAMSALVLHLGLDRPSKDAQSKEENVLVW GGASSIGFYAAGYKVITTASERNRPLVKGAGATEVLDYRSPTIFEDLLALGPYKAMFG ASESAVDQVVIGNLLAAQGGGTFLTTMGVRPGVALPDGVKGLFVQYMDDYLKPENTEY VKWVFWEYLEDGLVKGTLKLGDVEVIGGLEKITEGLGRLEAGEVGGKKLVIKPNLE VE01_07794 MTLHKASQILLLDGGLGTTLVDSYSCVFDERTPLWSSQLLLSSP STLKDVQTAFARAGADILLTATYQASLEGFARCDVPKQEATRYMRSAVKIARAAFEGR SGRLALSLGAYGATMIPGQEYSGRYGDRVRAEWLREWHLQRVRLFYDGMETPVTERCW EEIDFLAFETLPLLSEVSAVREAMGAVAREVWGCEKEFWISCVFPGEGNVLPDGSSVE QVVRAMLGKKEGAASPSGVGINCTRLGKVEGLIMEFEKAVNRMVDASEVDQWPDLVIY PDATNGEVYNTTTMQWETGKNGSNSTLWDETLFDIVSRARDRESWRSIIPDLLIVGKR DA VE01_07795 MGKKSRESNGASKESKPAKAPKSLVAVEAAVDPTLAALFASSAG PVQAPPKSRYEEAPPPSKRQAAAEQDEESDLEEDEEDEGDDQELSSVDGDLDDEDLDG LSEGEEESSDDGGAPLNPLEAAEDKAPRKRKRDNKEEDLEGKYLSKLAKEEEKEEAER KAERKLKRQKLLVEQGEQSSEDEDEEMADAEDAEIDEEKPKTRQTPKDVPMHESLTVD KETSELEKAARTVFLANVSTDAITDKKAKKTLMDHMGSFIDDLPPPLDGRPKPKVESI RFRSTAYESTLPKKASFATKALMGATTKSTNAYVVYSSSFAAREAAKRLNATVVLDRH LRVDGVAHPAKTDHRRCVFVGNLGFVDDESMMDEGDENQRKRSKIPSDIEEGLWRQFG KAGEVESVRVVRDEKTRVGKGFAYVQFKDANAVEAALLFNEKKFPPMLPRVLRVTRAK AMKKTANAQKRESAPRPTIKGSNNPNNVVIYNPKMSAQQQSLQGRAGKLLGRAGAAQF RKREEGGKSQERAGGVGQAVAAGIKGPEAFIFEGYRASSNSGKPKDLKLGGKNVKGKG KPKTRSSQRASDWKKTGGKKAPKS VE01_07796 MSVAIESQGDLPLPDNLQHAEDAQSDLGDGSSSLSDIEQDVDQD DGQDDLDDDMESNEASEEEDDEEEEENDSEAETERLDVSPDKKRTHKDVVLNSHTESH TFERAPSNLHGQYGAEEDEEEESDEEDNPPGDVSDDELSLPDSPKTAAEEEAVADAAD DAAAATAQLEESIAQPKDIMHAFDLTNKKRKRSQLLDVDSADATDTGEPLRKRTGSVQ PPGDDFAVDDNVSARGDEDTPNPVSGDLSDVESVDGQEEDEEAGHPDEKEKLHIDEEL EERVEAAEQLRTSKRRRKSAVNGKEIAADEANEPTDKHAVKGVSDEGEEDHAEVEADD AEAALRDEEEQERKRIALEQLTDIERHFSSFRDRLHEDRLAKLNHEEWMLKQDPPIHP EYLAMMHCIDARRDEKLRIEMRRADYTRETIEKSAVGKRAQILSQFYQEVREIREQKL ELLGKQWYEIQHDRRAHGSSVDDYALRYNTKKEDQVRDQYAYNLEVSVLSGIAKYRGF PAAPAMAPATSTELEDDFKKMGKSSQKQPQQRAQPSLPLQDIAAIRAAASAAALKPAE EQFIESTPWANPQHPSHVHLLQRQSPAQQGPRTGSPFSMAVGGQPRRQTHQQAGGLPV SGTFSGVSQPQSNNFAAGAGRGQIGTFNNAPQPQKMGPSPLGSRRPSMLLEQSRLPAA VNEQPKPHENRQNMEPGPEASHPPLISPPRPQEPLHEFSYEGGVGVKREQPATVTNGR F VE01_07797 MPIIPKSSYYDKNYKQSPALIRARRPYLIKNMLTGVGIFAFTMG VYALTIRAVAQDEFEDVIVPDAPKKTTPQ VE01_07798 MAAPTLSVSDQLSQLDAARNLVLGDGNYYPQIIQGILPIIGPTA RVELRRWGADFLAETFSNPTVSSSQRETLSLIVLENLKSMIENVNEDPAVVKSVVQTA ASIYPFVLRWIINNPYDKPTWEKMAAIKTRILRIWDTAPPGIRICCIKFSQRVVLAQT APPDGNGRHGDPLDISLALVPENHTLIPKRNLEAEASGLLDRMLGVLHENPTDAVLIG ATLNSLSILIRARPAIANKILHAILNFNPLKLANSPMTPKLRVMAKSMEKTTRILLMH VHKRDPQGPMALRIQQYVERLIRSRTEIFDEAHRKRGPPELVDANDAAKRQKLGAAVA APIMNLVIPPFSPGPHTIAELFTITQDKGLIFDVSQIPEDLVASIGVALMSQLDEGLL KQAIKGVRDRFESFGTQTAISQLNPDTAPLGVEEDDDDYEPDFEAAEDTEQILNKLDN APPEESPDRPPVVALGPFKMPEPQALTSEEVMENGKNTVARVFSVMQTLEDPALKKNK AGINRLAASTYDREAWVTIVTRLATRAPAGLEDTTGVVKSEGGEARVTLGDNIRDALY LYVLEDFRHRIETAVAWLCEEWYNDRIQERAGAVTTSHYDKWVLRVLDGMMPYLDARD KVLTRFLGEIPRLTVEMLERVKGLCRDPAMVNLALTSLLYLVMMRPPVREIALDAVED VWRTYDDAKPMAAKYLTKWRPGFIERNSDTNENSESKLIAGSEGKNGALLTA VE01_07799 MSAAAMNSVKLVTNKDDDDVGQPLYKPSSKPSMDSMKIEKQRGG GNNNAGAGGNGTNTENRPNATPSTPVDSRLGIPRVSSVRMISAEFGGTKNYPPNIPIA EDNGGGSNGSTPNGQWSSAVGRANLGKSGRVIERLMGENDMLKRDLQIERLHLEEARQ AVIMTEERINVIETDYEGRLHDAAINKTLLKKRERQLADIKDHIDAEKRRADDAVDRE RTWREAMERLEIESKQKVDEAANYALLMEGRYKALTSHWKEQGEVVDRTVRKLGGEIT GLVQERLRDGERLDALQQLCNEQRENLARLQDENKTIAETSKAHRDEQDASLAGIRTK ALAQEKANEETLAETKEALHKLKWALGVNKNRDTD VE01_07800 MSYYIFFSSQCQGSAPAVFYYTCPWTVTPAAKEQLEVNFTAEIE GLGLAARLSYDHDKHFFKIDCLPAEKAMVYTRFSRVIDAFLKEQVGPTVAKESTLATK IGPIPLGVRTEIKEAADDALLKGFMKTEWSCPRIEQLGSLFSTQLLVKIGQLTECTLT YNSEARMITIVGPDQETCHRAILKLDKVRDYEVPLFNNPFPNQTHLFYAEEHTEYAPV PTPVKVIHALDLYENTLLDISAYPPTLNSPYLALSKSAVLRCAIYNENRRGLRPLPLP KKPDPVTNEAQKGGEYKVFAKHKFAGKGDVDPLVGVIEDAEQVDGEEVEEDIKLEKKE EIVVWVRGVKEGEPVPEDVPIEEKGLISPVKEKVGESSRAAESAGKTLSVDAKKQKAG ESIRAAESTGKTSTGDAKKQKAGENSRVAEIAGTTPSGDAKKQKAGENARAGEIAWTT STRDAKKQNASVSPVQAATAIDTMPPEFRHLPHLRRLFEPLVRGPAPQRAAPQRGSLL DMDIEDSISLTLQPLSLLPTALAAPIAPSLPIGPVKAPSEPPKPTTGSPQPLMETLQT TSEPSTRTYHHTMNLRAAPNSKGHWVGNTFVRAAPPSFLSTHFITSLHTATAPILQAL RGWRGSAKLEVKIGRIWIAHDALQISESDARGTAGIVDRKRMARWFEGGRRADMTTLI TEEAGDVEAIVGMKLFEQDGKFWGKTPKWGIEYIFSCMDPRDGGAGGEDGKFVVTVDA ETFRWKCETGETCLGETWVHCLKRVWDFKVCGTGRQEVEGEYKEWAEELVEGLYVPPN TDITNLTLSFTLPAPHALSFPTIRIARKCTYTSTTGTILLHITEMHDLLVERVGRSPS GQIVYRASARPGRGETHQESRWFEVSLSGKGWEGMLGEEIEAGGERKHWGEELKSGVE EVVRTAAAVVKGMEGVGGWNSNPFGEVLRGEERRKREEKKTAAAKVEKGVAW VE01_07801 MPPQTTEFKDVDQLDQYAFPAFDSDAPRRRRPSESTVDDDESTD EYIDKNKLRVHTSYDRGPERLHTRGCSPMSPFEQKQSQQLDDELEMLKAESTVNQANE ERERERHSETLSRTRSLARTRSRVNTEPADEFDIGTTPVYEQTKVYQPPAKPATRLAK MFIKVHQSSFIVRYLCYITPVALVILIPLLLGAFLFKDATVGDVRLMWFCIWLEIFWL TLWLGRIIAKCIPYPVGLIATIFTNSEKVWRDMARQLEVPIALFFWWLAVEVSFLPTM VNHHSDGDKTTRGWEKTMNKVIISIFVCATLNFIEKIIIQLIAISFHLRTYADRIEIN KFQTSSLVKLYVYSREKIAMKDSDFEAPSPTDESTGLKTPLKYINKAGKGVKQGFNRV GNVAGRVAGDFAGREVESTAQPQQVVSQLLSSTSGSQVLARRLHRTFAPEGAETVSDE DLAPAFDNNEEADAAFGMFDKDMNGDISMEELEAVCVEIGRERKAITASLKDLDSVVG KLDDLFLFIVAVITILVFISLISTSAAGVLTSAGSAVLALSWLFSATAQEVLQSIIFV FIKHPFDVGDRVTIYGNTGAAGLGDDYFVKEIALLFTEFKKMEGHVVQAPNSYLNTLF ILNQRRSGGLAEAVPVTMKFGTTIEQLEGLRVALMEFVATENREYQSNILTELRTVYE AYSISMNVVFFYKSNWQNELLRLQRRNKFICALMVAMQDLGIEGPRRTWAGQNPEAPV YMSSHNTYTPPTVEHPFSPSSGPSSGPGPSPHPLRSPTSASQSPSHPSTIPEVPPSKL GSYPSILRQRGSMRAGSARVPGGRKESMGQMSKRVDFSLGMSGISSGADMGDIYDKPK VRVPVSFREAEVEDEEEKEREGEGRKSGGGEGASLGRTGSRGSGSVRSRSKKGGLFGR KSKEEGAPGDLEAQVGGGSGGVQMSELEGRGEGQHLAGIPEVPGRGEKVGGGEKREKG GEKRERGRAGSREELIGEERGEGEKRQ VE01_07802 MAKPPSEPAHAPGGVQNGARPPAAVAGISSRGLLPQRRATSSSL LTKLLAPTYEVDESTTADAAAVNADAAVGDDELLRQRTQRREQLLPRETKDMAAQTMR PLSPPQQTARYNLHDMNINHVNALLHDHRAFLRSTRVRGNSLERTSREKMGATTASFA QRDVSGGTMTNPGDTGYTYTRPATDGEGIAPGDISERPPTEGFRAEYRSWRDGQRMPG AGMAWSIGDEALSPGEDGQVEKSITQALAGETHNSRSRKSSHSVRLFKEGLPEESARR SRLRDEKGAGGEGAGEAQMKGEDRLSPIAGSTEQTPAEDVSGSYFDLPIVEPTSTAPP TSRHHTVAGRMSRANTTGKSDESPRRPERQNTLPAQLLDDLRSRHNLTPAATKGSSFS NSIPITDSERQPTADLSISVPSDDSTAPATSGDEKPRTESPNSDEESSEEKISSALFV PHQSSREPGDESPQNTPPCREPLKDRRERGEEERWLVEHEVAPHEVEKEVSPAKLSPE LVSPRSRTASGFFSQTSGNTLSSSDASYPSAKGEEQVPVTQEQGEDVDVTPTERRPSL QDYTMKTRERAPTQDSAQQQPSPKAAGPLEAIELIPYKHQVGGHTTLWRFSKRAVCKQ LNNRENQFYETVEHYHPALLKFMPRYIGVLNVTFEKQLKRKPTLKNTGAAELIAAKAT AAHNGTLPSTLGDTNGIRPRVISQSLAAGPIPTPTVTFADNRHIIPKSFMQGGPIPLT ETPYKSLSDSNIHVSPDHKTGRSTSEPVNGEERPTLSDRHAVSWGATTVNRKLRYEVF GEAFLRQPVQVQPHKKPGQHHLRRDLAHRAVPPVLRATTSDSNLNLGGREEEDVSLRM QAIKAAALRRGVDGGGSPTKVGRGVSIPVATGGAHAEAATEAKKGENGVLSDEEEFAE QIGTSAPEAETVAPVETPKGKKKRRYSSGGLRRRPEEGEKDRGSLKYFAEADDPGYTG DAEEDVFAMDPDVVLPATALQTTTSLPLLPVIQEPPHVVEQPVETDIIPTATDAPTPP PLPVLPRPANPKEAQTQTQPDSRVEYFLLLEDLTAGMRRPCIMDLKMGTRQYGVDADS AKQASQRRKCAATTSRALGVRVCGLQVWDRESQGYIFQDKYYGRDLTEGEGFRGALRR FLWDGKRGGEEELRRRVGRLLERIGRLEVLIGGLEGYRFYAASLLMFYDGASEEEVKA AEAAKAAAAAAAAAAAAAANGTTAPPSPTVDPDQQQQPRKGREIDFKIADFANCVTAE NGGVGGGVGRRCPPRHPGEPDRGFLRGLRSLRRYLGEIMGEVGGVDGMGGGMEGVGEE MEEGEVSF VE01_07803 MASAELPPTCKVVLAGGIAKGLLAEVAEGLSTLKTKPRVIGLLA NDDPAAKMYADWSSKTCIENGFSFDLQTVTKDTLEDAIQSANADPSINGILVYYPVFG PTQDRYIQQLVALDKDVEGLAHRYVFNLYQNIRFLDPAKTLKSILPCTPLAAVKVLEH LGVYNTILPYGNRLHGRTITVINRSEVVGRPLAALLANDGATVYSVDIADVQLFTRGT GLKRHHHTVHEKPGWELKDCLPISDVVISGVPGEKFKVPTELIRDGAVCVNFSSERNF DGVAVKEKASIYVPAIGKVTIAVLLRNQLRLVQNQAARPAAMEAAVEATKAEVSGVVT SI VE01_07804 MPIEVINLLSSSSPPPRAPIVRPRNTYKAPLEAAARLAKVTASE AVSVAAKASASGSRDKRSDSRDGFKSIKSTVHGKAQEWIDLDNEDITALPAAVPEVTR SPLVSTGWDWSNVPVEPESETFYRPSPLSKRPSNNDFMFLSDDSLDSGIADTFRPPAD LHPPPSKRQRVTPEPATLPPLNKGFRRVVSDISAAPPYSKTTTGPPKASGLKRSATCV FDDDPITFTSSPDFLQLAEERRRQRRREIERDADLSDIEESRPANTVSRAAVDVFDDD DSDFDLPDIAVMASQVASGQVSAIARAPAAYIAYKPGSGKSAPKVDKPKRVVKTAEQK QAEKEAEAERKRLVREGKARDKQIAADLAKVNTLRIDKKVATPEMIAVFPSGLDEKLR QQAEGFFGKIGCNYQEWDCSGTVQNVLRWKRKVDAKYNETEGHWEPVPSVIEDEKHVL CYMPAAEFVALGTNEDGKDLDSHVLRMKARFDGFEIIYLIEGLTAWMRKNRNVRNRQF TDAVRRQMGEVDGGTSSQRSRKKAAEYVDEDMIEDALLRLQVIHGVLIHHTTALVETA EWFAVFTQHIATIPYRRQRMALDTAFCMETGQIKTGEDAPDTYVRMLQEVNRVTAPIA YGIAAEYPSVKTLVEGLKKEGKDALTHVRKSANKDGVFTDKEVGKSVSKRVWSIFTGT DEGSTDV VE01_07805 MAQAVVQTIRRDPQLFIWILFPIITVMILTGVLRHYVTVLIAST PKKLDLLSLREQRSLQRGITFRTNAAVISDAAFQARKNTLVSAFQSGAYLKNPDAKGQ PPANPMTDPAAMEGMMGMMKGNMTMIVPQTLIMGWINAFFSGFVVLKLPFPITPKFKQ MLQAGVATRDLDARWVSSISLYFICLFGLQTVFIYLLGSDNAASQMAQQQMGGPPPGA NMMGPGADPDKMFQGEAENIEVMAHEYILDGVEDRLLQSVKA VE01_07806 MADANAKITVHSLSDLKNTSDDAIPNYLNSLSFKQSHTLCDVRL ALGYSAFAICAACFCWDYKLGFDDTKYYSAAAVALYTLLNGALTLWVWLVEGGTVYVG TSKAGDKIAISTSTKKHTPTYTLTITSQSPTSPAATTTTLSRPFADWFDSAGHFVALP FQQMLAGAAPVIGKADPGRAALGVKGKEAEVAALRETVEEAVRASGSAVGGEGARGRR QKA VE01_07807 MIAPTHFRTWAFVLVAPALLVSHCAALEPEFDFIIVGGGTAGLT LANRLTEFPHVTVAVIEAGGEVINNPNVTDPNSFTLALGTAIDWQYESVNQTHAGDQK IAYHSGKALGGTSTINGMTYVRAEKAQIDAWEKLGNSGWSWDDLFPYYLKSEQFDSPT SAQVEAGATYVSQQHGKKGPLNVGYGFGLLNGTYHEVVEQAWNNLGIPTSLDVNGGNV RGFTVWQSTMNRDANLREDAGRAYYYPVQSRPNLHVFLNTVANRITWRESTSECAVAG GVEITAADGTVTTLDAKREVILSAGSLRSPAILELSGIGNPSILNKSGIPVKVNLPAV GENLQDQPNSQIIMSSNTTFSGSIPYVAFGSASDFLDSLPKNVNLTAWAEKVAVAIDH AISVSSLEQLFRIQYELINNGVVDAESILETTFSIGLGPSGLVASAFWLLLPFSRGNV HISSSDPLAYPVINPNYFLVDFDVDVQVAIAKWTRKFWETPPIQGLATETSPGFDALP KDASYEQWANWVKTTFAGNSHPLGTAAMMSRELGGVVDSELRVYGTQNVRVVDASVIP TQVSGHLTSTIYAIAEKIADVIKVSI VE01_07808 MEDSLTATAYLLAPEGERRTEIVESVVKGLEDGSTKLGDVVLSL RDPLFGNDDEQKTQTMEYVAAILRGLKPDALTRSNVRLLFNFVEARLGLDPSGFKETV QSLRYLVAMLRFEAARAATLVSQVFNAAQAAPGGFTKMSVMTRFEVYNLLDELLSAHR DVMKATGVDFINMLIELSASERDPRNLMLIFSMVEVILAEWDIDDIDSLKEDLYELLS RYFPITFSAKKNDPASIDPPELVLRLRKCFAAYSGFAPSIFPNLIQRLDDPNRLNAKA DVLLTMKACIERYHPTTVSKWSSPLWDALKYEIFNSSDDSQAPKALDVLGAIAARLSG DLVIQNLVGTVLQTYVAAIVDECMTRLRENGPKNSASAGAIMASIIAASPFAYHLVMR AAIPELLKEFSGRDASVADKRAVVETTNLLLDGKFEALRRQDKWDFSAVGIIDGISSD ADRASESGTDVGVANKGLEYYRDELTAMYMGVARDTQCDDKQFRVAAMQGLAKLLRLP QFLEKGSLDSYVQFLAGVVLETADLKEPVRKEAIKALQECAVLYTRLVTEQAFPVLLA SLPDVLEGEETVADKLAVLQALGDIGSRGRIMDTLLRRLLSKLDIVLRANESQKYAHL VLAGILYAVEQRQAQSENGEVAMRDEEDYRSLVDELLRRTAALKVHSKKWYVGTRELE TAGGKVQPDDTFLDVVGRIIMAATCGMGFEEQYWIFERAFALSVAYDDFTAACVAVTS PDDLPVDDDLSANTDISKRIDIIDAQFDLLSGPADKLHALTLTKYILATLRRDNRVTK PASVVAASPPPAALPVKKRILTINTTAAVTNLITYLATTSSTPALRSTLLDILSLLIN KFAALTPAVTAQLLGLFTTFPSLSAQEAKLTIQTLTTCTHASLLAGLPAATQLTTALL SALELPAPTGQLAAQAFTHLLAPSPLLTRRQHALIRPLAQQRLFHTAVPALLSTFSSP TTSAATKTNVLVALSGLLGHAPEEYITPFIPSLLPPLLQSLDSSPAATKKASIAVLRS AATSSPKALQEHDAALVKRLLACATGRESREVKVRALECLEILPKVWEVSVVARLRGG VVRGLGSVVGDGGREVRRGGVDCLSAWWRVGEGEGN VE01_07809 MPSPRTSYASSTYSYSTTASSADTIRDAKQSSSSKTPSPKKDSF LQKTKKILSGPSPEKEAIRKEARTPTQAMMEKT VE01_07810 MTVPKPPTRILILGSGVVGSSLAHVLSTSSLPPSTTIQVLSPPL TTLTTSTSLAPGLVGQLNALPALTTLAKASVKAYLTLPPGAFSQAGGLEIASSAEGIK ILEERLEKAREAGLEARLVDSEEIAKLSPQFHVKDGVSRGLYFPTDGVAEPSRIVEAY QASARANGVEFIEAIALGIKHLNGVVKGINTSAGFISADKIIVASGIWSAELLKDILH IPVIPVGHPYLHGAPRPERGEKSPFVRWPEKGVYGRDHGACEGFGTYDHAPLPVAAAE GAEKGWAPEFDSAVERALGLFPSETRQAMTADGSRKFNGVFAVTPDGLPVVGPVGDIN GLWVAAGVWVTHAMGVAGLVAKMVLKEEREVEVSSGGEGSEVVEEVVVVDEEFEELKR VLNPGRFGGRGVEELRREAGGRYNDIYNLEE VE01_07811 MQVLTEEQTRALAAETRGPILLGLSISFTVLSSIFVVLRVIARF WIIKGAGWEDYTIVVAVAFNVGMAACQIQEVRYGTGRHVQFLETSQVVGILKYLFWSI ISYCVTLSLVKTSILLQYYRIFGGNDATRYAIYVALIIVIAFGTECVISDIWTCVPVQ AFWELSLRPTSKCVDQNKLFLANACINIATDLIIATIPLPIVHKLRIPFRQKIALMVI LGIGWFICAVSMLRLNSIIGLAKQADTTFYSGETAYWSTIEVNVAIICACLPTLNPIC RLIFPRYFRSTQSAKYDSNSERMLGNNAFVQLAGGASASKSRQVGKRSCNGDEDGLRA AERYQAGVAANLNGDDGRAEDGNEQAIRVESSVQQTVYIEDDESGQSYTSASVSQRDL IPMVPGR VE01_07812 MGVISAADGTSDPILTRMVAEDKVPWYKKKNLRLLYFYLFVCCM GVEMTSGFDSQLINTLQLTPEYLIYFGDGYLKEGKPAIKPNLLGFMSACYQLGSIFGV PVAPYINQRFGRRWAIMIGSVIMVVGAILQCFSQHIAMYIIARMILGFGIVMCIIAAS AMIGELSYPKERAIMTSLFNSSYFIGAITASAITIGTVEIKGNWSWRLPSILQICPSL LQICFVFCLPESPRWLISKDRDEEAYAVLVKYHAEGDSDSILAKAEMAQIKSTIKMEL EHSKQSWTDMIRTSGMRRRVVIAMMLGLFTQMSGNTLLSYYSNLLFELMGYTTKFAKT RINIANNCWSFMNATALALIITRFKRRHMFMISAASMLMVFISMTISFEKLREAKNAK TKNQAASIAALFFYFAYSPCYNMGNNALTYTYLVELFPYAERSRGIGIEQIFGKLGGF FSTYVNPLALDALDWKFFAIYCGWIFFEFSFVYWMYPETQGRTLEELAFLFEDKALAD KAVEAVEKQIHFGGEKDDVNRDSMAHVEEATRKEIA VE01_07813 MAALERARLRMAKLGDEIALCFDDEVICEGSDAEDSLLSKAERQ RRREAIEKRYIAGHAPLIMSAQLRGPFDKNSGWVNPWQSKRPTQKQPTNRTTVTEHPN LAHKTTSVVGLGKRRRDDSNSSSSVGTRTKALAECSSTSMDIVNNRQPERPQLHSGFK RFVANQLERPSDFASLDERENILQKPGVGIKRRADTNWLKGADVGKRSRNNWSDPTSP TPKERTRDIVGNDKAIIDASSMSMSNVSIAKFNRQLPAETASLSEFEDIPVSTADSVR STRTTVQRRGDGNIQPTPNVTAKKAEDTRREISVRPESASRVYEESASSAGSVDGDGL DSAMEIGRTAPEYSLNGSSFVEPESSFGHKSVHRCDSVLSFEPSPVHTIARSQLSQEI LHGLSQASQLACSAEQLTPRKPDVSALNIAESHRSDLAKRNGLSPSSKKTPSQLVSAS LNHTSQARSQSHPLQKSPVGVNLLKPKRNDRSRSFDSPLPPGSLKYRRVRRNAPTTAE GSQNGDSRKAIEKQKTPEAAPLQSTEVQDDAHKKDVVSPASQALNYVDLARDRFSTFN SGRLLQPPGSVPIIEITDNDADEDSETKPLDRDIDDRAPTHASVPPISPVTKDGRNEL EAAHESCPTPIIDGRGSESSLDTTVMPCQENGESEGLLASEQALGTQPPPIEIAAETN SGNVEHKEELPSSKATTSVTKEATIGDVTLSQDTGSLLASPDLTDNESTLVEILQHVS QGPIPKSSPLPPLPDIVDDEQFSDTSFISATEESIPKSQPAPADVGDDQVLEASFTSA PEEVHSLPVLQSPWAVEDVPVPQSLWIEPTVRTGNFSMSQSKDAALLLAGTEPHCSGA GSPVHNGESSVRNSEPPQTNELVFSGVVSSWLIPTGSPPPSPKYLSPRKSHTPQSPTV GNDDLLPLSNPWANNTQSPIIHPRPIKRKKRVSFNPPPLDSDSEDKQPDQPNNKTPQS RDLSSPPPPPEAESLTKGNNSCHRKLKWTSGILSRRASNDQLSVPREDTVPGSPGVGA MAEAFLAADARAQSADSNSGEVRDKDAFTGPENFDIVEDGEQQGKVSAASAVRAVPLM TESQLVNPWGDEGEAPLFCMADCKPEEDDGLVEDVLSDMDAMLGDWNVDAELDRAKRE GKGQLERDETARERLVEAGY VE01_07814 MLRQIKPRNARSKRALDKKAPKPVENPKKALFLRGTSCSQKTQD ALTDLYSLRRPLAQKFTKKNEIHPFEDAASLEFFSEKNDASLLVFGSSSKKRPHALTL VRTFGYKVLDMLELNLDPDSLRLLSQFKNKKCAVGLKPMLLFSGTPFESPIPNEYTMA KSFFTDFFKGEPAEKVDVEGLQYLVSIAARDTVDGEEAKPKIHLRVYLIKTKKSGQKL PRVEVEEMGPRMDFRIGRMKDAEEAMLKEAMRKARTTLERPKKNISTDIVGDKVGRIH LGKQDLGELQTRKMKGLKRTRDSLIVDAEDIEMKDEVEAKKSKRKVVAE VE01_07815 MATANAVYKALNEIVLNPKYADLFALVKAARNGAVYGAKIRFPH ALVMIFLFRSGTFREKARLVYKATRTHAQNLARFAVIYKTVMIALRRLGPTGKEGPYD TFFAGLLGGYVVFGQRNPRTGKVSSVSQQIVIYIFARVVLGLAKLSVEPGTGIVTNVQ LSNKIKDNAWPVFAAMSWGAVMYVFRWHPESLQSSLRSSMSYIYVQSDHWDSLKTLVW HNK VE01_07816 MRGEVRPINPYYLLIRLSNICHIHIGQAGVQLGNAAWELYLLEH GLLPDGRPNPEATAIAETGSNETFFNETSGGKYVPRSIFVDLDPSPIDEVRTGTYREL FHPELLVSGKEDAANNYARGHYTIGKELVDTVVDKVRRVADNCSSLQGFLIFHSFGGG TGSGFGSLLLERLSTDYSKKCKLEFAIYPSPRVSTAVVEPYNAVLSTHSTIENSDCTF LVDNEAIYDICRRSLDIPRPNFEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLV PFPRIHYPLVSYAPVISAKKSSHESFKVQELTLQCFEPNNQMVVCDPRAGKYMAVALL YRGDVVPRECNAAVASLKAKQSFNLVEWCPTGFKIGINYQKPVVVPASASNPDGALAE VDRAVSMLSNTTAIAEAWGRLDHKFDLMYNKRAFVHWYVGEGMEEGEFNEAREDLAAL ERDYEEVGADGEAFAPDDVEY VE01_07817 MESAWLDSLSEDWISQPNSEHSPAGSLPSLPGSTPPSSNSVQER PFASRIPVLKSLGQSSHDSSALPLSERSPNSYNVPIPHTSKQSSKLRNEISGAGQERR VSRTLSVSSSQSVQHHTVKHRSLSSSPQKSRNTPEWKKRLLHGDVAYGDQRDLFSPVG LENIFKPPPPTRQSSAKSLLELQSKSEDVTMRSSPPPYKPKVVPQPSERQGPKPISYK LADTGSDQFSECDLSQSSSFRPRNTVSRKASSRNPLVHDVALEEAVVEEESEAENDEI EDLELSKGMDSDSHLQVTPQKQDIDILSKRQPKSPLKDPTPKRRRTLHDSDIDNLSID NVVYNSVLESHQNIQSIIGKKRKDARHGNDNESANPEVMATRQVLQPRTLSVSQRRNS SSEIDLNTVSRPPPWSQKELTEQQQKIARVQAELDMTRPTGPFVGINHHMSNDNRKPS VTTQDFLDEAKKIMAGIRGKSRPQSGLNSLEESESEISSLNEASENPDHEDSYQESTT EPFSRPPSREGGAPVPRQAKEQSDPELLSHLKKYEEWSDFDALVASSIPSTVPVKTNE DGAVKQDNNGDGVAAKATGWTLNHDMPYESDPPNIQITEYPELQRKRKHSTSSLPVGG DDGAVHSHGSNPSSGHSTNRSIHTGSSRNSDSRRIIAPHTVSHLIPEQLAGMVFDREK GIWVKRKATDPKILGNALPSDDDDPFGDIPDLTVDEIQEMQRIRAVTAKQREESRLSI VQEYQGQFNFSKGEGLGAHHVFGSAPTTSATKNSGSEPSLSAPPTSSGGIPDTQVTTW DEQPEASTKSDIEAIADTDPIGATREKTLKEVIEEDAEEEISINESRSQVWNDVKPRR SVTISFSSPFPSMLQDNSIEHPASRIFDHYHEEEQDVSINGRAEASKFQQRESTTQLR SSQRSAPRCSSFGAQNFTRRPVSRIDERDEDSFAEYMENGGARRNLSIAVTTPMPLRN TSRQISTVQQTPDIGIGHGSLYQLSPLSDFTMNHAEESFAFEVSYVAPVTRHQPGSKR SLSMTVKELVQRITDVEPYEPYWPHIRQIDLKKKKIHSLHMLSKFCGQLEELDVSDNE ISQLDGTPETIRNLRITHNSLSDLTSWQHLKNLQYLDISNNDLATLEGLKDLVHLRAI RADNNKIESVNGIFGLDGLISLRLRNNVVHAADFTGCNLPRLMDLDLKGNKLKAIAGL EDLRSLATLHLEDNCLETFNEGSNHTYHGLKYLKLSGNNLQQIDVSHYPNIRLLYLDR NRLGKVTGLLKAKHIDSLSMREQRESVIDMSFLDQCFEVRKLFLSGNLLPNFAPRVDF LNLQYLELANCGLESLPAEFGQMMCNVRVLNLNFNALHDLKPLLGIVRLKRLHISGNR LTRLRKTTGVLSQFSSLSNVDIRNNPVTLGFYPPVTETRVALLQRAEEDAEVAVEDPF TLLDADETKDKAYAGRLDMETRMRRRVYEMLMVGGCQRLKMLDGLPANTEIANVKDVV WDELVKIGLVQNTVGQIEAPAAEMAPQTQDEELKGGDVDPVQDEVVEKKEEQVLEAKK EEERESQWPAEDSFA VE01_07818 MNSASSSSSITEASRARMRPIPVHPSRLLPHQQMHREGPVPRAP GEREPDFYERMTPRNQRLTQLYVFSAVASGLGLYLLVWSLGLIKSEKLFSEAAKTHLL APYGWAGYGLVVAAFVAAVLLSFANIIRIVSVESPLPEIFAAAATGAISTLLVVFGII SYSTTVNAGAEDGIPYDVCTAPGADPWKRLPCNDASRKRFLVQLGGLICAGAAAFLCF LLFVLHVSEFRNQRSQGGLYARTRGYTRLSLNPYEVQDEDEDVGAAGPSVHLK VE01_07819 MASPAGKTLFSIPIKSSNGSVTVTEPAPKTYLLTFTSPPDNRLV TSFCEAFLLALDIIEARYPHGVVITTSGITKFYSNGLDLDHAMSTPGYYVTLYKLFAR LAAYPMPTVAWLNGHAFAGGFMLAMHHDYRVFNPSRGYLCLNELDFGAPLKPAMLGIF EAKLSPVSYRSLILEAHRFTAKEALEQQMVDKLGGWEEVVALVEERKLTQRSKSGVYG VLKQEMYREVIAHLEGHEERVKKDAADAEKLEKVAQGKEDRVRAWEAETKGKSKL VE01_07820 MTEKAKTEHIVFFHPDLGIGGAERLIVDAAVGLQKRGHKVTIFT SHCDPQHCFDEARDGTLDVRVRGNTIIPSTILSRFAILCAILRQIHLILQISLTSELS ALKPTVFFADQLSAGLPLLQALYPNVGTLFYCHFPDLLLAKGRQKWYKALYRLPFDAW EEWSMSFAASIAVNSAFTKSIVTAQWPALAAARTLSIIYPCVDVSDKPVENTDDNTLT WQDTNIILSINRFEAKKNIDLAIRAFAGLPKHARSSVRLVLAGGYDPRVTENVVYHQD LLLLAEGLGLKTATTKNLVTALRVPDDVEVLFLLSVPGGLKDALLRSARLLVYTPANE HFGIVPLEAMLVGTPVLAANTGGPLETVVDGVTGWLRDPEVVEDWTKVMDSVLHKMTS KELEKMGQKGRERVRAEFSEESMAERLEVLMGKMGPPPRSVRGAAVAVVIVYFSVVLG PVVSVLSLYSRR VE01_07821 MPRQILRRPSSPTQPLLQLPAEPESDSSPEDTTSTLHPASSSSS SMHFPDRYQTPHQRDMRRYFAFASAIVSCLCAGSITAYSLYGHLFQERLRYTQLQVNI ISITAELAMYLPVPIFGYLCDRVGPAPLSLFAGVVFGLGYTLAAFTYRSGAAEVVVPE EQGGWPFACMVVAFVGIGMGTASMYLSAVTTCAKNFGRGKHKGLALAAPIAAFGLSGM WQSQIGSRVLYERLPGGGKGDVEPFMYFLFLAVTLLAAGLLGSVGLKVVGEDELIDDA LENLEASGLLQDSAFFRGGEAARDYGSINGHDSTDDTASTRRLAAAAKAREAAREALE ARKKTFLLNEETRIFLTDPTMWLLALGFFLVTGPGEAFVNNLGTIIGTLYPPLEPGVK GETKTTAATHVSIVAVTSTIARILTGTLTDLLAPASRPHENMAASISSLRPPSFRPSR LTISRIVFLLFFSILMAGGQVALASGFIQGNGERFWIVSSAIGAGYGAVFSITPIIIS VIWGVENFGTNWGIVAVVPALGATVWGVVYSSVYQWAAGKGGVEDRDTDVLCYGRGCY ETTFWAMAGSVWLACLLWAWAWKGPGGWSQRGIAV VE01_07822 MALTSWKTFDFFEATQLTPPDPETPIFAASAISAITSGSDSLFL ASPDGDVRILSRGFRVQKTWRAHDVGVITHMRQIEGTSLLVTIAEDLPREPILKVWAL DKLVKKTGFPTCTSSLSIQNGRKPFPISAFAALGDLSQLAVGFANGAVTVVRGDLIHD RGTRQKTVFESEEPVTGVEFRDVARLTTLYVSTTARLLKLVISGQGQGQPARTIEDAG CGVGCMTVDERNGDVVVVRDDAIYYYGIDGRGPCFAYDGPKSLVQCHEEYLAIITPPV TTSNAKSSTLRRFGGSQAEELFNTSTFTVLDTELQIVAHSESMVSQIQTLLNLWGDLY ALTLEGKILRYHEKTLEQRLEIFYQRNLFIYAINLAQKSGMDAQQQNVIFRKYGEHLY QKGDYDGAMQQYLKAIDSTEPSQVIRKYLDSQRIHNLIEYLEELHEHHKATADHTTLL LNCYAKLKDIDKLEKFIKSPGDLKFDLDTAISMCRQGGYYDQAAYLATKHGEHEIVVD ILIEDSKKYSEALKYICRLDPESAYPNLMKYARVLLENCPKDTTKVFIDYYTGKYQPV LEVVPTETPPPEAGYAQNAAQAVQGLANRIPVPFRNAPAPTPATQVDINPAINDVINL GDGSSTPTIPTYIPPRPRTAFSSFVDHSNDFITFLEACLAQPDVPESDKVDLYTTLFE MYLHKANEDDGHDREEWEAKAKTLIEGKDIPIDTSNVLLLSHLADFQAGSILVREQAG LRFDIFRSYTAAKDTRGAIKALRKYGPDEPALYPAALAYFTSDSRILKEAGSELDAVL KKIDDDGLMAPLQVIQTLSANSVATMGLIKPYLQKTIERERRDIDSNNRIITSYKAET ATKRREIEELNTQPVVFQATRCSRCGSPLDPPMVHFLCKHSFHQLCLNVPNEAEGEKW ECPTCRPGNETIRAIVRAQGEMAGKHEVFRDALERSGDRFGTVSEFFGRGVLGVPGAE VE01_07823 MVFYTIAQPDEYLAITGAGVRTVKIAKKGFIWPMQKCMRFTIQP RDYPMNLRAMTKEKLQFELPVVFTVGPDLNQRGDNAIVHGEASAEDREDRGDALMKYS MLLAGAATTGGHAHIESIVKGIIEGETRVLVSSMTMEEIFTEREQFKKRIYHNIQSEL DQFGLKIYNANVKELTDAPNSNYFASLSRKAHEGASNQARVDVAEAQWHGNVGEAERQ GRQNREIAKIHAETAVQKTERDTEKSQAEALLATRKAAFDRDVNIAKIEASRAVEVKD EQLRKDVESLRAATEIERLRASDVVKATILRESQQQASDARAYETQTKASADFFLAQK AAEARAYETQTKTTADADREKQTAQAKAFETQAKADADKFRVTRAAEATYVADSKKAE AEAYKLKVIAESSFLAEAKKADAEAYRVKVAAEAAYIKATRAAEAGKIARVAEAEGLT AMAGAYAAMSDAFGGPAGLVQYLMLEKGVYGELARANAQAVQNLNPKVTVWNTGNQAG TGEGGEGGADAGASIRNVYQMLPPLMTTIKEQTGVELPEWQVGRLGGKAGPAAAESVV VNGAGKGQVVTVAAK VE01_07824 MSDSKSLPPDFPTDPSSPSDLPPPAYTSIYDSSPPPSDTKQSPS NPLTNLRTRIAANRASSSDPAAVLHRRLVTHMASRTEMFLADYGSTGFTAAKLSFCAN GHVSPTSSEVLTGTAAEDAEKEFIEFDVLESDHFWDDQDAANGLAKGLERALEEKLGL KGMRGEGVDVSVKEEQGGWRRENVFGVWETGTGWGVVVRVRVDVGE VE01_07825 MRLNINFTIMTTIPPPPPQPAPPALPAPRAPAPTSLSDSLSKLD SQISEKDQHLSSLRLGLTSLRSQMMEGGLHSQSDELYQQRTEQYLQLLEAHSQHRDEY LHLKDEYLKLLEVYLNREKEYMQLNKGYLKLDKRAFKISKKDVKREKKYLRLCRKDLR LERKRTMLESQYSTIDDGDQSLEMRVWVSETRGWMPRLELCQMSNLLYCDERIAT VE01_07826 MTSTTPPPPYGEATSAAPDPTNAPAFETSAATSLSEECSLFQEE HAQIEKTRFQLQETRLQLDETRLQINEQCWQLEETRLELSEQKTHIDSLYSHLESLRS CFQEPDYAKNERLRGLHSQLKEVHARMHELQRKRVESYELRKVLYGQRKLPYDQSRVK YEQRNIQLEQRRMKYDQRNENAPID VE01_07827 MTTTAPPPPPYAETASPAPAPVPADAPAPVHEPALAPASIAKEP TSIEEQMSQIKEIRSQRDQKKLQLDRERLQLNEECLEIDEKNSLLEELYLWLEELHLE GSDQYPQLQRHYFKLQYIGWKREYRYDQRMLQYARNKLQLAQLDCQHTKLELQLSLQL LQDWQ VE01_07828 MTTPPPYTVAAAAVAAPRPGPANPKAPAGEASTTPAEPEPRASS LYDITAATQRRVVEDVVDKMRRQMVKELGGMERRLVARVEAQERMLKEGRGKEVMDED VE01_07829 MPAPEPQAATGKQASELQQPQSAFAIISSQRCANQPYSAKDMPL AVSTLKRVKARLHSLAASSKLPRKTCTSPEAFATSHLHAQVIPKAPLLKTRVEDRPKA IGACSSEPVVETEASVPAISIPADIKGPIPTTPIPAETQTIDDSPTTLFDVHSTLLGR TATRYAAARAFNLGLPAPDRDELVELCDDIARREKKPGITWSLEGTYVPRGVPRGRVT RFVETGLLEVEAEMSVEAQSEEEGSKDIEKKESFVAQVPLKSGRRRVRGLWKMRKRGR ELGTGCQGRLVKV VE01_07830 MTSLAPLTDNAPQTARTTTPTCDQLQRFSSPNTLDRLESFVLRK AYRCVHCRHLEVSLDGSMETDDPIHIQKRSSSQHSDISTRSRRSQTTRVRSRKTPSYA SSSASSIAASDKSLTSFPSFSPESPSLQKPRPFDAALIDDRTALPTRRTSNHAPSMVE SLTQSSPPNEARSALFDDAPMNTSYVPGAIHLASDEFLERLIARQGAVNLVRQISSDL AQRDAQVARLRQKAEERERALRKVILECGLSNLDLERRLRAIEESKYADDASIATDSG VGGLETMINDAMIIDAEIDDYGLSGEATIRALDKPKQAPAPDLSKGTTRNWKDYIWGG TSKKSSQASSISEEHDDPDAPTGLPNPPRKADRRSVLQNGLFHPPDADSPTRASRASS LHNINSQTTRKPSMSLAAMALKLVAGASGAATPSRDSDASSVQGQSNDATGRQSSKSQ SSAATPRVVSRQTGRVLQGNRRTISGTSSGGPTRNGLQAIAADQQDKATDVTNYGPVE MDTILPFENQPPTVTQVNNHQYNPEFLTDRFGFIYDQRRKKRQKDATDTLKNTKHGRH GSRTEMINTARDTISSPETIIDTSAEVDERPDTPLSTEEPVENGKPGKKWQDYLKIAT FPTELLSHTPSMSLPNLEVMEGEEAPKLVGMKASDGGFVPPTNVTVAVPTVSTTVSTS VSTKSAVPLAEEDIEPVKLLLKQLGEVHDSLQREKTVKWNDFLRKVRAERKRESEAAT AAAGDSKSQRSKTVMPEVSITDGEIIGVSGLGNKGKAGRAKWQEFKQLVLSGIPVSYR AKIWAECSGATTLRVPGYYDDLVTNRDGNDDPIIVSQISMDINRTLTDNIFFRRGPGV AKLEEVLLAYSRRNKEVGYCQGMNLITACLLLIMPTAEDAFWLLTSIIENILPQGYYD HSLLASRADQQVLRHFVAELLPKLSKHLDELGIELEALTFQWFLSVFTDCLSAEALFR VWDVVFCINDGSTFLFQVALALLKLNEKQLLQCPTPAGIYTYINHQMTNHAISIDGLI HASEGLRRVVRREDVESRRNKAIEAEKDLIRQREAKNNLRKAERQAALKNSAFQAAEV RSASPARSIATREEVVPDDLTLQTPKPLNDEAMISLG VE01_07831 MSTRSSAASVAKRRLSALSEQLVSPIPDQGTFENIPKLKKVAGA SAGPRAAGKVVIITGTNSPMGIGRATAHQYAENGARAIYLCDFADSHLATHKRELASL FPSVDVHIRQFDAGEASGVKAVVDDALATYGRLDVFFANAGIVGVPKIFTEIEADEFL ATLKTNTVSVFLAAKYAAPAMQTTSPSKPYPSGSIIGTASVAGLRSNAGSTDYSCSKS GVVSIAQTIAFQLAGTGVRINALCPGVIETGMTAPMYDAARERGTQAKIGQLNPLRRG AHADEVARVALFLGSDESSYVNGQAWAVDGGLSAGHPFVQGKLA VE01_07832 MYSSPNASHGELEKFPDLDDAVLPEIAIDTSPTPPASSNNHDAG MRSLDHYRRKLPPWRYKLRQSLLPLIRLETPYLAWMQDKMRSPALDSYFAITANLGTH TFFMVVLPILFWCGYTSLGRGMVHILANGVFFTGFLKDMLSLPRPLSPPLHRITMSGS AALEYGFPSTHSANAVSVAVYALFTLRAPDCPLAPTTKLILEGLSYAYALSIILGRLY CGMHGFLDVIIGSLMGATISIIECVYGGDIDNYLHNSSWRAPLLVAVVIIILVRIHPE PADDCPCFDDSVAFAGVMIGCELGDWHYSNSSFSWSHPVPATVPFSLDHMGWPMAITR ILVGVLIIFMWREVMKPAMLKSLPHLFRFIEQFGLILPRKFFMPASEYKKIPASLRVD NVMPSVSDLPSLLTSIRHPGRGRAVSIGPQSAADAYETLAYRDKRRRESMGTPSPSHS RGSSTNKATHVQTVGSEAQGLKLDTGEGGGLSSQISLPNGVPTSLQSRLGGYEQMMGQ GHVIVSPPTPSTEERVPQDWDATKLRGDRGTEGDLMVGTENELGEREMFSRLEKPRVR YDVEVVTKLVVYTGIGWLAVEINPIIFELLGIGMREHSPYV VE01_07833 MSRMAYQQDRYSDNNQDPSPSTTDSYDQPTRKPTRRDQSARSSE GTVSTMMSGNTMSTGRESAGTNVTEGPAYSKKIVVVGDGGCGKTCLLISYSQGYFPEK YVPTVFENYITYPIHKKSGKAVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCP NSLENVMDKWYPEVLHFCPYTPLVLVGLKSDLRTKRTCIDLLKTQGLTPVTQEQGMAV AQKMGARYMECSSKEMTGVDEIFEQAIEIVVSGDRRNAAQTPQGGTVGGMVVKKKKRN CRFL VE01_07834 MSTTTKPPTGAGANSAPGERTYFETQRAALLGEIGVSLEHVLAN INKLNRSLEGVIAVGNEFGSVEALWSQFENVMGHEPVVNAGEGESEEGGSEGGEEEEG DESRV VE01_07835 MAAFVRVLGPPNSNFLVGYPGISATLPRIEGKVEIRPKEGVSAP VAISLVSICLQRCETIHPAAELIVKKHLGTPRRETVDIVGKELLLWKCDIGRVSENVV MMDLPFVLFIPFGRGGQETNRRIPPASLQLPSRTAETRYELVVTVQQGSADQSKFVTP VPIQRYDTLSTFGMYNRPESADMVSDHLITLGISLPRWSYGPLDPVSVYIKLSPNLDH MKKATKVMIQKITMAIEEEITFNHEGDEPTKKVNRIAKQTTPIGVRMPGTGYTTNLGL VFPSKENRDAEGIIRRGKPHFPMYGVNSFTTTGSLYKIEFFLIIKAHMTNTRDIVLRQ PIIVCPFDQQACKEEMESIEQAAKDASHVDANNPMLPARTVIKATDRDALKALGFTSV GNQRKILIE VE01_07836 MSVTLHTTHGDMKIEVFCESVPKTAENFLALCASSYYTPTPFHR LIPGFMIQTGAPPLTPKGGTSIWGTDFEDEIRPSLRHNAAGIVSMANKGPDTNNSQFF ITLAPAPHLDGVNTVFGHVVGEEGMETLRKLGGVEVGRKNRPVEDIRIERVTVHANPL AG VE01_07837 MFAPSSIQKVPPGEGEMNKENVVSTTSDAAVAAPPTAEMDTGII QPVSSTPLPTSEELVVQTTGAMDSVTQPSTDQPSAAQPSTGSSTIFVNHNEPDDSDDE SPILGRKRKRNSTNVDYLAGLAGKAEPTEPAEKKSGWTWKGTQGPTWKGHEVKHGVPI GVWSLSDEPIDERKHVLYGFLDPKLALHGRKYPERKDGSKYTGNFPSGTGTWAAKSHE WLLDPHLKGLSRKELTEYVRIRVQTWNREEKPEVRDALDQSAVAEAKEIAAASESNVK IENKGTSAKKSKKTPRKSNEGKPDKSTPGGSYDGPSSSPNSSTTKGPEQVYTPSANRA ARNDSPLDMKPPKKSQKASPLPMPIPDAIRSITGSPSRSETPPRERSKYVIKGKDVLI GYWKDSSEPEVFNKHAMYGVIQAHGVFRVKVVPETRDGRYLKDGNYPKLSGGCWVNYD TCVFEKYLKDLIRTEIEEYCRICVADPDYNEREQGPSIDRAVREAKRIVAEKAAAKGM NIIEYNRKRVDQLEQGALAREVEKQRKNGEVVVTPLKKVEVKHTAKRSDKAASDARAQ MVRQARIEAKEAKERETLNSKGDADLAEAIRRSTSEQEALEARKKSMLNSNAPPAKPA EVNKSNRAETNKSNEAEAKSNGAGTKSNGADASWTRHAKDLTAAYSHLRQGNNTPTST GSSVAAPESDRSKFHLLDRETQRVKMEKWCRMKVTSQYHNLDREGQRRHVEKHIDQLI AKQTGAATPKRPKKRSRTGDYPAQLGGGAVSAPSPLSDMTRAVSTPATATQEPAVRPG FATMLTAAEAAPVQRSARTESPAVNKWPGVGSTRTSLSLRSVLNEPMTPIPSESEVTP QPKSVENTVDVAMVDAPVETTAPVQPLPSNSTPPAEYSATPVATVETAAVQDKSSMAT TQPAPMENTSANQPSRAATQEAASRPSHPSADVPMADAPHIDSKPYLTQPPRDPTAAP STRPSHPETDQFARPQFPSQLHHHQQVFSTPQNPRGVPADNTQGFMSAPPRAYITPYP TPPFAAAAAPSSAPPVRAPALPPAPLILQAQDGIKYSSDPSSEFGDLLVSVDRELVDV DDEEYTRQVVLVAKKAPRPPKGGEEKKVGGEVFRMVGEGVFGGYWVGVERKVTRLGGE DWVKFVVLVPF VE01_07838 MSEATMDEDAPLTTLSKGPQHDENFDPDDEVQDYRFIAAMSSSG GKGTIPKRGEKDFEPHGTKHQESILDASRQAMHDALDYTRSHGPKSSVRAWYFGDGVQ EPRSQKRRDKDTQEGKEEEDEETVDILDPSIRGRGLDRDMTVMVESSKGTHFRTMGKT PMGTKSAKLWLLPEETLYLVERGNLDLWWPSRPLQAVRSQTGNYDLEEGTEDGVPLSL QAAYSLFIGEGEGLVSMETYQVYANLKRTGYVVSRAPPAATTSEETPHPQESSSLFSW LFGKIFASEPKIPAPYGPLVQPGLYRSYTQIYKQLSIIPVHKPSPVPSTVRPTPKLEV TFHIWKPIRIPTFAKSNPGEPDFRIAVVSARDTGVPTLEEIAGLLESTPWDPPKKEWA GDAKSYQRLKHGFRNVILAVVDQGIISYLNMSEAAFGEESLYGRFDRSGGGL VE01_07840 MSSPESNPKPDGKAFDDFFDSIGSKAEQVNSSKAKDATDATPAV EEEEDQKVVDEIESLCMNCHANGITRLLLTRIPFFREIIIMSFNCPHCSFKNSEIQSA GEIQQKGIHCELRLTSLADFARQVVKSDTCVVKFIELDVEVPAGRGQLSNVEGLLSMI LEDLEVGQKARKEQQPEVYTAVEAILVKGRKMLDGVAFPFRVSLDDPSGNSLIEPDQT DGVGKLLRTEYPRTPEQNEALGLGDGSNEENNAAGGAAAAMEDDDIIPNEVYSFPATC PGCTKHCTTHMKMVEIPHFKQVVIMSTVCDLCGYRSNEVKTGGEVPEKGKKITLKVES EIDLARDILKSESCALECPELKLSVNPGTLGGRFTTVEGLLTQIRDDLKNQIFDVGDA GGDSLQTTQQESWTKFFDGIDAAIKGEVKFTVILSDPLASSYVQNLCSPDDDPQITSE EYERTAEEEEDLGLNDIKTEGYEEGHAADVKAEQDRKDEEAKSA VE01_07841 MAQDPGAAGALSAQLKYLTDAAHLMAFSAPGSSSHLMSRCNLLM FSNDINQSDSHRRHVCGGCGNIMTPGWTSTVKNEVQRPPRSRQTRKRKASAITQAAER SMVYTCDRCKRETRQVITTTALPRIASRRAEQSNLTSGEAPERLTSASESQAATPTTP SANASSRKRAKSRKQGGLQALLAKNKEASQNSSSGFGLDLMDLMNNG VE01_07842 MDNSLQDIHGLRVAVEGCGHGTLNAIYAATEKACEERGWPNVDL LIIGGDFQAVRNVLDLTVMSVPARFRELGDFHEYYSGIRKAPYLTIFVGGNHEASSHL WELYYGGWVAPNIYYMGAANVMRLGPLRISGMSGIWKGYNYNKSHHERLPYNQDDIKS IYHIRELDVRKLLQVRTQVDIGISHDWPRAIENHGNAKALWRMKPDFEKESRDGTLGS QAATYVLDRLRPPYWFAAHMHCKFAATKIYKDETADSTPQTIATPAAAATDNIVPTAT KNEEEIDLDMDDDDEPPAPAPKPEVAPATTKPTDSISDDLRALLPASFSRPAPSSRLP PGQPVPETIFNSTVRFLALDKCLPGRKFLQLLEVSASSPPAPNYPPLSAESGADGSTG ASGWPRYHLSYDPEWLAITRAFSPSLVLGDRDARPPSDLGEEKYRELIKAEEVWVEEN IVKKGKLQVPENFVITAPVQDGVPPTLNISEQPKEYNNPQMVAYCELLGIENKFFATD EEKEARAAKGPAPAEVRRDGFGGGRGGGGGRRGGRGRGGGFGGNRGRGGDGGRGRGRG Y VE01_07843 MADSSEKQLSRWVTLVSYDGFEFVVLREAACISGAIRRMLDPKS GFEESVKNRCVFEEISGIVLEKVAEYFYYNYVNRDRDGVKDMHIPPELCLELLMAADY LDT VE01_07844 MPRPVITRQGTRFYRSLRKAFSSVGTDKTPIKHVIITGASGGIG RAIASRFASEGAKCTLIGRTESKLQASLRELSTLRDHRIVVGDVGKEAFWKDLAKKMK EDKDHCDVLVNAAGITHYSLLVRTPAATVDEVIDTNLRGTILGCQHMIKDMMRKKTGT FKSCCIINIASLLGVKGGRGSSVYAASKAGVLGFTRALAAEAGPSGVRVNAVVPGYIE TEMTSGMEPALFESTKNAIPLQRFGTAEEVADAAFFLAANQYANNCTLNLDGGLSAV VE01_07845 MARDHYNQQALGKPLNNDVKQARILMVGAGGIGCELLKNLLLTG YGEIHIVDLDTIDLSNLNRQFLFRHEHIKKSKALVAKEVAQRFNPAVKLESYHANIKD AQFNIDWFKTFTIVFNALDNLDARRHVNKMCLAADVPLIESGTTGFNGQVQVIKKGIT ACYDCTAKVTPKSYPVCTIRSTPSQPIHCIVWGKSYLLSEVFGATEIDSEEMDHSQDS ENAKEIEKLRQEAQALKNIRDSMGTDDFPKLLFNKVFNEDITRLRSMEEMWTTRRKPD PLEYDTVAAAATPLEESKEAVLKNDQKPWSLEENLIVFKDSLNRLSKRMKELKAASDG NSAEPTIIFDKDDEDTLDFVTATANIRSIIFGIETKSRFDTKQMAGNIIPAIATTNAI VAGLCVLQSFKVLRGDYSSTKEIFLSPFASERLMAYEKTRKPNPDCPVCSVAQTRLLV DLSRATLNDLVEDFLRVQLGYGEEFVVSNEAGLLYDVDETENLEKKLSELGIKEDSFL TVIDEDEEDPRVNLVLSVQGTATPTDAKPISALPTAVDSEQPISIPRRPRVVPPPTEA TNGDVGNKEAITNGEAPKASPGPVAGTKRGADELGEAGPEAKRGKLKEAEDDIIVLDS ADDGAIIIDDD VE01_07846 MSDDEADPELLALLRQHLMGAQPDPSVPETRVLDGAKYVTDNSI DVALDMRSTKAAAAMIYEQMQKKKYCTSTWSSHELHPKTKDEKTVAFIFTMDLLNFSF WSDRCSEERFAIEYKGKKWTGYWSLVAAINRALDEDIPITSSDYWQSEDELTEEKMKY IFRSATDEEMPMLKERLACLREAGQVLYEKYNCSFLNCIEAADQSAAALVNILADDFR CFRDEVRFEGRKTVRILKRAQILVADLWACFDGLDYGEFYDIDKITMFADYRIPQILN SLGCMQYSPLLETTLRKKKLIETGHSWEVQIRGCSIWCVELIRKEILRRHPDTEINAI LIDFFLYDTAKEQEKIELEREKVDDEGALPHHRTRAIWY VE01_07847 MVGVLHRTGWSGRGAAAISLDRFIASLQQLNHKGLNQLTENTNG KPCKPLTIGLAISGGVDSMALASLCSRIQSKDRFKFRAFVVDHKVRAGSGDEAHAVAK ILGEKDIPTDVLKIEWPEGLNPKEASNFESLARTYRFQALGKACRDHGIQSLFLAHHR DDQAETVLMRMINGHRKDGLCGMRLSGDIPECRGIYGVHQSGVVDASAPASQIKFGSG MDLNKSRSLDSSDDTTSSLDMRIESGGIKVYRPLLCFTKQELRDTCEANGMSWFEDNT NADPTLTMRNAIRHIYANNKLPVALQKPSILALAKRLQENRDRDVERRNKSFAQKVQI LKFEPSVPYCSVYFEPLDMEYNAKGSAGISSTEFEDASWWLRAVIQLISPVENIALGT LSTAVQRIYPELGSQVGHDSAPRKAFTVAGVMFEPAPSHTHDSRLLSSHTDTNPTASE GSTLAHQQIANRHWIIRPQPFKRGKEPRLDFIPFQGKDEEAYWRDNDSWKLFDGRFWI RILNLTSTNISLRPFQAEDVHKLKGTKFMEQLEQREKLLLPLKASKFRYVLPAIVAEV DGTERVVGLPTLGILAQDFENLVKYEVRYRGLGSWPN VE01_07848 MSTSTNTSFTSALFSGALAGTTVDLSLYPLDTLKTRLQSPLGFR AAGGFHGIYAGVGSAIVGSAPGASLFFVTYENTKSFLSSRRIARDALDPASPAAHEWE APMEHMMAASLGEIAACAVRVPTEVVKQRTQARQFPSSLAALSSILSQRAAIGVPGVW RELYRGWSITIFREVPFTVIQFPLWEAMKKWRLRTTGRDGGRGKIRAWESGLAGSMAG ATAAGITTPLDVLKTRMMLAKEKTGMFVLARQILRDGGPMAFFSGIGPRVMWISIGGA IFLGSYQWAYNALEGKAVQKREEAI VE01_07849 MAKKVRQRISYVLPLANSPGGHRLGVNGLAVDGENSILYSGGRD GAICAWDLDLNLKPSNGLEDPFESPEDPKEDKSSKRTPKTTFRAQTQAHTHWVNDIVL AQNNTALVSASSDLTVRVWRPLTNDSEAPQTIGQHTDYVKCLATPNSQADWVASGGLD RKICLWDLNGAGKKLEIEISGEEKSEKGSVYALSVSRSMLASGGPESIVRLWDPRSGQ RITKFVGHTDNVRSILINESGDTIMTASSDQTVKVWSVTAGRCMHTLTMHNDSVWTLF SDDPELGTFYSADRSGLIVKTDVRNTNGEMDDGISLAVAQETEGVNKVIAYGDHIWTA TASSSINRWANVDTGDSIQLPEVFKHRRTSSAASRQKPASPPTATSTDKKEIPVRSIL RISNTASFPAAAAARDGDAMTTSIASLKQDADLLDPDIVLIEPIHGLPAETIEGQHGL VKHKLMNDRRRVLTLDTAGDVLLWDLLQCVPIQSFGKRHLEDVEPEVNTNEAIAPWCS IDTRTGRLAVVLEEYNCFDAEMYADEIQTDEPISHRDDQRINLGKWVLRHIFSRLIDE VIRRDEDLRKELTAKAKASRPRDPPPTGLQIPTGNPFSSGDSDVSTPRGNGHHVQGTP NLGIVVATPLLAPNSLPAVSEDGSKLEKLQSQISHSSADKTGDYFTSAPLASSETKPA ATPNDTQEAGKATPDPEKQTNGKEAGSKFGKKFKMSFGSKKVARSTSTSAEKPVVEVE KSEGSEASDAAEKETDDSFAGIIQKINAEYEKQLTEFPDEPLASGVTPSLPNEAPVLK PPTMTTVIIQEETSGGSADLYRGTVGTVGEDASAIIERAPNWLGELLLKNRIPFKEPV KLSFILQPWQDLLPGIAGPDGNCRLNANRMLRVKKILAYVAERIEALPENAEPDALKP EEYLELYCYDQKLPVTMTLATLRARIWKGGADVMLYYKSNGRKELKLDKGPEPPAVPE ATPAPAAPEEP VE01_07850 MARSSHSYGPTCRLAPSVDSSSKGDDSQPPVRTQFFYASALPID DPLSVVPTPSSDPRSAKHAPRPFSPYDNNALEEAWLAFGPARLKTRQRTSTISSNPPL VEVTANAANGNKGSGDIYEDEGRNEGRSQPDDKDHKDRKAATKKESSSSLTDDQSTAD TGATSLGLSEAGTTGFPFQRAPDRSENVRPLAAAVSPEPRSPPAPAFTTMEEDNSHRE TEQLESTAPCSDAQPEGNGATDASRCEAKEYSKETASIPVGISRLHLVQLPNLQMKPI YWSPVHDVAAVTRGTWFYRDTMYPVESAVANQLETGYRELRPWSRTWSDELASALALG ADGEEKISHRLWPSEEEPSETQSHSGVSPNNPRCAAMCFNGEVAAEGDAYLIESDEKS PAKAIAPRRYPNSHVIYKDSRNAYILKTSLQPSAYYGRKPLSKIRKGITVGLHVVRGF DWPSWEKLHPNHKSAVASMAEDAAPASETANISQRAVCEACAAQLERPAVTDLVLVIH GIGQKLSERVESFHFTHAINSFRRSINVELANEGVQTVLRPGLGGIMVLPVNWRSNLS FEEGGPMKASDKGRESLHTKFSLKDITPDTIPTIRNLISDVMLDIPFYMSHHKPKMIE ALVYEANRVYRLWCENNEGFHQNGRVHIIAHSLGSAMALDVLSRQPTIPPAVIPGDSP SVSKHFDFDTKNLFFVGSPAGFFLLLERGKLVPRKGRNKPGAGSDGQDESITSDADNY GCLAVDNLYNVMHCNDPIAYRLNATIDPAYALSLKNAQVPYATAGFFGSIGNTMKSLM PGATSAPDLGVGQVAKPAIPRLPSQLEMEVHDFTREEIAEKKFFLLNDNGQIDYFLSS GGGPLEIQYLNMLSAHSSYWSSPDFIRMLVTEVGRKPGKLNTLPNMRAAKKTQKTQK VE01_07851 MRQDVDSMVPSRYLPQNNPLLVCDPEPHGWARKDPIAHSDYVSM EELVTYNNFRLAKYREGQSMNSLHNLETEESTNIRTVIEAAENRKIASRRADIVRAIR GQASPRKNPYSESFTKRRNVQGLRNRATYFAKKEGWEECQRDYWLQQDAISWEQSINK ALPQTPPLSLHQRSKSEESKILRVASSVYSDDSYAPSSRHGHGINNRDDLSMDVSASD SYAESADGYPNSPPSHSHTSQIHETEDSSSSPPEISDPDYQINEGLLKELKNHYLKPR NKTSHEESTSCKPATTQKVSNFLYRSNSLPVNVTPMSERQKTRLEMFRESAPANGTSQ VDRLPHHVAITRPRAPVLLSPVINAEELHPADDQLTGIPNNARYQSASYPGRSSSISH QPAIRRPEIAIVTYGNDENNHGTAHTNGYQSEENGSRNFRQRTVSTGYPGDRSTSTLG GDDVDSTDQDASASEGLADSPLKKIFGDGGSFDQLKADTKPVLKSMWGSVKAKVHITK PKMDMSAFKEMFSLRSQDPNLGMVTSNFMISCDQEFQSSLYARLDLLICTVANKFLLF EHFENRITPATAARYIEKWVKLGRAQFTEFNCGQPFQALIIWECRESLQFYGDHEARV RNAALDTWLKNANSMSVLTRCNGDSAIQKHMHDSWKVLEILGGTWDDYDSLSRLHISF HDVVAGAKTRNDALGIEHDWNPPPVPPTPAMASIKSPDLSHLYRQD VE01_07852 MADNTAPNGVELYDIVIVGAGPVGLLLSTCLARWGYKIKHIDNR PEPTKTGRADGIQPRSLDLLKNMGLKPQIMAHKPAKVFEVAFWDPTSAQDGIHRTGTW ASCPSFIDARYPFTTLLHQGLIERAFIGDLEKNGVEIQRPWTITGFKTDADVDPTYPV EVSIAHIDGTATETVRAKYLFGGEGARSFIRDQLKIQITHKDPIAHVWGVMDGVVQTD FPDIKMKCTIHSEHGSIMVIPREENMVRLYIQIASSTDKNWNPRRTATEEEVQAAAKK IMAPYNITWERVEWFSVYPIGQGIASKYTLDHRVFLGGDSCHTHSPKAGQGMNTAFLD AQNLAWKIHHVESGFADRGILETYESERKLVAENLLNFDAKYAALFSQRPPAAHDVKA ASEGATSNIGEENIFIKTFKESCEFTSGYGVAYMPNPLNWSPDHPAKSPLIHPQGTTL RTGRILVNANVTRVVDANVVHLEQEIPMNGSYRIYVFAGEQAVTRKALSDFSANLDKK GSFYSSYKRPDNTSISYHERHNPESLFYTLCTVFASKRADIEVSRDVPTTLARYRDHI YADDIWDQRVPNATAAAHAKMGLDEKKGGIVVVRPDGYVGIVVSLVEGSGTVDALNEY FSAFCTKKLGELRGQL VE01_07853 MVLLSSPMDWEPTHRGDYTPAWQPPMSGRCVVVAHERDHPDWVP PMPGAFVDKPPRRNEVGLRCGGGFHSPSRKRTVEGAQFEDHPQYAGESADVPGIQPII DGLMTMKLRYIITAVCWFAGITWASAQWTFRKARRVQVTEIRRVAADGYLSIKRRATE VMRPSAPTVEQIAHQRRAQTAPRQSLRQLPRNLRPVSAQLRSRKSSREHTVPQNTTGA QPMGPPSPPASPLAADIPILETQEPTIDRSARVEDVSDEDSFEERRKESRLYLEKVRI KRKAIEDEERNRPKSLDEMIALENARKLRTHDETTARKQAQKQVEEEKLQKMAQELAG KKSQRIAQQEAAKEAERLVQEMARPTSRQRPRLAERVTRPRVQLVSPQSSKQDAEDEA ESSEQGAEYEAESSGQDAGYETETPAKRLARTIGKQRANKAEKGAQQAVRSILKRNHH QEAQDEPSPLIQAPVVASADVEKARDESYVDYFLMPGVYEEAEVLEAGVEVGLKSLAL ESSNEHAEWKTEDDKRRKKVFEEYTRLAAEELARAQAEAEKLAREIAEEEAGREPHTG RRRLQTKLVQLSDEWQVKVDQAMRKGEGATLATTLDGTQLVKRDFVTVLGRAAWLNDN IINSYVEMVVEHANKKAGRNQRDKTPKVVAQNSFFYKKIHDDGPQSVSRWMRRKRAAG KNLLEVETMLIPVNNASHWTMIVVSPKARTIEYLDSFGGPKDVFIRNTKAWLAIELGS AWNEDDWRVLNTQSASQHNGYDCGVFAVTNAECVVGGVTTTSYDGGDMTMQRRRIAAV LLNGGFGGDLEAAEDM VE01_07854 MATIALPRPVPRYRSSSPIPTLTHSINLDGINSSAACPIPIPNK HLPVCPPGPAPSDKPDTPPASPPTKHLKLQPQSLLYPPTNYKKVTHNSSAVYLIDAAG VAAAVNHISQQPLPEAGQVFPWLHGLHPANHVQQAFFVARRKALRKTPECYRGVTIVK ADGNLSCSRLKSAVTTDEFMQTAMAEFKDIDPREGFSVRNFQIQSAKTAMLSDIIVYG EDADEVLRVGSDIAKAQRSRRQGLKALGHEVPVYHTFVCTSHFEEFEEHHPEIVVVDT RGELTGNVLDFFHQERVEMATMTKASEIARNVWLGPTDDLSMSGPSPDDEPFDLFIEC SDLGRANVRALKNIVRELDSGAETSQYVEFPSSGSIMPPSWPLTEADDIVESCKWIYN LANGIRPSEDEGEITDIEGDSPMPSPIETRTSRPRKILIYCTDGYTESSMLALAYYMY AHGVTVSEAWLQMHIDKRRNFFAYPSDVALLNSIAPSILSNSPALAHTAPQDVTQRIH DEPAWLALMDGSLPSRILDYMYLGNLGHANNPDLLRAMGIGQLLSVGETAAWTAADIA AWGDENVCVVQGVQDNGVDPLTNEFDRCLAFINRGRLNGTSTLVHCRVGVSRSATICI AEVMRAQSLSYPRAYCFVRARRLNVIIQPHLRFSYELLKWEETMQVRRGERVRRELEW GEIAREIAAMNKPYSR VE01_07856 MPATTRRTRGAPPAKGAQSTLSFNGAATRVTKHTGPTGKDLKKA EPAKPAKVDVIDLDRADAVVVEAEVREAEAKPAQVQLPKPGSALTPEEEAAEKVPHAQ VLRYWKAREADRLAPRVHQEGLSTEEKILRYFDMSSQYGPCVGIPRRKRWLRAHRLGL APPIEALAVLVKEDKKGNEGAEKAQLESLLETIGGDI VE01_07857 MEEQSLTPERQNGQSGSWHPPTPITPSAESTHPSTLPARPSTPI SRSIGDAFQHNFLEDTSPSAFPESEPTAFLSPPSPQPGLEEQNGAPENTEETSAEIPR GLDFRALDYVKAVDENLVCPVCRNPFVDPVTTSCDHVFCKDCFDQAYRIAPICPIDRT RLRPPNHVGSTARIITNQLDALEVRCPNSTEGCEKTLARSMVQNHVDRYCGYSLVDCP ESTCERKAPRKDVHRGCLHWYAICPDCSESCFAVDMEHHRARVCSERVANCDKCGLEV LRLNADLHDLDCEEAIAPCRWAIYGCEHQSKRKDLGNHAPECAFKTMGPVVELLKEEI TSLRGEVQTLTEKDKTKDRRIRFLESYKNAPSYGDPLIDIPNMSENPQSLIDPAPYDS RDQYLLSLLENQESKVDQLSVGMTELEAKQTVMLFNETIPIKEQLAELRSAQGVIGCH VRWLMNLRLQERRPGATAALNSGAKAESSSGSGSAGPFPQQPRRLSDPRENITKL VE01_07858 MSNPNQLFLLADHIKLSLLERQRAISLNLEPNSQDGHISRSLES FRAGLENIAVERESLEDAGDTAALTTLKQSEQSLQAQYDDLTSQFHGFPSTNPSSLSQ PNDPSLAADFAHASSHPQRSSSLLKKSLRGHPGAAASSPKSVRFSDAPSAAAAEDPAR AQLFPYRDDPIDAPTDQSQLDNQQIHAYHSEVLAAQDEQLDRLGESIGRQRELSIQIG DELDSQVAMLDEVDGLVDRHQTRLDQARKSLGNVARKAKGNMQVTTIIVLIIILVLLI IILK VE01_07859 MSFIIRASTRAAAVRRMATPAQARCFSISTSQFLKETARDSPNQ GEAYERAKQEQLRLKKEGKHEWSADLASASEENVHADRNYKDIGIKELQREAKERAER EKKEGRREDPVSRIKS VE01_07860 MSSYYHYNAHQHPATQNHAGRSRRAPRLSTSQNSHKQFRGVRSM KELTDTASITTFRQKFEAGRSFDLDDDMEFCPGLLTESDMQSIHSVSDRSSLSSGSPE SSPTQQAQQVTAPFPLNSASNPYVPSGYQPSNMKVHQPAPTRVRNAIPIVNPSTRMSS PPSSVSPSRMHPQQQQNLGRRW VE01_07861 MAANYWESTQRKHWQFSKQELARLRQKLEDEDKNLVQTYPLPPL RHLSIFFNQQVKRLGKRLSVRQQAMATAQLYIKRFYTKIEIRRTNPYLLVATAVYLAC KMEESPQHIRLVVSEARSLWPDYFNSDTSKLGECEFFLISEMNSQMIIHQPYRSLLAL QDEFFDTQEESNLAWSVINDHYMTDLPLLYAPHILALTAILLVLVLQTNGNSGAPPTP SNGGNGLGVAAQAALKHATQARGISGTEKGPATSRTKIQRFSVWLSESTVDIEAMVDS IQEMISFYECQEQYNEKSTREQVNRFIKARNLDK VE01_07862 MTWKNLATSVNMELLESQNERDARVEDLWRHLDPKETGEIDLKG LQRGLKKLNHPLKNANHLLEDVMKAVDSNGDGKIQYEEFRTFVEETESQLYSLFKSID KNNDGKLVKDELKAAFKVAGLTVPPAKLDDFFAGVDRDNSGAITFDEWRDFLLFLPND TPGLKAILSYYSSTVAINAEGDTQLRDEYIDSLGTAPSFFSVLFGSISSIARRPGQPR ESSTPSPEPPAESNLDHQQPHSSLHSTPHTHSSEEQDTGYLVSHHFMPGQFRHVEPDF TDDIDATPRYFAAGGIAGVISRTATAPLDRLKVYLIANTGNVKDTLSAAKKGDAVKAV RHVGRPLIDATKELWKAGGMRSLFAGNGLNVIKVMPESAIKFGSYEGAKRALASFEGH GDPQNINGVSKFIAGGMGGMVSQLCVYPLDTLKFRMQCNVEAHGLRGNALIVSTAKQM IREGGMMSAYRGLTMGLVGMFPYSAIDLGTFEFLKSSIISYNAKKMNLPLDHPDVYPG SFATGTIGAFSGAFGASIVYPVNLLRTRLQAQGTVLHPQTYTGVVDCARKTVGKEGVR GLFKGITPNLLKVVPAVSITYMVYENAKKVMHLR VE01_07863 MILTIVSLLRNSLGGLMGLNFANGSAISSPIDSKKGKKQITFEE HLALSSLLFHWADSYDSKDWARLSRILAPVLLIDYTQVSGESYPSMAAESFVAMMSSP GFLGDPLLKTQHLLGACEWEKVDDDFVIARQQLRAGHLRFEDETHKVEKQRGHSHATN EFYFRRVWIKDVEGDQGRWEWRWAGLKPVVRWNEYGVGPTLENISKTTDAEFYFDVTE DVALFVRCAETTYECDATLHGVRWFMIDGTNEPVPADCRKHLSHLITSHNYAGPLEVP GLTKLSGLRIRGTYSGTYWDNSLLLPTNVTINDLPDLVNITISMAIDDAASITSLNLL KLRHINLDLLLNSTGGPAINLTFLSLADVDSIKIYGEIDTF VE01_07864 MVIDPRLHSAPAMEIASPTPQIYSTSTSGEPGSTRIGGFDGFVQ YNDGIASPVLNYQDPLTWDDLSMDMNFYGNLTSVPPENMHPVYSAQGDISSGSDISSS SIQYQPSISHTSSCSISSVGDVDMGNVPPSKRAKLSHRAVAPGVQEPLATEQAWPLAR CNPPTFSDSCSRTTIVHLERLGQISKHERAWDSLDLEPDLAMSASDFINVEPINSGTR DTLNANTLGFLYRALKTRQGGFTNKCQNDHYLSPANFSSRLLPPTNMLEYFLLKYTLN LSKYYSLDARGRIDPNELIHGNHTSTLLILLMIGQGASMSRSTEARCLSAALTEICRI LLFDIIEQDIELSADLTVLRCALLFTMLGSWSGDKWHMDIAMGQRGMYLTMLKRAGML DPPDISVPRLGGSSNVDAQWRAWVEAETRSRLVYDWVLLDQEISLFHDMSTILSISEL HALLPHPSPYLFSASSVHAWSTLLSSSSTSHIRTSLHELFQDFLHDHLQYSASLTPLT LRLLLHPIQSLLYEVRQLLTCFSEVLSTRRSSTRTPTKASTLIRLEEVQSLLQKWYNL HLSLPPSVSGSAHDSTKTTNLILYHLISLNAHTSFTSLERLARRAPATLATANPHWDL SLEHKKCIFDSFSALFHAGQILRLVTLFDSLDRTPPWASLAVYRASLILWFDVLATSD PSFQLRTAEGPIVVINESMADDAALMCWLLKREGLPVLRGRDGSMCKLQNPEVVLEAC IRFVGGMDEGGNQRGGRLADGIVRKLQTLKGNWHGAGGLHGP VE01_07865 MAGGLTFPVHTPTYLWPYLPSSFAGCFLFSVNRPSNPFYVTHHQ VPPGPSKPGSPSASPLGKSAGEARWGSPLGKSSAFGQRLCCTTSIVSPLCSQRRTARS SMDSGKREPDESVWGKGKANAVING VE01_07866 MAPPAAVDEIASSLAMGGDVDPQGATTFFTSLPFAGKVALITGA SRGIGRGMALELAQRGCSIIANYASSATEAKSLVSEIHKLGTGAKAIALRADVSKPDE IFQLFEDGIAHFGHLDFVISNSGTEVWKDELKVTEQDFDRIFDLNCRGQFFVAQQGMK YLPRGGRIILMSSIAASISHVPNHALYAGSKAAVEGFTRCFSIDCGPKGITCNAIAPG GVKTDMFQGNAWHYTPGGTPDTPMSEIDAGIAKSSPLNRVGYPADIGRAVSLLVSPES EWINGQVIRLSGGAI VE01_07867 MAEKISFLLFGEQSLDTQGFLADFCRNGNPSILSHAFLNRVAST LQNEIERLPSLERRHIPSFTTIQELSQRYHTRDVTHPAIDSALLVTTQLAHYIDRAEK VPEDATRPQETWTVGLCTGLIAAVAVSIAPSLSALVNIGAEFVLLAFRTGRHVSALAE HIHESGDAAKSWTYVVPGLNEPAGRSLLADFNESKGYTTTSQAYISAITSTSIAFSGP PPTLKALVDEHEFKDGPLPLPIYGPYHASHLYSAAEIEAVLRLNDSNLSEVLKNASRR FPVISCSTGQWLAEKDPKKLITAVIRDILLEPLNFQRVLASCVTQANNYRSSSCLVIP FGPTQAASSLVQQLKNETGLEVHLRRAPHSKKDRMAPHTGDHGSSKRCKLAIVGMAGR FPDAATHEKLWELLEKGLALHREVPADRFDMKTHCDPSGKVMNTSHTSYGAWIENPGL FDPRFFNMSPREAHQTDPMQRMAITTAYEALEMSGYVPNRTRSTKLDRVGTFYGQTSD DWREINAAQEVDTYFITGGVRAFGPGRINYHFGFSGPSFSIDTACSSSMAAMQLACTS LWAKDCDTAVVGGLSCMTNSDIFAGLSRGQFLSKNGPCATFDNDADGYCRADACATVI VKRLEDAIADKDNVLGVILGTATNHSADAVSITHPHAGTQEILYRNILNMAGVDPLDV DYVEMHGTGTQAGDATEMKSVTNVFAPADQKRSAEQSLYLGSVKANVGHGEAASGVTA LIKVLMMLQKNTVPPHVGIKKTINRTFPKDLAERNVHIAYHSTPLLKKAGQPRRVFVN NFSAAGGNSGLLLEDGPATKTLLTDNRSAHMVSITAKSKSAVIKNAERLMYYIEQHPE ASLADISYTTTARRIQHNWRISVTGFNITQVREFIKHKLTEDITPIPLTTQEIVFVFT GQGSHYAALGKELFESSSLFKETMLEFNNIAAMYCLPSFLPLIDGSAAAVEDLSPVVV QLGLLCFEMAMARLWASWGINPSVVIGHSLGEYAALNVAGIISASDAIYLIGKRAQLL MEKCTVSSHGLLAVKASVLSIRESVDEASINIACINGPEETVLSGDTALIYVISERLT AAGFKCMQLKVPFAFHSAQVDPILDKFEKLAASVQFHAPTAPIVSTLLGRQLREGETV NASYLRRHAREPVNFLDGINSIRSAGLINEKTLFLEVGPHPVCIGMVKSTLGKETVAV SSLRRTESAYKTLSDSLSTLHTAGFNIDWNEYHRDHLDCVQLLDLPTYAFDEKNYWIQ YTGDWCLTKGRASDSQVASCQDSKPKISTTTVHKVVEDLVDGDVATVSTESDLARADL RSAVMGHLVNGAGLCPSSIYADMAMTVCEYGYKLVRPDVENIGINVASMEVIKPLIAR PDGASQILKLTAYIDASRSSAKLIFSSGSDKARVDHAHCVVSFGDCAAWLSEWERVAY LIQHRVDWLKNAEKNGKASKIGRGLAYKLFSALVDYDAKYRGMEEVILCSENMEATSH ISFQTKPTDGTFVCSPYWIDSVAHISGFIVNGSDAVDSGNQVYVSHGWDSLRIAEPFS AEKTYRSYVKMQPAGGKMLAGDVYVFDGSRIVAVVGGLKFQCILRTVLNTLLPPVGVT APPAQAPVAEPSPAVAIPTTSTLHKTTTTTTKIKTAQDSTTSLEPASKKFVTICSQTM DIVAKEVGVGMDELVDNITFADLGVDSLMSLTIGGTVREKLEIELPSSIFLECPTIGQ FKSFLARFERADSEISEDTEDSILYTNTDDSTPNSDSSTPNFGEMSPLSTPADDSDLE LSKDDQLCEIIQNTIAVEVGVTTQEVAHALDLALLGVDSLMALLILGKLREKTDLSLP ADLFVTCASIKDIERKLGIGQPPKPVRIPARSFPKLLPRPISTETVSPKETGHQVTNI TPERFATSVLLQGKIRTATSHLWMVPDGSGSATSYADIPEISPGLAMWGLNSPYMKTS EEFTCGVPGIAAKYIQEVKRRQPVGPYLLAGWSAGGVIAFEMSNQLVKAGDRVSHLIM IDAPCPLIIEALPTSLHRWFASIGLLGDGDPNKLPSWLLPHFAASVAALSTYDAVSIP KDKAPSVTAIWCEDGVCKNESDPRPDPYPEGHALFLLDNRSDFGPNLWDSLLPAEKIR CVRMPGNHFSMMGGDLVKKLGNKIREAVAMA VE01_07868 MPAGARKLPGPWSMWFIGRIHDIPKERTWLGFYKWAKESGPIYK HELFGSTHVWISSEQIAKDLLSKQGSIFSDRPLIDNLPINKTGGEYLPLLGENEIWKH QRKFGHLLMTTSSKNAQYHYPVIETKRLLYKLLLAPESYRSLLEDHTSRNISRLAWGS PDCYLTLQQVTMALLSVISPAGALPNVISPLAALPECLSPWKRYEKQRYAFEREFFLN QMSKVRKEWLAGTAKPSYMRLFLESQEKFQTSYVEGAYQVGMMAIAGALTIASPMMSF VLAMVQSPEWLAKTQEELDRVCGDRLPAMADMENLPVLRAVVKEVLRWRPPVPTGIPH ASTKDYVYQGYFIPAGSTIHAFEWGLTREPSIYPMANTFLPDRWLNPSYPTYREPLTI HPKLEGHSQFGYGRRTCMGVDIVNHELFLVCGAIAWAFNLRKKIDENGQEIPLNDMEY SNLLISKPAKFSFDLTLRDAMKGESIVAMWEAAEKEDGIQNEPINEDPAMGPIFESDL QSELDSEPELEPKRELDSRLRAQPRNRARARAQHQQAPKPRIEHSSSELNSEPSSSSE LELERELNSELCRLVPTRAQARVLAQIQAEPEFCLELENSRAQRAPEPRIELSGLGLR TRTRAGARALIQLGPELGIELSSSRPNSEPSSGSELEPEPERELNSEPRRGTWARVPA QPRTELRATPNPIIERAIAATQRARISMVRKYIKKHDI VE01_07869 MKFSFRSIFDSANLIASLPQVATNGHSLLGTLSAPYMPKFLTDG PLPYGLPWGLATTNLTDPRHAPYTGKIRPYNFVIERGTLAPDGVQKNGILINGQFPGP TIEANWGDTFQITVTNNITGPEEGTSLHWHGLHQDQTPWFDGIPSVSQCPIAPGSSFT YTFQAGLYGTSWYHSHYSAQYADGIFGAMIIHGPAHTHYDYDLGPILLSDHYHTGYFE LVKRYIGTRAVPNSDNNLINGKMDYDCSLTKTNCTPNASLSRFKLVSGKVHRLRLINS GSEGTQRFTIDGHTMTVIANDYVPIKPYETDVITLGVGQRSDVLVRGTGRPTESFWMR SDISKRCSNTNQPHALAIIHYEESDNSTTPTSKATVYNETSCSNDPLNMTKPVFVMAP PPEPDFTQIVDIDFQTNATGSELWTINNQSFRANFDHPILLLAKLGNTSYPDDPQWNV YNFGNSTSIRLIVRSRIPIMHPMHLHGHSFWVIAEGVGEWDGVITRPENPQRRDTHII DWGYPSPGKPSYVVIDFLADNPGVWPFHCHVAWHISDGLSMNVMERPDLITECQIPSI MAQTCRDWWQYSGHNVVDQIDSGL VE01_07870 MKSMDPSPPTAGELGTSSPPSLTTPAPDSIDEKMANIEKEAATS ISTAQPQDHDQDAEPARERWNSSSTNITRYLQTLLAFLVMGVSDASLGALLPYIEEYY KINYTIVACMFLSPFAGYTLSAFMISKIHMRFGQVGIAVIAPICKIIAYVITCVHPPF PVIPVFFVLTGLGNGLEDGAWNAWVGNMENANELMGILHGAYGLGGAIGPLISTAMVT KGGLHWYTWYYVMVGFAVLEIMLGYYAFRSATGSVYRAKHPVSTTGPPESRTREALKS KITWLVAVFLLAYVGAEVALGGWIVTFMLQMRQGEPFASGMVATGFWLGLTAGRVVLG FVTGRIGEKLAVALYLALSVGLQLLFWLIPSFVASAIFAAFLGFFLGPLFPAAIMVAT KLLPPRLHVSAIGFVAAFGSSGAAVFPFVVGAIAQAKGVWVLQPFALALLGVIFISWV VLPGGFKKSGLDEEQKKVLKIEKERGTIGSGSEAVV VE01_07871 MKGGGRLRPKASKQDDSIMGTNNSSIVSKRSVERLYYPNEPHFF RYFVKKPQRRAPLINRGYWLRMKAIDHVASQFLKEATGKKKIIVNLGCGYDPLPWQSW ARYPEASRDVTFVDIDYRDLILRKRDMVQQTSELNSILKNVEYPEDGDILLRSEQYLQ VGCDLRDLTKLEKFFSSEFDLSNSVVFCTAEVSIAYMDVATSDALIRWIGSLPYSRFC LLEQLLPNGPRHPFGKTMLAHFDKLQTPLRPVLAYPTTQDQENRLKKAGWADVRARNL WELWGSSDFLSSEERIHLDEVEPFDEWEEFALFGCHYTLLEASNVHLSTTQPQSTETA AASPEKTNSESVSLKGKMEYTESAKLNGIRRFGASLSVKGDNGCPDLKGNFGGMGVNN RLGSIDIFTSASQGGSSPPGPTLRVKPETRMCHTLTEIGDCGSLLVGGRSSPDKAFAD CWLYSKLSHTWERVDDIPVARYRHSAFALGDGSVLVTGGKKDSKTVLSDTFLWNRQTG WTECLLDQDGKPQVFGALMISDVISTTSTTSGFLVGGMLTDGRVSTDLWSWELHRYPQ EPSITWTCNDKAAGEENLYLHRFGACWANYDGKSLVVGGIMTANLIPEHAEIVALDIE SEAGRIKTNLTNIERCDTRPLLIGHSIATSGRSLLIMGGGAVCFSFGTFWNKGCYTIL PAEDDGGNFQGPDKQVTGSSEPWSYLNTVDSESSQPPKSSLRPSPLENQKPREPITIA RKKISSWTDFAAILSSGEPIILEGLDIGSCTDLWTNSYLLDPNEDRMDFVSKNFQYVT KDFGNFIESIDKGEKLYLRSLAIGKPSELPADISRDFPEIAGDFHLPPELLVVEENKH SSPLRISGPVTMWLHYDVMSNVLCQIRGQKRLLLFPPGDVEYFDFAPGASSSSVDAFG DLTGTKAAPSHPHEANMNAGDVLFLPSLWLHTAKPLDGVSVSVNVFFRGLQHGYAAGK DVYGNRDLQAYEKGRQDITKLSNTFESLPPDTRKFYLLRLADELDQKARSS VE01_07872 MAMNFVTFNQDYSRLAIGTSKGFRIYHSDPEFRPAFKSDEDNVS IIEMMFSTSLVALVLSPRRLVIRNTKRSSTICELTFPSAVLAVRLNRKRLVVVLEEEI YLYDILNMNLLYTISTSPNPHALCALSPSSDNCFLAYPLPKARDEPGEKRPAHAPPTS KFVPPISGEVLLFDTLTLKNINVVEAHRAPLSCIALNNDGTRLATASETGTIIRVFSV PSGDKLYQFRRGSYPSTIYSMSFNTSSTLLCVSSTTDTVHIFRLTSPSSRPTSPGSPG GERMSRGRSHDSATSSGTSPGSEVRTTLPTRKSSGTLGSMFRRTSQLMGKNVVGAMGG YLPKGVTEMWEPARDFAFIKIPKSSLGESGNGQMRSVVAMSSNFPQVMVVTSDGGFYV FNIDMEEGGEGVLVKQYSVLQTEDKFEQGVLDG VE01_07873 MPSAIPSGTTGAGSASPATGPGAGNANDNIRRFAAPSRPLSPRA EHALFHNKTRCFVYGLQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTS ETLLPVYQSVDKAMAKHSDVDTVVNFASSRSVYQSTMELMEYPQIRSIAIIAEGVPER RAREILHVAKKKGITIIGPATVGGIKPGAFKIGNTGGMMDNIVASKLYRPGSVGYVSK SGGMSNELNNIICNTTDGVYEGVAIGGDRYPGTTFIDHLLRYQADPDCKILLLLGEVG GIEEYRVIEAVQDGTITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAANKNKA MKEAGFHVPETFEDMPALLSQVYQTLVKAGSIKPKAEPIVPKIPLDYSWAQELGLIRK PAAFISTISDDRGQELLYAGMPISDVFREDIGIGGVMSLLWFRRRLPDYASKFLEMVL MLTADHGPAVSGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTRAYDK GLSPRDFVDSMRKQNKLIPGIGHKVKSRNNPDLRVELVKEFVKKRFPSCKMLDYALAV ESVTTSKKDNLILNVDGAVAVCFVDLMRNCGAFSAEEAEDYLKMGVLNGLFVLGRSIG LIAHYLDQKRLRTGLYRHPWDDITYLLPTLQSGAPGSEGRVEVQM VE01_07874 MSAKAILEADGKAILNYHLTRAAVIKPSPLPKSTTHNPPSKLAS LYFPADAEVAAILDQAEVSFPWLLQPGAKFVAKPDQLIKRRGKSGLLALNKTWPEAKA WIQERAGKPQKVESVVGVLRQFLVEPFVPHPDGTEYYININSVREGDWILFTHEGGVD VGDVDEKAEKLLIPVDLKEYPSNEEIAATLLSKVPKGIHNVLVDFITRLYAVYVDCQF TYLEINPLVAIPNEDATSADVFFLDLAAKLDQTAEFECGAKWAAARSPAALGMTAVKA ADGRVNIDAGPPMEFPAPFGRELTKEEAYIAELDAKTGASLKLTVLNPTGRVWTLVAG GGASVVYADAIASAGFSEELANYGEYSGAPTESQTYHYARTVLDLMLRAPPTDEGKVL FIGGGIANFTNVASTFKGVIKAIREYAPSIIEHKVKIWVRRAGPNYQEGLKNIKAVGQ ELKLDMHVYGPDMHVSGIVPLALVPGRFEASDVKEFGSA VE01_07875 MKRASQVALLALCAQVATAVTPLIVKGTDFVNSVSGQRFQVIGA AYQPGGEAGYKPESGQDPLSNAETCLRDAALLQRIGVNTIRVYNLSPDINHDECASIF NIAGIYMMIDVNSPKPNEALSREAPWESYNHDYLERTFKVVEGFKNYPNTLLFFSANE VINDVPTAITVPYIRAVTRDLKQYIKLHSDRPIPVGYSAADVREVLIDTFNYLQCSLT GDATDESITDVFALNSYSWCGPATFNEAGYNTLVDMFKKSSVPVFMSEYGCREIRPRI FDETAALYSSKMNPYFSGGVVYEYTQEKNDFGLVVLNSDGSAKLKVDYDNFQGQLAKL NITELQSTSSSAAATPFPKCVSSLIKDKGYPNDFTKIPNPPNDGLAALIKAGVKSPKN GKLVTIDNLKVKQVVKNSDDTVITGLEVRRLTDGNVPTPIATTGSPAATGTTASPQET SSSAAVGTTQLGGFGFVMSSVLAALYLI VE01_07876 MSRPSHTRSPSPSYHDFERGYLPSSQQSYNDANASMQSMTISDH EPFGSPTGDYAFAGSPFTSPYPQQSAASAFTNLNSAQQVADYALPQNYNPYSMDVIPS NLATASGQIPFPLSGPQYYPPNGTYNEPGSSQMVDNSVHWHPGLVDLSSGQPSNTYGL DNVLDTEDWLSPVPPTGSSFTGADSGLSYDSGAEGVVLGSSSTNAGSSRTTPSQEKRP RIVTTREDGNYECTVDGCGKLFNRSYNYRAHMETHDADRVHPFVCALPDCMKRFRRKT DLQRHHQSVHIKEKSHQCEYCGRFFSRKDTLGR VE01_07877 MKFNIDDLPVLFPYPRIYPEQYAYMCDLKRTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQQYYPEHRKLIYCSRTMSEIEKALAELKALMNYRTEQLGRVEE FRGLGLTSRKNLCLHPSVKREKSGAIVDARCRSLTAGFVKDKKEKGEDVELCTYHENL DLLEPHDLIPNGVWTLDGIMRYGEEHKQCPYFTSRRMMPFCNVIIYSYHYLLDPKIAE RVSKELSKDCIVVFDEAHNIDNVCIESLSTDITEDSLRKATRGAQNLETKISEMKDTD AEKLQNEYAKLVEGLREADEAREEDTFMSNPALPDDLLKEAVPGNIRRAEHFVAFLKR FVEYLKTRMRVRQVISETPLSFLAHLKETTFIERKPLRFCAERLTSLVRTLELTNIED YQPLQQVATFATLVATYEKGFLLILEPYESDTAEVPNPVLHFTCLDAAIAIKPVFDRF SSVIITSGTLSPLEMYPKMLNFTTVVQETYQMTLARRSFLPMIVTRGSDQVAISSGFQ VRNEPSVVRNYGNLLTEFSKITPDGMVVFFPSYLYMESIISMWQGMGILDEVWKYKLI LVETPDAQETSLALETYRTACCNGRGAILLCVARGKVSEGIDFDHQYGRTVLCIGVPF QYTESRILKARLEFLRETYRIRENDFLSFDAMRHASQCLGRVLRGKDDYGIMVLADRR FMKKRAQLPSWINNAVMDSDTNLSIDMAVSSAKKFLKNIAQPFKQEDHEGISTWSVTD LQKHQEKEDEEKIRELQDGAALEDLRHGNEQDVIMGDEYGMDDEEFEAGMMELDG VE01_07878 MDHGKGSPVLGPRHDSGSRVQKPESASDKLASLKARVAAAVGNS KAKGGLNVGLHPALEDHGQWKPSKSVAPKFATSIGNARPLEKQSAKGKKQLDLSGPSP EDIRNNPYFDNSLGGQTATLKNRNSRQLVFNQKGKYIQQANALRRQAALEAMKKRIAE SSRKIGIDEDLDTEKNYLVEAPPDIEWWDEGLVDGKDYTHIDYPKRLKLDTSDSIITH YIQHPVLLEPPQEKNVPAPKPMFLTAKEQAKLRRQRRMVDLKEQQAKIRLGLEPAPPP KVKKGNLMRVLGEEAVKDPTAVEARVNREIAERHTKHLDTNEDRKLTKEQKLEKLALN QEKDAAKGIHIMVFKINSLANGSHRFKIAKNAEQYALTGICIMNPRFNLVIVEGGEHS IRQYKKLMLSRIDWTESSPTRAKETHQEELVDWLKGEDEKGALKDMSLNSCTLVFEGE EKARAFRKWGSKVCETDATAREALTRSKMENMWTQAKTMP VE01_07879 MNISQPVSSAIGSVDFAFLSQDEIKRLSVKAIQNPTTFDTLLNP VPGGLYDPALGAWGDIQCTTCHLGALSCPGHVGHITLPVPVYHPTFMDQLLRLLRAKC AYCHHFKMSRKDVNLYHCKLRLIQAGQLSAAEELDNIDFVAKKAAAVEQEDESSDSAD DNGVETTIKQRLTFVKKAIRDAKATPWDWKLEKNESVAEARREVIKSFLKSITVSKTC ANCRAISNGFRKDRFVKIFEKPMSAKDEAKNAQQNLKAVNAMRIVSKDAKKSNGYASD EGIADIDLSSNEEDEGEGHTLDVAGGLVSKERSSSETTAISKQKSSTTAAERYVNPME VRASLTLLFEKEQEILSLVYASRSSTKKPAVITSEFFFLQTLLVPPNKYRPEARTGAG EIAEAQQNALYKQILTAGHMTAQISKELDNPDLADTKYRRRDFSDLQESWVKLQDSVN SLIDRDRNPIQGAAGKKNEDGIKQKLEKKEGLFRKNMMGKRVNFAARSVISPDPNIET NEIGVPPVFARKLTYPEPVTSHNFKELQQAVINGADKWPGAAAIENENGQVINLRTKT PDERQSLANQLLASSGVGANGARNKKVHRHLTNGDVVLMNRQPTLHKPSIMGHRARVL PGEKTIRMHYANCNTYNADFDGDEMNMHFPQNEVARAEALQIADTDHQYLAATAGKPL RGLIQDHISVSVWMTNRDTFFDRATYQQLVYNCLRPESGHIIGERIETVPPAIIKPFP QWTGKQIITTILKNITPLGYTSLNLISKSQVPADRWGPKSEEGQVLFQDGELITGILD KSQLGPSGGGLIHSLHEAHGPTVAGKMLSILGRLLTKFLHIRAFSCGMEDLLLTSEGE KTRKEKLKDVHLIGLEVAAKYVTLEDRKPTANDPELRNRLEDVLRDDTKQEGLDVMMN GSSNKLSSEITKACLPHGLVKQFPKNQMQNMTVSGAKGSAVNANLISCNLGQQVLEGR RVPVMVSGKSLPCFKPFETNVRAGGYIANRFLTGIRPQEYYFHAMAGREGLIDTAVKT SRSGYLQRCLIKGMEGLKVEYDTSVRDSDGSMVQFLYGEDGLDVTKTKHLTDFKFLLQ NIESVVAQMKLGDDLEVIGEHQEKIQKMMKRGIKTAKANTADVSDPVLAHYHPGRHLY STSESFNRSLSSYIKENPDGLIKSKSNPNAYSKKELGPILNVKYLKSVVEPGEAVGIV AGQSIGEPSTQMTLNTFHLAGHSAKNVTLGIPRLREIVMTASNNISTPSMTLQVNEEM TEEAGQKFAKGISKLSLAEVLDEASVSERIGRGTSQSRAKIYDINLKFFPPQEYKDTY AIDVADVISTLEKKFVPKLQSLARKALGKKKGEKKLKSAANTAAVPEIGKSVGIIEEA RPEGEKEPRDEDDEDEDDDGDATNAKQKANRGQAVSYGANDEEDDAVQTQMEREASPE DEDDRDDEGFQGGSRGSRLGNDEDYENDEEETGAADQALSDDRQSRVMSKNADVSRFR CDEGGSWCKVTLEYDASSPKVLMLNILESALHASLIQQISGLDTCTYVAGKGSDAAVV YASGVNLKAMQMYSDYINPNKISTNDIAAMLEHYGVEACRATIIQELSGVFGGHGIAV DNRHLNLIADFMTRGGGFSPFNRNGLKGSVSPFLKMSFETTIGFLKDAVMDGDWDDLR SPSARIVIGRTSKVGTGAFDVLTKVPVDSDTDHVMSG VE01_07880 MAAAKANGGPTRISILGNEDIVVDFDIWRSFVVGDLLENLKSST YVLITDTNLGGLYVESFETAFRESTSKLESPPRLLVYEIPPGESSKGRATKAEIEDWM LSHQCTRDTVIIALGGGVIGDMIGYVAATFMRGVRFVQVPTTLLAMVDSSIGGKTAID TPLGKNLIGAFWQPQRIYIDLRFLETLPIREFINGMAEVIKTAAIWDEAEFSTLESNA TLIMETIRSPSNDPSSRLAPIRDILKRIVLGSARVKAEVVSADEREGGLRNLLNFGHS IGHAFEAILTPQVLHGEAVAIGMVKEAELARYLGVLKPGAVARLVKCISSYELPTSLD DSLVKKRTAGKKCPVDVLLNKMAVDKKNDGRKKKIVLLSAIGKTHEQKASVVEDGAIR IILSKSIVVTPGIPKSLSVEVTPPGSKSVSNRALVMAALGLGPCRIRNLLHSDDTEVM LTAIGKLGGATYSWEDEGEVLLVNGKGGDLRASPSELYLGNAGTASRFLTTVAALCKP STVGSTVLTGNSRMKVRPIGPLVESLRENGVEIKYLEKEKSLPIDIAASGGFVGGTIE LAATVSSQYVSSLLMCAPYAKNPVTLRLVGGKPISQPYIDMTTAMMAAFGITVTRSDT EEHTYHIPQGVYQNPAEYTIESDASSATYPLAIAAISGTTCTIPNIGSSSLQGDSRFA VDVLRPMGCEVKQTATSTTVTGPPPGGLKGLEDIDMEPMTDAFLTASVLAAVSKGTTK IRGIANQRVKECNRIKAMKDELKKFGVECRETDDGIEVDGKPIGTLLNPEQGVFCYDD HRVAMSFSVLATAVPEPTLILERECTAKTWPGWWDVMSLFFKVQLSGQEVDEGRLHQE TKPVSSDRSIFIVGMRGAGKTTAGGWASAQLGRPLLDLDQLLEQTIGMTIPELIKSRG WEAFRDEELTLLKRVMVEKPNGYVFACGGGVVEIPEARDLLINYHKSGGIVLLVHRDT ENVMSYLKIDKSRPAYVEDMMGVYVRRKPWFQACSNFQYHSQTTENGGLTLARDNFLR FLSITTGGSTHFDEIKAKKHSFFVSLTMPDAAKMLDVLPEVVVGSDAVELRVDLLVDP ASEDGIPTLDFVYEQVSLLRSAISLPLIFTIRTVGQGGRFPNDAHSQALALYKAAIRM AIEYIDVEIQFPTELLQTVCSNKGLSKIIASHHDPSGTLSWKNAGWAQYYNRALEYGD IVKLVGVAKSMDDNFALAKFKNDMSHETPLIAINMGAKGKLSRVLNGFMTPVSHPALP FKAAPGQLSATEIRSGLSLLGELEPQNYYLFGKPISASRSPALHNKLFKQNGLSDVYG LFETDVAQDIEQVLKSESFGGASVTIPLKLDVIPLLDNLSEAAKAIGAVNTIAKVRSS TNSTTLFGDNTDWIGIQKTLAAAGSQPGSGLIIGSGGTARAAIFALQSTGYSPVYIVA RSPSKVDELVSSFPGLIHIRNAEEAQSLKSLPSVAVGTIPSSKPIDAGIREILVSIFH HKEAGDAQRVLLEMAYTASSTTALMNMATDAGWKAVEGAEALIWQGIEQFRIWTGISP EYKDARAAVIGE VE01_07881 MLLAPSTSQSYPRRQLLPTIATTPSLVDHSNYSSPNQTSFDHQL LDYPSPSQNQFFGQLGSDSYASSEPEGFGSDDIWQEDQLMQSEQSGVSNSWHFANGKL TPTSARSHQRESSQSSLGSAGPASPYNYNTSHPQVALPPDSAGGETYYEGLPVADQAT YYNFSKTPSHTQDQYLPAAFQQNYNFHTYGTPTNYNSMMPMDKHAMMRDDETMSVPDY GHSGRPSVASTQGSPATPIGNGFDEPNRKAGEISTLQDRWMGSSSQFYPDSEYHNSNA IPKLDRTMSDAYNDELYSPNFTFTSAAPSQESRMLSPSQSSDVFSQRLQAANSQHLSA STQQPLGNQSRRRSPFRQGSPLAPLPHRGFNSQSSTQQIRLGTAAHMREQQKAENDAR VLEEQIRRSSPEQSTPKTISPKDAVLEYHGTEEDAAMPLFPQTQQYRFQKPSPQESQE LDDTSSQQSFASMMTSRRPSSSAYSNNSSQATPRNRSFAFAPPSVPGATQMPQQQYQF VLQQRQQAQNLADRSSQLPVALPSVESSNGEYATDASELKKPARSNADSGTYTCTYHG CTLRFETPAKLQKHKREGHRQSAPLISGVTTSARRASSDAGSGMTSAALLRNSQAGPH KCERINPSTGKPCNAIFSRPYDLTRHEDTIHNARKQKVHCQFCTEEKTFSRNDALTRH MRVVHPEIDFPGKTRRRV VE01_07882 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G VE01_07883 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS VE01_06944 MASNILFLPFRKAHSLVLSDAIRQYISGKYDQHPDMFKQDLEVI DSLRREAINVREPHISGLKKIAAYAAQLVWMGGKFPIDIGADFTWFPALGYNTKRPIS QDNLKFELCNVLFNLAALYSQLAVSVNFSSPDGLKSAANYFCLAAGVISHIKDKVVPE LRTAPVEDMDIVTLESLQELLLAQGQECFWQKAVMDGYKDSSIAKLAARVSDLYSTAG DWAVQSEAISSEWIHHMAAKHHHFAAAAQYRQACDCLEKRKYGEEVARLRDSMLCVND ALKEARYVNKTVLSDLNGLKNKVSEDLKRAEKDNDIIYLNPVPPKSELKTLERAGMVV ARVPPDVASPLDHLGDNAMFGPPLFSKLVPFAVHLAASIYEERRDRLVSTQLTGPLSS LTASIHSTLSALSLPGSLQALEKPLGIPPALLSHAEELRQSDAIARLDRSFADTAKLK ATDTATFASGRDALLAEEAEDAALRARFGTLRWTRPPSREAAPKLHAQIAEIESYLAS AAASDDLVRNTYRNLAPLLRILAGPDRGLADFVPASRRAVIPPKLEAEASALRTCLND ISRLESRRRRKIEALREAARGDDVHPALLAEAARLERERPDVTIVTAHFEAFFDARLG SRYDSEIAAVAAEAEEQATLLRAVERANADFVRARRGEGGGEREKALQQLEGAWVGYK DVVAKLEMGRTFYNDLSRIVGRFREEAREFGYSRRAEAAGLESDLSLPPLSSLSLQNQ AQQQQQQQPASHAPSRAQQAEPMPAPIATRNPAPLPQPQQQQQQQPPLPAGPVAGMWN PEMGIRFGGSPAPQGDGKRQQQPQAGVWDPSAGLRFG VE01_06945 MSWKKSDRLMETIKHYSAFPATGVSLRQMVQFGERPSVGTLFRA SQFLSEELPIRLAHRVQELSDLPDGLNEMTSIQRVKDWYAQSFEEITALPRPELSKEV KERLMRPNKFNAKHSKIISETTYNPSVPKGKYTSAYWPNENGNGNGEGKKGFSRRYFA TVEDTGDWPPELFDYNKRFAETLNTIKRRHDGVVTTVAQGILEYKRKRQRMQIDHNIQ AFLDRFYMSRIGIRMLIGQHIALTDQNHNKDPNYVGIICTKTNVRDLAQEAIENARFV CEDFYGLFDAPKVQLVCPPDLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKEEFPI TKVVVAEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDSTPSLDPDFDKSDFKAPM AGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLHSSGNLTLDMR YKQMHLKNPRARREVTTRKQVGDAESMVNEGESSAGRSGFFGRGGEV VE01_06946 MPGTSRMPISLRNTIAARAGGSGTQLLSLNIDLLRNIIFFLFLW RWTKKAYLKLKGRGFAGSTIDGYVSVRRTLYGLFLRAPGVRTQVQKQVNEAITKLQAK LIQSGPGITRHLTLPKEGWTDETVQKELETLANMDHTKWEDGYVSGAVYHGGDDLIKL QTEAFGKFTVANPIHPDVFPGVRKMEAEIVAMVLGIFNAPPGAAGATTSGGTESILMA CLSARQKAYVERGVTEPEMILPDTGHTAFHKAGHYFGIKVHLVACPAPSYQVSIPAVS RLINGNTILLVGSAPNFPHGIIDDISALSKLAVARRIPLHVDCCLGSFLVPFLGAAGF PAPLFDFRLRGVTSISCDTHKYGFAPKGNSTILYRSAKLRTYQYFIAPDWSGGVYASP NMAGSRPGALIAGCWASLMRVGEAGYIASAHEIVGAARSIAEAVRTNPSLSTDLQIVG EPLVSVVAVRSSTLDIYDIADGMSGKGWHLNALQNPPAVHMAVTLPVAKVWQKFITDL EAVVEAEREKERERGVNGRKKGEARGDSAALYGVAGSLPNKSVVVELAGGFLDTLYKA VE01_06947 MASVIQLESHVNNHAWGKKGQSSLAARYADATPGKEFKVESGKD YSEMWIGTNPNNASHILSTGQKLQEWIDGNKEKLLGPAVIEKYGSDLPFLPKVISISN ELPLQIHPDKELATKLHKHNPSKFGDPNHKPELTVALTDFEAFVGFKHVDDIEALFTD IPFLRDRFTTQPKTPFTSSTLVKNIVHKLLSLSEEEVAEVYSALRDAPRSEYGDQSYI LMLLPRIANQDGATDPGCLVSLLTMNFLTLKKGEALYIPADGIHAFLSGDIIECMAPS DNVINCGVCPSANPESINLFTSALTFDYKDPQSMVMKPKVSTKGLKGHTRVYAPEGSE FDMLVTELKSGEEEHIAGLQGPGIVVVTKGEGKLHAKDVDLELKEGYAFFVGYDTDLK LVANGGEGLDIHMSFCEA VE01_06948 MPDVSLKLEALKLSCPEERIKLLVTSSAAFRPQDDRFRRVRSDE LLHMMESGKVCISRGPGCDLGFGFSFKNLQSNGLAEKALVEQLRRFVLGAPWWEQLPV SPSLNFSSPICPDVGPTFSIKLHDTLPSLHSNGHPNPEESPIADLHVPEYVPDIRLMG IKLFFTFEPTCDTPLPILTKETATEDDMLFEDGCESDPDLFEDIMDLEAFDSSTPRHE APFDASSVLEGKSWEDHGFVEDQYYADGYDNTLLYRTDHSSVHLPTPEASMTFNNQQD TSMLDSTDDSSNNLITESSLNLATAKQLTDVALRTLLGGRQTKFTPNIKVRKPRPKRP LSSIMPLIWSPGFKNAIYERSAFINTISSTLSSLSKTSQLPSLQKKLSTIHENSPARF AQTHPPRLNQTLQAHVFRMMQTTLYEPIASRRLRPSVIEESGEEETRSGPASRDREDS GPARVDIENASEEVVDADEGFEDLFGEELLSDGEMDMLFDLEILRNRGEGGSCSMLFG SGGGDSSMLMKGEEVHEDGTRDAGEEDSIFSTGDFERRDGDEHILYERESWESSIVEE ELEEDAEMIMDTEPDTVHGYSSMYLDDPYINNEAILI VE01_06949 MSGTTLSNSFPRSDNATAVIIPGPTPLTISYGELTRLVSSFQSR LAQLGITPQSAVSIALPNTIEFIVSFLASSWQRAIAAPLNSAYKQEEFEFYIDDLKSA LALVPKGEFAKDGPAVRAARKYQAAIAECYWDGNKREVVLDVKDQGKLKGKGGRNVEK ALPDDVALVLHTSGTTGRPKAVPLTHRNLTRTMKNIQATYELSPADRTMLVMPLFHVH GLLAGFLAPLLSGGSVVVPAKFSATTFWEDFITHRANWYTAVPTIHQILLRNPPPSTK PNIRFIRSCSSPLSPTTFHQLEEQYNAPVLEAYAMTEAAHQMTSSPLPPGKRQPGSVG IGQGVEIRILDQAGKEVPQGAEAEICIRGENVTKGYLNNPAANESSFTKDGFFRTGDQ GKLDKDGYVFITGRIKELINKGGEKISPIELDNVLARHPAVSEAVSFAIPDELYGQDI GVAIVLKPEQKLGEDELRGWVSEKLAKFKIPKKVYFTEVMPKTATGKIQRRIVAEQML KSEKPKSKL VE01_06950 MKSVVLLALAGAASAHYTFPALISGGTTSADWEYVRDWTGSYTY NPVEDVSSLNIRCNVDGSTNSAGTLSVAAGSEIGFTASPNIYHPGPVLAYLAKVPSGQ TAATWDGSGDVWFKIYEDGPSGLGTQLVWPSNSASTVGFTIPAATPDGDYLLRVEHIA LHVAQSSGAAQFYLSCAQITVTGGGSGTPGPLVAFPGAYSASDAGILININYPVPTSY TPPGPAVWSG VE01_06951 MADKQSRKIAIVGGSGSVGSPTVEALLSHGIHTVTAISRSESTA IFPSGVTIKKGSYNDEEFLVEALKGQDVLILQLGYMALDSQIPLISAAAKAGVPWVIP CEYACDNKHEKLNEEIGLMVMKNKYRAQIDSLGVSSWIGIVNGPWFDWNFEKSFMGID IKTRKAKLLDGGVKFNTSTLSKVGKSLAALLSLPDSKLSAFKNDFVYFSSFLVSQRDV FDSIFSATSTKESDWAIERESPDRAAEAAKEAIRQGNGMGNVDLLFTTLSRVGYGGDY EAKVIGNDFLGLEQEDFDKVVKGLVEKMEQSK VE01_06952 MAESEAPTPSPRMILEEVRIIFENRPGGDIVYPLTSPEEIEKAA KSPYILKEGCHYKIRVTFRVQHDIISGLTSQTSTFRKGLRVAKDQQMLGSFAPQSKLH EVTFPRHGWEEAPSGMLSRGSYTSRHKFADDDSVVHAEWEMAFDIKSEW VE01_06953 MTEQVAWVGLGNMGRGMVRNIVEKYPLQSPLIINNRTFARTQSF AATLPSSKVAPVATLEEVSAASIIITCVADDAAILATIESILSYSPSPTLFIDCSTVH PSTTASISSLVTAAGHKFVAMPVFGAPAMADAGALICVPAGPRSSVKLALPIAHAVGR AVIDLSDKPYESASTLKIIGNTFIVNMVEQLSEGHVLAEKSGLGSEQLHKFIEAIFPG VYTDYSNRMMTGVYYTREEPLFAVDLARKDARHAMNLAETAGTRLENVETADRHLVKV KEYSGEKGDIAAIYGAVRQEAGLPFENQKKGE VE01_06954 MAEEINEKSATQVTEDKAIPSDWNLRDVLPKDSPPWYKQRHLIL LNLAMIIPALSSTTNGYDGSMLNGLQSMDQWQNYFGSPTGTRLGSLANGTIFGQILAF PVVPWLCDHTGRRFPIFLGSALLVFGAILQGAAQNYGMFLASRMIIGFGGLIAVEPSP LLISELAYPTHRPVITAYYNNLWYLGAIVAAWVTYGTYWMGHNNSWAWRIPSILQGFM PLIQVLFVYLLPESPRYLILKGKHDEARKVLVKYHAGGDEASPLVEFEMKEITLQIEE SKNISGTGYLEFWRTKGNRHRLFLICIVPVMMQLSGNGLVSYYLHLILDSIGYTSAPA QLRINGGLMVYNFGISIILASFVEIAGRRRLFLISTVGMLGSFIIWTVLSAINEQRGF ADTSLGSGVISMIFFFYLFYNMGLNGPPWLYVCEILPTHLRAKGVNIMQIAATCVLIF NGYANPVAMEAISWRYYIVYICVLAVEVVLVFFFFPETKGKTLEEVAFIFDGDTAFGN NHSSDVIVGRDKEADV VE01_06955 MEMEYIVHPSDIQESPGTTQIQTQPKTPTLSLASSNPKSDSHQP TSPAAVATKPPRKRQHSRAEAAYPRKRAIQACRTCRLRRTKCDNSRPACGKCAGLGVE CIYQENDHSTFDPASLTILQRLDDLEELLRKNVTTVSQDQNDSLTSPSVSLSIPKTPA PASLERPAEWRPSYINIETVLTWPVFDDQNFDERLDLRSLLRSDKSNAASPTLPIPAD FGLYAAGQLLQQFLDNVHIFNPILEEAKVREYMRAASFNGLGWDAQSCLLLLIYALGS IADPYGNLPKITASGFRQSPEFLQAESFFFAAQNRMGMLLCGSGVIEAQCFFLAGVYL MATLRPIEAWKMFVQALACCQAFSPHLSVRDARTEEESRMEQSIYWTCFKSELELRLE LNVSEKSVWDLTYPALFPSPPEGLKSQGEAMWFFYLAEIALRRLGNRILNYIYRYDSS VDSDSNIEDAILDFEGQADGWIQSLPAALSLETTNPEHKQYAALRFILHGHLLDCNEM MYWHFVVDAVHGRARGSSTEAFVRKGLQVCVQRVEINEDGFYHRHHGTWLMLRSCTRS ALVLLATARCADLAPLMPEGWEKAVAKVMAMLGFWKGESRDVMDRLQILETLAGFVAP GILKNHVYSPE VE01_06956 MAQENTEPPTPPSPIETLDIEAVLAKLSTSEKVDLLAGIDFWHT KAIPKHGIPSLRFTDGPNGVRGTRFFNGVPAACLPCGTALGATWNVPLLQEAGTLMGK ETIAKGAHVILGPTVNMQRSPLGGRGFESFSEDPVLAGSSAAAIVNGIQETGVVASIK HFIANDQEHQRMAVDSIITERALREIYLLPFQIAVRDSKPGSFMSSYNKLGGTHVSEN PRILDDILRKEWGWEGLIMSDWYGTYSTSEAMNAGLDLEMPGPSRWRGQLVNHALMAN KISKQTLDARVREVLRLVSRVAKTGVPGNAPEGSRDTPETSALLRKIGGESIVLLKND NKALPLDKSKTVAVIGPNAKIAAYCGGGSATLLPYYATTPFDGIAAKASETKYSVGCY SHVLLPLLGQNLKTADGKVGVTFKAFTDPVEVSDREPVDVIHLADTYMYLVDYYHPKL TEDLYWTEVEGFFTPDEDGDFEFGLTVHGTGKLFLDDELLIDNETVQRSGGSFFNVGT VEEVGVKNLKAGQTYKIKVEYASGVTSKLSDSDGVVSFGGGGIRIGGAKVIDPTEEIE KAVALAKSVDQVVICAGLNKDWEQEGHDREHMDLPGRTNDLISAVADANPNTVVVIQS GCPVRMPWADKVSSLAQAWYGGNETGNAIADVLFGDVNPSGKLPLSFPVRNEDNPAFL NYRSSHGRVLYGEDIYVGYRFYEATLKATQFPFGHGLSYTTFRLSELAVTNDGTNLTV QVLVENTGDRDGSEVVQVYIAQRAPCVKRPLKELKGFTKENIAAGQSKVVTVTIETKY ATSYWDEERDTWVSEKDTYDVLVGTTSAETPLKASFEIEESKWWRGL VE01_06957 MKLSLLTLSSLILATTATPVSLDFPSGDAPLEKRATETVYLSNC NVFGTPFYSQMNYYSNGANSQNGEQPDDTCYLDLSGYVNWEGNAISGTFSGGTTFTSH IDSTAQSRALYSYSGYVLPKVDENLSRVSC VE01_06958 MVSWSSRFSKSEDAAELHAIFKGDNLDDGDDSNLKKRRAGGSKL QKRFSRDLTLTNQRSPTSTVAISEEEVARREEVKRIRAKRLQEELGDIRVYDEDATPL CASPFGQTSKGAANDSDDTSQDDSVNDIINAYSSHRVDPGDNQPLPSRKMAVDTPNRS DSALEDPETPLPPVLNPQNTPNIGDTSIGRTSWRLSFTSPQRARCLRALSLSNPEDQS TNNANLTVPTSEHPPSPGKKKRWLNSGYRRSLEAQHSSATSGELTAGPHIPEKASAIP LHEMQISQRLASAGTYIPQQQSSEYKDITLDGEPVSPEDGFREINTRRSRYFCNISGS AFSESKVPRTWGQVLGDGTSSSHKPDDEDSPGQTYKSSPGPQLSLTIMQGQNIVPSYL QVPRIVITKPDEEIDEINPVIRRHQQHSGEGYSSRESKSRMRYPLPSTSPKADVNEYM ENPVCSGAPNSPVMNKRQPSTPLTSKFSEDFDEPGSKSSFRSSVLSAMRLSGLGRLTG SFDSEDPFDSVTTDRQSGHNKARPAKNGTPVRTASRKESVSLDRAKSYSMESTEIMWT KAFRQSIDGNSCGAESGVRQSSPTGKRSKLDGKTNNKHTKRNEQTLTDETDRSRRSSR SNRSSVVIPPESWANFPSHRRESRRGSTEHDDVVARSDFAIRELLDGLHGDASTTKNP QQNHQEESLRQRLPGRLTAKVRTSFDRFLTKQNKASTDTAYGLHSSSSADKELGDLKA DILSRSPRRGATQKYQRPETSKPIYQGIPDAPNIPRRGSMDDLRGRKETSDDLFRPEA RAKINRAKRREKYKTWSGREKSHMADVMALRQSTVDFMKQAQVMEGVERERALRAADE VLERSVVR VE01_06959 MVQSAILGFPRMGANRDLKKATENYWGGKITQDELLAEAKRLRL AHWKIQKDAGVDIIPSNDFALYDQVLTHIQDFNAVPERYTKYKLNAVDEYFAMGRGLQ KEGVDVPSLEMVKWFDSNYHYVKPTLQDNQEFKLVESPKAVNEFVEAKEAGITTRPVL VGPVSFLALGKPDRNQTVDPISLIDKLVPVYIELLKKLKAAGAETVQIDEPTLVFDLA PKVKAAFKPTYEKLAALGDAIPKIVLATYFGDIVHNVDALPQDIYGLHIDLVRNPEQL DEVVKALGPKTILSAGVVDGRNIWKTNLKRAIEVVESAIQKVGKERVIVATSSSLLHT PHTLASEKKLDAEIADWFSFAVEKAAEVAIIAKAVTEGPDSVREALEANAKSVQARAT SKRTNDPAVKDRQSKVTPAMYNRKSEFPVRISQQQEKLGLPMFPTTTIGSFPQTKEIR IYRNKFTKGEITAAEYEKFIEKEIEEVVKIQEELDLDVYVHGEPERNDMVQYFGERLD GYAFTTNGWVQSYGSRCVRPPIVVGDISRPAPMTVKESKYAVSISKKPMKGMLTGPIT CLRWSFPRDDVHQSVQAEQLALALRDEVVDLQNAGVFVIQVDEPALREGLPLRAGKER VAYLDWAVKSFRLSVAGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLKVFVDEAYPRHIGPGVYDIHSPRIPSEQEIKDRIEEMLQYLKPDQLWIDPDCGLKT RQWAETKAALVNMVNAAKFFREKYAKST VE01_06960 MAHQAHAIPWGVLSSNFKFTSKSARHGDETNLFPRKNPAQGKEL MYFAHGFAQAVESMSRTERENYPSSYDVTGSNDLLIDDVTESKIRNTVRRYRMAITRG KQRGYCPSPCPVTYMHGCTCPLSPVDRSILPWKLEDNLNQCVEYPSPHHDSTELFKTL LLHGQIDALLRIAAQPSASIEIIWAPVCCRGQIGWGELMRSTLMSYICLNVSFLKPET YDATARAAYLREEHRNPPTKPEDLDYRKAEGYERMLKRCTARAAYGVHLYPHQEFFGV GPDGSVDQNWRDSNPTMPVLETHNLHEYMPLRSDIPVVTRYLQQKGLPLELAPEVLDH AEYIPRRRLPIADDPLHPENSEELRKYLKYCWKLLVWCNVVAEGTGKKINWVYEITQC ILDLWGVDELKRRTIHYYVIDREYLQLPRVDGYSFI VE01_06961 MNNDPRTLRRLLSLLSFAIMMYVAAILCAALPFVYGQAEIWFQC GGAGWTGETSCVVGICCIASNPWYSQCLPCPESTSIPSSSIAVTKPPATSTTSTKTDT QPTGVFTNPVIYEDFADNDIFLGPDGAYYFSASNMHYSPGAPILRSYDLINWEFIGHS VPTLDFGDAYNMVGGTAYRRGTWASTLRYRKSTGLWYWYGCIDFWNSYVYTAPAVTGP WKQVAKFEATCFYDCGLLIDDDDTMYIVYGSNDVSVAQLSSDGLTIAKTEKAFSYPSP FTGIEGNRMYKRNGIYYILDDAPAEGATLIWKSTSPWGPWTEKTLQTAIPGPSELGGG TPCQGSLVETPEGDWYFMSFIWSYPSGRLPVLAPITWGSDDFPILTQVGGKWGSSYPN PLPSHPLASWLGTDTFQGTSLGTDTTKYTVNNGLTLSTATVTNDLFEARNTLTHRVFG AVSIGTVILDTSNMADGDVCGLAAYRDWTAYIGISRNGSTYTISNVQGMKQDSANNWV TLTDGTTTASATITKGRVWLRGTVQAAQVSSHGVSFEYSLDGTTFKPLGGSYTMNTDY SYFIGYRWGIFNFAEKALGGSVKVTSFTLA VE01_06962 MFVGDRNEMPPAVELTQMKHQVEVRGPEDDWTGITNAAERRKLQ NRLHQRTYRRRRAAKPSTPNSKNNERPAAVISPQTTRLCGKFRGLIAAVEHIIKAQYE DGNPQRPRSTQSRLLGAVASNDRLIEHSPLSISRGQLEVIMAKFEEMAYRDYMLGSPR VDQLLTLIQFNVFRALISNTRTMGWSLGWLESSDDILSPWNNHPKNIEPQCPQALRPT DLQRTIEHHPWIDLWPIPMMRDNLLLAGDSYDEDQLCNDLVEFEDIPNEQTGLTVWGE PWDPAGWEVSDTFLRNWGWTVKGCIELQKSTNYWRARRGEEPLVFNF VE01_06963 MSPPYSAPPPPTCPLFRHRQLSPTANVRVSPICLGAMNFGEAHK ERMGECSKETAFKILDTFKNCGGNFVDTANGYQNGESEEWLGEWLGSRNCRDEMVIAT KFSSAYRTHEKEKIQSNFGGNGTKSLRVSVDNSLKRLQTSYIDLLYLHWWDYATTIPE LMHSLNDLVVSGKVMYLGISDSPAWVVAKANQYARDHGLRQFAVYQGMWNAAMRDFER DIIPMCRDEGMALLPYGTLGQGRFQTEASFKEREESNPGRKGKPSSIERAVSKVMEEL ASAKNTEITSIAMAYVMHKAAYVFPLVGGRKVDHIKGNIDALTVDLTDEDIEKIERAY RFDPGFPHNFLSGSLWDDEDDTPKVAQGPEDVWLTNILGTFDWVKGSKPIKAFK VE01_06964 MRASGTIIAVVSTLSLASAIPTVSLTKLAFRADPADDPRGSYTV SGLGARKQEVTGAGADTFALAVAMLETDDMSTTYAYGDNKQDDASNFGIFKQNWGMLR ECCTQFQGQTEVEWNNGAVLNSDLNADIECLNECRLYYGVDKWFGGHRDGSTGLADPT LEVIVDYKAGIEWIQAQIEADPTGLTDDTRFWVQIQAI VE01_06965 MAPSNLTRYEELPVHADNDLGPYLNRSIWILAVLAGTLLGLRLY SKLYRHRSLWWDDYILIAAWVALVASTSLQSAGIKFGLGKHYEDMQDKEKVSLFSYAA GFFSILATVWSKTSFAVTLLRVSNGWMKWLVWFIIVSTNLVLGANAIIQWIQCWPVEK LWHTWIKGRCWSYEIIQDYNIFVAAFSGFVDILLALLPWKIISPIVTNKRETIGVLIA MSMGVIAGIVSFLKIRTITSIGDENTTNVSLFIFGTAEGATSIMAASIPVLRALIKTN TRPQDYQDHDRPASTRVMFRSSTLSVELESRHNYEVDCSK VE01_06966 MKSTYAASGIVALAGAVSAQQTLWGQCGGINYTGQTSCVSGSNC SYLNDWYYQCIPGATTSTPSTQPTSSASTFKTSTATSTSSRPTSTGFSKAIGTKFNID GTTKYYAGTNSYWIGFLTNNADIDKALDDIRASGLKILRVWGFNDVTSIPGSGTVYYQ YLSSSGSTINTGANGLQRLDYVVSSAESRGIKLIINFVNNWADYGGIDAYVRVFGGTH QTWYTNSAAQAQYQKYIAAVVGRYKSSSAIFAWELANESRCNGCDTSVIYKWATTTSA YIKSLDPNHMVTLGDEGMGLAGDGSYPYQYSEGVDFVKNLGISTLDFGTLHLYPSQWG TSNDWANSWIATHAAACLKANKPCYFEEYGVTSNHCSVESVWQKTALGLANSGMGGDS FWQFGDNLSNGNTPNDGYTIYTGTSDWTCLVTNHINAIG VE01_06967 MHLSQILSLAALASVISAITVSYDNGYDNRARSLTAVSCSDGPN GLITRKHWKTQGDIPTPYIGGAQAIAGWNSANCGTCWRLDYKGRSINVLAIDHTDAGF NIAQGAMNALTNNQAVQLGRIDAQATQIDAKICGM VE01_06968 MRSITPCDGCALRRVRCRGGHPCAECQRRSLTCTFLRIPKKRGP KGPRLATGCRIKTFQKDLEKANPPSRDLHHTSTLTHKQLADACPDLPTQPLAPPPAPC LHHIPLSSYLEFLEIFRHRLYPVWPIVSYDDLISRLRADNQDFEAYALAGALCAAVIS QLRLPEHAASFLPISSRHFQIESERLRILFDYRDHYSIASLLTSFFIHVYFANTNKLQ TAGLYLREAIAYAHGLELHRPETFASLTAISEHQLRLRVYWILFISERTFCVQNGLPA ILKVIDELPTPDLDTRYDSTPLPAFLALTRLFLYLKSRFITHPLSHQGAIVSEDQKNE VSAFQHNLRLKADRRSLNEMQYVDILATRNWIRTLLWQYSIVNFPVSCHADDDAFSAL LPASIAKDMLSVFTTVSNSSIRAHGYGMELKIFRMADSLLDVLLCVPSATETHGMLVG SREALHSLEHVLLNVGGPNSTLLETLRRRMAESDLPISPFRWLDIPIFESNQDDQVQK PSELHELLDLTALVPRILQGKMAAEVVDIA VE01_06969 MDSKDSKPDTGAEHQEYSSPVVSTSPNNMSPEQPVSTTTKDGGP HKSVPGVSLLRDLALDAEVADTMQKNMSIMEAVRKYPKAIAYSMILSLCIIMEGYDTS LIGSFFALPQFRKRFGVELANGDYQVTASWMSGLQNGTQVGQICGLMIAGILADRFGY KKTIIGALFLTIAFIFIFFFAQNIAMLFAGGVLCGLPWGAFQILTTTYAADVAPIQLR PILTTYVNMCWVIGQLISTGTLRALLHRSDDWAWRIPYAIQWAFPPPIILGVLFAPES PTWLVKKGRLEEARKALRGLTSSEVDDEEISQTVAMIAHTNELEMQLQEGTSYLDCLR GPNLRRTEIASVVWMTQVLCGIWFGGNITYFLQQAGFNPDKSFDFGLGTNGIALAGTI ASWFIMPRIGRRTLYLVGLSVMFTILVIVGILGIPAPQPWIGWTSGGFMLVFVATYGM TVGPVCYCLVSEIPSTRLRIKSVVLARNAYNIASIVANFLNPPILNPSAWNLRGKGGF VWCGFCLSALIWSYFRLPEPKGLSAAELDILFEDGVSARNFRKVQVDVFARGNMKGEE EDARVADAVPVTSEKV VE01_06970 MDKYAFPCEPLASPDAVVAEANYRFTLLGGNVIRYEWSSDGQFE DRASTFAMNRRFPVPNHEIRDVDDQLDIITPSFHLTYDKQRFSPSGLMVTFNSKVTLW GSEWRYGSDPENNLGGTARTLDEVDGRCDLGAGIISRSGFASLDDSNSMLFDGKGFVA TRQPGDRIDGYLFYYGHDFKGAMRSFYAISGDQPQLPRWALGNWWSRYHAYSADEYIS LMDKFTNRGIPLSVAVIDMDWHLVDDERVPHTGWTGYTWNKTLFPDPSEFGKALHNRK LKITLNDHPHAGVHHHEDLYEEMALALNHDTSKKAPILFNSTCPKFMDAYLNILHRGI ENIACDFWWIDWQQGASSRVPGLDPLWLLNHFHFLDSAHTKTIDQPLIFSRYAGPGSH RYPVGFSGDTITSWATFEFQPEFTATASNIGYGWWSHDLGGHMKGERDDELVTRWVQF GAFSPILRLHSSNSPWTSKEPWSYHKESETIIQHFMQFRHRLVPYLYTVNAETAASHQ PLIQPMYWSFPSRDVAYEVPNQYYFGPHLIVAPIVKPRDRRTGQGMVKGWIPPHRHVD IFTGIVYDGDRELNMYRSLEQIPVLAPEGAIIPLDGKLVPDNGCGNPENFEVLVVVGR DGEFTIIEDARDDIVKPETGIVDQEPRTAKIQYKQDSGQLKATIKGGAWSFRFLSMTE IPSSLKVTVNGSDISDADIMVENYPLVPSMVVTFQPLADGENELIIDMGPSPQLSVLD HSSRISDLLRNYQTEFEIKDRIWALVGQPDRPATVKIGGLLSIGIDEALTGPIAELMC SDSRSA VE01_06971 MDLSAPAVAEVELSEAAEVVEKKAQILADHIRKSKHFIAFTGAG VSTSACIPDFRGSEGQWTLRAQGRQRSAKDKADNISELHGNSNRESSRSCGKEYIRDF RAAAPPDQTSLLGHRTGRKCAICSGVLLDSIINFGENLPIVALQRAFEEAQKADLCLV LGSSLTVSPANEVPEVAVTGKRNGEGKVKGKSGKRRGKLAICNLQSTELDGIADRAIR VRAKTDDFMVKVMEKLGIDIPEFVLRRRMVVELKCGESRQELKVRGVDIDDTPASFLQ SVRLEHNRRVLRTEPFVITFRGEMEKAELKLELEFMGHYGEPSLILDVAGDFVGNESG QMVYLLEYNPRIGEWNVESKSGLVISNGRVERKEGPEVIIIEDDRVMEL VE01_06972 MKMLAEKLAMPELLLFVEDENGDLIDQETTGDDRGGISAPINFV NIGNKSKNGLAAKSPDITPASVPASCLPEITERSSTGILTADTGTEMDLVTRGIVSIR EADDLFNMYHDQLDHYVYRIIPNHSTLASVRKGSPLLTAAICTVSSLHHRPLRPLFNC CYKEFVRLCAINAFSRKNTLDDIRALCIGAFWLDEVSWILVGMAVRIAAEKQLHRAFM HITENDPTYYLQARLYYLVYVCDHHFSIPYGRTPMTGEYEAIHSTSSLLGFADATEDD ARLISQVDLWSTASRIFLTFGTNISLPIPTNTISQLRRFNITLDTWRADWNERFVHNN HVGNYPRKGVGLHYHFLKLYLCSHAFRGVRKAPNTLQALSPELREIAEMAVASATYIL RTVNADVEWQGYLNGLPLYFDTMIAFAAVFLIKTATEYSGTVKVDTAELLALVEENML VLQEVGRGMSENHLVARIGEAIKLLIERLKTAGEMSLGEDKAPDGGVGDTAVQALYMQ DCAMADEFDWVNGTFTGSALQGYDLLSPHNMPSGFEDWTFGVGDQGSGVPR VE01_06973 MHKYVVSPEQVAQYNSDGYLLIRAGEHNLVTGEELQAWSKEVQS WPREKGKWMPYDEINENGERQLMRTENFVDYHPKFKALLCGENLRGVIAQLTRGEMLL FKDKINYKSGFGNGFLAHLDAPAYDHIGEIEHTTANLAVNAATPENGCLQVVPGSHRM KVDFIHAGRIHPDWENSHEWVLVPLEAGDIVFFGSHLAHRSFANRTPESRTMVYATYH SAADGPDLREKYYIDRRVVFPPDHERVAGEEYEVGWKRYGFAAPFSKQDAVAQESEVM V VE01_06974 MLVAAPTPVEARANEIITTLFTFIEDQEKTEYLGERVSQLQHSL QAAHLARQSDADNETILGALLHDVGRFIPTLHSQMPKMIAPDGEYVGRASHEIFGERY LRQLGFSDKICQLVGAHVMAKRYLTAVREGYYESLSETSKKTLKFQGGIFNDAQVAEA RKDSLLEEKLAVRVWDDLAKDPDMIVEPISFYKDMAVQSLINSLQSPVA VE01_06975 MTSHLSSYVTVHSRTYALPKQPTVAVCIDGFDPEYLAQGIADGI LPNLAYFIHHGFHTTAKSCMPSFTNPNNVSIITGVSASIHGIAGNFYLDPVNHEEKMI ADATLLRGPTILQQLSKRGVRVVAITAKDKLRRILSHGLENAICFSAEFAGEATIETN GITGVEEWIGRKAPLQYSGDLSLYVLDAGVKFIEEDRTDFMYLTLSDFIQHKHAPGDK DANEFMSALDQRIGRLAQLGAIVAVTGDHGMSDKSLEDGTPNVLYLQERLEGRFGRGS ARVICPITDPFVRHHGALGSFVRVYAKSASDVSSMLSFIKSLSGVALALSAAEAAESL ELPLDREGDIVVVAVKNAVIGSKKEEHDLSNLGEHRLRSHGGLSEQDVPILMSIPLGS QLAEGKATWRNFDIFDLVLNSQT VE01_06976 MSAHLETAPLATKARTSWLQNIKFFALAGTGFFSDGYLNITMGL VVPMIGLIYFKDSGSVVKSSQSDAMKGSLSLGMVFGQVGSGILGDAIGRHKIYGKELI LTIFGTLMVIVAPPYMTHEGIVVWVCIWRAFTGIGIGADYPMSATLSSENKPVKSRAV LVSGVFSNYGLGSFSASIVYLVLIVAFKSAIENNIDRVQWVWRLLLGIGIIPAAATLY GRLRMKETVPYQKYVETRDGRGETIRKDLYNQWVDFKEYFSVPLHARTLFATCCTWFL FDIAFYGINLNQSLILSSIGYGAGKTHWQTLYNTAIGNIIVAAAGFLPGYYAAIPLLD ILGRVRQQWIGCCLVATLYAIWAGVANITSAGGLIALFTLSQFFLNAGPATTTFLIPV EVFPTRVRATAHGISAAVGKCGAILTTFAFGTITAKIGLRGVLGLFSGILFLTALLTL WIPESKDKTLEQIENGDIYGGNEWVGWSTKDAKAITALETSETSTATNSFCSADNKRP VVKRKDVQIEDKR VE01_06977 MQISLSTATALLLLPLGILASPAPVPVAEDVAVPDDLELEARDA NPGELFKRNVLCKITGSSATVNCRSGPGTGYSVIATVKKGSYYSFGCYKTGTCVSGNC TWDRIFWDGKSCYVSGYYTDSACSASALGKC VE01_06978 MTFSSVAFAASAFALLSSVSAHGTVSGIVVNGKLNTGYSPSFQF SNPPPVVAGWSIPQDLDNGFVGPDKFQNNDIICHVGATNAGTSITVAAGSTVELQWTP WPESHKGPVIDYLASCNGACETVDKTALKFFKIGEEGLIDGSNAPGNWASDKLIANSN TWVATIPADIAPGNYVLRHEIIALHSAYDANGAQNYPQCINLVVTGSGTAKPAGVAGT ELYKSTDAGILIDIYKTLTSYAIPGPALYNSGAAPAPVEGSSTAVAAPTTVDTPSTIA APTTIAPTTTTGAPFPFSNSTVPAVPTTTTISPVVPDDSVDTPELPTITSAPVVVAPG QGDEEPVTRIVTVIPLPETTSACSAAATVTVTSAGATVTVAAPATTVTVTVTASAKPL PSGATFDDVVSWFTNGVKNAAGGRRRHARAVKL VE01_06979 MVFGRIRLTSKYSANQLHTVKLTTRAMTGRHSPIEPQRVDAPLT RSATFLVVSVANSPSAIRTIRSTLASVDDLTKNVAIRDLKAQFACTVGIGSDAWDRVT SLPRPAELHPFITVKGAVHSAIATPGDLFFHIRSDRQDLCFEFERQLLDLLGDSVAVI DETIGFRYFDVRDLLGFVDGTANPAGPAIGASTLVADEDASAEGGSYIVVQKYIHDLK GWRALPAETQEAIIGRTKLDNIELDDAEAGKQCSHKTLTTIEDEKGDEHEILRDNMPF GSPGAGEFGTYFIGYSRRLWVIEQMMQRMFIGDPPGLHDRILNFSKPVTGTTFFAPSA TLLASLDPSD VE01_06980 MQQNSRKKRTSEGAPRSRVPGSRIQVACINCKERKLKCDAQVPA CANCDKHGLTCLVEDPSTKRHQPRNYTDTLEDRVALLEGLLQQMQQGASMRAQITSED DSRPTGFKSKEEDNAVSDLASKVGMLNLHYAAGAEPQYLGSSSTFAFSRIINSSLREG ASGNPPTTFGLSEGPMDLLSPCPLPSYEAGIALSNAYFQNIHPQYPFLHEPTFRHWEM KLIGEPEATDAFSFDPISLFFVNMVYAISALLLPNSGSLPQQLYASAQIYISHVMSLD NLESIQAILCCAMYSLRSPAGPSIWKLSGLALRQCIELGYHRNSKKFSSTSDPLRLEL RKRVFWCAYGIDCAAATSLGRPLGVPLQEVDAEFPTDINDVDITSAGICGIPRRSSSD PPTTMSVAIHVIRLRCLWARIHTYLYSDTTRTSPTDPSDTCTEKLRAELEDWLASAPP IPPRVGDALSIFASRDWFDNNYNYSILLLYRSQLMDSKGAADNVFMECLHAAENICHG FRRQYIGRSVNYTWGALHCLFMAGLTYLHCLWTSPAVREAVRHDNLSSTCSDCTIVLV VMAERWKGAAPYRDIFEALASRTRTMMVDKNNEWWVEPASLAPSDGLDQGDLVQWMAD INDISMSDGIDRLLTGLVGDYTQ VE01_06981 MGVKEVFLRSPLANYGKAIRSAPREVIFNRNVILSAILFAMSAI PATWDQGSASVIPSLPGFQQQFHISSGANAKQIKNFVSLVYIGYAMGAALSFFINDRI GRLWSYRLYIVIWTIGQLVACFSPGLSGLHASRIISGMGIGALTVIGPMAIVEIAPME IRGLLSAWFSVAMGLSLFVSVFCVYGAYSHIPVSRLQYQTVFFAPCIFMLLLIIASFF LCESPRWLFLVGLREEGIRTLVKLRGLPSDHPRVAMEIRSIEDSINKSRGGSEEDGPP RFIDIVKETFTRRSNLRRLQQVLVSYALAQLSGANSITSYFVPILSIMGLGGDTKRSL FLSGMYAMSKLFFCLIASFFFIDALGRRKSLFVGITLQMISDIYIGVYIKFKQQNAAN FASSEAAIAAIFIHAFGYAVGLLILPYVFGAELWPDRIRSFGGALGATFHWLFIYGFQ YGLPSLLAKTDNWGAFLFFACWCFISLCYAYLMVPEIAGLSVEEIDALFKGPWFNAFR RLNRPLGIDSDEGGEGNLDKPSIRENEMVEDSKLRV VE01_06982 MVSSDSKIIIVGAGVFGLSTALWLARDGYKDITVFDRCSFDKNF YNPSNGCDGASADINKVFRMAYGEKLDYQNLAIEARDTWLSWNKEIAESVAADLPPGL TPEDKLLHICGCYFVAEGRKLHDFYAESLDTMEKTAPEMRKMQFVKGHEEDKEALRRI SRKWVEKYHVIDGINGGDTNGFIDINGGITIADKACVYARFLCVQAGVKFILGDPQGK LETLITAHNGPERKVAGIKTCDGQTHSGDLVIVAAGSWTASIIPEAHRVVEATAGTVA FIDIPRDRQDLWDRFHPDNYPVWSYRRGEGDEYYQGGSFPITKEGRLKFGFRGRKFTN FQDHPTEPNLRISTPRTKYTKEPINTVPLYGLKLMKEIIAQALPELVEFGFTDSRLCW YTDSIDNDYVIDYVPGYSDSLFICTGGSGHGFKFLPVLGRHVKAQIERNPDNFTSLWK WRVVEEGKSNNGLEQGETGPREMSRLEMAEPEDFKIKQAGSKL VE01_06983 MQFSTLAVVLFAGLATARSHGHAQRFQHRRAFNESSSAVDLTTL TVQITSTHTVIGCAQNVTDCPARSATAIRTVTEVVDLTTTVCPVASASDISSSVIASA SAVQTQGAVVSTEANEPVQTSDSVLTYTLGTGATQSVVTTTIKHTITGYVTKTMTKSG GAKATPVVGGGQANVGGNDKGTNNGSGSGAGNGNGNSGEPTTTVRTTTTATRTIHVKP TASAGSGTGSSNGEGSNAGNGGDCAAPVTVTVALSTVTVTYTPPAATGTTPSVPNAVV PGKDAVKTNVAVATEAAPEAPATTAEPTNGGAAEEPVTIVTIPVIPIPYKNSTETATR HYSHSSGFLKAPRPTGTGSSIQPARTGWF VE01_06984 MSHSHSHEGAGAGHSHDPVQAALDHGHTHEILDGPGSYMGREMP IIEGRNWEERAYTVGIGGPVGSGKTALMLALCLALREKYNLAAVTNDIFTREDAEFLT SQKALPASRIRAIETGGCPHAAVREDISANLAALEDLQREFHADILLIESGGDNLAAN YSRELADFIVYVIDVSGGDKIPRKGGPGITQSDLLVVNKTDLAEIIGADLDVMERDAR KMREGGPTIFAQVKGGKGVDHIVNLILSGWKASGAEAVSRARGGPVATADLAKLA VE01_06985 MKYDLNASLETPPPVEPPTELPTKQLTVGEPTPRPPSRKHSSSQ VPTLSTPFEDAGVPTEGESSPESSATSSREVTPPPAATLGGGGGTPLCTIHEDRKLAV SGGDWLMGKPWVSVEEKWEGGERKDDVKTSTGTEPPREPKGPQREDDGHVVNGNRLIG DWSLPSAGVGEYIDSNGAIRVPYEIEQAMRNTPAPPHMKWTADKVMSEMRREEEERRE AEEQRLRETEGERVAETDAEKEERWGMERRRRVARGWVRGSGGGRGGSGNAGPEHEDP EEEELPEEANEYTPSGAVSPEMIAAVRARLQVKWAEEAAAAAERAAEREAAAARSEAA AREAAAVLAAAEPALDPAPTDVARKIKAAEMARKAVENEPHSPGWNGSTYVDGREHHY CGPDFPCQMEPACDPSIKEIWEKGLPIDPEEMPSPKRLSFTEPVRALFGFRKSGQPEM KYRKPVSIQSIRVGNSAETAVLDEPRSNTPPGSGRKPSPAGGDAERGDCRTMRGLKKV WGFWTSFGGKMGRRGVVVTKSEESISVVQGEDDTDMRYEAAEAIAASAAATNLAASAC YPVGESTVETAQVVQMTESGTPIVGVERKSESNTEKSATK VE01_06986 MPIQKADRQRPPHITINSGSGGDILAVEPHSNSSTDSITTRQLL PPQPFASSPHSSGTASPIYGRRQFPESSELLLPRGAPAAYRPYRDVSNATDPYSTHSS RRTSASSDGGRYGPFASPFDDRIGGPDDDNVNTQTVTEKYNCVPTPGLLVFPEDVELD DEMHNPDPDEKEHRDGNIWTRRGAVNLGGLGFLLLGMLSLFIIWPVLTVALRKKNAGH IGCEADPDCLSDSVPLLKNMRTGLIDPDTPESVKTKTSKDGTKLELVFSDEFKKSGRT FYDGDDPYFQAVDIWYGATQDLEWYDPDAVSTDNGTLNLRFDAFQSHFLNYRSGMVQS WNKLCFKGGRLEASISLPGRGDVEGFWPGFWAMGNLGRPGYLSTTDGLWPYSYEDKCD VGITKNQSSTDGLSLLPGMRLPACTCAAEDHPSPGKSRSAPEIDCIEASVDFLDPAAT SSYTGTASQSFQLAPFDIWYQPDYNFIELYDQKVTGMNTYRGGPYQEAFSAVTFLNNK WYDGNAYQTYGFEYKPGAKGDLVWFVGDEYTWKIDPRALRANGNIGQRIIPEEPMAMI MNFGMSNSFAQVFLADLAKLMPATMRFDYIRIYQDPNEKSVTCDPVGYPTTDYIKNHP EPYANPNLTLWEKTNYDWPQNTFVDGCKA VE01_06988 MVSWGTIKSLLMFFGPMLLPKAIGYYRSFRANAKKPGRPIRPVP PAVSRALGILFVIAVILLIATLPMFSEENIFSKTQSRIQIPVDVLFTRLTAIRPNGLT ELDHRLREKLVSLESKLLYLKFGPDAIGNCLFCKADDHRSFYYYTMSSVLIPHIFNLA VLAVATSGMFVGEEGTVWRRFATICAVIIAVVDMSYLSEYDHKLNAKATRLEDLDMFF WRTHTYRYITLAGLDGLIGWLLFLSSTNRAFVIPVSPAERLETATKVLDSARSKMSAA AVLLNTVNRDEGLRGKAGEYWVNETRVMSEIMAEREVVDSVNNTLQSRVNMAAITSDA DSYTKNMIGSFQTMEQAA VE01_06989 MPSLLVTVFILQLAIHLVNTIGASAVNTLLWNLYNSLPTPTSKA FKEQKKLQAEYLAIRKELNSVSAQDQFAKWAKLSRTHDKKLETLEKRKAALDSHKAKF TSVVSALRWLGTNGLRMLIQFWYAKQPMFWLPHGRIPYYAEWLLSCPRAPLGSISIQV WGMACAAVILLVSDAVVAVVGLVMTAKAGKGQQKEKKTAVPMKAGGEKASMPASTEKA EAKKEL VE01_06990 MSDDEAPGFSLEYEALVGLLNTRISAGPLGIEILPDASFMVSPG RVAEIVNNTLGVPKPVLAKAFIAARRIFFEHVDNLAENAELILDTTSIILLFDPEHIT AANARKRICLGFPYRARSEPEQLRRLEEELWFSRFLLISRLKRHNKSPTLWAHRRWLI KNFYSLGGLLLEPKWVLFEIEEVGLISAENHPRNYYAWDYMRWLIAERPGLDQKTRSE LNRPLANMMEKWCKHHTSDTSGWSFLAWMILRQPQTDPRAGQNLQAHVGAEILDYAML LGLKNLSLWKFLQEILGFPKSEEVDDVRLQYLTELSDLRAGEPKGSALQVFATKSLIA IGKYEESRTGLKMMVGGLVV VE01_06991 MVVLNLLLLCFFSTLVTAFYPFVPKYRCVEDGTCLDLAGRETPA GTLDGAPQRPGIFKIKIEQTPPTDDIPHDVRVARYADQLARKNAMKRDNIFLTSKSDK PTTPKSNAIDQDGTDFCYTTSMFVGSKNKRLRMLVDTGAANTWVMGSTCTNSSCGIHD LYGPADSDTYKASTSTFSLNYGTGMVSGQYIEDTMGLAGFSLPVQFGVANNVSDDFNN YPMDGILGLSRVPNPVIPGFVDTLMEKNLLEKNIFSVNIYRASDLANNGEITFGGVDA SKYTGEITYTDITAPGASWVIPVGEAGFNGKDSGLKGRNAIIDTGTTYCFIPPSDAAL FYANVAGANVSEDGTSYGVPCTTTIPAEFTFSGVTYSIPSKDWIGGKVGGVETQTMCT SNIYSRNTTEDGSWVLGDTFLKSVYAVFDLDQNRIGLASKPVVVTPPTPVSSLAATTK TASPSPTTPPGTSPPDISTSNSPSPIASGSAAQSPTGGPVATMTTGSQGFNAIAGSSS APTGSAANPTSPDAEAQSQTKDSSASSLLGTHFTLYIAALIATTAAAVTVF VE01_06992 MAPELKSKKRKAAANDDEVEVAKKEKKVTVDTPAKKRKTAENGT PEVKKTKATKAAAPPAVVESPKVEKPTTKKATKAAAAPVVAEATEEKKTKKTKAAATP VVAEVTEEKKGKKTKAADKPLSENRKKLKEMKDAPDAEAALKAKKAKAAKAPAADAEV EAEAEAQEDSDIEMDDQTAALLQGFESDDDEETPANGTSEPAYNGEPIPELSKKAKSK LAKLAAQKSVSSGPGTVYLGRIPHGFYEHEMRQYFKQFGDITQLRLSRNRKTGNSKHY AFVQFASADVAEIVSKTMDNYLLFKHILKCKVVPEEQVHASLWEGANKRFKKVPWNKM EGRKLNAGLDEAGWEKRNAKETKRREEKKEKLKAIGYEFEAPALKSAKGVPKTPRQKV VVEAEPEVEAAEEVVVEEPKPVEVVKPVEPVKESKRKAEAVETEEPSKKKQKKVKGKA STGDLKAAAAAPAVVEKPVVEKATRPKRAAAEKKVVEEPVVVAAKPTKAKKAAAAPVV EEKAVAEKPAKRAKKSKA VE01_06993 MSHNEIWDDSALVDSWNDALEEYKHYHSLHARGETAEDAIANHE KESNSKAAERITKENGAPEETEAGMVMDDSEGPRVRKGDENSSAQQEHEVNDKVSLGE GDGQSTTKPNGGPSSAQPPLPQHLIGAVGDENLKNLLMSWYYAGYYTGLYEGQQKVKP ADEGK VE01_06994 MKFSAVSVALAALPLALGGLIAEPIAARNGHVVEVVQKGNQEHQ NAQQLANVIQLQGNQHSVVQEVIILWINSGAGAATSVVNAAVPTAAAAAAAATHTVAV GGSAGLVFVPDTVNAAVGDSVVFVFHSQNHTVTQSTFDTPCVKLKDGMDSGFMPNPNN TIVPPPMMAMQVTVATPLWFYCKQGPHCGKGMTFSINPTAAKTQADFMQLAIAQNGTG AAANIVGGTTSVGAVAAATTAAAAAATNAVAAAGTDMAAGTGAAGAGGACACSCFCGV SSFPLAAQGVGAVGGMSGSLPMAALMG VE01_06995 MARGNQRDKAREANQKKLAGQKSENKMSGSEMARTKEAVAAKMR EKQAAADAKKAADGAAKK VE01_06996 MFNILSLFQNFKKTGAGYVILNIIRVLNIITLGLIVAGSWIMLV RTVQTSNFFFFDGVTHFATSTISIFLILSELCLFKSWFSRNWPLLSEESGLITLALSM TILGCNLLGNLNKPATSQEAIGMSFWSVVVAGGILGLVFGVINFIAHYAFRIRKKGIT SRQIRAHGAKVLLDDLPKSVRSNSTGTRSFELPQYTKENENRQSKFKLGNFKFNNPIR SSLISRPVPTNTEQFKEWEDRCEPINNKLQVPPPARHPAYTASVYSRNSGAF VE01_06997 MAPTATLPKDVRPIIISGPSGVGKGTLYKMLQDAHPNVFETSIS HTTRGARPGEAHEKDYYFVKMEDFEDLISKEGFVEHAQFGGNRYGTSREMIERLTKEG KVVILDIEMEGVKQIKNTTLDARYVFIAPPNFEALESRLRGRGTEKEESIQKRLTQAK AELEYSKVEGVHDKIIVNDDKQKAFEELNEFVFGKE VE01_06998 MALKDRLTTIVRPLQLIWLSITFHYAALKEALRKDGLAALARPQ RIRDAATANLFITTSNGFIAFEDTTCVPSLVRSARGTILELGPGPGNQIHRYDQSLVD FIYAVDPNPKYRDVIAAKVRKADLGDKYNFIACGVEDSELLRGEGVAEGSLDTVLSIQ VLCAVGDVDSVMREVWKLLKPGGSFVFWEHVKNKDNVTAVTQACLNPAWSALVGCCIN RDVKAAILAAGEWENPGDIEVADEPYSVLPRISGVLRKKI VE01_06999 MAPIVKECDFLVIGGGSGGLACARRASGMYGVKTIAVEAKRLGG TCVNVGCVPKKVTWNAAAIAETIHEAKAYGFNVEETAPFNWPLFKTKRDAYIKRLNGI YARNLDNDKVEHIHGYATVTGKNEATVKLNDGTEATIRAKKILLAVGGHPITPQDVPG AELGTDSDGFFEIETQPKKVALVGAGYIAIEFAGMFNALGTETHLFIRHEKFLRSFDP MIQDAIVAEYERLGIKIHKLSKQNKIEKDAKTGQLSIHYEDSEGAGVLENVDSLIWAI GRAPEVKRLGLDSVGVKQDAKGQIVADEYQNTNVENIYSIGDVVGKWELTPVAIAAGR RLADRLFGGPQFVDSKLNYENIPSVVFAHPEVGSIGLTEPEAIAKYGKDDIKVYNTSF TAMYYAMMEPEDKGPTKYKLICQGPNERVVGLHILGLGSGEMLQGFGVAIKMGATKKD FDSVVAIHPTSAEELVTLK VE01_07000 MALQLLSTLKGAALKQLAFKCGVSTSGVKAALCQRLQDEIPLLP GSKPAGKSGAKREPMRILSIDMGIRNLAYCVLDVPTDSSVPKLVAWKRIAVSSAPVPS NDKSELATKVEKESFEPPVLSAAAFKLLRKTLLPHNPTHILIERQRFRSMGSPKILEW TVRVNMLESMIYAILLTLREEGAWNGTVVPIAPGKVGPFWLEGEEATTVALEEAETEG SSPTSMVKTKTRNAKLAKALNKGAKIDLVRNWLAIGDRVGVDSPDVEALVKAYLDKWD KKPGGVKGKRPAKDAVATIEKMGKLDDLADSLLQGMAFIQWEENKRIAREDGISSLLE PAAK VE01_07001 MHASFFFVAIAAFARVALATPPACLIAALGEQSNPADIPTLCKS GLDAFYGNITEKCSGDNKAAAVKIYSSTCLASGVTITLPTSTSMTSAPTQTGSKSDSG SDSSSDSASTTGPAGNDAAATTTGGTASPTETGAGSSLKAGSSVLLGSVIVLSGLASQ LL VE01_07002 MSATQERTGAQSVFPRSHVGFDSITSQIERKLLKRGFQFNVICV GQTGLGKSTLINTIFASHLIDSKGRLAPDEPVRSTTEIQTVSHIIEENGVRLRLNIVD TPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGH ALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERSAFKERIKEEFAFHNLKMYPYDND ELDEEERALNAQIKDIIPFAVVGSEKSIIVAGKQVRGRQNRWGVINVEDENHCEFVYL RNFLTRTHLQDLIETTSQIHYETFRAKQLLALKESSAAGHAGSRPISPAADREMSRSS QRMTMNGY VE01_07003 MAAAQSTSSILSFLLPRVSAPAASAASRSTTLFSRYASTPILPT IAFAIPASIRLNVPSLLGDIWESVLRAVPKKKTSHMKKRSRFMAGKGLKDVTELNKCS ACGHVKRAHLLCPYCVKEIQDMFKATMKKASGTTGTTKSE VE01_07004 MSKQYLTTHTIDDAHPTDIFAVAVTPTQLLSASGSSTIKIYSTA SPDIPLVQSLSGAHPVGCHHITTSRSGTVAASAGFGGELKIWTIDPESEQWSLQAAIE DGNGAGEVWALALSADGRFLASTTIDGRINVWDISTPKAEKKVREYETKGSFGLCVDM SRDGKYTASGHENGAVYVFDNDTGRMMYSMPGLVKPVRTVAFSPAGSRLAAAGDSKII ALYDVQHGEQVANLTGHAAWVFSVDWSDTGEYLLSGSFNGKAKVWSVELRTCVATHSE TEKALWSVKWLPKTAKSEAFATAGANRSISFYREATGG VE01_07005 MSFFGKVDKSTTGSVVKKVAKLQLLAPSKTPGKSDIPLTRGRQN PYRRSLIRNAAGSIGLEQWFIGALVGAGQCKDHGPSRPRRLQLEGVKHLQSFQPRSSG LHSTFITRRQQHTSACAAISEGQIGKDRLLALVDHYDLQPDPSSDEVQMYQLAPGPNL TVSDKAEDVEWPPQEYQWPVDGEFEGILKQLGEALKDRYYDSETIFQLYRSLPSPRVP YLSAKTRHQLLRNLGTVLRKDERSMMRYLSVIDDMKLNAISLTLEEWNTATSFVASYM DKPTTIEVEASLKMFKEMEHIAGVEANSATFNILFDAATKAGKFHLAEMIYQEMKNRG LPYNRFHHVSLIYFHGLRGDGDSVRSAYKALIDAGEIVDTVVMNCVIASLIRAREPQA AIHVYERMKRLHIESSAEPPPRDARKRREMDRSLVKLAERARFDPQLLEQYKKTSIIA PDIRTYRLLINHLAVHGGQLHMTTRMLDDMSLFNVPIHGSIFLALFNGFANHGGELYS HWTDKRLESVWSAFIKAHHDERNEDVYIGKWTVIWALKAFAKCAGIKRTEEVWEEIHS NWSGESEEVDFAKKVMSSLVDLNYSGPN VE01_07006 MGRYNFSPTRVHHAAARLVERRKLEADGGILHVIPPWFKTVSAV PPGGILTRSQPIQHSEVPRKIRTKKPSKMYMPTNISYEEDGLRENFYSDHPWELARPR VILEQDGKDGQKCDWSKIEQPDRELNGESVIQRQLWLLKNKPDMTSAKAYDQARKEFY AIRHQEDVERRVAKEEALATGAYFGKSMLEIGMELEDKTYESWKAWAQNEVEVIDQQR SAQYTGAELAPAEEAILEEAIEEEVEAPAPS VE01_07007 MERPAGPPLGAATQSSGWATDGPASTRQPPLNSDTMMWKMPTLQ RMPSDGSMFTSNPISTAQVVALAREAMQNALEENQTKSGGTAGITNELKPGITIDMSH KNIQRFPEEVVDIIKSELERLALSHNKISTFPLRFAECTSLRYLNVRNNDIREFPLSI CELSSLEILDISRNKLRILPPELAKLTALKVLSVQKNRIEDLPLCLADMNSLQCLKLD GNPIRYPPKEVLQAQASSPPNGIALKESEINDVTVTSQIKRFFKQKLITDRSETESGG EESSEGAETPRPLKRVTSGRFPIKVNGSDVPDSRSPALQRPPPIPSRSHYRGLSQQNA ALRRPGIMPLTIGNSNERVRSNSESLLQRDRAERADRSRRMGVVSKKASDLTTVEELK TNRYSHLRGLSHGSAIQSGNGSNGTNGNTRSPASPADSTNGRSTYVRRLSSLPERKRK SNSPDPVIEGAKGILFALDQVHPLIQSLMGLTRDGSNKRTSLERVFFNATTHVEELDH DIQEYDTYSEEDEEVSPRSNANVRRACITCVSAYIHVCTLLSRNVESLLNNGDPRYIR MLLLHIYGSLVEVRNAGTSLIGPKQKASEQLPPLLEDTNINTIRPSVRDKTPTRERPN PASRMRSATTIQQTNNLRVLTDSRNAYTNGSGRSATMTSATPRSGESFTSVSSAGRMN GDFTEEDRLFERIFLGLQQSSEMAIRTLPTVNAHFVQSNKNSMQQGTYDQLKHYWQAL SIKCSFALETAETLKARLSLIKLKEPGIRTQGAFWELCNTFMSSYYNLVVKVKEVKSV TSLISTDVIALLRPLQKVIRETSQLIQASPWSFLTGPSSGPGQHHANHTNHPSTSTSI SYVLHSPQVAMPMTPASAALGPAVQATVPSAPQSAGYGADMFQGNVFERADTLLSMGG SSAFSSRAGTMTSWGGSGDGQTPGGPGGTFSPTNGMIRYGGSKVVF VE01_07008 MAINLPVFRSVRSRHKGPKPEGNGGILTDDASPPATTKAPSLKD GNNNNNIVHASRPRKWLTIIACLLLFIGFIFLILILTAQTSVKPVLKSTWFIRIDLSN ILVRASTPGSDFPLLNSIARSLGLHDFYLVGLWNYCEGYNDEGITNCSKPTSLYYFNP VKILLSELLAGATITLPADILTILHLIQLASNAMFTLFLLGVILTFLSLLLSPLSLLR SPHFSPRERSCWVGCGLGLLSFLAAFCTIVASVVGTVMFTIMRNVLRSQPMLNIGAVL GVQMLAFMWVASGAVLGAFVLQVRCCCCCRNGRKEKRRARRREKEMSGGEVGEKGGLF ENLRRRGKGGEA VE01_07009 MLWSKPVVLASQILFFACLYSNPISAQETTDDGLLVLTGSARGD TSTGPTAAATPTSSTGTGSRSSSTTNSHSSSTTSSTTSHTTTTPPDVLTLTGLPPTNS LNQTRTTTTAGPVPTNKTPCNLHVEFCTRKYSNITQVCAHNSPFVKANNAAANQAFGV VSQLDDGIRMLQIQAHVVNGTVFLCHSSCDILNAGTMTDYLTIVAGWVQKHPYDVITI LIGNAGYLPVSTYTPAIADSGLLPYVYTPPKKDMALSDWPTLSSMIITSQRVVVFMDY DTDQDTVPYILDEFTYIWETPFDPTDRAFPCTVQRPPDLSAAAAKSMMYIANHNLNTE LSLLGNTLLVPTQPLLGETNAVSGYGSLGLAAENCDAMWGKPPTVLNVDYYNVGNGSV FEVAAKWNNVTYNATCCGMADSGGVRMGTGVWWVGGLAVLVSVLLSS VE01_07010 MERDIAGHGSKLLMQKAQEEGIYASFMPTSMIVTRLDQGLTLPP KINPATLSDNGESHKESTSKEHATKYPTSEAHDHHLIDNLSQQNASKIGVDSTNETDQ ERTGKVYGPCQHIEPNSHHRHEKLTDGPSSFPTLGQRQRHRLGFCSSCQGPHHASELV TGSMEYHLATIQSADCQGSIDEELANQLQLAPINGNIPSIWPMGGRAMPRIRRPSQDT VPQIHKPNGSDVDLLCNRECIAVIDQPVSTKHLASRQTDDLPDGGIGDRGQELTVSMG SRVEMVPKLSLSESNCSTPDIGNMADGKATINVSASEQLQDEKSQDERLQYEQPQDNI HTSCQVSLSLVEQGTQMASGVSCGAGSPKAEGVEASLYSPIYKSPKANASSQVNTTIA ATIRKRPAPEHISAIHQHRSPSTLYSSQPQLLAWRSYIATAPLTSPGVRKIQQLILAA MDGDIELERNSGVDAQLGAIIDRILVDEQFREVVVAFGVSKLGYDPITANDASSSNQT SRLGGNATASKDSGPKFNIKTCTFEELKEEYITFKALAKKEHLRLSASDSKRSTLEED MKALKEQRDHWKKVSSSVAGATAVENKSRKWLCTPCGLLNDSWADLTEWAPGKAPKDA KPAPEEAGVPESNKSTWVQTKYCRRCGRHESMGLPKGCSIAEFNDEFDGLCKNIKSEV DGSGRRVPISQNFDIQIVDFSPAAPAAQSPQVPCTNKNTANIQGQSNAGNSSAQYLPR VNGTVADQQRLPNFNGAVPNQQHLANGTVVNQPYLANVNSRVANQQYLANANSTVANQ HFPPNINGAGTNQQHLANGNGTVAIRHFSPNITGTAPNQQNFPNGKAKGKIAEQQHFP AGNGTIGGQQYHPASNDMVKGQQYSPSGNSTISDQQGSPSGTVTNQKGTPRLTGTNEG QNYLPVTNGSVASQQLSSDATGTNSGQELETTSYGIIPSPVDVIGAFTSQFGGADLFD NFDFDAVTDFPFDSEDPFSIADWNPVPSSNTGVMTSAASVTPNAWSPGQTTLPSASVT PSSIGDSLQCPINIDDDDDVPRISQEDIKVDDGVPHISQEDMKVIDNLRINQPATNSP AKPKSKAQNRVTKPGVKYDMRGNQIGPSTLPTFRYHKLMAPRTAKVGALMFPASAPAP KDAAPQGAQHPNGG VE01_07011 MANKADDCVILVTAGYDHTIRFWEALSGICSRTIQHPDSQVNRL CISPDKRFLAAAGHHSVKLYDIKSTNPNPILTFEGHTNNITGVAFHCEGKWMVTSSED GTVKIWETRTGTVQRSYNHNSPVNDVVIHPNQGEIISCDHGGSVRIWDLAENSCSHQL IPEEDVSVSSVTVASDGSTLCAGNNSGNIFVWHLEQYREKTTLVPITHFKAHGQYITR VLLSPDVKHLATCSADHTAKIWEVKDLDKMIPTPGSEPPSPVPFKLESTLTGHQRWVW DCAFSADSAYLVTACSDHYARLWELQTEQIIRQYNGHHRGAVCVALNDYSEAR VE01_07012 MVSPRRPIFRVSTQALGCLFRGYTHVPRSTLYFNSAHRRLYSSP AAKPLRILFCGSDDVSAASLQALYDEQKRDPSSIASIDVLCRPGKPYGRGLKKIREVP LIATAQSLGLRTHMRDTFTGWDLPQVDGESINLIIAVSFGLFVPPRVLNSTEYDGLNL HLSLLPDLRGPSPVHYAILNGYKQTGITLQTLSPIGFDHGKILLQAPVSIPDPEKITR GALQDILIPISADLLIRGLREKVYLPQTTPVEPILPENKILALAPKIKPENRRLLSKM TAEEMARVERAFGRVWVGIKFSDGGRKRVILEGLETVPMPAEMSDFYATHEDKMGIFK SLTIVEDDGETRKETMVAMMKAPDGHSIIIPAKGDRALLIRNATIDGSKKNKAAVALY GKSLERGSDGSWFDGTGELIYWDAFSSIWDTVLD VE01_07013 MSHASSHVRGKAVPAQLKFLAIYNPSLGRTDETRLNQIVYYYDG TGKPTRKTGKDTLGGSKEEENERLRHIGFAQGMVEFGRAFSQGGSVDAIETEKSKIIL HELEVGWWILASIDLTRLPKASKPTGPKDKTTDDGNIEYSSRDVKPPVLLLQDLLRAH ALFLLHHASSIGDLFATTAKPKFTSILGRYWDQFLSTWNVMLHGNPAVAVYSGIKIAG SGELGMGVGEEDRGSGEREVLEGFVGRIDGLVDLVVSRFGADSADSDISKASNQNKAQ SQLGPSEPWLGTGLEPGPNDGAIFLGVGALSRNSLRDVTHWMEDVYSWGPRAYGVKGN STRTRRAHRNGRLSSKTPDSNSARGHPSKARKTDSRSSAMSTIPPMPNDDGQNGDLPT KQASVPILDETVNKTSLEVLDPQPAVETEPRENRSNSSSIRSPSTSSAQSTKGGTLVD YLKLGYGTHWSLGGGTPTPRDASTPNGTGPGTSAPSGEVTSAGRDSHTESGPADDSTG HYLVGLIGDVDADDPENSGSDKVGADTTNPRISLRTINAELDPVHYTVSDENGGTNNG NPSRPNASSEEALDTQNRVRKDLQVVVYANKPFLFTLFFEVGTASLADSSVYRSLHYQ LAPLQRPLLTSTRRKLPRPKLSSISGGDVKTPIYDLMWDPKKLTICSTIPDIPSHSPT SSNLPVWSRLESLNTHMQILNTFASTRNEGSEIEQTCKTSRGYWVVWSRIPDPEATQK QRKSEGSITPVEGDTTPAASPSPGSGHSPGGGSDTNKTLAQASHVLDKASVAGDKEIF LVRKSSDPTSTNAFAKENANSPAKLAQGIGVDTKRYIEGLLDMYR VE01_07014 MGCTSSVERSDGDMQPRRMLEVDELWNTSSQSTFVARSPLEMNS GPQLGRQTSDTHIRGPGEGFNFLGQNISLLPNQPLTPGIQHSPRTSFPQANQQYQDPW EARGSETFYRFEHFHNLDTRRQGLDQIPHHQRETDLRAMMNAGKKTTTITKVCSICLD QFSGQGSVGTNFSYSCERFCGQVICITCLKDWFIDACKNESKMPPRCCCTVPLSDVSQ LLSKDQVDLYKAKFEEWRTPDRFYCPVPTCSTFIPPRLIKEASRQNQTEQTSLEYLTP QSENENLIFGGDQTPSLSFFHEQYFTKKDVEVKCTASISPISTALSTTTCPKCTASIC IRCRLLQHPDAPCPQTDLDPLLAAQLDKWKIKRCPKCRAGVRRMFGCAHIECRCGAHF CFACLEPIKKCDGQCEDDDFDDDDDDMSEDDLDSEDIDAHMALDGTELDLGAEPYNPT VDTWSCDHSFKPIYKFNGFLSNGRILSPENHNDSSLDCQVCWKVLSPHLPPTNTGNLG YNVEYLTPPTGLSTKPGSVPTTLYGKPAWMCVGKHILCYGCPQNTMLDEKTSKYRCEC SAFCLKCAKVVEGDNMRFSEAGEKETDLAYDCECGMIVCGGCKVKLEDEMNEMDES VE01_07015 MAGQFPPLILHPDVAKFKPTALRMAKQIRHRGPDWSGNYVGGNT ILAHERLSIVGVESGAQPLTNEDDSIALAVNGEIYNHRVVRKTLKTPYHFKTHSDCEV IIPLYLEHGIDAPKYLDGMFSFVLFDKKEDRIIAARDPIGITSFYQGWSSETPGAVYF ASELKCLHPVCDKIISFPPGHIFDSKTGKTTRYFEPTWYDEKRVPTDALDLKHLRETF EKSVRKRLMAEVPYGVLLSGGLDSSLVAAIAQRETLRLKKQVQEAIEASPKENVDEDH GEGLVGIDDDNNLNTLTYLPQLNSFSIGLPGSPDTIAAKEVAKFLGTKHHDMTFTIED GLNALSDVIYHLESYDVTTIRASTPMFLLARKIKAMGVKMVLSGEGSDEIFGGYLYFH AAPDKESFQAETVRRVKNLHLSDCLRANKSTSAWGLEARVPFLDKEFLEVSMNIDPKE KMITGDRIEKYILRKAFDTPEDPYLPDKILYRQKEQFSDGVGYGWIDALKDNAELHVT DEMMKNPKPEWGADIPDSKEGYWYRMMFDEHFPPSCAETVMRWTPTWSKQTDPSGRAI ETHVAKYEE VE01_07016 MALCQNRLQEERKQWRRDHPFGFYAKPQRNSQGLLDLKNWECGI PGKDKTLWEGGLFKMSVIFPDEYPTKPPKCKFTPPLFHPNVYPSGTVCLSILNEEEGW KPAITIKQILLGVQDLLDDPNPESPAQADAYNLFKKDKAAYEAKVKRIVRENPAP VE01_07017 MATKAGDIDGDVKATPDTMETVVKDTTLETTQDAKEVTPPKAVE PESFEDAPDPEEDDLDDLDDMLDEFSPTKTESKAATTEAPKLEKSTAEGASDEMADLN GMSEEDFAKHLQADMANLLGGMNSNPELAAQFEEMMSKIAAEGGEGLGDFGAGIIPEA AGASQQSAKSAAPSAAEESFQDTIKKTMEKIQASGEQATAAAKEDDTDDILAELMKQM QSSGLDGEGGEEDFSKMLLGMMEQLTNKDILYEPMKELHDKYPAWMEKNKATTSKEDL KRFEEQQKVVAEIVKKFEEPTYTDASPTDREYIVERMQSMQAAGSPPADLVGDMASAQ EAFGAPPDEGCPQQ VE01_07018 MFSTLPPSSHHAFQSSHYAPVTPSPLSSSPLRASPNRDDIFSFD MASLTPPQTNQTERSNESAYSARQTKKNPLIHRNDNDNGRETRRKLFLRRVRQDSEDK RWEKRGGDDEIMRTIWIAEQKRREERRARDAQAWAGLEEEEDLDEAQSTEIGKINPSQ DEEMVDEVAQQEDAEMEAMLSMLDAESWDPPPNQRNQHDDNNTPYGSDDDEYDQLFME IGFDESGGNLSSTPVQSGREADQDMMDMS VE01_07019 MSNAELATSYAALILADDGVDITAEKLQTLIAAAKVADVEPIWT SLFAKALEGKDVKDLLLNVGSGGGAPAAGGAAAGGAAAASEDAPVEEKEEEKEESDDD MGFGLFD VE01_07020 MADTPVMNIPTDPREQPILDELMLIRADLDLLKRDRTTYIKSST VVPLYDKIVEQVQKLNAVREDRPHEQNRVDRVLDGCFQLLSLFFMTIGKNNEAPAAYA LTSTIKRLLDHLTETKLYSAKDLDHVSQTLESLDNIIQNSEGVHSDRLVTLLANRTSL CREACSSLQERLKRLDPGLAEIHQKCISILRCISLANTKSKFSNSEVKKFKEQLEEIK AQQVDGHFVITPNEIPAGDAEVNELLTRILEWADVVMEHKGVMPENFKPIYDILVNIR NELEKLSLTQAWSLRETDLYDYQRQLDRIDEARVNGNFLDSEGNPAELYVQRTLLYLI RRSYGYIYYLMVSSEPVSEALQPIYNQLQTLRRCLVEVKEMGGVSSPRELYPYSMKLN SIDNMKVDGKFTINGDIPEGQASVNELLAECFDIAYELRIAAESEESG VE01_07021 MSARKRKADDELDPRSLDEDMSVSPLTSPAISSRHIARPSKKIR NNEVIGRPLELPRLLETLDADSLRNVLQTICERHPEIGQEVVTSAPRPSVASTIGVLE QYQERLRQAFPFGGNTGSDYAYNRVRIQLTQLIDAISDFVPHYLPPHETQVTTSLDFL DSVTNIVHQLPDWESSSHNHHKDNAYDEISRAWARVISEASKRGGGIQLHNGGWDQKL QKHNAMSGGQMQAAVNALGSNLYCGGANGSSNSNMNSNNDPNSIRNQLFSGTYGTNLP VRVGPW VE01_07022 MPPARLPQLTLKTLPALAAIAPLRPSLPKSKTHQLRAMASAAPV KCDWLVIVPDQPGKLEARMAVRSDHLKNVMPKVEEGLWKVGGATLDEPPKEGEALKIN GSCFIAHATTKEEVMVELKNDVYAKGEVWDFEKIQIMPFKAAFIKP VE01_07023 MTSGRLLQSSRSALRVSCPSRLSRAQFSRGFASVNSATPQEPDV SSRTPPYPKLLKRLAEVRRVLGAERALTLSEKILYSHLDNPEESLLTGTDNGLNIRGN ANLKLKPDRVAMQDASAQMALLQFMTCKLPSTAVPASIHCDHMIVGQRGADVDLPESI KGNKEVFDFLESASKKYGIEFWPPGAGIIHQTVLENYAAPGLMMLGTDSHTPNGGGLG AIAIGVGGADAVDALVDAPWELKAPKVLGVRLEGQLSGWASPKDVIMHLAGKLTVRGG TGFIIEYHGPGVDTLSCTGMATICNMGAEVGATTSLFPFSQRMVPYLESTHRASIARQ ARMIASFPSARNLLSPDENANYDQVITINLSELEPYVNGPFTPDLSTPISQFAATSEA NGWPKKVSAGLIGSCTNSSYEDMTRAEDLVKQAAAAGLTPSVPFFITPGSEQIRATLE RDSTLATFEESGGIVLANACGPCIGQWKRTATEEVPLPAKGEENAIFTSYNRNFRGRN DGNPDTMNFLASPEIVTAMAYGGSTSFNPLTDSITTPSGAEFKFSAPSGSELPQSGFE TGNPDFQPITGAPSPETPVVVSPKSDRLALLEPFAPFPEGNLKGLRVLYKVTGKCTTD TISAAGPWLKYKGHLPNISANTLITAVNKATGEVNVAYDVDGSTSGIPELAERWRSQG VEWLVVAESNYGEGSAREHAALQPRYLGGRVILSKSFARIHETNLKKQGVVPLTFANE ADYDAIDACDEVETVGLYEMLKNGGKGEVSLKVTKPSGKEFVIETKHTFSPDQASFVI AGSALNLLAKAAAEKSA VE01_07024 MENALEPPGGSPYGDNPPQFLLDAMMEELGIGRINDLSLEDNPK DRRNVNIAVGASLAKPPTKEIKKITSKYGNEINMWKCELSNPMMWIKMLSYWMTWEAA SHIVFVCKQLLLDLQTEGEAIQEVLEVVIVALVTPVVVQEVVGLLDRATEGTELPLRK LPTYSDSRIHYQFSVGEEVADEAAIMAVLVQLTAILFMTTTPQKTTQRRPPQQRPVQR NSSPLLSLATPESFFPSAKRVENTQPQPTTTQPTKRQPATGQLPPKPPTTKQPASGQA TARQPAVKSPVVKPPATKPPVTKTPATGQPTAGPVAPISKPNKPPHINSPAKVPKDIA PDNTRTIPSKDNDEDLIFCDLISLDNDGWVSTATSAEVIVEEPPSAYMQDMWTLEDSA NAVFNIMVEVTMKGMAKERAVLVETIASGVVPDGRGMASSVYASDEPVTKESIKTYWE TQGPSFRKGLENESICLILEGVAAEIVRRDAPTSSDLGQQDVSGSVSEYDQSNSDEAI NQTEQAGTPGSVTGEPQGVSEPVENISGSPETAGDVKEPEITFVQYDASELLQLRSRA LTVDKSAFPDEIRQFVVKDTNDTNVLLQKPKIIKVLGGLADSKWASPSKTNDENVAPS KTKAAPIKTNAAPLKTNTTPVKTNVASSKVNFDPSKASFVPSNTSRPSPTDSSASNFF SEKLLPTKATNGLADSKWADEPKTPDTYTPLDLVSAILSVTVPVRPKRPGLSDSMWAD NPPSKGSLTRPSINKEVVDRMINPQLTHSSRDRRKKSIEIITEATKRRTAVLEKQAAE KEAAFANYPHY VE01_07025 MVATGNVSSANPSGEPLYGRRCIVSTCNKPVLQEDSSNEVCTSC KQAAKYATSKLKARRLKPKRSESGLWTPRSEVSSMSGSGNDTMRRRNFSPLTPTAGPN DGGKKRKPYDTHSAADEIENWRNPKSQKVGGITEGNTESGFASQKSDAGPIGRQGLHM SSDHVGNAPEVLMGAESLNVSTDKDARRTGIMPLEPMMTRSRSIIHSEAARSTGINAT GLATPPSSNPSFKSTLPDIQIPHSKPSFSDGRIAPPEPKNGAHNSANNSFFPGDVLPV SEGLVLESKGALSVSEGSHIPEDFLLLSDDNLSLLEDPLLSDSSLSMLDCSPLPEDSP FLFGDTLVPEPSQHPEYIASTDSTNRGPENTYKSRDDQPTKPLSILESTSPSNTALRQ PPEAPAILSDDRDFTDNGIVKFQSSVPFIGVCPDSIEPTLNPNSVQARRSKKPLGTEP NSQGFYDVLSKYRGSDEEFVATTLFDMRTEDSSYISIQAKIAARGGRKRQFGEVFSRL DVDSTWSKHQNQPFKINPAVLGRDDLPLHKIFGEFDAKDMVPTVVDGELHLTQREEYE GPRRTWRYGDGGTGTEQGYPEAQAGAWRDLGKAAI VE01_07026 MDFLKSAVASAISGGPPFPYSFGDRVDVDDSIWTLYNGTRREDG SNCSIFSFDVPANKSRLPLARNAVKKLRTLRHPGVIKVLDTVETDSYIYVATERLVPL RWHIKRKSMSEETIKWGLFSIAQTVKFINDDASSIHGSLKAASVYTSESGEWKLGGFE VLSSLKDDEAVIYRYGSLVPESARYMPPELAKSGWETIKKNPATALDAYNFGILLFET FNGDFLGSDQVGQTKGIPSNMQPGYKRLINANPKSRSSVAHFLDQGRRNGGFLDTPLI KLTEGVDSLGMKSENEREEFLSDLDQLSDDFPEEYFKMKILPELLKSVEFGGGGPKVF SVVMKIAQKLSDEDFDAKVTPVVVRLFQSPDRAIRVCLLDNLPIMIDRLPQKIVNDKI YPQMVTGFTDVAPLIREQTVKAVLTIITKLSDRTINSDLLKQLARTSNDEQPGIRTNT TICLGKIARSLGVSTRSKVLIAAFTRSLRDPFVHARNASLQALTATADCFTDEDIAGK ILPAVCPLLLDKEKLVRDQANKSVDTYLRQVRKAASQMPDTVLPPPAPDAGRTNSNST TPRMGTPQPSEAASWTGWAISSFTNKISTAAGDIEPSSPSANTLALPPSTLETRPVSA PVRASASASALHRQAITSPPPTSTRTSTSSASASNAADYFQDAGDVGEDDFEAWGDMD DDDTAFKDAVDEQPKAPAKPTVSAKPFEDDGEPDFAGWLAAQAGKKTGSKPLPKGLGK PGSAGARPNALGAGSRKVSAAAPAKPMVKKVIDTKPKEAGDDDGWGDGW VE01_07027 MAPSFVTIDNTPDFDFTPQPQPTKKAVDSTTRTLLIAPPSIASH EEKLHGVLAIHDRSVTDLQMLDRISAGLARLPLATYDLIIILTDVDGTRIESGKLLSR DVYSSLVQSLKAGGKLQSQDGTFGQTTTSGEYTEAILAGLVANSAGGMMKPDYSASEA VPLRFGRNKKKDAVSDAGPPATIVTLKRKSVEITPSQAKPNGVGFVDFSDDFGEEITG EDEDDDDLIDEDTLLTEEDLNGMIVIPPECVPRVGKRRRACKDCSCGLAEKISAEDEA KRTSADDKLKALKLDSSDLAEVDFTVQGTVGSCGSCSLGDAFRCDGCPYIGMPAFKPG EEVRLLNNDVQL VE01_07028 MIAAMQRIAATIIPDLIPKTYQIGKAVNAQGRMFHFSVVELVEG DLLEDVWQLMSAGEQNSVVADLVEALEKLHSVRLCDNMAQDVLRKMLREDGEELRPFF GNAWRLWWTSYRSFKQRAWIAILYHGKEKAKEVIILYYGPRELFTRCQDPV VE01_07029 MLSLLPLSVFLSFTSTVLAAALHNHPHEGHSKRQADSNTTWLEN YEYVVVGSGPGGGPVAANLAIAGFKVLLIDAGDDQGGALQYQVPALQLQATEYEPMRW DYFVNHYSDEARQQKDSKMVYQTTSGEQYVGLNPPSGSTPLGILYPRAGTLGGCSAHN ALITIYPHDSDWTNIATITGDNSWSPDKMRKYFMKLERNRYLPSSIIGHGFDGWLGTA LTDLSLVIEDPKLLSLIIAAGTAMGKGLLGLLVNTVGGLGQVLLRDINAPGQSSKAGL YQVPLAMADSKRNGPRNLVLDTANAVNKDGSRKYHLDIKLTTLVTKVRFSHDGPTPKA VGVDFLDGQSLYRADPRSGSATVTGSGSVNATREVILAAGAFNTPQLLKLSGIGPAAE LESFDIPVVVDLPGVGTNLQDRYENTIIGDSPTDFFITKKCTFMETMPDPCLTRWTNG IGPIAKGTYGTNGIAIAIVQKSSVAEGEPDLLISGAPAKFKGYFPGYSSDSLHDAHHW AWIVLKAHSRNNAGAVTLRSTDPRDMPNINFNYFDTGVTANGAADKDLQAVYEAMKFS RKIFKGLIPLDGGFNEVWPGPNVTTEADMKEFIKDEAWGHHASCTCPIGADGDPMAVL DSNFKVRGVEGLRVVDASVFPKIPGFYISLPIYIVSEKASDVIIQAAAA VE01_07030 MKFLTIAVTVLLSVYNANLVAAGVELPPKGTPPPSCGLTCITEL IIASECSLTDKTCICTNAQLNKDITICVGTSCSIRDQLATKKYSNDYCGVVPQDRTKI ISIVGVTFGVLALITVGLRILSKVLRAGGQFGIDDYTIMVTMAITIPFCAFSVLLANH GLGRDMWNVHPDDITSILYIYYWDELMYVAIVPLTKISIIFLYLRIFREKSFLYFAYA LIAANVAYLLAFEAISIFQCWPIPGAWRAWDGTYPAKCRNVNLQGWMSATFSIILDVL TLILPLPSLYKLDMSMKKKIQIMMMFSVGVFVTIVSVVRIRAFTKYANTTNLTQDYVE LGYWSTIEVPVGVICACMPSIRALFRNVFPSIFGTTQKGQSSTGRLSTTLTPQSKSRS DYANLSKHEGSSSVIGLVPVDNRQEDERYKNSV VE01_07031 MKIISSLVSLSAFSSVASAFPSVAGDSLLPADVITKLAEGLRGV AQESHEKRFLVDPLSTPIDVTGDHSFIPPDFSAGDQRGPCPGLNALANHGYIGRNGVT NVIEATAAINKVFGMSVELGGLLAVMGTVFVGNPLSLDPGFSIGGESTAVSNLLGNLL GLLGKPRGLVGSHNIIESDSSNTRNDLYLTGDNANLELNQFSEWFNMSNDAVGDFNID VMAERANVRFQQSKATNPNFYYGPFTGMIARNAGFIFPTRMFANYSKENLSGSFTKDI IKSFFAVEGEPGNFTYNQGWERIPENWYRIPVDYTLVQLNLDILYFVAKHPELGSIGG NTGTVDSFTGVDMDDLTGGLLNAQTLLEGNNLLCFVFEVLKTLSPNSLSTVFDIIEVP LQMLFDTVGAALLDLTCPAFKDLTVGGQGLGDAIESMFPGAAKGSI VE01_07032 MMTRSHKLNDRDHAGLADGTASAEEHLPRYFAKAGHVDADPKKT KKNGGGRGNWGIDGEEIQDEGFNITNARRRSNSSSYAAGLKDFKTKFEQFEPDPVFEE DIHGARPEDDMEGASRVTTAESSESGGSVADEEGGKKGI VE01_07033 MPYELKGRNVLVTGGSRGLGALICDKFAKEGANLVINYVSSAAA AEEIAKTIEKEYGVKAFVVQGDMGLEADCVRVVEESIAKLGGLDVIISNAGWTRFSSI HDLHATTAADWDTCYAVNVKAQNYLLRTALPTFNANPEGGSFIMTSSIAGMAVSGSSL PYCVTKAAQLQLMRVFAASQGPKVRCNAVCPGLLLTEWGQKYPEATIKFMEERAYLKK VTDLEDCAQSFIDTARNSSMTGQKIVVDSGLSQL VE01_07034 MATFVDEKASPEPAATSDNDGLEENATGIIEAPVAKTAADKALI FKQDLRIVPLSAGIYLLCYLDRSNIGNAKTLNSNVHHDLLSDTGMTSYQFTIALMVFL VAYAVFEVPSNYFLKKFSPSKWIAFLMFSWGVMTVGLGGAQSYASVTAVRFLLGVFEA GLFPGLVYYLTFWYRTEERSMRVAIILASATLAGAFGGALAYGIGHMDQAGGLSAWRW LFIIEGIPSVLSSVAVFFFLPDYPETATWLTVEERALAVERLRVEGSHSHGESLTWAQ AKSTLTDWRLYAHFAIYFGISVPFSSLSLFTPSITAGLGYANLEAQLMTVSPYAVAYV VTIFVSWSADHYNARGLHTAGAALVGACAFMASALLPATAYQKRYGCLIVACSGAFAT IPPLLGWLSSNVQSTAATGLAIALNISFGAPGQITGVWIYTADEAKRGYPTGHWVNAG MLFFVAVGSVALGLYYRMLNRRILKRGAVGGQTVEKLYRY VE01_07035 MAPSLESLSVELLDNIVSSIEPRSALFQLALVSKKYNSLATSHL YRHIFLDSDCPDGGIRHMLPFAFIILQKPHIASLVRTFTFRGHFHDEENLTFAPNNEE EDDRRLPWPNHVERSDLLRGLIKRISHSQDEEEEWNEAVLSQYAPVDDAILSLLLISL PNLRLLDLEITTFQLNFLARTFNRVASSQAPFITDPPFARLTDIMLTGSDDIYPAQYV LFTACCRLPSIKRLYGNRLGVEDDRAQLRTLTGATTIETLELRHSKLHDDDLHVILRP LKSLQTLIYHIGNPYARTTVRTRDILSAFAIHATSLRRLAIDHEDEHPFYFYPEADSG DRAEPLSFVGFTALTHLRVAPVFLFGRTVLIDYIEPGSLEEDQMLNILRVAFPPNLKS LYVTHAGYMFLGAQDGMERAFEILLRHKECIPNLHELVFEGPFADKESIRRVGQLISL AEEVGVSASAVSLQENSYVKERGWGWDEEVSFEMCVHNRMGERVQVLPKTVVATGGGD SGNK VE01_07036 MRLSSMPLGGLRGTGLSICAILSMLSLPSTAVAEKSAGDYFVHS LPGAPEGPLLKMHAGFVEVDPEHNGNLFFWHYQNRHIANRQRTVIWLNGGPGCSSEDG ALMEIGPYRLKDDKTLEYNEGSWDEFANIMFVDNPVGTGFSYADTDSYVQSLQEMADQ FIIFLEKWYKLFPEYEHDDLYIAGESYAGQHIPYIAKAIMDRNKKSPVHKWILKGLLI GNGWISPIDQYPAYLSYAYKSGLITGGTEIAKQVEAQQKTCIEALDKNDGANRIDTMQ CERILQEILRLTQVKGANGEMECVNMYDIRLKDSYPSCGMNWPPDLKYVEPYLARPDV LQALNMGEIQQPAWTECNSVVGGAIRLKESKPSYQILPEILTEVPIVLFSGEQDLICN HIGTEDLINNMEWNGGKGFEVSPGTWAPRRSWTFEGETAGFYQEARNLTYVLFHNSSH MVPFDYARRTRDMLDRFMKVDIANIGGKPTDSRIDGEKGLETSVGAHPNSTLAQETEK EKLNAAKWSAYYKSGEVALVVVVIMAAAWGWYIWRDRRKRAGYTGLFGGSMEGSSERL RGAMGLENSRHKRADVETGSFEDEELEELHLRPPGERHATVEESFDMGSDSEDDDERV NGNGMDKGKGVEK VE01_07037 MASTGKTYIVEHLDDELGAWSELEYMTIAKESHENGASFFLTSV PASLELPERLKTLPGFVAKPESVEELYPEKSRVCLLDPSATKELAPEDGDTFDVFLFG GILGDDPPRDRTSELRKKGFEGRRLGAMQMTTDTAVRVTRIVTQDRTPLEEIPWIDNP EIKVSRVESVEMPFRYVKGKNGEITVPEGMIDLIKADSEKGFGDLF VE01_07038 MNPHQKNKVDIASLTPDEQRLFRLYGKLPSKADHLAKQLKERKY FDSGDYALSKAGKASSVDTGSVGSEHPLPENIPHLTSPGVSGVNGGSGNGNVQMGVAG GLASGSPVKESSFLNRETSVDDAEGKENLPRGQTKSVSPPMEGEGIPIRG VE01_07039 MAETTAKRLKTDITIGTHNGHFHADEALAVYMLRLLPAYQSASL IRTRDPALLATCHTVVDVGGEYDATRNLYDHHQRTFTTTFPNRPTKLSSAGLVYMHFG KAIIAQSLGVEESADEVTILWNKIYEDFIEALDAHDNGISAYDTKALQAAGIEKRFSD GGFTLGAMVGRLNPNWNDPRPSDPAAWQAIEDEKFSKASTKIGAEFSSTLSYLTTSWL PAREIVRTAFSKRLDHDSQGRILVFDGQSVPWKDHLYRLESEQGGDSKVVYVLYPESP AAGAKWRIQAVSVTTDSFESRKPLPEGWRGFRDEKLDEITGVPGGVFVHAAGFIGGNK TFEGAMEMARKALE VE01_07040 MWLIFRLIASIVFLLSIILSIPIAFDVGGRDSGLAHSLALFCFY FAYSTLRIVTPKKSWFRYALVQIVGVSQWVIIPALLIWSLNRFTVDSNTGGDWLAKTF GGKRSKETSITEWIFGPGGFYDVMTVGTWDKVLTYSTPFFQLAEGFCSLLVIQAAGQI TRWLVNRGRSDTWMIGLLIVSASIISSSVYFLWRITNFPEIGNVDATLIGVTITTAVF LCAWGIGSGRGNPVESSLLFAYVVLCIYQIFTDYLPSPEAAAAAAAAAAESSQPEFPP FPPIIMASYSTLIHLLSTLPSAVHSSFTFLHAAFQTITPSVIISLAYRIFVFYAATRI IPSVRDSGARGLSREPTLEDDDGAGWFLGVLSWFSPSILVAVYTSLLMQHFSTLSENG QAAAWWTLQQGGNAAGGNNVWRWVNVAATMALYAFELYLGKEDTDGSLTGHWKTD VE01_07041 MSSRITPRAIRSLTNTTASPAVRRQLAASTSKAEQTTRSSSTTT TRPTPSSSIPTLRPLMQGFHSTTSSPAPSAAPSIDFVFFPTQDAISTPHVSALRVPLL PDNYTPDRSVGSAHAPEAEVMPLERSEISIIAARPETVASALTEVVGLGREMGLGELT RAFGEEVARVEGEVVGGLRGLWGDLLDDVLGEKTVATIRAT VE01_07042 MNTTFEPTLSLRPPLTSALAPSMADSLPPINFGFDELRTRMSQF TQRFDAFIETGRKRVLEERNQFRSTVAEAHEDRRMRKKDIEILQLKAAGHAQALQKEE AEAKEMEGAVARLERQREAKVREREGLVGEIERVEAMIRERREGQMEYAERIAGMAVH DIPELDFWTSNLGLRIEGAGMSDRLKFVFTCVDERDWEREAWFELCTERRDYEVGGCF PVVDRERVEAVVERLNENRELGGLLKGMRELFVEAMKA VE01_07043 MTDRRSTDHTYGHLGEATYDTVEKKWSFSRIPVLSSIIRPIQPL QPCIPPSAQSKPEAQRNATDRASNRARDQKRSLIREIPQIGAAASVLGPLVRTAAVGA SKTRPTGGDLVASGTAKVLSKHHSDRSINVLAVAHGEAGHVLRLIKPRLEDHTWDGDD SVKLRLLNPSTSEDGYWLDGAGSIEQIVFSSGIEGDRSWLAVRKLASTTILQPVYQRT PGPARVPPGFQDKYRPSLLDPNPAVTITSVMTNGRNHADVAFNPWYPRQFAVLDEEGY WSAWDIEGRKRAGSKMATPGKSGYILDDRAESDLSLDIASNGWGRILWAGNVSTIVVC NDRHLAVFDLKAHPIRLRSPDLVPSGSFGRILEVKRSPLQHDKVFVLTNSRLFLLQIN TAGEWLDDTDRTAGAKIIMSCLHYREAQGNLLKLELHEEGDVCTAFLVSSGMFLVNVY KFTTTPDAATPVTWSTDAFSLERGADEDTLSKIVGFCVLPAPLERSDERRASRMERNY LDHGNFLQAFALGENLEMSQTLLAECRMVAAPVPGKPAIYERISPPSESQAAPPEAHF LSSRTIDDFVVPDGFEDYESGSERKAPVVRLSIEDDLPEEPAPKVIDAQRWYELATKG KTTEPPSQEDYHDVEIEMADYIQNIVGGIQHRRQVGLEGLSSFHDLAGFEKFTEDVDD GAALLQDFINNFEDNYAESSMAVTLQSSGLLRMLDLEPDESSTNNLPNLSNVYDKLVN LWVTSLPQNTPGMARIAKEKVVRNVATELCLSSIHVSIRDKSVPLPTTAAPQDLEEGP SLTLPIHGGRPPLSPRTPSRSRFSSQPLQDHGFEPTSSYATPAPSESALSGEPAEDAA VSRLRGYALSIKSLKPLGRTCASILAQWPETPGSDPSTYIWRPDQISGAADEEGDSGE EAARQREEKRRRRTEKFLKRQKGVASPRLATAVQSSQPPPVVESQPAYRFAASSQVMV QSSSQVEGGLSMTQPSAGAFGSRAGVGKKKKRKTGF VE01_07044 MVSYKEIRASNALLNDATTPRVAVFAGGTSGIGQLTVRALVSTG ASVRIHLIGRKSSEERSRIFIQELNAINPKAEIIWVEGEISLLSETKRVCEIIKSKES RIDLLFLTTGYAPFGARNETAEGIEITQSLIYYSRILFIQHLLPLLRLSEAPKIVSVQ GGGMERTTVDLEDMDLKKPGNFGLVNTHVQYVAMLSTTMEKLAAENQGVTFIHSCPGW VNTGNVNRGLDASLTIKSWLVWLFLEPLIGLFSFSDEESGQRYLFQSTSAAFGGRGVP WKGKAGVNSQMKTGEDGLFLVTSKCDTTPNAKVMPVLREKAQGKVWEHTQKVLGPYL VE01_07045 MRLSTVSLLGFAVGQALAICPYASTSTKKGGCPYAERETSHVAS GASFHRGPATGGKLGVMFMNRIAPSSSVLYLADANGSNERQLLSDSDAAFEYHASFSP DGEWITFTSERGGDGQSDIYRVRKDGSGLEELVATPSFEDAGVLSPDGRLLAYVSTRG NFTTNIWLKNIETGEAFNLTNTASTAADTTSPTGHFRPSWSPNGEWIAFSSDRNTGWT GHSNGTGWEHTQTLSIYLIRPDGTDFKQLVTKENYSLGSPKWSPDCSRILFYEITRED TYNSHTQSVGDTTSQIASVDVATGTDYIYHTSGSGCKVSAQWVTADVVGFGAKGGTNE GLKYTSTNENYTAVLGAMRNPSWSPDGSTVVYEKQLEAPNRPMEKPLYSWDGDWEYRF TDVFPTLSNQGILAITQKQLGNSSVVTMNPDGTDLKLVFSTDNIDPDDTAKGLAGAFQ PTWSPDGEWIAVGAGSWFFQRAFSGGWIYRAAANGSYSEQLTFGIPGEVNSGFPSFSP DGTQLVYRDFGPEGGLGLRILNLADRMTRNLTEDWDNNPGWSPDGERIVFTRRNHINW EDLGATDSFDIYTIAPDGTGLTQLTTSGANDAHAAWSADGRIMYTSGMYGFREECVNY DNTFQPYGQIVVMNADGSNKTLVTDSMWEDSMPVYVYNKDL VE01_07046 MSLTPEVLTADFKIAAVGLLVAGQWFPKHANKDHIPTGEYPLLL VTGGVLDKNPMPSYSSLSAAKSASQNLTDQFSQVLTSEHNILVGQPLVVQPIIPNQEG GWLTKLDPEVIVKEVFLPFLEARESIGVNVEGIKGWIRDRVW VE01_07047 MHLSIFVHFSFFLAVLGVPELTVHPAKAPTAKTRNGTYVGLAVP QLSQDIFHGIPFAQAPRFELAQSLNSSWSGTHEAVEPGLTCSGYGSNNLLGLEVGEDC LNLNVVRPSGTKTNAKLPVMVWIYGGGFRQGSINDREFNTSYMVETSVQIGKPVIIVS INYRLSAFGFLFSKEVQSKGATNLGIRDQWKALEWINENIAGFGGDPKQVTVWGESAG AFSIGWLTVAYGGQNSNLFQKAIMVSGTSFGVGSGYPVFAQSTYNALTNDTGCNHAID SLQCLRELPFETLNTTITNLPANLLGFQPILDGDILRNSPSFAYAQNPPLIAPVDIIT GCNTDEGMSEALGAQTPFNTSAEVEYFLTAGLGVDSTVANEILTLYPEDAQYPPYSQP MTLDWPALTAALGIQSGTQTRRVYGIINDFAMMSGRRLTAASWTPLTGKKAYSFRWDV DPTRLPLVYTPGLGVGFAEHGAELSFEFRLPYVSGSAYPPIPDVPAMRNVSYAMQAHF VAFAATGDPNAHHLNWIPKWPAYAGSTQNFVYNATLDDTLNLHVEKDDFRSEQISWFN ARWTYLN VE01_07048 MADRPPPSATAIIIGTAILSLITGYMIGTATSLNLLPSPFSSAP AKTRSRSDTTGYDDEEESSEEEIEAGEVLDHAPNWANGPEADKRDGLTVAAPAPTKKR EVVDDNEECKLVLVVRTDLGMTKGKIAAQCGHAVLACYKSSLRTNPYLRAWERGGQAK IAVQINSEAGIEELAAKAKAAGLVAEVVCDAGRTQIAAGSMTVLGVGPGKRSLVDQVT GGLKLL VE01_07049 MSLLQDWLPPSPGNWTLIVNTFTYFPVVTAVQWLTAYYPMGKTS TTSCLNIPGKLAWMLMELPAPLLLVYTMTGLRATLPQPPRENLVLAGIYVTHYIYRAL LAPLLNPSMSPIHPIILLGAWVFNLLNAVSIGGHLAGLGPTLTTRLGFGLPIWALGFA GTIAHDEILRCGRRAASKPGAPAKAETTHTVPDGHGGKKTYVLPSGGLFRWVLSPHYL CEWIEWVGFYIATGGALPARNFVAAEVSTMLPRAIATRKWYVERFPGVEGRWAALPGL V VE01_07050 MQGGAMENDLQCDPLPNGSLLVGATPRFKPCRCCTFDPNDWPQS DAKVKIRSCMTTCPYCGVRFGKVSHLRKHIRVSALHGPQRLTVVATRVRNLYQAAYDS SVRLFRPCQCCVLDPDDWPQSNAEIVIRPCMTACPYDGHVFQSSCKLRRHIAEFQAHK ARNLTARAMVGRDRKRPSTAVAQNEAHNASNQGSPLDEDSADSGDDSGNDGDFVENIL PGLSAASQNNSHETGNQTNEVKVRRSVRSIVLGKAKVMSYGDIEEARAKRAAKEIIRG KGKRGQKRKSAALEAGKPELELEPEPEVESSAKEAIKSKGKSGRKCKSAALEQEPEPE LARMTALVARMI VE01_07051 MTTTPDPRQLSPDPRLSSPATYSREVAISSIISLYQSIPHIDPA DIQFPPHGGWPEITTASLAERGLHKTDEVVELLQNIPFIAGIRPWVAPDSFALDYRRV LKEKGRAAVFVWELAGYDDSESVPPWVVQITTGTDQMLAAYMLDTSDGTFTRYMQIVV YPEPTSLYSTDDPRCWRNVCDDQTWPVEQLVQEWQAKYRSLEWLGLPGNMKWPSVLVY DGEPNGWLSKECIKMQEIYREHGWPHNYRGGECRAAREWRPDVYGRYSELLLQEELPF ESCADETCSREIEALERVVQAMREQAEIDATPLTSPSEVSVELATPVTGNDALVAPTS QPKSGSGRYLAHPRQTGVSYV VE01_07052 MTPASSSDAQHNVALIGLGTIGISFAALHIKHTSAIVSVYDTRP DLEEYINSVLPGYIDSEDAALSLSQLRLTRRLKICSSLEEACQNATIVQEQGPENLSF KRSIWPQVEKLVSDKTHLWSSTSGIAASLQVQDMNDQTRLLVVHPFNPPHVMPLIEIV PSPMTKSIEVDFAKKYFTEMASGHQPIVLKREIAGFVGNRLAFALLREACYLVDRDII SAQDLDLLVEASIGPRWAVQGPFKSYNMGGGAGGLASFLKNLSGTIQGVWDSSVPMSF ADPLGERSGDDWEGKIIAQVAEAYGSPKPAQYTGRDVALNGVFEVQRKMRDDPV VE01_07053 MSPSPPSLQILTPTPPPSTPKPKTLFILDSSFNPPTVAHLHLAR SALFSRDEERYPHPRRVLLLLATKNADKPDVEAGRENRVTMMRVLAGDVVGYRGAGKG KEGGEEKDREGVDIALTPHALFIDKARVIAEESTYSGAKKVFILGFDTLKRLLEVRYY DPPSLSGLGPLFQGGVRVHLRAGGEEEEGEQRRWIESLGGEGGEMERNGGRREWVRGM EVVEAQEGEVSSSEVRRRVKAGEGVEGLVTEGIEEVIERKGLYRA VE01_07054 MTEHIPSSATSMVDEEKMEKKLAESTTDRPTSGSEASLKDGLSA DNAVPETVYPTGFRMAAIVVALALGIFLVALDMTIVATAIPKITDTKGFEGLDLIAWY SSGFFLTLGSFQSTWGKIYKYFPLKISFLISIAVFELGSLICGVAPNSTALIVGRAIA GAGGAGIASGGYTIIAFSASPKMAPAFTGLLGASYGVASVIGPLLGGVFADHATWRWC FYINLPIGGVAAIIIFLFFQTPPAAIPAAAPLREKLLQMDPLGNLIVLIGVVCYILAL QWGGVTKSWSSGPVIGTLVAFGLCIFLFIAVEYYLGERGMVVGRLLKKRTMWVPMLYS PFLGGAFFVLLYYLPIYFQVVGGVSPSESGIRNLAMIIATSIATIASGALISMFGHYV YILIAGGAFTAIGAGLIYTLGLDSPASQWIGYQVLAGLGVGLSIQCAIIVAQSTSAPA DVSSATAMVLFTQTIGGAFFVSAGQTAFTNILLKRVQIYVPGIDPQRILAVGAGQIRE AFEADVVEGVIRAYLDGLRGAFAIAVACAAIATLVGAGGKWVKLAGMAGGAM VE01_07055 MPPLSVPTSAALSPSLLIPSTDPAIPRILSKLSRPALISLTLDW LDDRNQPLSAPYLASADDSSDDLHAGDFYPPHSSLEDLREFYTDLQSTRGTRTALLDR LLTGDYRHGLTLYQLAMADTLILQTHPTSQKWSAYALTPSHPSAPPIPRFHPPTFLKA LQRALPPDIKAHYNLDRPPGLPLLLLRVMLLDTPYATTPSLSGAKVVYVAFPDASPHI FLSAASSPPTTGTATTANENTARDLRRTLIACLPTALSRPRARYTLASTNLSARSLEA MIALRGGGRTGKAQGGWGGYALSGRKAGDTPLESVAPVLREVVGGTNRNVAGEVGKLV GGGEKDGKEEEGEEVDLLHEVPEGRGKGMKRPLDPGAETAKRRRLIAQARFGDVPSAP LELVDVRIEDPFPGEKEGGWCPSVRVVFRGSDVFKGVRGLVERGVVEGEKMPGWMTGE GGVSGGVVKGGRVGG VE01_07056 MATRTLEASFKGMSVKDQHDSSDEKTVAASLNSQLSRNSASDQN GSSYGKKSYSKSITVTSAAMRLSKASLTNHSNLRKVALENQSTMSKTTAVPSQVVQLS SSSESVVSEPPPQEAAAESSEGSQKSRPSTMQKQPNAPKQFHLGMFEIGRPLGKGKFG HVYLVRERSSGFICALKVMHKDEIKSNGVEKQVQREVEIQCNLRHPNILQLYGHIHDS KRIFLILELAKKGELYKHLRRERRFPEWQAAAYIAQMASALKFLHRKHVIHRDIKPEN ILVGVHGELKIADFGWSVHAPSNRRKTMCGTMDYLAPEIVNHYTYNTAVDLWSLGVLM YELLVGEAPFEDTPVLTYKRIVRCEMTVPSFVSPEAKDLINNLLVVNPEKRMPLEDVQ KHPWIIKHCKKGEFDNVKELRLSKQQRKSVLDSAK VE01_07057 MASNPYHDGQRGPNVAEFIANLNAIPSAQDLAAQQQQDFNFDDD LAMFTNATFFDFDIGQDADLQPAALGFDGQQRGGDAQLQSSQDMAKANMDFLQGDFAF PDFSSFSQQHQHPNDGYAVNGAQHGNGPQQHQQLYPASGDSSSSPPSGLTSPQNGEKR KASDALLDGNGAYSAGFEDSSRVAAEEDKRRRNTAASARFRVKKKQREQALEKSAREM SDKVAALEGRIGQLETENKWLKNLVMEKNDDKEDLAALWRKYSQETADRKGDTRRKGV GTSESSA VE01_07058 MRITLRTLSRFPTRPLYHQKPSIPSAFRTLTTMTSSTPPPPPST PYKPRFIDIGINLTDPVYNGIHHGTPRHAPDLPAVLSRARDAGLTHLLLTGSDLPTSH AALDLCAEHPTLLSCTIGVHPCSTQAFDTHPLGPQGLLDELRELFLSAPKEAFVAIGE IGLDYDRLTLSPKETQLTHFRTQLDLAASLPSPPPLFLHSRAAHEDFLHELTLRADKL PKRGVVHSFTGTVAEMQELVAAGWDVGINGCSIRAAEGIDVVRALPLERLHVETDGPW CEMRPTHASAAFVGPSYDGPGKEDEVAKEVLEREAGYRWVKKEKWVEGALVKGRNEPC LIGRVVVAVARIKGVRVEEVAEAAWGNSRRMFGFKEEVV VE01_07059 MKGLNFAQGFARAPWTCRACTRQIRQPLLRATGRKGYATAAPTA RRGLSTGTILVTATVGSAIITAAVYPNDVKHAYQACQRSGRVVVALAKCINDYRVTLN HNEKTPEDEDENTKRLKACHQRCASRTLRVLEQNGSIFIKLGQHLSSMNYLLPEEYCK TMLVLQDRCPVSSYESIEEMYLHDTGGKLLDYFSEFSPEPIGAASLAQVHLATIRETG QKVAVKMQHPHLAQWANLDMKLTAYTFSALKYFFPEYDLEWLSSEMEVSLPQELDFKL EGQNALRTKEYFSHIPSLPLLIPDVLWAKDRILVMENMSGARPDDLAYLDAHGIDRDE VSAALARIFNEMIFGTNAPLHCDPHGGNLAVRPSTRRGGPNFEIILYDHGLYRDIPQN LRRAYAKLWLAILDADEAEMRRWSHEVAGVTDDDFQLFASAITGRDYRAVGTGVASAV RTAEEKREIGDQLAEGMLAQLVQMLGKVPPIILLILKTNDLTRSLDEGLQTRQGPVRT FLILARYCARTVWEEQVEQIREAGSLLRPRNLVRLLGAWWGYLRVEMKLEVFERWLGV KRVLGLSL VE01_07060 MAEDGDDGGFLAMDLGSSDSESGAAPEVKVPRDFQSQEDYEAQK ASWKPTIDNGEIYKTLDLPINNPSKQQFQSIIHAIEQLYFYDDFRAASELAEKALEGD LEGDYKKAIESYHTRCVAKLKEWGSLQKS VE01_07061 MEEQITRLVDKLKNKVSELQPGSRYLVAISGIPGSGKTTLALAI TARLNASHAASNPSSPPLAVYVPMDGFHLTRAQLSAMPNAAEAHERRGAPWTFDPQKL LDFVRAMKDPARGTVFGPSFDHALKDPVEDDVRVDESARVVVLEGLYLSLEVGLWGEV GREMDERWFVDVEREVATGRVVERHVKSGVCASREEAVERATGSDALNAEEIIAGRGE VEEVIRSVQDEKWAGLNVEDDE VE01_07062 MIELGLMRIARLVQHTPQTWKAIHVAGTNGKGTICAYLSAMLQA SGVRSGRFTSPHLIDRWDCITINDKAVQESVFLEAERLVNNRNKDEGIGASEFELLTA TAFEIFAREKIEMGVIEVGLGGRLDATNILENKAVTVISKMGIDHQSFLGNTLEEIAL QKAGIMRPGVPCVLDSTNPPSVREVVEKHARDIGTKVALSAMGSEVAKEISEANFEPH QLDNLACAYNAFQIATRNPNPPLDQILPAVRKLQWPGRLQQIGIGAITGRQELTTLDG AHNAQSAAALGAFVDRKLRSKSSRVTWVLAASAGKELDNILKPLVQPGDNVVTTEFGA VDGMPWVQPMSSKDILSSISSAGVETSQQHDAGSDVSGALRWAADTAAGGPLVIAGSL YLVSDVLRLLRSAESESK VE01_07063 MGLPLFVTPADNGISPRPGDKETSNERTTIRRQRPARRGRTISS SERLREHWVRNGGRFSFQEPPSITSQMEESAAAALEERITRTTMEERRRRAQMQGAPV AIPSPWADRSTPPLPSSTTENPAPRVMPPNPWGWNSQPDGPLLPPAPESPPLFAARAA WRSAPSRGFTQRVDIQQLYNDRAHARRARIAIAARNHPSRSIRLSVAEQNAFLEAQNE LLNSLTEEQLMASDADPLGTPPPWMNSSILSQLRDEPSASANALPPSENNSTERRRFR LPRVDGLGDRERSLSPDGDGAWDTLLTTISPDPQPPSAGSSFASTSASEAASSVPTST SSATSIGPPIEIDYGASFENICEYVEANSPSSDPDEEEQEMLDFFDAREAGERFWRGY TDVVAARADRVARHASQADGESMGGMQRIVRRLARRQDIPDEWWAEVGLSRTIPHELV QS VE01_07064 MVSHAPSSGERQANGRAGAQGRGNGRVERAHGTPRKEGAVKEKA VQDPGLKDYRLGECLGKGAFGSVYKAFNWGTGEAVAVKQIRIADLPRSELRNIEAEID LLKNLNHDNIVKYLGFVKSPECLNIILEYCENGSLHSICKNFGKFPENLVGVYMAQIL QGLLYLHDQGVIHRDIKGANILTTKDGKVKLADFGVSTSTLAATGDKEAQVVGTPYWM APEVIQLSGATTASDIWSLGCTVIELLEGKPPYHKLAPMPALFAIVNDDHPPLPEGVS PAARDFLMQCFQKDPNLRVSARKLLKHAWIVGSRRSDAPIPKPPANFNEAVEEVKQWN EALRSPNNGSLKTSTRSSVASPLPQRRDAPLRNVHNEQQPSALGVSAKGPLVLAKPRI YAEAFRSPEAIGDDNWDDDFTTSISPSSLHLPHLKPHDNFGGLFSSDKLKLLASADMG FDSGSDNWDENFEGDLITIKGPRRFAEPDYQELETIRPYPIKPKTNHDLKPPAPTNLV RKRSMTLPQPPKTANKNQPTSKFALPARPAALFREQTIEDYSDLYFENESVFDRRMSA TKDDLLSPKLFHPSDLTRAPKSGSPVIGGSVRRHITPRQDVDELSIRRANSSVEIQRY AEDEEDEDFSALFANGPAVSERDVSDLGSEDGALMLHSKLSNNSWLGDEDDEDDPFAS LEQGFDEMDLQANIARDKHARLSTLVEGLISSLKTTTGEEILTDKSEELLDVLYESPE SKAMIISAHGMLPILEILEPCTVKTRESLILCLLKVVNAIILGTVDIQENLCFVGGIP IITKFAARQYSNEIRLEAAAFVRQMYQTSTLTLQMFVSAGGLNVLVEFLDEDYDEARD LVLIGVNGIWNVFELQGPTPKNDFCRIFSRSKILYPLSLVLNRVLDEEGEIPELIEGR IVNIFYLFSQAENYVKEVVANRIMLKGVLKDLRRMSPIHQITMLKFIKNLSMLSTTLD ALHSSNAIELLIDLLGSSRRRNQVHFREICNQVLNTMYNLCRLSKVRQEDAAVNGIIP LLKKIMEGDRPPKEFALPILCDMAHSGKVGRKYLWQNQGLQFYISLLADQYWQVTALD AIFIWLQEETARVEKCLLDGHFTEAIVKCFNTPKANNFDYNLLEPLQKLLRLSPPVAA SLARFDMYSGILQKLNHKKAVIRLNLLRIARSICEPSEECEQDIRSHHLFEAIEGLAD HDGAVLVRNMASELVRSTFETTGVREQDVHSSASKSRRNVRRQSSFPTPSQRMASPTT PTHGGRANISFGTLMDSFNSPRRPGLSGEGRSRPSSRDGPQMLSKMASSESMVGTPVI KSRLPRTSAALRTSRASLAGPIPRDSRVEPTFSPRKRGDSGGSLTSSSSGGRVKSDPK QPSASMSHLVSKRRPRAPSGDIKWS VE01_07065 MSLDISLEKDHGIFSDKPSVAEVELMENVELRHQFSVWSLGSLC LCLMATWEALSTVVATALTNGGAPCLFYNYIITFLATIAVACSLGEIASMYPTAGGQY HWVALLSPSRSSKAASWFTGWISCGGQIVLSASAAFAAALQLQALITLNNPDSYIPKR WQGMLVYWVVLAYSLALNLWGSKLLPLTNMASGVIHIVAFLTIVVVLGIMAPKHDASY VFTEHSNTSGWSNDGVSWLVGLLSTVYPFLGYDSATHLAEEMAHPARDVPIAMVGSVI VNGIMGLGYCVILLFSIGDLDQLLLSPTGFPFMQLFLNVTKSKAGASILSLTVTFIAM AANAAGLTSTSRTAWAFARDGAIPFSGYLAHVDKRYAVPTRMIVCISVIQMLLGFLYL GSSTAFNAVLSMAILGIYASYILPIIYMTLYGRKSGSHRPGPFTLGTIGGM VE01_07066 MAPHPLDSLAVNEINVARQVILDDYSNVVIDFREIFLQEPRKAE LQQFLHLEHTGCLSPSTKRPTRLATCQYDVVGANKIPEFHEATIDISNEIIVCREVVD VQHHASLTLKEFDDLIAACHASPLYQEALAEFILPEGFEVIVEPWPYGGLDASDKNMR FFQGLCFAQDKRSNNEDSNFYGYPLPLIPVMDARTKKIIRIDRLATGGKGDSLNGKTN SRNIINHCVPSDYAPELLPKGTRKDLKALNVIQPDGPSFRVKGNLIEWQKWRFRVGFN PREGATIHDVHYDGRSILYRLSVSEMTVPYADARAPFHRKQAFDFGDGGAGNCANNLS LGCDCLGVIKYFDGVITDSAGRGKLSPNVICLHEQDNGIGWKHTNWRTGRAVVARNRE LVVQFIITLANYEYVFAYKFNQSGGIVVETRATGIVSVVNIDPGKTSDYGNVVSPGAL AQNHQHIFAVRIDPAIDGNHNTVLEETSHRVPINPETNPNGNFYEIRQNIIRESQWLD AAPQHNQVIKMVNRSKKNPISGKPVGYKFMPAPTQLLLADPNSVQSKRALFAHHHIWV TKYKDGELHAGGKYTLQSQKEVGGVADAAARKDAVEDDDIVVWNVFGLTHNPRVEDWP VM VE01_07067 MASPDKERAAQVCSTCKARKKGCDKRLPNCGYCTKRDLVCKYDT TGSAKRDLSTPLSSMTAWSGEQYGPWINSPSPIPLLTQLCSLSSSSECNLNRILDFQV NFVLLSVSTTVHEVCERYFNGVHKWLPVLSDTLLQEAIARAQFAPSPPADFSLLILTM CLITIPEPAASLGHTPGNQVTTEALYMTVKMLFGQVQAIVPTSIRMVQASIIISAFEY ACGRPVAAYISMGTCLKMADSIGLDKCINTSDNDPMSKLKAIEAWNVWWGVVILERIV LFEALDRSRQPSTEYPGPTAYLPLDLTPGQPFQPKPPNAKTFDTPQLSNINATHISTF GRQAQAMYLHNEVTRAARLPDSNESKLQELRCLDDTVQSFLTHIMEVHTWEQVTYCGV VASSIRSLFLLHQSILALIGSPSTDHAQAHIAQSSRLALDTASKMMVDVARSHRENLV NADLLPICCTYNLRVARKHIEGRSNYTGRKNSADDISALLAQEKVFHERWSCLRHHRS VE01_07068 MESTDDSLSDSSQPDSKVELPLTQQQDAGLEKNKPLPSYSAQKE GVAQGPGVGDKDAYLVEFDGGDPNNPINFPSIYKIWLVLQMSMLAFCGLGGASIIAPS VPTVAGYLNSSIEVQVLMIALFLLGFSLGPLVWAPISEVYGRRWSMLPAVFIYCLFSI GTATSTDAASIFVTRFSAGIFGSAPVTIGPAALADFFSPRTRGIATAFFVTCVIGGPI LSPIIGAALTINPHLGWRWTEYMEAIISFFIFTITLVCLPETYHPVLLKSKAQSLRKT TGMEQYWHPHENEKLNLNNTITKHLNRPLLMLFTEPMVALISLYSGFVYSLLFLTLEV FPIVFGEQRQYSLVVSTLPFLGIFVGVLCGTAINIGNQPWYARAVKKNNGLAVPEARL PPMILGGILFSIGLFWFGWTAAPRFHWALPVVAAGFIGAGFNVVFQQSLNFLIDAYGP FASSAIASNTFLRSLLACAMPLAARPMFLNMGVGPAASLLGAISCLALPVPFIFIKYS SQLRKMSKFTPMVED VE01_07069 MAFELQPLNAIADLEEIIQLMVVTLYTQEPMKTILGNASPEDKW TLLSHSIRDWLTKPGAVGLKMVESSTNKIVSFFIVQRPHSMTDNEKAAGSSSMEYPLG MNKELAREFYGISVGLRRRNGFDPARDYTSLRLSQIIKDKDMGDV VE01_07070 MAPQVQGRKIAIVGASGQLGKPMLKALLAKGIHAITVIQRLEAT SIFPSGVTVKKGDLEDEAFLADVFKGQDAIVLMPPLSHIISLQKPAIRAAAKVGVRWI LPSEFGPDPFASKLIEENILLKNKKEIRDFINELGVSSWISVAVGPWLDISLPLGLWG IDPKARKATIWRGADAKTSTATVTHSGEAAAAVLSLPEADLVKFKNKAVYAPSFRLSQ REILEAVQRATSTTDADWDVTTREYEDIASEYEKNIKKGDGMAPFIKFFVTHFVEGLG GDFDHKVDAAELEKLEKLGLPKDNLEEVIKVALQQPT VE01_07071 MGEAAHLDRQERIKLIQTLRLALDDIGLYKTPIVAGVGANSTRE TTQLAHDAAAAGADFVLVVLPGYYAGVLKANPTAMRKFFVDVAAASPVPVIIYNFPAV SAGIDLSSDDVVDIANAAPNICGIMLSCGNVGKLARITALVDNSSFKTLSGFIDFLLP SVAVGSAGAISPLPNVAPNFSFKLWQAVQSFGSVADFHEAKKLQGLASLGETALLKEG LLGLKGLLNQRFGYPAVPRLPLLVVSNDAVTEMSKNKHLEDVMAQEKI VE01_07072 MTSLATVATEPYTPWPLPITTSIFTFLKVVVLFRDKGSSTTRLS SSAAERTSDYVRLQEARDAESRGVSDGRQAPILDYGMSGRGRIGAGEQFGSVRPCAKN DD VE01_07073 MDRTESNLALEKQADYQPVRSPTNGKEHEQDITHGFTPTEQRSI IRRLDRRLVVTVGAMYCVSLMDRTNMSAANIAGMSAELTLTGFRYNICNLVFFTTYIV FQPPSTILIRKIGPRFHLAGITLLWGAVMIGMGFVKDFRSLAGLRAILGIFEAGFFPS CVYLMSTWYTRYEIGKRYSFFYLIGCVASAFAGILAFGLMHLGGHQNLSGWRWIFIIE GVITCALGIAGYWLLVDFPDSTRKSWSFLGQRERQWIISRINRDRGDSVAPPFNLRKF INGGADPKIWAYAMLFFDTTTISYALAYTLPIILMDNMGFSIGAAQCLVAPPYAFAGI IMSATAWVGDKYHVRGPIIIFNMTLCLIGLPIMGWAESASVRYFGVFLTTAGANSNVP AVLSFQANNVRGQWKRAFCSATLVGFGGIGGIAGSLVFRSQDAKTGYKPGMWACIACA LLTIVLVVCCDLEFIRQNKKADRGELLIEAHDQDASTDFRYTL VE01_07074 MLTSTAAISVSQNLVCKTVPQPSRSAELIRVVTILSAISFPVII LRIYSRFTVAYIWWDDWAIVAAGALMIPMSVIPIYNATRGFGKHVWDVPPENTISLQQ LYYTSQILYAVVQGLAKISLLLLLLRIFQGKRFRLFTKIFIALITCHVLAFFMVITLQ CIPISAIWDLGVKGKCINPTVVVFAGAGFSIFEDIVIMLLPVRELKGLNLTLKRRLAV IFMFACGSFACVTSMVRLKYIFSYQVQSLDATWGSIDVVIWSILEVYTAVICACLVAI RPLLTKYMPTVFQSTLAKSTYSIFRPCKMNPKPAGAHWSQNPESAIELKTAGSGKAWT EETDGKSGEDSPHENGRGETCTLEAWVTKRVQLGDEAGAAAA VE01_07075 MMQPSMFILYLLPLALTTAFDLNPTVKLPSGANVIGSSIAGIDS FKGIPFAQPPVGQLRLRPPRAIVNQSGNILATGIPKACPQLYLPIDTSNIPAAALGLL LNTPLVQTFTNAGEDCLTINVQRPASATSSSKLPVIFWIYGGSFQFGSTQIYDGSFII TNSVQQRKDVIFVAVNYRVGGFGFLPGKEVLKDGSANLGLLDQRLGMQWVADNIASFG GDPDKVTIWGESAGSISIFDQLALYGGNHTYQGKPLFRAAIMDSGSIIPTEPVASPRS QAVYDRVVKNAGCSSAADTLSCLRETDYTTFLNAASNVPGGSIAGSYLPRADGNSLMK SANLIAQEGSYAPVPFIIGDQEDEGTIFSLTQFNLTNEQQLVDYFSNVVFPNASRSTV QGLVDTYSADPSAGSPYNTGLLNNFYPEFKRLASIQGDIVFTLQRRLFLALASLAKPN VASYSYLSSSFYGTPILGTFHGTDVLQAFGYIPGIPAASMQAYYISFVNTMDPNQGTS ALLPKWPKWSTGKQLLNFRALSNTIIPDNFREASYEYLAANIAELTV VE01_07076 MPAMSGCFGGYAWYSLAINFGTACPAILHAIIALGAYHEISEAV KSTEAWSLDRSANPSDLSSLASVQYMKAIPLLRDSLSSNESSPAGIEIACVLFACIES LRGNRQSASVHVTGGLSVLKSRQKSQLMSSEEAIMKPVFARMSLMQSLYGRPRGIRFP ELLDPYDEAPSLREGRFVNLKDAYTASTGMLNGNVRYVEMTKHGGFPDQATTDIAYAA HLSQVKGWSKAFEAYVSEPATSEEEVLGRVLLRSHHSLARSFLAACRTRQEKSFDLDI SHFELIVRSLEPFILPLANSGQPFSTSFSLDMGLVPTLFYTAVKCRHPKVRRRAIALL RLAPRREGLWDAIEAAKVAELVVKFEETYLDESGSKRDSSTEPHLIPEWARIHDVDIL DIDSLDPSRQLVILRWKPDGIDGKVQDMRSYIRFLARASIVVGHGLSSKFAQAALSDA VQHARACQSGIRQFREPDRESYEGTQHPGKLVYKHKFEMAALLRGIAVVASQYTCDAR THSVYNSSGTTCWAEGLMKRASSSSVDSELHRQKTAARRSTSSASGGTPGNAARWRSQ EKTAAVWASWSAVPGTQTS VE01_07077 MSFGCGVGDIISIISIISSVDTFIKACRETSATIKTLHQELQNL GTWLGELQQEESNFGELATELLSKRQRIEAEVELKLHRLLRRIFAIIAFGLSQSLGSL AITRRPPPRHRRRSCASVNNPRPQPTLVSENLSRFAFFIRQPSGPLPDVISQLTPGAS ARLVETKHYSDEESNYATIYEQPIVPTLYPDPQYPLSKPFMDETTISSWPSPENPEFG YSSTNGDAQKTSSTPSASSTPHTSSSAFGSTASGNIDWISCEFAGCQRTFTQRHIYNK HKKSHEHPEHCSMCGRGFETNKDVRRHIKDKHQSTKDFHCLVTGCKYAQGGKRDGFAR KENWKRHLRNQHGMGDESP VE01_07078 MSPIKFTNYAGVGETNNKLYSYSQSVRIGPTIKCSGQGGWNESG EIVKGDIIGQIQLAFQNVEMNVREAGGDGWANVYSVRSYHTDLDKSFDIMVEQFRKWM PNHQPVWTCIEVTKLGIPGMEVEIEVEAYVQ VE01_07079 MSSTLFQNLLLPNGVAYEQPLGLFIDNVFVLPAEPSLIPVENPA TGKDIISVHAASGIDVNKAVAAARQAFRGEWRSLSATDRGSLLFKLANLIHRDQKLIA AIDSMDNGKTYNEALTIDLDEAYNVFKYYAGWADKIYGRTIEIAPSKLAYTLQEPLGV CGQIIPWNYPFMMLAWKVAPALACGNTVVLKSAEQTPLSALYFGKLVQEAGFPKGVVN IISGLGSIAGSALAMHMDVDKIAFTGSTATGRLIMKAATSNLKNITLECGGKSPSIVF EDAKLEQAVKWSHAGIMGNQGQVCTSTSRIYVQESIYDKFVELFVKLTQESAKLGDPF AGETIQGPQVSAAQLDKILQYIEEGKREGAHLATGGVRHSSEGYFIEPTVFTNTHEEM KIVKEEIFGPVVTISKFSDAADALKKANDTSYGLAAAIFTSDIENGLAIASKVEAGMV WINSSNDSHFGIPFGGYKSSGIGRELGPYALDAYTQTKAVHVNLGNTL VE01_07080 MAQTQERRPWQDIAQEEQNKRDAAIPSEWRLQNPPGPEVRNVMR VPYESGIMTAEELAWTEKDATELLRLISEGKLKSYDLTLAFCKRAAIAQQVLNCMTHI FFDDGLRQAKELDEIYAHTGKVVGPWHGLPFSIKDHFDIKGKDTSSGYVAWVGTIAEK DAPIVKLLRDAGAVFYCKTNNPQTLMQLETVSNLFGRTLNPHSRNLSPGGSSGGEAAL VAFHGSPIGLAADGGGSIRAPAANVGLHGMKATSCRIPLAGSNFPMKGCEAFPEVVGV ICKTTRDTEYFMRNVLDTQPWRNQPDMVPLPWRGAPTPKKITIGFFEDDGFVRPHPPI TAALKAIVKKLRENPLFELREWKPYQHSRGYNVIRKLYFQDGGADNLEAMKASGEPIL PLAAQSIIGPHTKLRTISESWALNVEREEYRTEYLQDWNQHPDIDFLLCPVGPCAASR HDTARYWGYTCVFNCLDYPAYSFPTGLKCSPESHPKDEIYTPRNDMDAYNWANYEPSE YMGAPINLQLVGKKWNCEKVIRAVDLIQACLGVE VE01_07081 MAANQDLETTTQVADASTLDDLRLSPGTVFLSHAKDAPIILQPR PSEDPNDPLNWSKIRKTINFSLVLFYTLLGFAVITVQTPLWAPINEDLGFSSVALTNG YATNVAALAAKMTTLADLYLSVFLSGLASAVNEALVQITIADLYFVHQRGTMNGIYIL CVAIGNYLAPVASGYVANSQGWTWSFWWPCIFFGVANVLMLFFYEETKYIGPITGICQ ANGPNPSVHTEPNLYEKAANDKETSVGDPIPTISHLEDPSVYINRNIPLKSYRERLPW FVNSPGSFASFIRHIYQPFQVMGLFPIVAFACLQYGTAIAWLAILATTEASLFALPPY NFNTIAIGNLNIAPFIGFLFGSVFGGPINDWSIVRLARRNRGIYEPEMRLRLYIIPVL AMAAGLLMYGLTLAKGVHWILPATGSGIMAFGISAIMDLNLSYLIDAHIEILGDALVA VTFVRNAIAMVIVFVLPPWMNGMGTYNMFVIMGVLSLTFGLTFIPMMLYGKRVRIACS SRYARYAQKQFSPRGV VE01_07082 MSAKGDLRRSSKPPGTHLKVELLPAAKALEVLDHCSHNLQRKTD TGDNAAQQLFRSSKSTPERASSSTSPNLLKPSEVFQVTMTRPASRTLLQHYQSRTADL LCASQSRENPFISLLIPFAATHELLLQCILALSGVHFINNSNGAPSSDIMAATWTHYG LAVRGLKHGITRFAYGEQEILPLLMATLVLCFVESTRGNVISIAQHLKAARTFLLSWL QQRQPKIEVELRGFVIEFYLYIAILSNTQLGPEWNELIIEDCSLLYGDGTTDCKSWGM FFGYANDLFGILPAVSAFAHQSSREEEDSTNKSFEFIVNYYSLLAQVNSWHPPEAGDL AFVACGRIYQQALLLLLHTSFYRDELGSMTLNNLLEQGVREFLALLESLPVESPIATT LCWPLSIAGSCARSELHRNSIRKHLKMMYKRFNMENITAVLRVLEALWDGDHYKPLYI TTVMRQQNEHFIIQ VE01_07083 MHAKTLLVLSGLAALGSAFTLPGDLANGLYEVSYNEVGEEVHRL VKTDFESTYVTLDHTKRGAVGNSRQTWCGCAFPMNAGDTNIANQRIADYASSSPQLGA GAARYAIQNSAVSFICNFSAVSSITVPSNLVSNNAVDISYNCGNFIAGTSRMRPYEHT DYGYMNYSSGLNFCGAAEFSKQGTCPDATGGKVRRVVRGSSEARKI VE01_07084 MADDSERPIRALSLDGGGVRGLSAILILDHIMRNINTQRKADGK DPQEPWEYFDLMGGTSTGGIIAIMLGRLRMTIPECIKAYTELSKAIFTPRHSRASPVR GVEYLNGDGKFDSLVLEREIKNQIRKSKVAKNDDQILLQDPESPCKVCVFALRESNSK LAILRTYDYLHASQTLFDECKVWEACRATSAAPTFFDPVQIGPYKQSFIDGGLGYNNP IFKVYDEAQNIWPDRTVVATSIGTGEVPGTAFGGNLKKIAESITKIVTGCDVVADDFY NANKVMVAEERYCRLSVTHGLGNIGLEEHKHIGEIVDQTQEYLSRGEPQAKLKQCIKA LLQERVNNDARISSGQNDFRNDECLESLQFKEMNFRKENIVNVDDNTCGWLLENENYA CWIQNTDGLLWIQGKAGAGKSTLMKYIYEKIQMPTVSTSSLKLSFFFHARGTELQKTP LGMFKSLLLQLYKGDSTARTGIIKEFNKNSEDGMVNVKWEWTEKMLEELFSSLICQIS QSKKVIIFIDALDEAGEVIANDLATYLASLYEKVQHNNGIVKICFSSRHYPIVSTRVA ENQKVIVEHENGRGIRLFIQREFEQNLQDDGPIKSKAMSLKLEDDIAAKANGVFQWVC LVLPIIFKADREAEPLGSIQNILYDLPKDLNDMYRYILQALISQKQKTGALKLFRWVC FAARPLSLEEIRHAMAVVDSLPPLQLYHLKDSSEYVEYRMETRITALSGGLIEVREHD SGTVVQVNHQTVRDFLLEEGFEILTGLKTPSDTEKKKFEGSCHDILARSCVNYLWTKD VHQHSTTTIIKKSSSKRTWIQLPALETLPLLQYAIAAYFWHASKAEQNGHYQETLLDQ FDYKHTYRFPKRDIVEQGLFSLWCSAGKIFCPRDYLGVLPSESSTLLHIASMANIPTA VRSLLKKKVKVSEKDNLGQQAIHYAARGGATEAAGILIKFSSSVINAKDNNALTPLQI ASRHYKADVLRLLISKGATIKCFDKQNSTKLGKVRGNVEKTVSLLLEDGAKFNAQSLQ SAAADGNLEAVRLLLAEGTDVNGLSGEYGYALVAAAHNGHEAMALGLLKNGAEVNAHG GIYGCALVAAAESGDEKMVYILLQAGAEVNAKGGKYAGALEAAAAMGHANMVKILLKR GANVNTQKGKYGGALASAACAGHAEVVEILVEGGANINAQIGTYDNALTAAVSCGHEA VVKILLERGAKPDALSGGKTNPLAVAAMGNQFDIAQALLNAKAKCKPFLAEVKFFGKY DLQRSLELVLLLASLVGRLDIVDGIIAFNERNDHPQSDLTAMNYWLLKYGRLNANAYS KILQYNGYTALQLSAAGGHPEVAARLITAGSDVDDFSDFPRGHSALQVAAKHGHLEVV KILLTAGANVRNIPTFYGQGLSALQLAAKHGHLEIVKTLLIAGANVEAALAKHSETAL QTAVRRGHLKIVALLLASKANPNATPHGDQGPTALEIAAEKGNLEAVDMLLKAGADVD APGSTYGPGAALHEAACHGHTDVIARLIAGGADVNLRAPDGYRAIYRLGAWDMDTLGW SSYRDKQERNKSLDPSMT VE01_07085 MAGSPPAADAPTTSVLSWYDARTPRILDLVGDYAGKELFLVEGD SLLRACFEDGRIDFEGGFQLLHAAFVVERFLDNLIKRHCNFHIAFFADHKELCIPPGS SAQRSRYLLTRTLLIQHLEVHLPDTCGVEVHVFPSLGSDEFNTFLAHSPVYFVMAHDG SLPTEGKEVQEGAREKTAKVLLRGILASFNARNLNVALINQNEIRDSKIITMVLEAEV NEQVDFGEEVAAAHKDMDGGNASRNTFDIGKWLEDPSTLNELASEVEDDTPGSWVVGA VGASVILQQTQEYNFLASAYMLHLVILKRLSLEQRRFPLIRFEDEFDQKIDNFLELFS EVVTEIADNDAFVNVMEKLGVKSDLIDMIDGRLFRATVQALLTGPLKGGPPPSLSEDW STVFDLVLSLSGKSLIGKAPKDRKIKDADAENNGKEVDAADSTVLSLTEPVFDKHLSA IHVNVDTSLSAKLSAMKLYRESSYWQTHKPIVPKKHVPIEKEKVSKWKNPLRKNQFYM AEMTAYAASLTGSNGRVLEPETITVGVKKSKPAEVKAASKSGKASPASEDSGPVAKKG GGKKGGAGGLSKAQQIIAANKEKKGDAESDKAFSAWQGVMKVYDEILGEQERYNRAKL YRDGLDSARSVHVEADINMYLCQCLLNWWAKYCKADKKPEGYHVVALLWTVIRAISTS KTPVAKEIVQQSELIATIVGITDAITSTPPIASDRKLSFKFKLPGNLKPLKISLNQTE FQLLHCGPYMDRDLDAKVDPRVSSFVPDGWQRKVLDELDADNSVFVVAPTSAGKTFIS FYAMENILRSDNDGILIYVAPTKALVNQIAAEIQGRFSKKYPQAGKSIWAIHTREYRI NNPIGCQILVTVPHILQTMLLSPTNAKTWSPLVRRIIFDEIHSIGTAEDGVVWEQLLL LAPCPIIALSATVGNPEQFSDWLAETQKSSGNKLSMIRHTTRYSDLRKFLYQPPKGFE FNGLPKSTKGGLGLDGLYGLSFLHPVASLQYRSRGLPDDLALEPRDCFILWKAMCKLQ TENYKVPSELDPAKALPLCIKKFDIFKWEKKLKILLLSWMNDEKSPFENLVQELTPTK TELAPIAEGEKEIDRNDLRQTTLPLLYQLHQRGALPAIFFNYDRTACEDIGLSLLTQL KTAEDAFKESKEWKRKIEKWTEWKELKEKKAAKKAPKVSKKKTNDEEDKMSKADQARD DASGEVSAMDLFDPEEPQDDYSFADKRKCQRSEIDRFVKQLRWKHVKEELVELIQRGI GVHHSGMNKKYRQCVEILFRKGFLRVIIATGELSLGINMPCSTVVFSGDSVYLTALNF RQAAGRAGRRGFDLLGNVVFQNIDRDRACNLLSSRLPDLTGHFPITTDLVLRLFTLLN ESKHSPYATKSINGLLSQPRLYLGGKSFKEQVLYHLRFTIEYLRRQGLLGPKGEPVNF SGPVTHLYFTENSSWAFHALLKEGYFTNVCANIDTEPDAVINELMLVLSHIFGRRAAR VTDSQAMADAINKSPSMVYLPPMPKAALSTLETHNANTLSIYTTYVKTFAEQYVKGAE TTLPLTQFSANSLDADSASDLSFLPSLPATTARSPFVALSGHGDAFPTISDLCTSSRA GIFLESALIPHISLHPTETRQPLNAYLLDFFAHGSVKALEVANGIRREDVWFVLNDFS LVLGTVCAALAVYLGVVVAGRDADDEMLGVMGMGDVAENEQDEKEAEELMGQEDARIG GAGAPAAGGPAAVPRKGKKGVAEEWGEAEDKLQEEERMEEEWEERGTDDEEYERLLKV YRSFRKLKAEFDAKFLEIWA VE01_07086 MCYRLVERYSVCRCVYYEHSIDMCAAANQQGHRIQEKTILVGYL LWLRQPRLPPPLAASPPQMMGPVFRSRRGRLALLPPRAVRAQSLGRLPLLLPSSTLQR AALGPDALVCPAPRAGFYAVVMSVAGVSTVGWMVRWMAYFFAARVLLSEVLLWMAPPP GSPTTEDIHGMLRIETKHVRDETQNNAHE VE01_07087 MDTKPQKKSLQVPPKRPHLTRLSSMTSTKDDVSDGGTDVVVVPH RYMLKRSQSFSSGFAASLGKARKEVGSMDIEDEAAVLPTSPESPGSPEMFGATPEESA PATPITPITPLDGLPSADTPYIFLTNGGGKTEAERCLDLSRQLDISISPAQFICGHSP MRELTEAYGTVLVVGGEGEKCRQVAEGYGFKDVITPGDIIKHDSATTPFRKLTAEELR CSKSGRDLSKVKIEAIFVFADSRDWAGDCQIMLDLAMSKGGYLGTLSETFDEGPPIFF SHSDMVWSAAHANVRLGMGALRRMLETLFRDVTKGKELDTIAFGKPQIPTFEFATRLL RQWRKDEHEIDAPPETVYFIGDTPESDIRGTNEFNKHSENDWFSVLVKTGVWQEGTEP AYKPRATVDNVLEAVKFGLDREFGEKGAKVPTKNIIEPLCLADAGEVPAGAIPTATLR VE01_07088 MKPEHKDADAVVRTCSYHRLDFGAVMVRSRPYVTEAVQDSLQAS FEIPPSSGLGDMHCLPAELMTMVLENLDILSYFRFRRVNRYARILSTSPREYQLVAKY GVEGLRAMLRSDCARRFTIMGLYRVLTSQSCAQCGKFGGYLFLLTATRCCFPCLQASQ NLRVISTTNFANRAGISTYKLSVSYRSTLRTVSGCYSNSGAQAKRPKKLILLSEAVAA LDGQGILKKYSGRNPLRGYRDGEHEQRFMAATQFPWYNASTGKAEHGLSCKGCYVRLT TRHRDFDNHSALSAAEFLVHFATCVEAQDIWAKSQQGTVPVEDSDGNGVSTHNFYGLL HTGRDDCYFRILAGTNVKYITIKAGALDGESLMDMPLDFQNIIPPLPYDKDDWNSAYI TRIAATGELEPALSQTTLPRVQTVWHPKMINFLDLERTKLLSLLAQECKWKQGSAEIE TRDQKTMIAKMARFPWEIQYIEAETRIYQLLQPLQITPTFLGHIHEADRVIGVLLEKV EGRPANSGDLEICKAALQRLHDLRILHGDCNRHNFIISADEKVTLIDFDNAKVDADAE MMEKEMASLEEQLREETGRGEGFIQVESDDENPDVRLIPLHNKNISHST VE01_07089 MLRDKRPLYSVQTITTLQLYAPHNLVAPESCQLAAELLSARIVA AQVAVADLRVGTSLGVRHGVRDRGAGEQQSDCGDESGELHGDLDAAIG VE01_07090 MSAIQLSFDLRTSSTVKTVHLLGSWDNYAGQLPLAKDKNSSKSG AWKGTFKFQGSLLQAGQRYWYYYIIDGYHVSHNPGEDSTTEPTTGRVLNILDVASDKS KSSKSSSKSSSSKSSSSKSSSSKHSSSSRHPTSSRRESRALTADIPKGRPLSISQIQS PKPCSPHATKHILEAEYGSMNPTTIEELTSRFSESTFDDYEYSSTPPSSVGSSLSSRS DSSSPSSVSSGLSDYSNSSQCSCERYGITRTGDRIKLDCGGSRCGYSDEDSCCSSSDD DEVPSSARRQGIVVRR VE01_07091 MGKERVEKKEKKEKKEKRSDKDGVKKSKKDKKSTLNGDAVAKAI EEQLMETAAPAAEEGLETIEEDTELAVKPVGALVPFAAPLADDKVAKKVLKSVKKAAK NKTLKRGVKEVVKSLRKSPAGAANVVGCGVVILAADISPMDVISHIPVLCEDHNVPYI FVNSRAELGAAGNTKRPTSVVMVSEKRTGAKKEEKIDGEDEFAEVYKDLVKLVEKESR NVRV VE01_07092 MSFMDNAPNIEASTRNPDHPGGKKVHDTHATPITENPGSIASDS LAAESTKAHGAFSQNKNAEPLGVTGAHSTFANKNISGAEKLPPTHHASSRADPSTTAA DYTHNKMNLQPQPAPGYDHEDEQLLKGNAVPAPGYVDMVVEGSEGSRPKGKNLTEVPR GKRFEGGEGENASFNSEIGSGIDPGRQAEQGMRTRGAQGARGGSAARRGEGGGDRGMY DDIGDENA VE01_07093 MPSFRVPDSYSSSPQPSTPERRRDGAFNPFGASNPSTTPAGPPP PSSAGSFTPVGPPPSSMLGSSRLDFGDSNQPLQPLNFGRSPAPPQFDSPEPPLPAFSS FGQAAGNKRSGLSNEFRASDFGLEQDAEYTYDERNNASEYQLDEGGDYSIDDISRMAD EMDEDRYGNEQDLPGFDNSIPGYGARNTGYGQSDFRSSGSLGRGPGDEIADLRRSIED SGPNDPEHFTFARIAKVFYSQMDPPEIQEEDDLILKSEALIARLYREGIRRNEDEQAH TEVTTIIPTEILKLWDDYNERTKPHKASEYPTAIGPGPGASGFAYAYFLGIPLLSLHN PSESEGKETLKSVPQVLLEWMGEHHDPYPNQLSVILSSRPSPTHNRLFWNTVVNSLLR GRVRGVANALQTAGWEHARQAVADVRNPDSGGYSGRALINVEKVTGDMLDVLRHCPGI REDWETTNSDWTLFRLKASQGLENLRRFAEGKDQPEEEEPPAFEASNFGMYSMAKSYT GAARKAESRVPWEVYQNLTSMYNLMLGDVTSIVENAQDWCEATVGLMIWWSQPQNDRR GALGRSHRKQTKPHSPLRQLTEAFNLATSDSTDFHVNTADIIEVGLAAVFESDIESAF GILGSLSSPVASALVEVASVTGWLPSTAAQNRVGMSDSLDQDDMDLLGLNQLPSQQSE DTKTKILVAYAEALYEQSRTLEYTDKNKPRVARESWEVAIEVLARLDSAERSEKEVGR LIGDLPLEDGPTVDKLWRLLNDIGMTVHSENVAEEYANSLSDHTHKYGEAIWYYSLAH KQNKVKDVLDLLISLSLLHSVAYPPENELDDHLQRLISSPKAALTEISRLDYEAAKLI HTMLSGYATLRQFYTLRDEEVRNSSGQIKPLASSTKSRAASALIAVITSSDDNIRGGL YDEERGAVVSVDFLLALLGESMVFVNQKERLITSSQIDSLLKAIEDLQTVSERVYSAC DEFFQTVVASTQGMKGSTPMDLLKKSTSSMSGTSSFSMVGSSMIASQLQKSIGGSGVL VKENLHRGWDWRKGLTAGMTSGDVLKILRYGLAKELARSCILEADGQI VE01_07094 MRPTQALFGGGGPEVGKYGRYIGDWGNFGTPKQKGIVYYGLSAN AQRPLAGALHAAFFNTWRRFSAQVLYVAPPFIVAYLAMDWAIQRNHYLNSKPGRAEHG EEA VE01_07095 MAQALNTLYKLAIPLAVGVSFAQASIYDVKGGSRAVIFDRLAGV KEDVISEGTHFLIPWLQKAILYDVRTKPRNISTTTGSKDLQMVSLTLRVLSRPDVKQL PKIYQNLGQDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSNRIRSDLLKRAQEF NIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEAE SADTISRAVAKAGDGLIMIRRIEASREIAQTLAGNPNVTYLPGGGAEGKDGGKFLLGL R VE01_07096 MSSILVLGAGELGTEVLKSLAAHPSSKDTQINVLLRPSTINSTD PSKAADVAAIQALGITLVPGDIVQSSPAELAQLFAPYHTVISCTGFIAGPGTQMKIAQ AALEGGVKLFFPWQFGVDYDVLGRGSAQDLFDEQLDVRDLLRGQSATEWVIVSTGMFT NFLFEPSFGVVVFNGERGEGTIVRCLGSWENKVTVTTAQDIGILTAEIVFAEPRIANQ VVYTAGETISYGRLANVVENIVGKPVKREEWPVEFMEGELKKDPENVLWKYRVVFGKG KGMSWNEGQTFNMQKRIQTSDVEEWARDMVNKKLWK VE01_07097 MARLSTPGARAPDHTSLNLTRLILRLQNTLLEPDAETSRRLRAS GFERRKVEANLEYAKTLLLRLGQESPNVKPQPYKQDAQSDLQAKKQQLDALDARLHEL NAAGEEYDDNASSEGEDLLGEDTPSESIGDSHTPAAGDTTDDDDADYQQILSERRAAA QASQTESQPKNARDELFPSALRARHGEATTGVDTAQATSSSTSAPITESLMKHHRAEQ EELTTSLLSMAQALKASSQSFSQTLESEKETVDRAGEGLDRNVTGLEAASKRMGYLRQ MSEGKGWWGRMMLYAWIFGLMFAAFFIVAFMPKLRF VE01_07098 MARHRLQDHTDDGSARYMDVPLRDQYSEPLWLPQTVGGPQNFHA PKGYHQNPQSGVRSRTSQNGTPPGLDDSPFYGNISHQWNQTTPPYTMDEMAPLYSKTS LETMVSNTDLTSGSDHPGTLDSSYSVSSDHLDTLDCSSSLSDTGLYTDNTNFVKNEFS ELQFLDEEETRTGAFHTGLSNGDHRSPSHMVPIANGSPSHIFAMGTDESIYTTGSEIM PHSVVTSGAHTGDYNPEFSWMSGDHTGPKVSSPTYSISGLSIPMSRRSSALDALPAFN GQSPRSSRKSMVPNNRIDEDSYPKFGAEPLEFLEDRFSDRGRRNGETSEMDNVARDHP YYHSAVLGPDRLYHCPWESMNPPCNHKPEKLKCNYDKCVDSHLKPYRCKVQSCGETRF SSTACLLRHEREAHAMHGHGEKPFLCTAENCDRAILGNGFPRHWNLRDHMKRVHNTVP EPTDSDQPTRLPRKRKTSSVDGKMRKKNSASSPPKDIPAPQPVNNEPSPEDQFREHRR QLMSAMELLNDPSDPEALAKLRRANTYLKEMAATSQKLARTNSPTG VE01_07099 MPLIIITGYPTAGKTHRATQLASYFSSLPNPPRIHHITDTSLAI PHTVYDLSSAGAHERSANASEKDARARVYAEVKRHLSPNDLVICDAAGGNYIKGWRYQ LFCEAKALRTPCCVVHVGTPVETARGVNEARLAARERGEEGAGEPYEKETWDNLVFRY EEPNGMVRWDSPLFTVGWEDAEIDFEAIKEAVLGGGVVRPNAATIATKHVEEGYLYKL DRETQAVVSKILEWVKDHEGEEGGVIDMEEEAQRKARLAGKKGRRDDDEAEERGGLDL ALPQVKLGVPVLQRLRRQYIQLQRLEPSGAGRVREGFIGFLNAAFEEM VE01_07100 MSHAMGPVSHGGSLGARAFNHSRADDAEVEYDRLRDLARAEASK RSNCFARSKDAYSAGDGAAAKQLSEEGKAHAAKMAAYNKQASEFIFRENNADGKVPAD TIDLHGQFVEEAEDIVEERIKYARSTGQTHLHVIVGKGNHSPGHIQKIKPRVEKVCQE LGLQYNTEPNEGVLYVNLQGGAPVRPPQQQPSGGQGGYQGGQGGYQGGQGAYPGGGQQ QHGGQQQGGQGQQQQGGQGEIEKLALKMLPKVLAKLEKACCVMM VE01_07101 MAEAKIVDVPKTYKAAVYDKPGSISTQIVELPILEPGHGEVLVR LTHSGVCGSDLSIMTNAWTTLPFPTAAGQIGGHEGVGIVEKLGVGADARSVKVGDRVG IKWATAVCGTCDRCRRGFDGHCTLRKISGYYTPGTFAEYVLSPANYVTPIPEKLASAD AAPMLCAGLTTYSALRKCNARSGEWVVISGAGGVLGHLAVQIAAHAMAYRVIGIDHGS KEAFVRECGAEVFLDMTNFSDEDIAKEVKRVTGGLGASAVILCAGSNKAYSQALPMLS FGGVMVCVGMPEGQPLPISNACPGIIAAANAHIIGSTIGSQREAIEILDFAARGIVKT RVRVEKIDKLEEVFRQMHDRTLEGRIH VE01_07102 MTTTLKAIPWLAYHAYPVAICTVLILVVLPRVLRALLSPVRQVP GPFLARFTRLWYFRQVFRGDFHQVNIQLHRRYGPIVRIAPNEYSFEDLQAVKTIYGHG TKFVKAPWYNASGDPSKHPRMNLFTDRDMKSHADNRRKVANLYSMTALLKMEPSVNSC IEIVLQRFDEFASSKQPINMHHWLQCYAFDVIGLITFSRRFGFLDKGEDIEQIMKTIH YFLQYSTLVGIYNEWHKYLFGLNAMVRFKGMKNIVAFSLDRIKRNSDRDKGEGELEED FLSTALALHRAAPDKFSMGDVISTCSANVGAGSDTTGISLSSILYYLVRNPLTLRKLR AEIEGETQRGTTSSPITFQEAQKMPYLQAVIKESLRLHPATGLPLARVVPAAGAVIAG TRFPGGTIVGVNTWVAHANASIFGDDARTFRPERWLEDDETTSKLNRFWMPFGVGSRT CIGKNISLLEISKLIPELIRRYDFEFIGMDGGLETQNVWFVKPTNFFCKIMKREPSSL VE01_07103 MTDLKATPGSPLASDGDPCTDLGLNGVAPDIEKKAGPGSIEDTQ QQKDSAFKSLGLLDRFLALWIFLAMLIGILLGNFVENVGPALQKGKFVGVSVPIAIGL LVMMYPILCKVQYEALHHVFRKREIWVQIGFSIVVNWIVAPLVMMALAWAFLPDKQGL REGLILVGIARCIAMVLIWTGLAGGDNEYCAILVAVNSFLQIVLFAPLALLFIKVISH GGPGVSVSYSTVATSVGVFLGIPLGAAILTRFTLLKIGGEKFYNQVFLRFAAPWSLIG LLFTILVLFASQGHQVVHQIVSVVRVAAPLVVYFAVIFFLTLLVTHKLGFGYKLAATQ SFTAASNNFELAIAVAVATFGADSDQALAATVGPLIEVPVLLGLVYVVKWYAQRRAWK D VE01_07104 MRTNGDLNNTSAAREVARIDIDAAYSYRSLAISEAEDDAAIRKS YRPFLLSPEITSTDWISKLELSTVVKLAEEDLHMTGERLKVLVLYGSLRERSYSRLLA FEASRILSRLGCDVRVFDPSGLPMKDDVQHNHPKVQELRDLSRWSDGHVWVSAEQHGN LTAVFKNQIDWIPLSVGSVRPTQGRTLAIAQVSGGSQSFNAVNSLRLLGRWMRMFVIP NQSSVPMAYTQFTDESPADPVEGGSRMIPSGNRMRIVDCMEEFVKYTILMRPHFALFG DRCSEREERVEKERKATEKARKEAEEKRVEVDDAVADSVE VE01_07105 MAAPHDTADALLSLAPTAPSNDDEGALGMTVSDEPSKSLNGPSH SPTSDKVKDQVVAVSQELGLAPNVSNNSQSVSKDNEGEDKEANEAHEQLRTSAPPLLL EIRALQERLFQLEQQASRQPNTHDPDIAAGADTGADVDGEIEGEEDKRLRKVIRRARW AKKSVEAAEKKAGETEKDRADYAYEGSFLAKEPTLYQVPNKDGDAPPDFATRNEYRFW TTDNKLNRPARAIRPLTSLQPIYSRKLGPPTQWDTSDSEEWSDGSTRSRDFDYFRARL RGDFEWELDRLHAQRKRYVNHKEKKKAAEEREKQEQEEKLGQEKLAEAGAVVGDPPTQ QAAQWAVAKLHPVNWEIFKALRYVSEASCFAIDILIEEPKISDKTGGRHSKPNPLSAD VQHQQTSGTGPAGELDGQGLLPERIRIHSRHLIMILARIHGSKLVSEVDYKNTSLVLL RPFRLLSYYDEQIRDWHSKLVDENSAPKSGIEGSNTNVNKTESSAEIPKLDADPKDTD QPSDSQPKKEPTVEDPQGLSTSTTALEHIPCLLEFMDTYITRKRAYLNSPTCNNIAFS DIWHLFKPGDFVISNDRKQAYRVINVHSPPHKGTDRWSYVYNSEKDNEKSRAQSDISI DCVYIHYDGKKFGPVLKKFKIRRFDGERLVTTLDIYPFRFHTSSGLRGPAVKSKQQGS DGEEVMETSIAQLRKKLIERGEMFVEVAGVKHMYYTGLTVDEPRDEVESQVVIDFEEA FASENSREWRPNITAIVGFAHNPEEDSEEILGCEADCCWRENVHDDSYVERERSEKFT NDLIAEMQNGPQGSLPSATIYPRTLEESKTKMNKFTEDELIIMSHKVFGFVLRDRTWA HLDLTHLEHIDNQEGEDDIDAEDEDSDGESGDKSAFGRLVLPRGHKKMVLSLIAQHFR NKGQQDEQADIVRGKGKGLIILLHGAPGVGKTTTAEGVAERFKKPLFQITCGDLGSNA KEVETALQTNFALANRWGCILLLDEADVFLAERRREDFKRNGLVAVFLRVLEYYAGIL FLTTNRIGDFDEAFASRIHMSLHYPALGKIETIKVFKLNLGMIRDRFEGKRKIKIEED EIIEDIGEYFMKNKGARWNGRQIRNACQTALALAEFEAQPLGKKYDLKKTESTTQVKL KAEHLKIVSDAYLEFIQYLKVVHGTDADTHAKESGTRALETVIAAIKASKGIATSKDE EEEEKPLSKFRLQGSRSSSG VE01_07106 MEPSKLDIPDLQLQSLAVDFIDNRLDKYHPAFSTPPARYDPARG SFMPVLTPRPDTTMQSQQQKKQQQPSTTPQADDVQLIPRPRAEPAKALKFWDSLFVRA MNKFTAGPDAAKEPSGRAEAGYSIRDKKDWTAVFDTLQEAKQCYFQKKGIKGTFRRVY RSIADFGAPVLLDVTKLVPETGCLFVTPVVGSIQIVLEAVKKAAEVRKAMDGAFDNID MKFQDIELFLQTFPDDDNIDEASIDLIVAAFAAIESVIGFLIQSILKRIGGSILKRGD YEKEALDTLETVQSKSNLLNSQANNSEKWMVRQGFQAVFKETGHIKDMFLSVLDPLLD QLNATREELAATQKTNREDRAVYLGIISTLSRPASPNPPPYDSTVEPEQFIGPQELLD WIDVPDAASEDRKLINERWQMRVPLNEQAQAEQLIRSTQFKDWVVAGTSSQLLIHGEY DGQRYVSGLSLFCSSFAQSLEARAPRFIPLIFFCGLHTDPDMDRHTGGRAVIQNFICQ LLCQFDFDTRSFASEKLDEHLIQLGDIDELCRLFQWLVGKLPQSVVLFCVIDGVGYYE REEFEHDIGFVVEKLLRISAEKKTQAGVKILLTSSNRTTYIRQPFPDELVLSIESLAR ANMVPSKSRLERQLGESQPM VE01_07107 MAPSAVTTTETTYNFHTKFEAAPHLDLHGVSSPKGLVGDALKNR VENIDHETCEAGEEDAFFVADLGDVYRQHMRWKLNLPRVKPFYAVKCNPDPEIVRLLA ELGTGFDCASKAEIEQILKMGVDPARIIYAQPCKTKSYVRYAADSGVKKMTFDNTDEL YKIKKFFPDAELFLRIITDDTSSLCRFSMKFGASLDTTVELLTLAKELGLNVVGVSFH VGSGAGDPLAFLKAVRDARTVMDQAATQGFSLKTVDVGGGFSGENFEAMAAVLREALD EYIPAHVAVIAEPGRYYVSSAFTLACNIIARRTVVNPDTAENSYMVYLNDGVYGNFSS IMFDHQNPIAQVLRAGDVSLHGTTAAQSAPGGTEYSIFGPTCDGIDHITKSIRFEQTL DVGDWLYFEDMGAYTKCSATRFNGFTDAHEVIYVSSEPGAKALMGMK VE01_07108 MLRNASNVVRKAVTELAQLPKPGEQIHGFTLLRAKHIPELELTA LHLQHDKTGADYLHVARDDKNNVFSIGFKTNPPDDTGVPHILEHTTLCGSEKYPIRDP FFKMLPRTLSNFMNAFTASDHTFYPFSTTNEQDFKNLMSVYLDATLHPLLKKSDFTQE GWRIGPENPLAVKEKSEGAEGTAEGSNLVFKGVVYNEMKGQMSDAGYLYYIRFQDHIF PAINNSGGDPQKITDLTYEQLKDFHAQHYHPSNAKLFTYGDMPLADHLQEVNAQLSAF ERIKDDAEIRRPIDLSDGPKHVVMPGPIDPMVDKDMQYKTSTSWLMGESTNIVESFSL GIMSALLMDGYGSPLYKNLIEAGLGTEWSPNAGFDNSGRIGIFSIGLTGVKEADVPKV KEAIHKTFQDVRKTGFEQSKIDGYLHQLELTLKHKTASFGMGLMQRIKPKWFEGVDPF ESLAWNDTVAAFQAELAKGQYLEGLLDKYLLNDKTLTFTMTPSATYGEELVTEEAERL SRKIAEASKAAGSEAKARELLEKQELELLEEQGKSNTQDLSCLPSVHVADIPRQKEFV SFSERNLQGVKLQLREAPTNGLTYFKAINTFENLPEELRQLIPLFTDAIMRLGTKDMS MEQIEDLIKLKTGGVSTGYHTSSSPLDFHSASEGMIFSGTALDRNVPDMYRILRMLIL ETNFDSPEAELRIRQLLQGSADGVVNNIASSGHAYARGVAEAGLSPAARLRQQVSGLS QVQLITSLASRPESQGLADVIAKLKAIQQLALSGTSTFRTSLICGSESVGSNEAALQS FLSSLPQNNTAPTTDKPAPVFARNTKTFYPLPYQVYYGALALPTVSYTSPSGAPLQIL AQLLTHKHLHHEIREKGGAYGGGAYSRGLDGIFGFYSYRDPNPLNTMSIMRNAGRWAT EREWTAQDLEEAKLSVFQSLDAPVSINAEGMDGFVSGVTEEMIQQRRERLLDVTKEEV REVAQKYIVENLEREEARMVFLGEKKAWADETWDIQNMGIAQEAAEVVGEEGVKEASA VE01_07109 MSTTTSIPTSAPLPRTSSLSAGGHLHGDASAVNGHHSHVHDHST APNPAGLSNASKKGKMKKTTDPNEASKLIAAKISQLELDAAGEKDQEAEIEREVKKAS RELNNQTSKLDDIQKIEALQKRCADLFAEMKRLERDNLKNKKRGDQLQKEKDHSRTEL SKNVSLREKLEKLCRELQRENNRLKGENKTLQDAEKRNHEDWDNKYEDMLWQLQDYQE DKDHPQAQVVNVEVDELFRQRFKSLIDQYELRELHFHSLMRTKELEVQYNMARYERER KAAEQEMARSRTLNTQVLTFSKTESELRSQLNIYVDKFKQVEDTLNNSNDLFMTFRME MEEMSKKTKRLEKENMTLTRKHDLTNRNIIEMAEERTKTTRELQTLRKKNEKLTDIIN QMQKQGRGLAQGMAGMMEGAVEGSYAEGEGDPEGTESEYEYEDEDEEEGSEEGEYDED TEEELHPEAPQPFGPVPPPPPPPQATTNGSMANGVKH VE01_07110 MATLLEDPRIRQTWNNISHNAETATETAAAGIWSFVHDYINPCF ASMGESVEQCMTQCFPDREERARRLRERDRARGRAEYSFDFYDDWDDEEAGASGGLLG WGNDELDRLLAGSGSNSHGPDDQPSGRKRKMSYGTRRTPKRRGTIDGLPDPTIIPSTS ALGFLQRLPFKFGGTLRYKPSAADLQDHPGAHRAELGDDAEEEPLIDDDHSDYSDAGD LNIKKTRPRSSTTASGDTSDSFRSRGDLFPSDGEDDAVPLSDEFATTLGRRGTVTTLE DHSSGKTRNSSKGKSRERSAAMSRALSVTSHSSNQSLTALGFKSDKSAAQLSDRPNEE DGNVPHLSELQQEEERLRQQEEAELQSKREAALKLASERGLGSGELRSGLQTPTRQSL HSRNSEDYLSPKTANVEEVDTKPSSESAIANGLTNGSSNEATSDSEESAEPDFVPARL PRF VE01_07111 MAPITTPLTTLLGIQHPILLAGMARTSGGPLAAAVSNAGGIGCI GGLGYTPDQLREIIHELKENLTSKDLPFGVDLALPKVGDGARKTNHDYTHGQLDELIT VTIEEGAKLFISAVGIPPPHVIKRLHDAGIVIMNMVGAPKHAKYALDAGVDIVCAQGG EGGGHTGNIPNSVLIPAVVDVARQYRPKLLKGQTAMVVAAGGIYNGRSLAASLMQGAA GVWVGTRFVAATEAGCSEQHKEAVVSAGFDDTLRTLVVSGRPLRVKMNDYIAKWEAQP EKIKELTESGVVPIQKDFDDGVDIDIPFLMGSVSGAINDIKPAGVIVREMVSEAADML KLGGTYLNGGKQSRL VE01_07112 MAPSDPMDRSEPIYSPNGYQKEPISAPYGNGAAPNYGSYSNRTS PPREAGGRITPEYIITNYHGSPAATDPALEASGRPLSGPPNADRVHPQRRPSNRDEFD GPHQLLPRPSSGYLEQDRDLPHLPTNLVVQEQDIILTRVNERLSRCAFDFVAKYEFPI PIDSKMRPVERPEDREWTEWVYLLKRLATKRRIPARVLYNGQIKQFVTILENSLEMRH AARNQSRPLKDDRNILQLISAGIQVAKLLRDADSMCYLDQLYVATEKQIQERSHSSRY R VE01_07113 MSERWNRESLSIANSAPQDKEVLRALSRSPHPYHRHNPELRRAR HNLSTTSSRDQSPRPTSSPESFVVDGPILHSEDLYRHSTDSDSGTEADDEHFLLGLPA PRSRPRKGLRGLERSSSTSPSPALSVVDLQQASRQQEYISDALKTLKNEEERRSREAW EKFRATRKTEVLRRVLEVVLLLGLGQIVYSGNGRVTASLWKNEFTYQAAIMGSLMVLY PIRLSYYLASQNSFKKWPSLRIPATFDPAPLLYPSLLTNFVTTLLSCSNQGIVLPNII LGISSLMPELLPSFAKGEDVGTLRWLLSCIPLFAWQSQPDLLRDKSLLPENNISPEIL VLICPLHQVTCSVLRYLTTTSLLETELQLLSISLINILLLSASPQIVILQALMWGGGL SLLISCGFALRWVIALERIPRWRFKRSGNALGRPIKSTSKSILKEPLGKLSEILHFDR PLTLDSEDDVSSAVQRVRPMRLKTNLTKSNTVQFEKGSISAVSEEPEEEFASRIDGAL ADVTNRERNRRNTFPSIRSPKRYSGLTASGRKRRSASSSLQGFYNLTVAQATIRKGLY AAYVYFCVLLIIFAGVRTYVGNVALSGAEPIGWALAYMFGNYPWLRMQVLTLNLDHWI PVPEHLPAVPVTYFHGPWISQLRSNIGLANTRLLISTYWLAIIILGLTIVINLSSTVE VDTRRKVFHFMMVAMLLPATYIDPTFAALALSLILAVFLLLDLFRATQLPPLSKPIAY FLTPYVDGRDLRGPVVISHIFLLIGCAVPLWLSLGAVTRADDGWEIPTRDVSMVSGVI CVGMGDAAASLIGRRYGRRKWLWPGGKSLEGSFAFAVAVTVALMAAKVWLRVGGWEDG GAVGDGWGRTLGKAVVAAGMASTTEAVLTGGNDNVVVPVVFWLCVKGLSI VE01_07114 MGGDDYSSAIGGGLKLKGSKPSGIKKKKSKSSKSSSSTAAASST STTLTKRPTSASPAPASTPTDTKEAPASASAKDEEDALWRQVEERELEQDYKTPTEKR HEEMRRKRLEDRLKREGGGRTHKQRVEELNKYLSGLSEHHDMPRIGPG VE01_07115 MAHTDEKKDLEAGNRVPGIESQYIDEKSGLRNSRVEAKGSALSA TTRLKLPAFITSTRMPSFRHFLILFTIFTVFAVTFTSAAVGQVRRYHAPTADEIQFTK LLSTISDDPELHDALEKYIANKYHPGKKGGDDTAFQFLNSYKAAAATSLVELVKRQNS NGTTTTTTTSITDPPKTTTSVVVPPTTDEPPPTTTPDTPTPPGPTETPNPTDSSTENP PTPTPTPGTPTTPTPTPPGSTPTKSGPTTPPPTGGQEAKTHTSKTETTTYTTVGPDGT TSTITAYTVIPWSQPTENAEPTVTPSLQGNAASKFNVLGAVGPSKGSAATVN VE01_07116 MFLLNNVLYSATPWFTQTTTYIQRSLKTRKRPEARVVYLRTGNL PPSSLPRILKKNATIHLPPSPPPLLLDTFDKQTEVGTSWSRCGHITQTTGPCSFSRTS RTPGRNCPEYWFRHRHAQGFCPACAARRRWIWLCGLL VE01_07117 MSSSHSAQSGQQPYSQPQPKTLRHFPLTHQSAPAPPAASPPTKR DLKSWWKGFKSQKNHETQEQPAGIFGVPLRQSITYANVAISLVDAEGNSYIYGYVPIV VAKCGVYLKEKATNVEGIFRMSGSEKRIKELRAIFDAPDRYGKGLDWDGYTVHDAANV LRRYLNQLPEPIVPLDLYDRFRAPLRSVMGQEVVSPEDTNGIIQTYQQLITELPPLNR QLLLYILDLLAVFSSKSDENRMDSANLAAIFQPGMLSHPQHDMAPEEYHLNQNVLVFL IENQDHFLIGMRGTAADEKTVQDVQKGGTPPPATPTSITKKSGISRSLSNASAGGDNP RHPGGVRRNVSVNSGHSRRSNGAPASPGLRPLTQTSVENGGSVPASIKEVKNAES VE01_07118 MDGLGLNASEQREFQARMERKQMKEFMNMFSGLVDRCFDSCIDD FTTKSLIAHESGCVNRCVQKFMAGSERIGQRFSEQQAQMMNNPK VE01_07119 MVPNNESNYFPPLEECLSNKQLLISWGAAYSCLLHLDKFGTSDS HLESFFSDTQVQKLLARPFHPFSFSQSNTKAAFETKTAAINVAPTSNCEYDINKIKED ALWLSKEVQIDEVSALRIIVLEYQSRAAAQLKSEFSNEEAVSLQTAAGNINTESSNLL SLALSAAKNAAGGNKSDSDEDRRLRAVQLYLTERQSILACSKLIFHVGFRTFESKGKG LNAETTWIDRVAEAFVTSQEQNPERFLHYSITSLKANFDTLNDGSGWFKCEGGRPNVE SEWLQGRVIEAVHSLEITLLILDYRKDTRSGANVLEWFQFMSAYGFFENFSHPDPSLL TLTSSIQSLVSVVSLSMLDCQNMVLQIYDEGQTEAPETEDGTPSYITDLETILKIHEV ISMSASNVSTIASPAILAWALILFAMEDLTRKKDEARSRALEATEDDDENYGLNSSTG PSATADTGIFAEVIAAIMTGHEKESPIHYLAVCAVDQIKVFNVLSMLATTFGASSGQD LADIFDSRIRIIILDVIRCTTPLVQYGEEVVSAALSCLTGATDYWNQNTFHSARIDEN PVTYFMQDDYLVERFLSASASRYPYESLPFLRFIRAISSCEYEADDGTPAAVQSLDNL TCFMFALPHDFRDYQTTQEEENLNIVELTDSVMLFKPRANLSLMYRRTGSGGVSTGME QITNEFCIPAGTQGRIVSERNPRVAVWFYEYSGLKYLGKLLEAGMGAGEYVDAIKDQL ASQEDLVEIVSILATLVRTSIRINESNGGTGDSNGAAHRILEQASDGLDRNRDIVLVV FSIFEEELERQAGGSASEANLDLLNACVQFIHALVPVLPGRVWPLIARSGLLDQEGRS GRLTTILSGIEFVNAKFDFLLSSVHLFGALVEDLVTHAVLRKGGLKSTAQTGSTDDLG TGVPDHVLSKVTASYSKTLLGVFESACNWRYAIPEQRLLLSKHISSIFDKLLHYNYGI GEDPSSGSNLSSSLGPAASQVVETFLSPTSGLLRFQSLFRGFLDGFATPESTVRNQYR ILWIAQVKSILGFTKSLIKVGTLLQRSGSQLEGQLFKVSPLIARLYAVNWAYRLPVTT LLEELVIIASANTGEPPSLLAHLGQETSKNFLHMLLNLGKPLNSDDHVIPIWNLLSAV VSNRQQWFAIYLLTGKNPRDNLKGNANGAAATSKSLISIALGELSNIKQIPMPRALAI LQFISLAQNYWPWAMKDALKNSDFNTALLDHVSGFEALAASASTDRSIEGAFQARMAA YVAEILAMHLYHSRQSGNVAPVKDILPKLKYFIRCGVAAPAYNASLQTNLKRNLEARY PGCNLQSFKFTQLQTQTLGKDYFYNIELANKMLCFHQAWKGKKGDGIIQELIKANVNL SVVDAQISLLRGWEMLAIELSHNLGGTADIGPSVATISCEIARDCLISNTRSQPPEAI FSRLNQTRADFALTLLQKLLQTNPDTPMLKELLPIAWRAIVDLQTTFELALSDGPEAA QYYRTLLKVLFLLLRIHTRKDTSDQQFRSSLNAVPAAAQANLTIALDILDNIVGQGFR DLATALHQQSGTIMPDDIAIITAILQNCLLMPGMEVRTVEVQAILSKHGTARMATALF SWADKLAIDGDPVYGELSILFLLELSTIPEIAEQLAVEGVLGLLSSAPLTVYMRRANV GPFADSAGAIRCYSIWARGILPLLLNLLARLETSIAAEVAVFLSQFPNLLEQAEHSLD SSDDERRPGRPRRETRVALLTLSEAHSLALLTMILNSCRNQVSGVLPIPWDATAVLES VEYWLSSRVLLSQRIVPLGLRESELERTGKLEEKVVDELLGLKDVLTQLNEG VE01_07120 MPSLELIDHSPHGHAAVAPLENASNLIMIDNYDSFTWNIYQYLV LEGATVTVYRNDKITVEELAAKKPTQLVISPGPGHPSSDSGVSRDAIKHFAGKIPVLG VCMGQQCIFSVFGGEVSYAGEILHGKTSPLRHDSKGMYAGLPQDLPVTRYHSLAGTHP TLPEALEVTSWIAGPDNNDGKGVIMGVRHKEYVVEGVQFHPESILTEEGRVMLKNFLK MRGGTWAENERLQKEAASKATPPGVASSQKENILDKIFAHRKAAVTAQKQIPSQRPSD LQASYDMNLSPPNISFVDRLRLSPYPLSLMAEVKRASPSKGIISISTCAPAQAMEYAL AGASVISVLTEPEWFKGSIDDLRYVRQALEGMPNRPAILRKEFIFEEYQILEARLAGA DTVLLIVKMLDEATLQRLYSYSLTLGMEPLVEVNTADEMKVAVDLGAKVIGVNNRNLA SFEVDLETTSRLMSQVPETTIVCALSGISGPQDVASYQKNGVGAVLVGEALMRASDKP KFIAELLGGSLDAPTNAPEQPLLVKICGTRSAKAAATAVAAGADFVGMILVPGRKRTV TEEEGLAISEVVHKATPRTVKTNSQIKATAGSDFFAAAASTLTSARPLLVGVFQNQSL EEVLRLQKLFSLDVVQLHGDEPLEWSKLIPVPVVKSFRPEQVNIGSRGYHAVPLLDSA TGGSGEKLDMSKVRETLEKDQGLRVMLAGGLNADNVVGAVREVGELSSRIIGVDVSSG VEEGGAQDLDKIISFIKAAKSVR VE01_07121 MAKKSAVPPADKIFAGKVFVLQGDFGRYPRTHLNIARLIAGHGG CVDSTVTDRTTLLVTTIDEFHKRPPAVEKSISMGKARCRIVQWEYVEDSIFTKNGKPR VISANFHEIQSVLKRQNRLSEAKAIYKKKFIEGANSMKGLADPGLHHVYLDTTGFKYL VVLSRLTKVDQKTRVEKYTLLLFESNASPYTYTVGIKFNRPGHATTYIKEYMVPSTFD VAFRQFRKFFKIKTGIEWDCRLDGPGAGEDAFVYVPPGRGEPRGVMPKDWKEPVVGKV GGGAGADREGGSG VE01_07122 MAPKGKQQSQKQAASKPPPPTEYKTIGEKRRAEYLASRPLQVLH DTKGWDGLTAAEKTAYSTFALLESEAYTTWSAPLQKDFLKLVDQLKISQPLPEPYPLG KDRRGNNIEDYTIPQYEAWKEDEEKLRILKWESETFREKWAKQHYKPSTEPSSADKDY IKKCIWEPETTDLDFEKVNEETLRPLTEEDRLDEQKRRAEIVKMESGKQVGRYEGDPT WDDVTPIPQDDGKNPLAAIAYTDEYAEAIAYLRAVMASKEHSPRTLALTSHIISLNPA HYTVWLYRASTLFALTFTLSDELAWLNEVALNNQKNYQIWHHRQLLIDNLYPTISADR EKVLELAREEIAFLTQMFAEDSKNYHVWSYRQYLVRKLDLFPSQCQDPGELGAVERLI EEDVRNNSAWSHRFFLVFSDPGNSTEGSKATEVDPKIPAEILDREIRVAENAIYLAPQ NQSPWNFLRGVLRKGGRELKSEEGFAGEFVKLGDAEEEVRSSHALDFLADVWAEKGEK ERADRALRLLGEKYDGVRKNYWEWRRAALGEVKA VE01_07123 MPSNLEDLGEGVRRVQINGDGGKPKSRTVHGRKASSPMMPAFMV SAPGKVIVFGEHAVVHGKAAIAAAISLRSYLLVTSLSKSRRTISLRFPDINLSHTWNI DDLPWSTFSHPSKKKYYYDLVTELDPELVAAMKPHLANVSPGAPDEVRKVHQNSASAF LYIFLSLGSQSFNGCLYTLRSTLPIGAGLGSSASISVCLSSALLLQIRTLSGPHPDQP TAEALLQVERINRWAFVCEMCIHGNPSGVDNTVATQGKAVVFQRSDYSKPPVVTPLWN FPELPLLLVDTKQPKSTKAEVAKVGDLKKVHPEVTGAILNAIDQVTISAANLITSEQY DDDEEAGQAHLGKMMTINHGLLVALGVSHPRLERVRELVDHAGIGWTKLTGAGGGGCA ITLLKPDVSKERLRQLEQDLDDEGYEKFETTLGGDGVGVLWPAVLKNGTDEDDEGGVE IDQEKFLNAVGNEGVERLVGVHGKDGERESWKFWRVDGN VE01_07124 MPADAPPQFTLFPLLPAELRVKIWQTALFERNSIVELRVINDAI IPKSRPSIVLRINQESRYEAFRALKALSDSLSFSKDGEAQNQLYLLPERDTIYFSNDY QFVSPSTVQCKMAGMAGTLSLLAASLSEIERAKIQTLAIDIYEWSEVDHIREILQQLI PFEGLKTLVVVMRDPVEEKKSGGGDRIFVDWPWSQRKPAYDLQTKLWKMSRSTLRYNP QWKEPVVQLRLAHFLDRPLFPWKKLIVGFSLAQYLFESYLSLRQYQVLKNTRPPKVLS NEVSQEVFDKSQAYGRAKAQFSFVSSLYGQIQNTAFIYYDILPKLWTLTGSWLLRFAP TRFSGEISHSIVFVLTFIIIQQVLSLPTSIYSTFVLEEKFGFNKQTPKVFVTDILKSQ MLAFILAPPILAGFLKIVQKTGNQFFYYLWLFGAALQVFMITVYPITILPLFNKLSPL DPGALKTGVEGLAQRLNFPLKELYVIDGSKRSAHSNAYFFGLPWKKHIVIYDTLIEKS ETEEVVAVLAHELGHWSLGHTTRLFAISQVHFFYIFSLFSVFINNKSLYRSFGFHTSM PIIIGFILFSDALAPMDTVIKLLMNILSRRYEFQADEFAQKLGYSTELAKSLIKLQIQ NLSTMDADWMYATYHFSHPILSERLGALGWHGDRSGESVVDEKNDGAVKASGRDEL VE01_07125 MLSLRCSRGVTAALKPVMQAKAVKSVAPQASRTFSILTPLRPSL QPRALARAPTSVSSSAAIDTTTTTTSASSSEILDLLPKISTHPSLAGIQVRCGPRNTF SPSHFVRKRRHGFLSRVRTRKGRATLQRRKSKNRSTLSH VE01_07126 MGCGMSTEEKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLDDQRAEY HVQTIFMQPQQIEGDNLPPEVGNAIEALWKDVGVQDCFKRSREYQLNDSARYYFDNIS RIAQHDYMPNDQDVLRSRVKTTGITETTFIIGELTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFTKTSIILFLNKIDRFKE KLTVSPMKNYFPDYEGGDDYSAACDYILNRFVSLNQHEAKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI VE01_07127 MFTSKGLFSKIDCPYSDRCLLPKCLFAHHKPPAMKPAESSPAVP SPELPSEKQAIAVAAEDGQRKRRKIGHGDIAEVKAAAKESSSTSSEKRPISPPPPRRT SSLAPGKKPEEMSKTEPKKAAAPAKQAPKAAPSVPKPAKSETLNPRLLKHSPATHELR TKLVNLLHDQLVRLNTELAKESPPNKSLLLTAQELITMALDIEEQAAKDKPAIHSSVV KNTIVRYKKMPLADWKSARQTTIDAEAAKSAPATSTSKPPPTAAAPKPLTTGLPQHLE LSLLPKLLTPLTSLSAHGYVSSIPSADSIATAQSGLAAAQGWEVCDRCKSRFQVFPGR RESDGLLTSGGTCTYHWGKPFFPSRSATDIKGSKREKRYRCCGQALGDSAGCTKATTH VFKVTEVKRLAALFNFISTPENDQADDDEPVCIDGEMGYTVHGLELIRLTATSWPSGT PLLDILVRPLGEVLDLNTRWSGVSAAQLTNAPSLPNPLDISSPSDAEKLKGAKRLHVV ESPEAARELLLRFLTPRTPVIGHGLENDLNAVRLIHPTVIDTALLFPHQAGLPYRHAL RTLVSLHLGRTIQAGGGIVAAPATSAAGSTSTSAPAEEGVVAPGHDSKEDANAAGDLV RWSIGREWAKMQGVGWTLVDGKLVPPERISEAFLEDQFVKVGEKGGRVTGKRRRGEED VEEEAEVVG VE01_07128 MADHLCVLVHGLWGNPNHLKNVAKVLREKYSEDELHILVSKRNS GSFTYDGIELGGQRVCQEIEEELKRLSESGQTVKKLSMVGYSLGGLVARYTVGLLESR GLFDNIEAINFTTIATPHLGVRSPNRAVISQIFNVLGPQMLSMSGTQLFMVDNFRETG RPILEVMADPNSIFITGLRRFKRHSLYANITNDRTAPFYTTGISKIDPFVDLKAVDVG YLDGYEDVILDPTRPFSPKEKKILTFYSKLKHDASFFFRNLHIFALLTIVIPIGTLAF ITYAGIQTILSSSRIRLHESGKAGIDPSSYRTPFLLDIREAVEDAYGNLNSAQSQQFL SAAPSTAGSSEDESEASGRPLMGNQAAEKPSRSTTKGLTNGNPGTDISPKETPTLALA PEQFTIIRNLDSVGWRKYFVHIHKQRHSHAAIIVRRDKEDFSEGFVVLRHWLDEFLME VE01_07129 MPAAPAPEKRAAMEQKLGELIQRANLGVAAPITRAIENHELWTP PTPNQTLYHVWDFLKRSNFVQLLVRTGAAAAKKVYDDVVGRNMMAQMMVTDTTGKTAM LTGSSGPPVDFGSNAKEKVRSLNSV VE01_07130 MASETKSANGSSESDFEFIETPKVHTPTFEKFEECGVKTTAYPA IKNAPLPADGPGSESFSNIALFSLLFIVPAYTAWKVGGGLKTTIFFGLFTSIPLLISF WYLNSTLGPRKNEKVRLPGRPIEHYLTFKNDADKLKYRGRTKIPIETFHNMYFEGDVE FNGDCLEVLEYRADWASFTFTISLFKFIFFQFAPEVIMHTRSQDEEQVRDHYDRGDDF YGWFLGPRMIYTSGIISDITKEETLEELQDNKLAIVCEKIDLQKDERMLDIGCGWGTL AKFASVNFGAQVTGITLGRNQTAWGNNGLRKAGITEEQSRILCMDYRDIPVPEGKYHK ITCLEMAEHVGVRHFKSFLRQVNDMLDDDGVFFLQIAGLRKSWQYEDLMWGLFMNRYV FPGADASTPLGFFVDNLEGAGFEVKSVDTVGVHYSATLWRWYRNWLGNRDKVEAKYGS RWFKIWEFFLAYSTIISREGGATCYQITLVKNINSTHRVEGISTQFALTAALAKGRAD IAANAAANGVKA VE01_07131 MFSGSNSYLGGNAGRPTGPPQQQQQFNSYNQNQQPNSFPQQQHA QTGGFQMNPQPTGFQPQPTGFQQPAPLQSQYTGFPGAQPQYGQQQHDFGAPPMPMQQP QQQQQQPQAPVLPQPTGFSQMAASFQTGDSKPKGRRQPQAKSTKIPSIRLSFITAKDQ AQFETLFKSAAGDDQALSGDKSRDILLRSNLDGDSLSQIWTLADTTRSGHLLFPEFAL AMYLCNLKLVGKQLPPSLPEHIRNEVSSMVDIINFGVADDAPQPRTNVPDFNTRSSTA SPPTIQQPQPMASNSQLLTAQMTGFQQPQQTGFQGGFQPQPTGFGGQQQNFQNATNPQ ATGYTGPRPPMPPMPTGYGQGGGLMPPGGGMGGMVAPLNAQPTGVPGQWGLVNAPATG LPNIDALQARMMPQQGREQGNFTTAGLSGNAVIPWAVTKDEKTRYDSLFKAWDGLGKG FIGGDVAIEVFGQSGLPKPDLERVWTLADNGNKGRLNMDEFAVAMHLIYRKLNGYPLP AQLPAELVPPSTRNFNDSIGTVKSLLQQESAYRKDSGANLLPQKTGVSYLKNHSFRGD SNQLRSNRKDATVFKNNDDDVGYKSSARRRLGTGSPRPESPSSTTSEDLTLDQLKKKI REKQVVLDALDFKDENAAEEDDVLDRRDRREADELYRRIRRVQEDIDSHPDAALRNVD SGAERRALKRQLQTLTDSLPDIASQVRRTERAIAEAKLELFRLKDAKAHPSTASSIVG TGPGGSVTESDRLKARAKAMMQQRSAALTGKKIETVDEDLAAPKRLEEENIKIKTERE NNERMVKDVEDSVREFAQGLEDGLKEGSQSSSSEHEKRRWEDGLGVEDEVKDFIFDLQ RSTRSARVRNEDRSTSRGTVEDRRDRDTSASRFRSSASPAPTSSRQSSSPAPSGGGGS YASYKTPEERAAFIKQQAEQRMAERLAALGIKAPSKSGESAHQRAEREKNERAAKLRQ AEEEDAKRENERQARIAQEEGRPAPAAAASPEVAKKPPPPPTRKAAKSEVETPRKAEE DRVHQEHEAQQIATKELEDDAQRQEREIAQEREATEARLKALEEQVKAGKIKKEEERR RRKAAQAEAKEKEAKLAAQRAEIETARQREIELQRQLEAIDDESSSDEEGPQDITPQA ATPPRAAEKQVSPPAPAPPIITATSPPSAAASTSNISSPQADTATRNPFFKNLGQPMV PGAGAPVASPPVASPPTQDTNPFHRLPPQQAAAKAPEPVQPSPTGARPSRVRPEEDEW SVVGSDREDSSDEEEGPGAGNARQLASMLFGTMAPPRPLSAADDTRAFSPVSPGVTSP VAETPTPTGAPPAPPPPPPMPGMGAPPSAPPPPPMPGMGAPGAPPPPPPPPPGAGPPP PPMPPAGGPPAAGRPMALLGEIQGGRQLRKTQTKDNSSASVAGRVLD VE01_07133 MASPLSISLRALRQTNTRRSVTSFRRAFASSPPPAGDAPKPVSG HVNFYKTFTRPIAKVLLMATFTYQLSYYFWVKLEKDEIKSERQNEILQLESQLEAALA KPKQP VE01_07134 MAQTPQQRISNQKFAKVNTAKMGKPEGTLKKKQQTFKSPVSPIW LILLAFVVFGGLIFELISRIFFR VE01_07135 MAHSKEDSPELPATPSITKEQRKKDKAAKREKKALKKQKETEAS TEQVEDAETIKAAAKAARKLAKAEARKAEDTVETEAPSKSKKRKHSGAEQEDEDKEKE SKKAKKCKKSKKAVDSDDDATKASNDGEDNEQTEEVDEDAAKKERKRLRKLRKEKEAS AKAAPEATALPSKKAAPKSEDKSHKSKKKDADSKKSEKPKSAEPAAASAEQWNPDALS GDAARKSKFLRLLGAGKNAGAAASAKPARSSGSASNDIEKVQSELERQFEAGIRLKHG GHSKRMGLGA VE01_07136 MSREHPVTEPQDLPRALLTRFRFEKSLYDLIRGLRNHKGDEKAY IQNSLKECRAEIRGQDMDVKATALLKLVYLEMFGHDMSWASFHVLEVMSSAKYIQKRV GYLGAVQSFRPDTEVLMLATNLLKKDIVSASLTTMSLPIITLPHIITSSLAMSVLSDL LPRLTHSSPTVRKKTIVTIYRLALVYPETLRPAWPKIKERLMDDNEDSSVTAAIVNVI CELGWRRPQDFLPLAPRLFELLVDGGNNWMAIKLIKLFATMTPLEPRLVKKLLPPLTS IIRTTPAMSLLYECINGIIQGGILGGTDDESEDEIATLCVTKLRGMIMVEGDPNLKYV ALLAFNQIVLTHPYLVSQQEDVIMDCIDSPDMSIRLRALDLVVGMVSSDNLMSIVGRL MRQLRSVTATDSQDSGSPSPAAFDSDEENPEINMRSNRNAATYSLPDDYRNDVILRIL KMCSSNNYSNLVDFEWYIDILIQLARNAPRHIPTSAADSHTLANDSGESDVAENIGNE LRNVAVKVKAIRASATRAAESIIVDGLHGAVSQIAEKRGILRPVTWVVGEYASYLSYP EDVLVGLLHFTKPQSDPEVLAMTLQAIPKVFAHLSGNESMEWSAERKTMLSLLMARIL HTMEPLALHPNLEVQERTTEFVELLRLAAEASAGQEASSTGDQYDAPLLLTQAIPSLF AGLDLHSIAPGAQSNVPMPANLNLDQPITANLNELLRGADSGAYERTDEDEFQEYYHQ RPSTLSLSMADPAINRLAETPEEKPQSYQRDTEDTYLDPDIVARRRAERLERNKDDPF YIAGISSESSTSTPFDTIIRSGNGNDLDIDSIPIMQLDLGKMPSVAPEQKPKKRAPVK PRQRIQVAADETLAASGTSTPRNDDSEASLEGQPRARTKPKRSPLLVDSSNIGEFALE GDDNVSGGSAEYEHHHNQKEEEEMAKAMQEVEKLRLEMQRANERIQAAQGVPPEGTVV KKKKKKVKAVGDEATGKVRSKKKAEQADGSAEVTTVKKKKKKVKAKEPEAGGVEGDAE KANQP VE01_07137 MSSSILHPPAHLPPSVALQLSQQAPALLSTTPSSIAPYSLSSVY AAPETPELWTTYENLMLSCLRTGDKHSARVCLDRLLSRFGESNERIMALQGLYREATA ESDSDLQKVLTEYDYILKGDPGNMPVSKRRIALLKSMGKQAEAISALAQFLDCSPTDA EAWAEISDLYASQGLYQQSIFALEEVLLITPNAWNIHARLGELLFTSAGTDGSTGKDS TTEKYIYESVRRFSRSIELCDDYLRGFYGLKLATARALLTLSEGSNKSKADSTPSLKT IQSLNLLATAKLTEIVRRSVAREAGWEGYDAAELIAARELLDRDAVKTIR VE01_07138 MPQPTVTIDLDNHHSPSHSSQSSYTDGGSSTGTGVHVSNTIDSP EDSDLLDDTWDPVYSDDLEPSESAPRSRQQPVYRDSSQPAPSIRRGSSRRQASSSDHS SRHQQPRAHRPRPNPPSSVASIEDYPGYGRGYPPQNPPYGGRAPPPPAGYPPSFASGY TGAGPPPPFPGAAGSVVPFGPPPSSHGYPQNPFSPAGGGGQHGYYPPGQHYPPGQQPP GGFGGHEMMPYGQAPPYGGYPPYGVPHQGQGTSGSQSPYYHYSQPVPPPHESPAPTPA PAPAPATPAPPPAPVPDPEVEKKLAELEKALQESRKLFNDKVAQEQKARDDAEHAAAT NKKVAEEVAKMKAAMEEAARAKEVADAKAKFDAEVAAVQKVKDDAEKAIVEAEAKKKF EADLKAKFEEEIKVAKAKADAAKAEAEAKNKYEADLKAKFEEEIKAAKAEVDAAKALA APPKDEKKKPIKFKDAVGRKFSFPYHLCQTWAGMEDLIRQAFLHVDVIGPHVQEGHYD LIGPNGEIILPQVWETMIEPDWAITMHMWPMPEPPKPEPGPPPPPPQAHGGKRHSQKA PPKRRDGPPPPPPPDGGWAGGPGGITAGVLVMDDNVPKKKKTEKSSWSLFGSGGSKPA KSGKGRAKKG VE01_07139 MACPIPLRVMRRISSVKPQNFRPVAPIRRSFLSLPGSELITLKE TRILPYKSSSLYTLIADVDRYSSFIPYCQESRVTKWSEPDTKGKKWPEQADLKVGWGG FEETFTSKLNCVPDTIVEALGGDAVPAVSKQHSLGRLSRIESPAIPNNIFKRLSTRWT VKPLSGQSATPGVARVLTEVNLAIEFQFSNPLYGSLSKAVAPKLAEVMIEAFEKRAKL LLDSPRQG VE01_07140 MGADMEIPVGTPIVPVAQEQSEQAQPIGGDLPAHLSHDPQHNMK RTDPFQFGSRLLKEEDNVFEFNAWDHVETDNAYKEYSEVQYAKQREAPVSDFDKNRFN SDPAKWWNQFYKNNTSNFFKDRKWLRQEFPVLAEVTLADYGPCTLLEVGAGAGNTAFP IIANNQNPNLKIHACDFSKIAVEVMRENDAYNTSQIQADVWDAAGQDLPPGLAEGSVD VVLMIFIFSALSPTQWQQAVSNIFRVLKPGGEVLFRDYGRGDLAQVRFKKGRYMEENF YVRGDGTRVYFFEKDELEKIWSGEAASAGPGFEIVSLGVDRRLLVNRAKQLKMYRCWM QGRFRKAGGTASSSEVVS VE01_07141 MFLEARQNPDDSAAETNASCRQLQFLELADVFLLGVNFPESKVI TIALQSFYALGPQSCSRILAKFSVHPLARIGDIPQRTITQMTAELSNMTIENDARRKM REDIKRLRDMGSYRGRRHAMGLPVRGQGTKSQIVTASKLNKVERAR VE01_07142 MFTLQRSVVSVLLILTAAFLFFAQTASASKGPKITHKVYFDVSH GDVPLGRIVMGLYGKTVPETTENFRALATGEKGFGFEKSNFHRVIKNFMIQGGDFTRG DGTGGKSIYGDKFKDENFKLKHTKTGLLSMANAGKDTNGSQFFITTAITSWLDGKHVV FGEVLEGYEIVQKIEDVPKGSGDKPKENVTITKSGELEVPAEGLHVDL VE01_07143 MTDLYGGRSPGSTIEASEMDDHRTAASSPTRADPRTTLQHVLGD TESAPTSSPQKREDADMTEDENPKPQPSAGKGSGKSGAKGRTAKGAAAHKEATAGAGY SGHKVRHLKKDDGEPLWRKDIQYEFLWNIFDDETKCFTNSYDAELAPQSFAELYIDAM ARSSKTSKILRDKLMSEHEPAKNMAMVCLLVNLGRMNTTLNFFPEMRAQLRTYHAIPS LQAQQDPNSYKQLQDAPRLKSILKGASEDRFEPNSIDKIKDTPIPRTNPVNLIFVLAQ YAARVTELHFPPGRDFFDLIMRPTLSSKSRARALLWLMWHYLESDFTEEGCKENPFGA GVDYGTGVSNQGVPALTTLNDAEMALENVDTPEETEYGEAKMRERKRIIEADQAALHI DYGPPKRGPKPKLNLGPDDVGASPSAVLRTRASKYDSDVDSARSTPPRGGSNLRVHGL SGARTRGGALKHQIDGGSSPAPREAPEGQAPTARRTRPLTAHQLAVEHNRNQRVNYIL SRGLRRVHHNARKARRMEGAILRAVHRTAAMQEMCEDSESEESLGRALGPFRERGVGG LVQLKSEEDDFGEEMAAYGAAFRRMGRRLDRWGEGGYKAHIEEPVATVEEAGTTEEDL DEMEKALLGLASEAEEEAEEEEDLDDVDKALLGLTEEEGSEGGMDID VE01_07144 MWGFSYWVFPVISGLTWLGMLIAMLAVWTTSGRPHYPSMDPRQR IAYISDVGAQGLKPLFIAGSVVMTVFLDLSLVAERWLRHRGRLARNLTIKEKAFMFLS MLGALVGTVGLICLSILDTLRHPHMHNRFLVVFMVGYVVSAIFICAEYQRLGIHFREH RVLRASFWIKLSFILIEVALAIAFGVESRKDNFDTAAVLEWTIAFIFTFYILSFFIDL IPAVRTKERVSEETVLQMEANDSHALMHEDEARLHQAQYDGPNYRGDGSRLGQNF VE01_07145 MSDPSVPRATNAALGPAAAVNSTNREEPIAVDSEQDSRARESDE KTERDERDTDFEKAESHESDAGFEKTKSAAEAKPIGEPLAPYATSASGASATTTVPTV AAKKPWYKTPNPMKWGSIPPIPTERGVSREATAGWFSLLTFQWMAPVMTAGYKRPLDQ NDIWLVNPKRKATPMTERMQASFKRRAERGDKYPLLWAMHETFKWEFWIGGFCQFFAN LFTVFAPFTLRFLIQFATDAYIAQKTGAPAPHIGKGVGLVIGVTAMQVCQSLGMSHFI YRGMMIGGQSRAVMISCIFEKAMKISGRAKAGGRALDDDSEGAAKPAAGKGDGKDKKA GEMGKEEQAPGVSGDGTGWGSGRIVNLMAVDTYRVDQASAMFHLIWTAPIACILTLVL LVINLHESALAGFALLVLGIPALTMAVKSLFARRRAINKITDQRVSLTQEILQAVRFV KYFGWEMAFLDRLRSIRDREIYAIQILLAIRNAINAVSMSMPIFASMLAFITYSLTNN NLDPAKVFSSLALFNSLRMPLNLLPLVIGQVVDAWSSVNRIQEFLLCEEQPEEATWDM EAENAVEMNNASFTWERTVTQEAEDLKGKPVKPTTKEKKSKKTTAGDSNPSPSDASSG DLTPDSASTSAPPPEPFKLHDLDIKLGRNELVAVIGGVGSGKSSFLAALAGDMRKTSG DVLFGANRAFCPQYAWIQNASVRENIVFGKEMSRGWYDKVIDACALRPDLEMLPSGDG TEIGERGITVSGGQKQRINIARAIYFDADIVIMDDPLSAVDAHVGRHIFDNAICGLLK DKCRVLATHQLWVLNRCDRIIWLEDGRVQAVDTFANLMANDAGFQHLMETTAVEEREE KKEDEEEAGEEVKDKKSKKKKAAGLMQAEERQVKSVPWSVYGSYIKASGSMWSLVLVL LLLVLSNGANIVTSLWLSWWTSDKFGFSSGTYIGAYAALGVSQALLMFAFSVSLTVFG TTSSKVMLNRAITRTLRAPMAFFDTTPLGRITNRFSRDVDTMDNFLTDAIRMYFLTLG MIFATFALVIAYFHYFTIALVPLSVIFVFSAGYYRASAREMKRFESVFRSSLFAKFSE GLSGTASIRAYGLQDRFVMGIRSAIDEMNSAYYLTFSNQRWLSIRLDAIGNLLVFTTG ILVVTSRFNVNPSIGGLVLSYILAIVQILQFTVRQLAEVENGMNSTERVHFYGTELEE EAPLHTIEVADSWPQAGEIIFKDVEMRYRPNLPLVLSGLNMHVRGGERIGVVGRTGAG KSSIMSALFRLVEVSSGSITIDGINISTIGLHDLRSRLAIIPQDPTLFKGTIRSNLDP FNEHTDLELWSALRQSDLVSADASLDDKAPGRIHLDGVVEEEGLNFSLGQRQLMALAR ALVRGSRIIVCDEATSSVDMETDDKIQRTMAAGFKGKTLLCIAHRLKTIIGYDRICVM DKGSIAELDTPLRLYEAGGIFRGMCDRSGIRREDFGEIKEME VE01_07146 MSEDASRTYSFDRILNFRDVGKTINQYTGKRLVAEGRLFRSARL DDATVSDREALRHDVGIKTVMDLRTKSEHVKQAKKREGDLKIPALVKSNDALAEPIQI PGLEYCNININGKGFERTLLWRLSFWNIFKVLILMLSGYRMEAISILGREVMKPRGLI GLGYDTIDYCGAELATALREFASSPRYPILVHCTQGKDRTGLIICLLLLLLDVPIDAV TYDYTLSEAGLLPEKEERMVEIREIGLTEEFASAPGEWIGKMNEYLGEKYGGTREYLG GIGVGEEVQARIVEALLG VE01_07147 MAKITTLLLDCDNTLVLSEKLAFAACADLANHILQKHNLHPNYT GESLMTEFVGQNFRGMLLSLCQKHNLHIPEDELAGYVSSEEDAVIAKLNASLEPCEGV HDALKEMDGKYTLAVVSSSAGRRLKASLVKTNMQDYFGDRVYSAATSLPTPTSKPDPA IYNFAAQQLGKKPEECVAFEDSKSGTLSAVRAGIPVIGYVGSYDEDEREKMTTLLKEN GAKIVMQHWSEFDGAMQTIEKAKL VE01_07148 MPQNEYMDRWRKLHGRRLDHEEKTRKRAAREGKKQSQDAQNLTG LRAKLYQKKRHHEKIQMKKQIKAHEERNVKSSAPNEPSNTPLPQYLLDRSNPTNAKAL SSSIKNKRKEKAAKFSVPLPKVRGIAEDEMFKVVKTGKKTNKKGWKRMITKPTFVGPD FTRRPVKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPMYTQLGVLTKG TVIEVNVSELGLVTTGGKVVWGRYAQIMNHCENDGCVNAVLLV VE01_07149 MSNKATDDLATPAADSVTTPAEGNETNPAPIDETANLARADQMR ETRACQEKFLRYQESFNDIIECLRKCNGDEPPDEKPNLMGEEVDPEGAELSPTPEAMT PEVITPEAITPEAITPESIAKKKMKELTDRSAILGLPTAIAESRLKELCCNKDGPEDM KFPVINLVELQRLNIYAIRKRLAEKAIAILDTTSLCDNEAWRIKGLMSDYCNALRDFD YMLQKENNEIERDPFYLMYSRWCDWAIMKPVVKKLYKSTTKTMMEAPRDYADPEMIGD ARAWTKKRARDASFYKRFWFGLAGGLALIAPMLLILLHHDRVTALATASVATVFFAVT MAFYHEADASPLAPVGATAAYSAVLIVLVGTKL VE01_07150 MTSPAPHTLIIGAGITGLVLAQALRKHHAASSSTGPVPFTIYER DPNPTHRGAGWGLTIHWALPDFEALLPVDLLARLPETFVDTAAVAEGLTGNFLLFDLQ DGSERFRVPPNTRIRVSRERLRRLLMDGLDIQWSKTIAAIDTTTPSAVTASFTDGTTA TGTHLIGADGSHSRVRTFLSPSPSNYILPVRLLGTSVPYSSARCASIRALDPFFFQAS DPATDAFFWFSFLSVPVDPKEDRECQILVSWPFRKGFLGREEPVETPVQGERVGWMKE VTKGWVEPFRGIVADIPGGAEVKSLALEDWLPPAEGFESRDGRVTLIGDAAHAMTMYR GEAANHGIADVASLVREMFAEADADAPSPIDRYVAEMIPRARVAVLNSRRACLDAHDH GKICETSPLVARRVIVLE VE01_07151 MQWVHNASPEVLAKSQYPSILGVVLGLTGLMVFTVALRGYVRVA MVKAVGKDDWVILFSAVCSVIYSGLCIGQSRWGLGLDIAIRPTENLNQYSVINFAGRP FYMAGITGFKVALCLSYLRILNKSNKAYRKFVWIVLITCVLGHLGGTLVLIFQCKPVK KSWLPKTPGSCLPNDTTFYALAAITIIFDCIIFVLPIPLLYSLQINIRRKIALMTVFL LGLFTTICSIMRMVQIITIAKTGNSTMLVLWGTIEMNVGISLTCIPTLAPLFTYFKEK TSYYTHGASRERTNGSANAMQVLKSARDRASAERWRDRDNTSDTSSQKEILGTDSNVT TQRTGTRERNGGGITATVTVDIKVEEAEGPQNGEFRREERWT VE01_07152 MHERSAWTKYLLRHPEFDSLGNLPLAPLIPMILTIEAVIPVIPE GGWGDQGTKGTAERKFCIRNDLATITSRKRRHFQGDNPPV VE01_07153 MEASTMDEKKMSVPGDETTSIDGRSAHSPVEGSIESRDLDKAYL YLNHQSEAAETVDLKALRRKIDWWIVPIMFACYTLQFIDKVVINYAAVMGITKDLGLV GNNFSNVASAFFIAYLIAEVPNGYFLQKVPVAKWLAANVFLWGVATACTAAAFNYHSL LVARIFLGIFEASIAPCLMLISSQWYTKSEQAPRFSLWYCGLGVGQIIGGIVSFGFQH VEHSSLKGWQLMFIVLGVITSLVGVATYFILPDTPMKAKFLTEAEKVALLKHVSVNQT GIENHHFKLTHILEVLMDVQIWLMVILTVLISVSSGVITSYSSTLISNFGFSKPHSAL LNMPGGIVSIVSTLVVGFGVRHTSNRWAWIVACCIPGILGGGLMSFAPKSNRAALLAG IYLVNAIVATLVVIYQWTVANCAGHTKRVVASALIAGSFSIGNIIGPQTFQAKDGPEF RPAKIIVLATQAAGAAVAVVLFGYYVWANKRKDRKEEAAGLSEGRDTEADAWNNKTDK ENKSFRYVY VE01_07154 MPSENGIVPQVTSNSDVVGGASGAKSQCGATNDQPRKTNHSSSE SHITEAGSLLLNGSAAAPHKSTKSRQMQVIDIKRDLSALVAQQMLATPDAIALEDETT KLTYSELDQRVTALANRLRDHGVGRDNLVGVLLGRSANYVIACLAALRAGGAFLVLEL AYPPNLLADVIDDAKPTVVITNSTQANQFNADIPLILLDELGTDPYKSTEPMEGLSPL PADDDLERLAFVSYSSGTTGRPKGIANPHMAPVLSYDLRFRLSDLSTGDRVACNVFFV WEILRPLLRGATVFCVPDEASYDPVALVDLLSRQRITETLMTPTLLAAVLSRHPDIES DLPDLRTLWFNGEVVTTDLARRAMKALPKTRLLNCYSASETHEIACGDIREIIDEEAP YCPVGQPMEPEHTYILDASGNKVETGVAGELFVGGSLLARGYLNLPETTAEAFVPNPF DATPGSRMYRTGDLAKMLPSGVLEITGRVGAMIKVRGYSVVPGKVENAIIEKLAVKQC AVIAHGDGLDRQLVAYIVHDKEDPGHRAIPIVDEAGYSLVARRTLSTSLAQYMIPALW VELDELPTNRVSGKADIKKLPPPVVSISTIFNHTKTERDPRINTEAIAEIWAASLNVP FNSVTKEHTFFDLGGHSLTLADLATRLSREFGFLVPVARLVVNPTLQGHLDVVLAVRD GHTAAVQADLPAVLRADCTLPESIQPAGNPVCSLEDAHTVLLTGATGFLGAFILCDLL ESTSARILCLVRFTDPKGEDAPAGVARIRKNLLDLGLWKDSILDRIEVLPGNLSHKRL GLGIGAYKELVDNVQVIIHAAATVNLVYPYAALRDANVGGTRKILRLAGQSGATLQYI STNGVLPPSEKAWPESSMIGVEAVPESLVDGYGQTKYVAEELVHEAGRRGMPVRILRC GTISGHSTSGSTNTYDLFTALLVESLHLGYYPDIAGWRAEMTPVDHVSKAITTISNDI QTKQRVFHLGDSNPVETKSLFDNLAELGYPAEPLEWEKWVSLWTQKRGSAKRGAGAFT ADILRGGMPTVEFLKDITMLGDDESKTVLGALQRPKIDVKLLETYARNWYARGWLPRQ PLRLQQLNGAGNLPKKGPLSGLVAVVTGASSGIGAATAAALAKEGAHVALAARRTEAL ESLKKMITTYGGKVLVHQTDVTKKEQVESLVRATEETLGPVDIFVSCAGVMYFTMMAN VQTEDWERTVDVNCKGLLNCLSSTVPSMLSRGKGHIVAISSDAGRKVFPGLGVYSASK FFVEATLQGLRVETAGTGLRVTSIQPGNVATELLSMSTDAEALKKYGEPSGAKVLDPE DVANMIVYTLRQPEHVAVNEVMIEPRDEPI VE01_07155 MAQSTYQSVVLAKRPTSLIVPGETFTVQEHKALTAADLKDGEVL VDTLYLSLDPAMRGWLNDTRSYVPPVQIGEKMRGAVIAKVLASKSAKFAEGNYVTCST GWTEQAIAKEKELTLLEVPAGGQVTDALGVLGMTGLTAYFGLIDVGKVKAGDFVVVSG AAGATGSVVCQIAKLKGAKVLGLAGSDDKVEWLKELGCDGALNYKDPNFRAQFKEATK DLIDVFFDNVGGEILEMALSRAKAHSRFIMCGAISQYNDAKPTGPKNISMVIAMRIRM EGFIVFDYVKEFPAARKELVQWLSDGKLKRKETIVKGGLRAAEQALVALYNGINTGKL LVEVKAENANGGSRL VE01_07156 MSDISSPAVTARPAKRQRADADLRSQRKREADRKAQRNSRERQR SHTDHLENMITILRKENGNAATSELMEMVWQLRSENERLRKIINSAKSALSVMSCEPT PLPNPQHVDLTSAPRPPPGKGPQVAVVEGAPNKNPGASQPSALPAKRSIDDVMPTASN SLVPRGEQARKRRQTVADITAESNCGSVLNFTESWMDKKAIVRTDKAHADLTPWAWLS PIASMMPPPARPPTQGMQCQIWEKSNKIYSQISTVAAASASAALRLSPMDYANLIFKA VINGWASLDTWERSNPIMKALSDIDQVFSELDQVSRAAFLYKSHMLLKYHMDPEKGNW DEMPEWQRPSFTQRTKQHPIAVDFFVWPALRDRLIDSNHNYFATGDFSAYFRRHYKFS WPFSFEDTYVYDGASNTYQMSPIFARYHRDLKYWGVERPFLEKFPELAGDITLIDTEM NIFHPQPLQSMGYIESPPEDATTPDILFSAGFTDGEIAELFDNFPQVG VE01_07157 MLFSRFTISALCVGAGLAATTRDVSLAQGQAAVVALKSLQEDVT ASAALIKTNVAKIGPFSQPADIAAPVAAIKEAFVEIVASFKNATDAIKAGAAPVGARQ LPTDPTAIATEIAGIVNNIAGTLLGLFLSFLGTVTASKLWQEISNPLLQAFTDVMSTL ETIPGLNVVLDIARGILNSIPVPGLNMPGL VE01_07158 MQSARGDESSSERKGGEIDQVEERYEKKPTFGGKVKNHYKRFWW AHLIAFCAGFLIVSLCLVYVAMPKIAQKGIDDATLSYTSLKFMKPSLDSLTLSVDALQ HSDSQFTPTLDAFNVSMHLVTDGITSEKVITQIGMPQIHAHHPDTNIVITDQKATIVD MDQVTAFAKQVLVQESIEVRMEGKTKLHLGALPVNSVNYNSSITFKALNGMKGFNITE PKVNLMAAPGEPNLTGMALIPNPSVLTVELGTVMMHISTKEKGLIGNSTIDNFVLNPG QNLLPMHAIVDTSLALGSVDKQGMVNMIIVGQTAVYNGVHLPYYEAALQSHTLTLAVN LQSLLGSI VE01_07159 MVPSSEKDIHDVWGYKYEWTDLHMTEEQQMPLRYSYDTLGEDVL ARVKDLQMKKAAENGEAVGKGAPRKMDLYESLKSIALTKEDPVVTKFWEDVHTVPEWV DWDQIKRGQDVFYRYGEAAMTGLAFSSLLGGMAAARIVETLARTGGFAPRVARNRMLE TTQFVLQVTNSLESVQPGGDGHVSAIRVRLLHAMVRNKILAMAKERDDYYSVEEFGTP INDLDSIGTISTFSAQLIWIALPAQGIYMRENEIEDYVALWRLVAYYMGTPTDVLETP ASTKAIMESILDADLKPSNSSKVLAANIIQALADKAPTYPSADYLRAQARWLNGSRLS DALEIPTSSYLSVTLVMVQCIVICASSYIYRSIPILDRWKVEYMRKRLFYVLMEGKHG MKGKKTKFELQYIPGFNTVTEQGEVARGLSIGKAGSRDTRNLIILGVLIVILGCTLYF WYKMALMALHWIR VE01_07160 MIYQNAKTIQVPNVDVLTLLFDHENSSAKEDSVLHAEASNPERS INKSQARVFTKQIAHGLRNGFGIGANGPGKDVVMVMCSGQSLLPMLFYGVIAAEGVYS AVSTSATVTELSKQIDQCPVSLLVCTADTREVACKAAEKCGLSNAKILILESSPEWSL RSLSTGTNYISKQQLDWRRITDAKELENSLICLLFSSGTTGPPKGVCLSHTNLVSEAV LPGELTKEHLKKAEAAGAPPFEYRTLAHLPVAHIAGLQGYLVNPFYAGGLVYWMSKFD FQQFIDNIKKFRITMLFSVPAIYLLIAKSTVVTDHFDTLMLAQSGAAPLGPETQRAAS MKLGKGKTFISQTWGLSETTGSASSNLWGPKDETGSVGTLLPNISLRLVDEDFKDVAA GKPGEILIKGPVISKGYYNNPEATAGSVHGDWFCTGDIGIMRDEKLYIVDRKKELIKY KGLQVAPAELEAILLAHPLILDAAVIGVEGEGTELPRAYVVADQTKISKEQIQEVVNS VVASYKRLRGGVFYIDEIPKTASGKILRKDLRMLAERTKSKL VE01_07161 MQLRNLALTSALCATTVLASVTNVYPNVIQTGPSNALYVSGASG LDGPKMSPGINATTFDWWYFDAVSTNGNAAVVMVFYLSTDLGFSFVPPLSALSVDIFA TFDDGSLVFLPLNNLPYTAGQATVVTDGDGASGNWQGTGFSFEGSPDLSTYKVTVDSP VLAIKGTLELTSRAPAHYPCGPNEAGQRLDVSPNVGWANAMPDADAVADFTVLGRPLK FTGIGYHDKNWGDKPFTTHVSSWYWGHGRLGPYSIVWFDVLGKDGTEYFSSYAAKDGV IVATQCGPGSIKVRPTGKNSQYPPKITSGNPEGLHIDLDLGAEGMLRVNVTAHSVLAD AFLYYRASGLLVGGVDGGPQYTGVSVYEEFKLQF VE01_07162 MFQLSLSLAALVACTAVVARPDVSFSSLNGRGGVDFTQLARNLS PSAHIYLPGSDGFNSLVGRWSNLSTPVANVVVVPATENDVVQTVKFANSKCLPFLTTN GVHGSITTLGKMTNGIEISLKQLNSIKIAKDGQTVTIGGGVMSKNVTDTLWAAGKQTV TGTCECVSYLGPALGGGHGWLQGHHGLVSDQFVSLRVVKADGSIMTVDKNSSVFWAMK GAGHNFGIVTSVVSKIYDIQHRNYALETIIFSGDKVEAVYELANQMWLTNGTIPTDLN NWSYWFFDPTLDTKPVIAMYLIQEGVDAVDPAYTQPFHNLGPIVVQPASGTYLDLAKW TGISLSDTPCQITGNANPRFPIYLKSYNTTAQRQVYDLFTQATTNASTPFSNALFMFE GYSTQGVKAISDGATAYAYRSDNLLVAPLLTYKPNGKALDDQAFKLGNQIRQVLYEGS GQTSLNTYVNYAYGDETPKAWYGADQWRQDRLRSLKKNYDPKGSFSFYAPIA VE01_07163 MSDPQAAAAIAAAVRDFNTELWTLYAFGVAITILRTIARVKAVG FRDLQADDFIIWIAILLYSTQSTLAFFAVNHGQGLANNGMTDAQRAALDVNSEEYDLR VFGSKIQVVGWTCYCCLIFTLKMAVLVFYVRLMEGLSKKFRIRIWAGFGIVLSTFLAS IITIYAACRPLHKYWQINPDPGNMCQGAVAKPIVWVTFISSVLTDIYLIMIPIPMLWG TKLRMAKKIAATIVLGAGVFVLICSLLKTIFVIVDAEHGAELAGRWGTREAFVSVITT NLPMIFPLVRAWLKPLFGSGFFSSHSPSKNPVGFRTIGGGYHNGSNKTGGSRGRNDSS PYAASGLSINESEERIFQNVKMQNISINSEPVSELQRPRDIVVNTEFRMTEERYMQDG KNNSGGVHKS VE01_07164 MGSTTLTNGSGSRYLDSNNKLSSAGAAASLKYARPQDLPSYPSV GLPPNRQNRDAHAGAAANLGWANQKPVEIWKPDPTTSASTAATIGWTRRSNPSWQPQQ SASGARAAVLAAKSDLRVADQKAVPPRPKSAGFGNSAANVAMQKDRRSLQALPTPDRA SQLNRRRSLMAATGAMASPRPRADSTPPLGPKYPDEMNARANALRAATHADTVSRRRR FEIPQGGATPVTNMGKERYTSHPPQPSEADQKQKEDSMHASAVAMAQQMYKIIEKREK ETGEAGYAATAAHGRGRSTSLMGNEPTPMKYGNLQEAAQRLAQERLAKLHDENFKNRE YLEYYGTPQPSQRLSKGRFRRRASSDISLEESRDRRGNVRFQAAAPLYSSDMSQIDAK TRQRDRDMLLAAAQRNVQRSLQGIDERVYAQTGRPTPSMMGQETLPMAIAQQRVQQQQ VPQQRGDKVSIGNGALVDRSSVDALAFRNVQPVLHQINTKSDAEQARRTEIKLDEEEA KRRIERERERDQELKEIDKQLKEHDKHEKKERKSQETFFKRQSKRWSRQSNKTKSTQS RDSYVQEPMAMSGAVGGGGGVSEYDRVATAEYEPPVGRYEPPVERYEPLVERVEPTYV EPAPAVQPVQQAPITPPTQHVRVAEPVKPVEPAPPTLAVQPPEPIEEEDVPEIPVSEY TDKGKSSLPFTRLQKSTRPSQTSTTSQQGVKSWLKTAFRRTSKGQKEEQEKQNGSSGL FVGGASLAQQPDGTPTQVASKAMYGDENGKPTQVASKAMYGDENEVPVSKFLTGTDGS KYSQEQEGSAAGGGLASYPAKETAAPREEWHESEEARDQFNDADVAMPIFESSRPLSP ARDSRFKEVI VE01_07165 MRDKSAAWSQLEASLHAEYQKASDSSSSQKAAIANLERRIREMT TEKNIITATGVEAVRNLDTAAAKNVFLVTYGESGTVASKLAVSIIEEHQARNDQLTHR RFQLHIIAAGAAGVVAAKAATKARPTERLATELAAHKDSSTGADAQYKALGSSHSEAF RIIEETRGALRLESGTSRALRPEVEFLTDEAQSQARREENLSQKHSRQIALHGATSWT VASADAEATATLGGLTPGKFISTRYDAESVALPKLSTSRIHKENMLPGEDHTSPHPVI LTASAYKRTLPMVKASLILRGVDHDDSIMLQVEL VE01_07166 MAHSSAYLFLGLVLSTLTDTTVAQKKGTTAFTDPNTGISFQRFF GAKTTFGFGIALPTEPTTDFIGQLTFPLNGGNGWGGFSMTGDMEGPLLLAAWSDGAGN VISSFRQAENEDDNPPEVTGSFAIRPIAKGTSVSNTFLTYTFLCEGCLDAAFGLGAAD TAGDAEMGWALAKTAVGGPETSAGILGFHDSGFGDFTASLGLARSAEFATWAALAGAP IAPAAGAVPIQANAGDGDDGDDDDDDDDDDEGGASNTGGGSTGGNVGAGTVLGGATTG GGNVGGGAGGDDSNDDDSDDED VE01_07167 MEIFAPLRPGVDVEGIVRAEGDGEMWEWRKWLLDSAAALADDKF TYHVSQGKLGGDASRFVFERHARVGEFIPSPMIPIERVPIRANFKDTAIPPNQHSPVT LFFFPATPPSSSSSPRFLFPAPSTFAPASAISLLSSAIFPAPTSSSLMGCSPAKPLYP TSLATSAQSTIISEDLLSDDFRKYLAQPAHDPYRNTDATRVQIDIRIALSNTEIEIDA IGYVVPKDQIPNQTSFVLFGQRQCINSIRYMSVPRAILVAKGRDMSEEVWGEIVVYEY VNDLGDLISIGDMEKTGDGGDEGRTMWWEMAGMAGMGKVVYDEGSCSIPK VE01_07168 MCLANFGPLEVFAWTSSCLARLILFDKMATTVHEKIVGSITQYD HIDHSSLSKSATADKDDVKGRDFTIDEKNLPKGYFYSPSFLGSMVAIGLSFACGVGGF GFAAPILGFINADLGPDPNITWVALSYLLTSSIGLILVGRLTDIFGRRWFFIIGNSIA LIGSIVCAIAPNVPALIAGETLVGIGAAVQLSYAFVVGEIVPTKYRFLATGFVFCWAI PTSGFAPVISIYYLLTALNAAATLAWYLFYRPPSFSMKHGSGHKMKFVKDFDYVGTFL VTMGLLLFLMGLSWGGALYPWNSGHVIGTIVVGFSLLVLFVLYETFVPLREPLLPMHL FKNRGWVISVILWSLGAAVYYAMAILWPSMVASLYASGHGNMWAGWASCVSNSGILAG EIVGAPFRKKTNYQIMAVFTIGSVFLGAAASCNPDTPIRAMLLIFFASFFIGWNEILN SVVATISIDDQREIGTATGVAGSSRSFISTICSTVYTVILSNRLAQTIPAQVPPALVQ AGLPETSVPAFIAAITAGETAWEAVVGLTPAIQAIGIRAYQEASSDAFKTVFLSTIAF SGVGIILTIFAPNVDHLLTNDVTVTLHEKATEDIVGAHAKVGSAEERV VE01_07169 MSTPFSVAGKTAIITGAGSGINFAFARLLLSKNCNVVIADLALH PEAEKHYASSVASPRAVFCKTDVTSWAALENVFAVAIAEFGQFDILCAGAGIFEPPYS NFWYPPGTPKSKDARHGDRYLTMDVNTTHPIRSTQLAISHFLNPPAGKESVSTTNPKR VVICGSIAGQVSALSYPLYFASKHAISGFVRSLGSLEETGIRVAAVAPGLVLTPLITD APDKARMVDFSTDKYITPEEVAKNLLRLCEDEEIGGGTILEIAAGGKTRKVEPLNDPG PQGEGHTTSHGGVVVDEKTAPPRHRRLPPRLDAYPDRLYQDPRNRIKIVIADGLVSIT LFIGRIVGRIAVCIDDMDGQTFTALYFMYHPSKQPRGVTWRASIRGADYAGLLLFIAM DTLILRGIVVTPYL VE01_07170 MSLALSFLPSFGSRVRHDGWLQMSLCLTTSHAWLPSQRETFKTG SFAQSLAYSAGGSAAWGREKGNLGGARDRRDDWSNFWNDTEHDRYAELDINVTHPIKL TRIAIRALLRKNKKGVVVVVASIAG VE01_07171 MSLLSGVAVITGAASGIGRATAFAFARHGINKLALLDTNIKQQE QVAAEIRTSHPSIEVLPLKLELTSTDSIISTHRAIVSSFERIDYSVNNAGTSGPLANS HEVSSLEDFRRVFEVNLIGLWTCQREQIRQMLEQEPLASTPFGPRNRGVIVNTASMLG LIGNPACTPATAYTATKHGVMGVVRGDSNVYAEKGIRINAICPGYVRTNLMEEGVGIS ELMAMELKKIPIGRLAESEEIAQAISYLASPMSSYMTGAGLVVDGGYTSQ VE01_07172 MSSQDYFHNKTIAITGAASGMGLSLSHLLASRGSNLSLCDIQPD ALSALASSLTHKYPSIQVLTSVTDISSESQVATWISSTVENLGGLDGVANMAAIIGND VLVKATDGITTSDWNNVLAVNLTGMMNCLRSQMPVMRAGGAMVVCSSVSGQRGFANNG AYCASKHGVIGLARCAARELGPRNIRLNVVAPGPIDTPLMNQSDSLRGDNMNWNQLAL NRVGKPEEVAKVVAFLLSDEASFVTGAVVNVDGGWNC VE01_07173 MSSTTLPFSTSHTGLTPPSPADLLDITTLQPHTFVFPQLATNPN IQPRVRLLMFFKRLPHISESKFHTWWETVHADLTVSVPGFRKHVRRYAQLHVTPHWRD VAAGLAGAPPAVEGDGIGNGDDVMMRPLGFDACGEMVVDSVEQWQEFASDPEFANKLL PDAANFMLTPIYVFASYENLIVGPAMPESGGRDGLGKEELNEKLEKREEKM VE01_08178 MAIGSIVSASLGAAQESPFQFLAILVVFVPITYVVINEFVRRQA RIPGMKGPSGIPLIGNIGQIRVNAAEKYREWAKTYGAVYQIQLGNIPVVVVNSAASAK VLFGQHAQALSSRPEFYTFHKVLSDTAGTTIGTSPYSDSLKRRRKGAASALNRPSVQT YIPHLDIETKDFLSELLTYGKEGTVGVDPLPCIQRLSLSLALTLNWGVRMGSQNDALF KEITHVEEEVSRFRSTTGNLQDFIPILRLNPFSFGSKKAREMRSRRDVYLKGLNKGLE ERMEKGTHKPCIQANVILDKETQLNDAELTSISLTMLSGGLDTVTTLLQWSVALLAQR PDIQKKAWAEIAEFYTPDEPLCDAQDDQKCRYIVALVRECLRYFTVLRLALPRVSIKD VTYDGIVIPAKTVYFLNAWACNMDSAVWSDPSVFRPERWLEQPDAPMFTYGMGYRMCA GSLLANRELYLVFIRMLNAFEIKSADGVDAHPLTGNSDPTSLVAMPERYTAYFVPRRV EVLKGAIDGFEVTGVQA VE01_08179 MAGKGSVFLLGPGFIGLGVLKELLHEGYQVTVLVRKEEARAGLE KLGTKTILGSLDDGDVIRNAAAAADIVIHTATADHEPSAVSILEGINERAKAGKSSIY IHTSGCSVITDKSNGAYASDKIYEDDKPETIDSVADDAPHRTIDLAILKRRAELGAKA KIAIMFPPLIYGLGQENRLSIQLPTMARFALKHGYPGYVGKGKAVWRHIHVADLARGY MTILHHMESSSGEEVLKNPYFFSENGEDYSWEACAEMIGKALKQAGKIEDSTPREIPE ELYGDLFKEWSVPVIGQNSRNRANRLRALGWEPREKSTFDSLMSDELPLLLAETGEFN GYAAAVAS VE01_08180 MLSSPIFRATIQSCLLSASSNVLAQTISAYRTNSPYTISWTPVL HFIIYTALNCPPNFLWQQLLESLFPSRTLRPSPAAIAAASSNDDKELDSEEATHSIVE PRLNGRNTVLKFLIDQSAGGAANTIAFIMIMAGLRGASWEEAWYQVQFEFWPLMVAGA RLWPLVSLINFTVLETVEARNLLGSLAGMAWGVYLSLVAASP VE01_08181 MSAGRSAALKLDWQAVTTSLGLRGQTVASLHAFKKRNEDARRKL QQLSEAPSTVDFSHYRATLKNQAVVDSIENHFKSFKPATYDVSRQVKAIETFETQAIK NAEETKSRVDLELKDLEKTLKNIQDARPFEDLTVDEVAAARPDIDERTTQLVSKGRWG VPGYKEKFGDLSVL VE01_08182 MSNPRIEELNDEEVPKQVVAEEEASDDSDSEVETGESIPAGATA VVHSRNEKKARKSIAKLGLVRVPGITRVTLRRPKNILFVINQPEVYKSPNSNTYIVFG EAKIEDLNSQAQASAAQQLAAADTHDHAGHDHAGHDHSHDHGKGKAVEAADEKKEEEE DDEEVDAEGLEDKDIELVMTQANVSRNKAVKALKENDSDIVNSIMALSI VE01_08183 MGDAEIKASSWRLVEVGRIVLIDGGSQDGKLATIVEIIDHKRVL IDGPSTDTKTAVPRQSIALARLILTPLVLEKLPRGSRSGTVKAAWEKAGIEAKWQESA WAKKRAQKERRRALTDFERFKVLRLRKQARFEVRKSLAKVKAAAK VE01_08184 MSFQRPRDFPGHNRPTPQRFLTPLGWAVRFPDGHIVYENRMGRQ GPPRGGYPSPRQFPGRHPRQPQGQYPGQSMGGFPGQSQGQYPGQSMGGFPGQPQGQSM GGLPGQPQGQFPGQFFGRSPSQSSTQSSSHFPGMVITQSSGQMPGVTIIQPPGTGQSP VFISPYPGQVLGQNPGSQPTQQPGGGWSASPGPKLRQRFRTGPERVRVAELKEDEIDC PICYRDYYTGDSENESEDAVRIGCGHVYGRRCLDKWIQENGTCPTCRGKLDLVDDVAG NPQV VE01_08185 MAETRAETDAFGEVHVPADKYWGAQTERSLENFRINQPQDRMPP PIVRAFGILKGAAATVNMQFGLDPTIGKAIQQAAAEVADLKLLDHFPLVVWQTGSGTQ SNMNANEVISNRAIEILGGTMGSKKPVHPNDHVNMSASSNDTFPTVMHIAAVLEIEEE LLPAIRSLRDALQAKVVEFEAKKIIKIGRTHLQDATPLTLAQEFSGYVAQLDYGLARI QSSLPDLRLLAQGGTAVGTGINTYKGFAEAIAAEVTKMTGKHFSTAPNKFEALAAHDA LVQASGSLNTVASSLFKIAQDIRFLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQAE ALTMVCAQVMGNHHATTIGGMNGQFELNVFKPLIIRNVLHSIRLLADASRSFEKNLVA GLTANEEKIASIMQESLMLVTCLNPRIGYDAASRVAKNAHKKGLTLRESAVELGALSA EEFDRLVRPELMVGPEEYVPKTKA VE01_08186 MANRVLLAFLAFDMLFLLSAALLIAFPMIMKDRMNRAPTQETVA ENLLLERCPLTAAMVNAIIMFITFLTTIPALLMPTSRMWLKLHGYLVFICAMVSMVIG LVLWFDTLKTRSNLSTVWAAQAQEVQSLLQQKLKCCGYLSSNSPPFFQDSVCTNPLVA AQLSGCVGPFSTLANNFLDLIFTAAFGVVGIDVALILCIAMLLKDRKEKERYRHIDEK SGTAGF VE01_08187 MLATKNYAGLFSTDLISPTIAASLPESYTIRALEKGDFARGFLD CLRVLTTVGDITEEQWNERYDWMDTQGNGGYFLLVIEDQGRIVGTGALIVERKFIHNL GLVGHIEDIAVAKDQQGKKLGLKLIQALDFIAAKVGCYKSILDCSEANEGFYVKCGFK RAGLEMAHYYEDPKSKYDRG VE01_08188 MKQDEDEATSSLANIPRMEETSTVLPKPVASAISLATKSSALYL RLGTLVGKLAIDGARVTTVTGLELSRAVVERILFSAGEDVVKKSTGQIGRQEAEGLLE RSISRLHSTITHISFAASAGFHLSSAGLSAASDVSQHLLITLDSIFGSTDSSRAIASI ITLVRREFQNPATGQPGEKVGVVDLLVGVCGLALLQQWCKKSSEQEAQDGHYKDIVWD VVILDDGSRADTGEPWLITAADAGQGIQDNNTRDLAVEDDGDGPEARLRERIMQSLPP DATVSITTETTTTKVTTVEISGAQPLDLLPPPGAEVIEENAHHFNNGDFADSHNASHP QSRYRVVYRTVHNKIRGTDIAAKDGPDTSFTQAKVEELPDTPADMDWQPEDVEPLLME HSPSNTANSKRARQSHNTSSHQTQGGSSRPSTRTSESTSKMPLAKKAKVDTPNKKGTE KKGTLRDALRKGPQATISNILGKDTQVAATSSSTKPNPPWQMSGVAGSSKRPESKPVR PPHLPVPDRGSSSMRTRSGPLVPKSTSRQPVDEVQYSHPKPHSRSHSRASYYEVHERR RDSIVSQTDTYSIHSTDTSRASSPTQLRSHMRNQSSLMRTRSGKDLNIIPSSYQRHSK TPEPSPLFAHRSTNSYDPSIYTLKTNNSETSLVLAHLSKGAFDDEESVQLLRRNGSVP GLFPRNHLVRNISRFARFASASYGSNFMRLMGISATPTSSSAIPDSSHHYEHHSFSSH TQLPSSTILLSSFVDPQGGTDAAGKTGTSIPLVHFISLDHESQAVVLSCRGTLGFEDV LTDMTCDYDDMHLRNRSYRVHKGIYASARRLISGAESRVLATIAAALEEFPTYGLVMC GHSLGGGVVSLLAIMLATPGSDPEHNAFVTTTGPPQSGLPLTSSPNTTDAPPQAISLP AGRPIHVYAYGPPATLSPSLRRLTRGLITTIVNKNDLVPSLSLGVLHDLQAVALAFKA DSTGAASRVRARVWNSLSSGLMEQWYRGDYVHGSGPSEEDDQWAWATLKTLRASMQSE KLVPPGEVFQVETTAVLRRDAFTVDGKDGLGRPATRAVLRYVRDVEAVFGEVQFGGGM LGDHSPGRYENSLKTLGKGVLGGLR VE01_08189 MASPANSIPDTSLGLTADEIQVLRRAQIEAANAAAGSSSSRAAS RASSQGLLLLNTSSLTSLSRHFDRLMQQISERLDYLSEQSQVVAQQQYDRAGNAIEIA DAEIERLNNILRQIDELEDDFDRMARLKDIVKTFRARVEEVERRVEESTASRRDGGGH RHRHRHGETTGSRSHRR VE01_08190 MGKEKLHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYYVTVIDAPGHRDFIKNM ITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVRQIIVAINKMDTTKW SEARFNEIIKETTNFIKKVGYNPKSIAFVPISGFNGDNMIDVSTNCPWYKGWEKETKE GKKSGKTLLEAIDGIDPPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKAGMVV TFAPAGVTTEVKSVEMHHEQLVEGVPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPKG AESFNAQVIVLNHPGQIGAGYAPVLDCHTAHIACKFSELLEKIDRRTGKSMEDSPKFV KSGDAAIVKMIPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVVKSDKAGKVTK AAVKAGAKK VE01_08191 MSQPVGAIEMTPILRNAIRPSMDAIEQRQAEDAIAEIETQLFVN WLYLSGQLRAKRPRASTPGPFGGFRGRNLHATSRIAQAHEDSPKKEAEEHNAESESKP DNHGSATRTSSSVPNGEPEFVYRFDPEKRLSALPLDRMYGVNSTQLRENTKMLGVDSL GKPAEVIVLQDSGTLRYVAPLPFQTTIEAPVVDILAEFNSERGLIGRDEVNDNIETLK PQEKVLPWTDIRVIEDQLSDGFTMAQLIYYIETTERQRMAALAESAASVTQTGKAVEE KAETGQPVGQAVEIKAQNIILRQSPWMPGTSDQGDHFDESSLRGYISEAFTPKQRVAL NIIRLCWGVESQELVSSVGEMELQVNSADLDLLLSPKGRSDPPLQTISDELIRADEEK IEIFRSRRAIRVTATKAKAKIIAEGIRSTLEGVHRIEVPLTGLKKPGRKVQGVEEADE FGEPVLAELARLTNTEVLRASKDKLGVHGLGRKQGSSLSTSADAARRLLLSSSDVADR TVYRHGFKETDSPAYAIDYEYHHTMSWRNRLRRWSRWQTPIEKVDSEEALGIEEGDKK ELDLPPKEEEQGLTSQAEHNALGNLSMSPHHRANKVDEEKARQYELQESNLWSTTPIT STSATVGNVLHQALPSSGPVDVSKPVQFDGKEILNSRTLFAPSTKNLSRLVSGMGNDR HTRTSSVLTMKFLPSPWAGENMKQLSKFPTLEMMFSMTSTAENRFKLSNMVAITEDIC SDVMLPDKALDIRFRQKTSFPFIAANLEAHPQIAEFLEASQLGQRSNVMTPPELVVPI PDFMSSLKGDKGAASSASTAVRYMFAGLTYRTQISYDFRDWRLRYSSVNSGKADGRCG ELTLLPKYKKSSEVVAGKSERALNQELTVDYIKSAYALVDTLEGLDKPVARRTESNEL KPPRSPDSLVGYEYIPSVQYTGPNRTSTPQQFKYFDRDISFEDENDDTAW VE01_08192 MSSADGDASDGAGDPAGDPALPRDEDKIISNPPASFAEFNHTSK FGFHYTEASFQYQLATKHIKSEKYQQKEAFPRPDGVDQEEWKKCFNSADSTVYKQLGS NKEKSLDSRRYHHRTREVAEERLRDKLFSRGQGVPGPAQPEDFPPLPPPPPPPPPPPP RGNSSSVNKLVKKRPRKRKQPNSPSSSGENNSNQTSEQQPYFPPRGYDRKNKKSKNSD HHNKKRQRILRNIARPTRFKGTYSDPSDEEDPEEDHKQPPPSSSQVNSRTLPQQPLFN PGAHNQAYREDTSNLRTPFNNLYSGHRDSSNYTTYPAHLGRVHNKHPAAPRNNSSSAP EPSPFTDNSNIVAQQPSPPARTSQAQEYQAPGGYHYEQGNCYPAPQNNSQIGESQAGE QYPPRPGRFDPNQGSQASRFSTFSDHSSHHQNNSQIGELQIGQQHPPRFNQNEGFQAQ SASTAGDYSSEPPVDHQLREYEALYFSREPDPNQEFQAPGANTPDNYHSEPPIDHQLR EYEALHSSNRPDLNQGFQAPGTTNSGNYSFDLQIDPQLLAQTPRSPPTRTNSDQGFQA TRASFLGSCSPVPQNNSNDTHEQSSFKDNFTIDTEKASSFKNDPKNAHSQSSSKAKSK NREQQPPSPEDKSDKAHERNPKTVTNKKNPSKSGPKIANKQSSSSSRVKPKSSAEQPS SSKKDSNNARKLSSTKVNSTTSAHQSSSLGSEIAAQPHSSKAKIDHSHENMSSQAKKQ KGKADYCVCKQVRHGTKMIACEGGCENWFHVSCVKLECADTDGVAKFICDDCPGATTY KRVCRLEGCNRPHTTRESFVGDDEVMKTVASKYCSLEHRNEFWARTVGGMDRLLASQL RSFMAQTPLGALKTAGDQPTRAVLGAHHGGGELRGDFVATGPPLNEEGMFSLDTNLRD RCHKNIARLTASMAHYENRRKLVAMLVKYSDETTKAYAEENQIVESAPKRAKGKSGKG TARTICGFDPRLSVSANWIERFMATPEGDAAWKSGVIGEHTNPDFHVDVSPEYFKGVC VGTGGCHPQWLSIAVDEPRYNLIWLAEDLEKENDHLVEMVERVQKRLAIERERNQYAR ERAAEMTEENAAEFLRSWETLKGDKNIVLRKLLKKHHPEHATA VE01_08193 MTDRNAAPPQDADRKPGGVGDYGSTTRSNSHEGGGGSQRPLRPA MVMAIRGDDGGVSPKSGPTKVVSIEEPKLSPPMQPLDTPPLKQFTAGVGKRLTGRPTP SSFSNSSKNSLLSQASQEDIPRLAMSQSGESSSGNAPYIQRHKHHHQHDKLLSQVSEW LHVQKAKKAARKARKHKAHPREDGGRGNENNRSTTARRESQDSCLSTISLEDLQSILE DSKVKSTYRPNPESPVLRPRRPSYSSRKYTSRRYSTNASSDTEYFDGDVIVPSCDVVL DNSKTLTHPSGTTTPTTSGRRADKEAAVWVTFKSEILRLAHTLRLKGWRRVPLDSGAD LEVERLSGALTNAVYVVSPPSTLPSDPASKSKARPSKLLLRIYGPQVEHLIDRDAELG ILSRLARKKIGPRLLGTFRNGRFEEYFMSTTLTPKDLRDPETSKQIAKRMRELHDGIE LLETERDEGPFVWRNWDKWVERCEHVISFLDTHPDTGGGKKVPAWRERGLVCGVEWSV FRGMVERYRGWLNEKYGGVAGLREKLVFAHNDTQYGNILRLLPTTESPLLLPANTHKQ LVVIDFEYASGNTPGLEFANHFTEWCYNYHDPSTPYICNTKSFPTPAEQRRFIRAYLN HRPQFSGFSSASATPKVVPSPGPGNAGISAFMLDSRGPPGGDAVPGGYAEEEQRRIAD VERRVEELMVEARWWRVANSAQWVAWGIVQANIPELEEYDTACRTPSADAPDGEVGGM EKLDLEDGGEGMVNGEDEEGDSEEGGSVEGEDKEDDEFDYVAYAQDRAMFFWGDCVAL GLVRLEDLPGNVQEAVKIVDY VE01_08194 MAFFTTPTSFRLANGNNGVASIVGRSFTAHAFDGLQQPVVMSHE AHPDLGRLILLVFEAVLEVVCVSVPGYIIARQGMFNAEQQKFVANLNVMLFTPCLIFT KLASQLTADTLADLAVIPVIFALQTLVSYLVSIGVSKAFGLAKRPANFVTAMGVFGNS NSLPISLVISLSQTLNGLHWDRIPGDNDDEVSARGILYLLIFQQLGQLVRWSWGYHVL LAPPDRPEIEDAEAAEEGRYRDDDDSDLLIPGLDADDSDTDAYKDTLRQSHSSTSFDS GGITPVTNTHYILPDDEYDNDGRKRLNSSSTAPEVDSILHAEQLSNSLTSFPTIRQQQ IREQHIPDGIRGLATIIRLQSKRGANFVSSRINAVFRSLFNTLPYPIQRVLTKFYRVS ARFGNGLWEFMNPPLWAMLLAGIVATIPSLQRLFFQEDSFVANSVTRAITQSGGVAVP LILVVLGANLARNTLPQTALEADSEENQIGTKLLVASLISRMLLPTLIMAPFLAMMAK YLPISIVDDPIFIIVCFLLTGAPSALQLAQICQINGVYEAVMSKILFQSYVIWILPST LMLVMCALEVVEWAKPMST VE01_08195 MAPSTDLSAPAADTSDSSPLPADTSNPVSAAPSGERMSSMRSIS DPPRHQSTMSSSSNPIGVLNNARRPAVRSSIPASTVASGGLPQDMQAKMRAFHLSRQG TPPGGRPSASSPGSFASPGDANGGLAGGLRLPMGNRPQLPQGLVSAPAVPRQGGKPSL SERRNMPKLGGLPGSPVAPAASPSLSLNGSANPAANKADTGSMFNKFSNYVDTEKGTL RFAGKAVIHGQGIDFSSGNNFSISLDEVDTLEELGKGNYGTVYKVRHTRPRQQRLGLG LQVRSLAPNALAGENGDSADSPLAKNSTGVIMAMKEIRLELDEAKFAAIIMELDILHR CVSPYIIDFYGAFFQEGAVYICIEFMDGGSIDKIYGDGVPENVLRKITYATTKGLKEL KDVHNIIHRDVKPTNILVNTRGQVKICDFGVSGNLVASIAKTNIGCQSYMAPERISGG GVTQAGANPSSGTYSVQSDVWSLGLTIIECAMGRYPYPPETYNNIFSQLSAIVDGEPP DLPAEGYSDITRDFVRGCLHKIPKLRPTYSMLLQHPWLADLSKPDVISEEDDDEVAEE DSAADSAADGVTDGTTAASTNGTTTTKSSSSTSEDPAYDDEVAQWVKSALERKASGLM GSSAKPALHAAPLDSVSSPAV VE01_08196 MTGRQLTKMDFLDDQDATSGTYGRRCIRHENAGVYPHYHRPSQQ HDFSDIDFDFYLPGNNCSDGGETQSSPSAGHKWVGIPTQDGGGETQSSLGGQEKRVVG GVSAQRGGSVIWAGISPVQTLRQEKAPMRDIPGCGTGKTRMRGNPAQGGVFSQWLEET IRREKGFVGNIPAQGGSSAGGREKAPISDIPAQNGGRSGKMGMQSLGDGKGCIILDDS EEEEEEEVQFLSEASQSQIPASPFQPRTPKPLPRFAQAELQTPTPSRLSSQKRKLNSI DTTISLPRLAPQQRQARSAQSLSRSKWTFGDRRSTAVSDSAVVEALSPFSTPTNRRDS MSGSINRREGYEIVPMPASAREMSIGNGREAVTSSNKKQVSILKNVSAGKYSVRSDVL SAFKEGLTPTSKNKDGGKLSARSEVVTAAKEQTPSTPTTNSKNSSKLGQVIEVITAAK EQPTPTPKAKNRVVSQDWEVIEVGTSTDLTLPHRSSTQRKPVIDLEDYEVLPPLTGTA FNMAIPHATIINDSDSEGDFEFEIISSNTLTSPILPRRRSTIYPLRNPPIVHPLYSIS ATTVSNLALGPDVVVEIFDGDFLKITDLIRNVDTGVVTLRGHRLQRTRDLNGLLAKKR NELCWVTEVDEDDGRPASAQSLAEVPASSVTRVRKALITNMSFPAATYRNTPHPGDLE NVEHEGIVALRWKYTTTWPSAKARINNENTFCERVLRHLGEGDTLVGEGLRIRDGLAR DQFRGPTVLGGSFIPVVRKGGKMGMNTKSPVRENSTGWFRRLTGPRNNSVQELSELTT GLFDDDMVDLTDEFPKTPTKSRLSAAETTQERMLGQIDLTMPELSFTPSDGTVRATNA ASKLKTAIKRVEGQMYTYGDAFCGAGGATRGAHMAGLKVVWGFDFNAHACETWRLNFP SANMYEMSAFDVCEIWAKEAKAGMANRAQVDILHISPPCQFFSPAHTIPGKDDDMNTA SLLACGRLLALVKPRVVTLEQTFGIGHAEFTRWFNALIHQFTDNGYSLRWKICHLQDW GLPQRRQRLIIIAACPGETLPPLPPYTHSKHPTALNGLKPYTSVNTLLTSIPRNAPDH DLTSSLAKPLHESPWDGTSIAPRAITTHGGQNYHPSGTRGFTNRELATLQGFPAGHRF GEKNVKKQIGNAVPPSIAAVLFGAVRKALEAADGVERVVVDVGGGVEREVFVVD VE01_08197 MTTPRVFVVRHGETEWSLNGRHTGTTELPLTANGEKRVKATGHA LIGNDRLIVPGSLLHVYVSPRHRAQRTLELLDIGWAEKLPWAEKPDPDVRVRCDASVE ITEDIREWDYGDYEGVTSAEIKKQRDEAGLPKWDIWRDGCPGGESPADITNRLNRLIK DIRTRWHADVIGTTENVPKDVLIVAHGHILRAFAMLWVGKAIEDGPSLLLEAGGVGTL SYEHHSLDEPAILLGGSFMVDVVENAQEVAAEQKA VE01_08198 MADSAGKNKAFFDTEAATYDTKHAKTLADLTRSLQSSLPFLAPF PTDPSTTPFTLLDYACGTGTITKALSEHCSRVVGIDVSAGMVAAYNTTASNQGLEENE VHAWVGDLIDPNVDRPKEFEGSEFWEFDLAVVGLGFHHFDDVGLAARRLGERLKKGGA LVILDFLPHDDVHGHRHGGHGHSHDHGHGHGHGHGHGGHSHGEKESAAEEKKEETKVA ETVMHMGFSKEEVQKLFEQAGVGLDFGYKVLGKGVVIGPEEKRMRREVFIARGTKA VE01_08199 MSYSEQQGGPYPPPRDPRDLGESQYPPPPGEYPPPPGEEDDRAR AYHQRAPMPTNVTLPSISPYDPQYAATNGYPQDPRYRQDPYHQGPPQGAYDQRAYQGE YNRGGPPHMAFSQTAPRQRTAIACRYCRRRKIRCSGFEDNPTGKCQNCQRFQQECIFT PVSSQAQAFVPAHAAYPHMRNVMGPDGRPRHMYQNQQLYGAHGQPLGPIPQQGAQGSQ YGEYAVPSPTGSYNSFTEDRGGDTGRKRPHGEPQASILPPPNPGQPPYPRQEPGRRPP VDDDLRLAPVTPVVGQANSNYSPGSSTSSTSGKRPGNEGLPSMSRTPPLRSSPGDRSD PMALGNIMERRPETEIDRNMLGRLGRK VE01_08200 MLFIVKHQGKKYEVELDPTSLGEVFKFQLYSLTGVEPERQKILV KGGQLKDDTDLSKLGAKPGQTFMMMGTPATDGNAISRPKEKTKFVEDMTEAEQARQDG ATPAGLQNLGNTCYLNSTLQTLRAVPELQKELAKYKGTTDSNSALGGLSQFGLGGPSA GLDLTASLRDLYSQMADTQEGFPPLVFLSALRNLYPQFAQKAKSGNGYAQQDAEEAWS QIVSQLRQKLKMKDTDDSETSFIDKYMAGRFSSSLECDDPAAKELGEEPIVTSEPFLK LDCHISATTNHLRDGLAAGLDEQIEKKSALLDRDTMYTKKSKLARLPTYLTVHFVRFY WKREAQKKAKIMRKVTFPHELDVVEFCTDHLKSMLIPVRDKVRDVRKEVEDVERARKR QKRQHDKEEADKAAGIVDSVTESKKSDPAPAKAAEGSAAAAGGDTEMTDETYRTDAEI EAERAASILNAKKELHALINPELAKDEGANKSGLYELRGVVTHQGASADSGHYTSYVK KEGRMNEKTGKREEEDGKWWWFNDDKVTEVEAEKIDTLCGGGESHSALILLYRSIPLP SVEGVDA VE01_08201 MSQSLRPYLQCVRSSLTSALCLSNFASQTSERHNVPEIEAQTSP EVLLNPLTISRNENERVLIEPSINSIRVSIKIKQADEIEHILVHKFTRFLTQRAEAFF ILRRKPVKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPFD VE01_08202 MQSLFVLQSFGYWSPTELIENQIIPVFNYSVATDFVLIEMNQDS FRNPAEGHGQLWLLSNQMRLPTVASVDSQESFASQVPLPYHLPADYPEHGYPSTPLTG QPWTYQDPKQPSGASKDVHGHQSDQFYGNQYGTPQNQGAPAVQPPSYNGRGRFDPAYA PGYNSGYPHDFGNSGYQHPPGGYSHDMQPYGHNVWQGFSVPQPVSGYQQTFGPDRMMS PLGFHPHGSQHPGQYPVAYGPNNGNQNHNHNHNHGKPPARRNGHRPRSSVAAINLPSQ YNDDFKQKNGGLGQRRYVSSGAETSRDNHGGGHESIHETAEPFPWSSKEYQTPNGKII ESQPTPKTARELGQETPTPLLRSRRNESVTNVTRSFKTESMNDPPPSFSINSRRQSTT TDSSQVSPRTVPEKTVHRDSDTVDPFYAESTDIAIYGKKARFDFGTPARAAVMPLHVS LYQPAPGPSTHLAALCPNGAKPDVEVAFDSANMPFVEPARTHPGHRTTGVVRISNIPF SCTLQEISAFLGRNAKLIPEPEEPIHIVMERVTSKTMDAFVEFVDINEAVNGVTRYES NRAGGRGGRLGERHVALEVCGHELFMQALFPKAVNVVWKGSDPQISTERDLYNSGFKG FISREELVMLVKHVEAPQRSPFSSECPQRPFECLISSVIKYPWHKVHDITIGDRDALF QTAIALCKNLIERIDSGGQDLALNDRLLKRVQRAVLSCCGFSESQKDDFAFLFRMTSL EAGLPPHADHWSVFKTIGAKRNWNQDVILYYIALLREATTSSALVSLAERSAKGLQTG ALSVFGNIEIEYPKDVSNMSLSAVGEIEWHAIEALLRKVLE VE01_08203 MASSALPALAPSFVAKKSAILHDLSTPASSYEDASPKGSVDIAI VELIDEINKLDGFVTTSSCAGRISVFVEGKKKTEDHQVDEDPANDVEGRGQSSQDKET VAGTGGKGGGGKWLYVSHDPFTFQAGQDLAAALGMTRTGDRESAWEGHRLVRFKFEPM ILHILTASLEHAQMVLRAGLAAGFRESGAINLTNPSSATPMVAIRSMGLGLESMVGLL DSNDEAMCFVSEKSLGGLLTISNERFEENSRRKERFWKALQEGVQAGSSGDGLKKKRG EDGGDWEDKEVRRERLRREGLERSKAKKESTNTEPS VE01_08204 MSEHQGSQSRGGRGGGRGGGRGGEHRGGRGGGGGRGGHNSQQQE RPKKENILDLSKYMDKRITVKFNGGREVTGALKGYDALMNLVLDDVQEVLRDDDGNET TRSLGLVVARGTLLVLVSPVDGSESIANPFVQQEE VE01_08205 MDNPEAPGGKRKRSISTAMPQQTRQPLQPQTPGNAPQINYLAKA RSGKMRLIEGDADDFGNILGLIDDYEGVLQRHESLAANLGAKLVGPLLLKSVEKLFEG PIKVTTTYPGEAVPITWLDVLDFARSTPQNFVLSESRSGGRVCQFWINQCSVEISEDD YRLIISGAPERMLPTQPIVDDEVAEVGTMDILEQRLSMLIKRADLVAARARQLNYHLK GRKSAIASRRTVAQSPEQTGASSSAQFMTNQTQASTNNGEGRSIHLDLLRQFQHDDRK GMPSRIKGSHSQTDATGSPISGGSPTPTSFGRRVTAPMPSGADDGTGGQYRPLIAARI EKMNRNDPIWPPCDRCRRLRMECTKYLTACGGCTKKHAKCSWRDITEEEIAFLIQIPE SSIENEDGALEVADMNANLDPDLRLMNGGGMHLGGHGSEGLIGPGEGKRGDVLTDEHS ILTQMASAAATEGNR VE01_08206 MPMLADPSKKYKRFPPLKLENRTWPNKIIEKPPRWLATDLRDGN QSLVDPMDGEQKWKYFQMLVELGYKEIEVSFPSASQTDFDFTRRLVETPGATPDDVWL QVLSPCREDLIQRTVDSLKGAKKALLHLYLATSECFQRIVFGFTQEEGIALAVKCTKF ARSITKDDPSQAGTEWAYEFSPETFSDSSPEYVIRICEAVKEAWGPTKENPIIFNLPA TVEMSTPNVYADQIEYFCTNITEREKICVSLHPHNDRGCAVAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGIHPGIDFSDLNKVIDVVEVSNKIPVHPRAPYGGQ LVVCAFSGSHQDAIKKGFNLRKTATDDDLWQVPYLPLDPADIGRTYEAIIRVNSQSGK GGAAWIILRNLELDLPRGLQVEFSKIVQSKADTVGRELLSGEISELFEKSYYLKENPR FNLVDYTISADRSSSPAPPAAGKTQDTKNMRRRFEGVVSIDGEEHKLKGMGNGPISSL ANALSSIGIDLDVQDYKEHAIGKGKGVKAVSYIECTAAGSSHKVWGVGIDEDVVQSSL IALLSAASSFITSRPNTPIPFRPTSSGR VE01_08207 MDVNAVLEGTLSADANIRGNAEQQLTQAADANFSAYVTTLVTQL ASEDAAKPIRVAAGLALKNAFSAREYARLREVQEKWLQQVDADTKKGVKDITLRTLSS ENAQAGQAAAQVIASIAAIELPREQWSELMPTLVRNVGEGADHLKQSSLTTIGFICES EDQDLRESLVQHSNAILTAVVQGARKEETNDEVRLAAISALGDSLEFVKENFKNEGER NYIMQVVCEATQASDSRIQEGAFGCLNRIMSLYYETMRFYMEKALFGLTIMGMKSEDE DVAKLAVEFWSTVCEEEIAIEDDNAQVDSADQMRPYYNFSRVATNEVVPVLLTLLTKQ DEDAADEEYNISRAAYQCLQLYAQSVGGLVIPPVLSFVEANLRQEDWHHRDAAVSAFG AIMEGPDEKVLDPIVKQALPVLIDMMADKVLQVQDSAAYALGRICEACSESIDPALHL PALISSLFTGLANNPKMAASCCWALMNLAERFSGDIGCQENPLSPHFNESVSRLLQVT ERPDADNQLRTAAYEVLNTFVMNAAQDSLPTVASLSDVILKRLEGTIPLQAQVVSVED RITLEEMQTSLCSVLLAIVQRLEKEIAPQSDRIMHVLLQILNTVGPKSSVPDAVFATV GSLANALEESFAPYMEAFAPFLYNALTNQEEPALCSMAIGLVSDVTRSMGAQCEPYCD TFMNYLLNNLRSTALANQFKPAILQCFGDIAGAIGGAFEKYLSVVAQVLQQAATVQAS PEGSYEMYDYVMSLREGIMDAWGGIIGAMKSSDQSALLSPYVESIFQLLNAVHIDQNR SEGLMRSAMGVIGDLAESFPNGEFSQFYRADWLTSMIRETRSNREFQSRTIDTARWAR EQVKRQIGGSQTVHQT VE01_08208 MSSATFKPVAKSPTDLDEILRIEESLVVPHFTTSDAFDLGLLLQ TRLADASKPALISIVAPSGLAAPLSPTRTLFQCSARAGVSPDNEVWVARKAQTVFRFG VSSWYMGQKLKGDEKLFAAKFGLSPTDASKYAIHGGAVPVRVKGVEAPVAVVIVSGLS QDEDNGVVVEVLQEWIKTA VE01_08209 MDPAITDELSKLDDGVAFRASPDHFHHTWARTFYSAPELYIQPE SIEEVEKLTTLARRCRRRITTVGSGHSPSDLTCTSSWMVNLDKFNKILSVDRETGLVT MQAGIRLFQLSEELDKLGLAMPNLGSINEQSIAGAISTGTHGSTLLHSILSSSVTRLK ITLSNSQTVTCSPDENEDLFRAALCSLGALGVITEITFLAVPAFSLHWKQTLYPHKHV YDKWNANLWTQGEFVRVWWYPYTRRATVWHASKTTQPALDIVHKAASFDSAFGYHVYH NLLYIAQWVPRIMPWVEWFINGMQFGFSAGEQTTIEAIQPSRQALLMDCLYSQTVNEW AIPLRNGPAALRRLTSWLNRLPPTDPEYVEHGIPYSAEGLWVHAPIEVRVSDTTLQLK NTDGSPRKSVRPHLDTSAKDGPTLYLNATLYRPYNMDPPCLARYYQAFEYLMRDLDGK PHWAKNFETTNEQLKEMYGDDLKSWLNVRNEADPEGMFVGAWHREYILGDEQPRFALE EAEVDRKPMRKGGVQIFGELTSKTAQKERDDVEETPIAVSENVSSYSSQSSFDFLNSS EATESQHLPTSSTTGTKGKGLAEAMEEVSGNSELTEAVTPAATIATLNSSISSIEAVL RDLADSQTATSENTASSTPDGSFLEKSEEITSTSEEAAAAEEAALVAEFWAGAAAAKA EAAAREEAAAKEAAAQQEAAAKEQAAKEQAAKEEAAQKEQAAKEQAAREQVAKDLAAK EEATRKEQAAKEEAARKEQAAKEQAAKEEAARKEQAAKEQAAKEQAAKEEATRKAEAA AKEQAAKEQAAKEQAAMEQAAEEKKAAEKKAAEEKKAAEEKKAAEEKKAAEEKKAAEE KKAAEEKKAAEEKKAAEEKKAAEEKKAAEEKKAAEEKKAAEEKKAADEKKAAEEKEAA EEKKAAEEKAAKEKKAAEEKKAAEEKAAEEKAAKEKAAKEKAAKEQAEKEKKAAEEKK AAEEKAAEEKAAKEKAAKEQAEKEEAARKEQVAKEQAAKEQAAKEKAAQEKAAKEQAA KKAAEEKAAKEEADRKAKEQAAKEQAAKEEATRKAEAAKKAAEEQAAKEQAVKEQAAK EEATRKAEAAAKEQAAKEQAEKEEATRKAEAAAKEEAAKKAAQEQAAKEKAAKEQAAK QVAEEKAAKEQAVAKEKVAKDQAAKEQAAAKKEAAAKESAAAKKPANPKKSAKDAEEK TTTTKARRLSFAKAAALTPEEAPKSTEPAAPVLDLHHLPPSPSAPKANGNGRHVLPLQ PRPNGAHAKSKASTTKEGESPKAAVKKEQQQSTTTDAAAASPKQAKKEQQQSATITDA APSKREAFDGSASTRAAKRAKLAELTEQFVQVETVEVTQQKENVAPVVARPNSLDDML EEQARARGERKVEGGWRPQARPNSLDELIEEQKRGGEGKTAEGGWRPQLRANS VE01_08210 MAAESSTELSSLGSLSSTPTTGISGPNPTIEPYTTALNGVDQLG NRRYRDALFWFLGILGICVLLIRMLEISRAWIRHKVAMGKPEGRQVFWRDNQSAWWPW IKRNITYAPLGSKRHNREMRLSSAVSVGTLPSRFHMVLLTVYFISNFAYCAALDYSVE NRWSVVAQLRGRSGMLALSNMVPLVIFAGRNNILIPMLKISFDTYNLLHRWMGRMVVF ESLIHTLAWLITQIASDGWSSVGRKISHDPFILWGTIGTASMFIILISSPSPLRHAFY ETFLNIHIILAFAAILAVCVHCKLGQLYELLPYAIGVLAIWFFDRLSRFARIIYYNYS SRGSTYATVEALPGDACRVTLHLPTFLHVAPGSHAYLRFLSIKPWESHPFSIAWTSHT CIDTIGVLPTTEKAPDSPIPKSARTSVSFLISAHTGMTRSLYTRALAAPSHRLRTRAA FEGPYAGHHSLASYGHCVLVAGSSGITHQISHVHQLLTEYPHGTIATRKITLIWIVRD LEHLEWVRPWMDELLRMPQRRELLVIKLFVTRPRSAAEVTSPSQTVQMFPGRPNIRVL LLNEVVNQVGAMCVTVCGPGGLADNVREVVREVQGCGVIDFVEEAFTW VE01_08211 MGSSPSKPASQAPSHVWQSETPVRFSQELVDSLQSSTETDSTRA NTLELHVQARVAEELKRLQARESSTLAALLEAEKNETAPDAATSAESSAGDTLRGLGR ESVAKDVAKLRERLEQRKKMREVGELDEEVDRARGEVVKCLRGNDTRPLDCWREVKAF REGVARLEGGWVEKVVR VE01_08212 MSRQTIRRVVMTGSVAAITATGAWYGAGLKTQKQATEAVEKHRE VTAEEQLALLNERRGQLVGKKMTMERKLAELEARKHGATREESKFGRERR VE01_08213 MSSRAASAAPFTPRSAASGTATPTQQEDAVSFNPAQIREFTPQN YDLNTSTLNGGGLDAQVPFDPFTIPNSTQAVPAAQYNPYLEDTSAGASAAYYPGQASY AATAQPLQYHLYAPMGPHREDLLAYQRLPHDFFMPEKLREELQRKSEASLQTMPSYRL TNEKAIRSVKEWRRVDCGGVVTVLDAFTTRAFGDSSLIFVTDYHPLAKTLVETHFSTA NRFGNRVATTVPEQVLWGYIVQISAALKSVHASNLAVRCLEPSKVLVTDKNRVRLSAC AVLDVVHHDIQRSLQELQQDDLQLFGKLILALGTNSLLTQQNMKVAVEQLGRIYSTEV RDTVLWLLTPAVAPATKSIDEFLCGISSHVVSSLDSAFHQADSLTSELSRELENGRLA RLLMKLGTINERQEYEGERSWSEHGERYMLKLFRDYVFHQVDALGNPVLDIGHMIRCL NKLDAGVDEKILLTSRDDQTSFVVSYKELKKQVAAAFGDLMKPAVAKPNRQF VE01_08214 MATPGEVDIGQLSQSQQEALQQYTSVTDQDVQAAIPVLQRAQWN VQLAVSRFFDGDSGQVDPLADAIAAAQNAPPIRSSRHENLQESLLRGPSASTRPDAAP RIVPQPEDQIVRRPPLLLGLLFMPFNVIYKILSSSFSFFAYLFPFLPRLFQPRNRTAQ NRRKNTTGRRMLSPQDTAARFKREFDEEYGSNSLPFFTNGYAQALDLAKKDLKFLMII IMSPEHDDTSSFIRDTLLSPEVTAIVNDPSNNIILWAGNVQDSEAYQVSTALRCTKFP FTALITHTAESGPTSMSVVARLTGPMSASTYGAKLQNTITTYTTQLNEARQARSAQQF ERTLRQEQDSAYERSLAQDRERARQRREDEAAQAAEEQRAREAVEAAEKYANGLQQWK LWRVQRISSEPPKDATNAVRVAFRMPESAERVTRRFSPDTPLEELYAFVECYGTQEPG SGEKVAEPVDFEHEYKFRLVSPMPREVYDLEQGGSVLDRIGKSGNLIVEPIVDDDDAE DDE VE01_08215 MKSIKSFGNVNRVLARRQTAPTTTDTSPETTLATPEENASRSVK LFCESGGPGHTQGEEILHLPAIVEAAESSPVAAKECAYQIRKFLSKDNSSRAYVQYNA VMLIRILSDNPGSTFTKNIDKKFVDAVKELSRTSRDPSVQQLLSDTLNNFLRDKESDV NMSGLLEMWKKEKVKIEKVLSQAGQPGRAPWIGHHPHPHAPGRHANNGPPGRLPGPEE LASRVEEARNSATLLTQLIQSTPQSEFLQNDLIREFANRCQAASRSIQSYMATEHPAP DNDTMLTLIETNEQLSLATTKHQRAVLQTRKALGVASPDPQLSPSVGPVMTSGVAPAQ PASMFKPVAPPSRKSFPKPAQPVPSERTASPVSPETENPFTDPVEPSDVATHSVIDHN EPYHPGFGSNTASKDRRESATGGATLDTTSTLKDGRNQPRDADDSDDDPYAAPVPQTT PVYRY VE01_08216 MTEQESHKRTKSTLSLLRRNPAKIIDDEGASDSGSVGSSSQPTL HPSASGTSLLSKSPTMAGLTKTVSDSGRSAKLPAAATPDKTLSIEQSVRKFRIFEALR GGDTAQISKSIREASEGANAAGQLEDTTILHLAIQCAELPVVEYVLSDGASAIDINAK DKDGNTPLHLAALQGRGQIVRLLLAQTGINDSIANTAGKLPLDLARTPDIFQQLQLSR SLFVDTKIKEIQLLISGGNYKALSDVLEEPRVKTVLDINGGEFASEISTVQSGGTLLH EAARRKNTQLIQVLLLHGADPFRRDRRGKLPQDVTKDEATRAMLKRSPAAVAAQRGIQ EKAVLGNLSQTAAGTTGAADALAAKESREMKGYLKKWTNYRKGYQLRWFVLEDGVLSY YKNQDDTGSACRGAINMRIAKLNMDPTEKQKFEIIGKSSVKYSLKANHEVETKRWFWA LNNSIQWTKDQAKEEYKQKERVSELLRQAKAEQSERTSRDHSSVNLAPESVSGSDIRR GSMQSVRATPTSEPSRQPSQRVTFKGTSVAGSVQDEDEGTAYGSYEASFVNDATRITG TIANQQFDGDYEEDYGDDASSRERAPVSKDAFDITAQSAKLQLDMMSQVHTAILSEQS QNPGATISDPLIRQALSTYDNSIRSLNGLLSDMTKISKDRDDYWQRRLDHEAELRQMW EDSMAQVAREQEVLEARMGESEEKRKRTKRALREVIESTSRPESRGSVHEPGLVEAVE AIEAPDGVATLRRRASSTKSTLRRKSILADIKILSDSDSEDDEEFFDAVDAGEIAVGE MPMSPKAEEPTEEKSMQLTLAGGVDLTSSYLGYEDGIRKRLKMDADDRPKISLWSILR SMVGKDMTKMTLPVSFNEPTSLLQRCAEDMEYTDLLDTAAGRADPTERMVYVAAFAAS EYASTIGRVAKPFNPLLGETFEYARPDKEFRFFIEQVSHHPPIGAAWAESPRWTYWGE SAVKSKFYGKSFDINPLGTWFLRLRPTNGDKEELYTWKKVTSSVIGIITGSPTVDNYG IMEVKNWTTGEVSTVDFKPRGWTASSAYNISGRVLDARGKPRFSLGGKWNSKFYARLT PGYEAPIEEPKGELRHQDSVVDVNQAFLVWQANPRPTGIPFNLTPFVVTLNDINDKLR PYLPPTDTRLRPDQRAMEDGEYALAATEKNRVEEKQRATRKRREMNGEEFIPHWFKKN KCPITGEEYWDFTGQYWEEREKAGKGESNWDGVERIF VE01_08217 MSTSLNRMSSVNANIITAVVQMKRISQSVSSEAARSARAVFPRR PAQALYTQRSFGTTSRLSADVAPITAAGPPPDAPVASVEHTDIRVARKKKQAELLKRG QDMRAIGKGTGGGSAKTKRFWKDVNVKKTDDGHQIFLDTRPLRTPTKQILSVAHTKPH LAHAIALEWDLLVSAQQALRHHLIPLTSLTSRALDIAEEDKKSSSASNPNGLRNTITE TLMRYLDTDSLLCWAPTPPDDPPGYETHVSRTESLRTIQQRTALPIIQYLTERVWPGV EIVPVLDEGSIMPNAQPQQTLDVIKGWIMGLPAYELAGLEHAVFAGKGLLVAARLLVE WSEELAHLRGEEPEKKFGIEEAANAATLEVEYQLGMWGVVEDTHDVDREDVRRQFGSV ILLVSGEKAP VE01_08218 MDFINKLASSAGDNNNNNGGGNNSGNNQYNNNNNQRNDDQYGNS NNNNNQRNDNQYGNSSNNNNNNNQNNDNQYGNSGSSNNNNNQRNDDQYSNNQRNDNSG GSSNQSGAGGFLGGLMDKVNSSQNNNNNNNNQSNNNSGGSNNQSSGGSSFLDGLKDKV NSAAGGGRDSEKNEDMLDKGIDFVQEKFMGQGKQDNESAIEQAKDGQIADFIRGQYKS ATGSDFPIKDKKNSFN VE01_08219 MSDSRTTLVRPPPQNPELSAIENTLELTELNAIGPDIFTNTRPL WHPPGARGVYGGAVIAQCLAAAQRTVPSHFTIHSMHCYFVLAGDSTIPILYHVEHVRE GKSFATRTVQARQRGKCIFTTTMSFAREGSGGRETVHHAALMPEGIMGPEPDLPGDKR DGSSGPPFESQRVAILNNDGEPNAKKTRQWIRARGKISEAGGHEAHLSALAYMSDSYF IGTISRIHNLWRFPTPGSAIAKKIEANPLAAEQMRRNKITEGFGDDLDNRTNRPEIGM MVSLDHTIYFHEPRSLKADEWIFTEMESPWSGDGRGLVFQKMWSADGRLIATCIQEGV VRLRQDAPPSESKL VE01_08220 MHAISQKCRPKHQVLVLKCYPRITKGAVDVKPNSSELSYLSYYA TTRRSKVQKVGAFLETKTASDVWRARIGNVQVTLQILEALIEKTPRDLPLYARYVLKI LHLILKSGDITMVEASIPTFEAFCEHHDGASLSADQEYLRHYEDIVRTYASFASTRPK PPPIVSASAPMAMRWRVAGLRALKSVVSSQALGSVAGRQLGVIMPVLLENLWTDNPEY LDTLLSRAYLEERVSSEKLLPRRGSIATVRTVETTTDVNPIALSGTTADADKLAEEDI GVLAIQCLKQIFEVNSRSQIRSGTLATLSFISDRVIQGEVLIDQQYPYSEDRGWATTV FLMFARWTPVQERYTILVTAMDALVRSPLNENSAPQELVLATMIGSLLRSDINLIGLS VMDVLLGLIQHVLQLLHLGKAKSPIQSTNGGGLDGRSSKQTLPTTSSDRVAADGVPNA PSRVQIDLLRVLERCIGDLATHVYYADQISDMISALLLRLKPLPVSVTPTDGATVEDA EGTSSLVAAAANIVEDGRIDGFFSFDTAKLSALTAVKSILTVATKRSKIAESTLGRTR VGLGVWESTQWLLRDSDGLVRLAYADAFLTWLDREASSEELTALDEKSPPHTHHKGIM RTSGDDSTDNMARRAVSATSHRERAAKLQQTNVIELLHLAIYENALQYTDSEPDIGLL HLLLVKLVDKLGMNAVKSGLPMIFRLQEDIQDAETLAKVRMGSLCHGYFWALSEKFDL RSSGIGMEIYDEIFRRQSKGFWAKIIQMPPVPLPELGIPGTISPHQGLPTHELESESL TPFDDRETLVELIEVSYSQASAAATSPVSSPNRSFNHPILSQPQEVQSPVATIPEAVK EEMLSEWSKEAVLASRITGSKSVSLSGSRSGTNATGQARNYLTVNGNGGALSTHSLHQ QRSRPPSQAYGIIGGLSAISKLRQSTGPDRTPSDSSKNSITRVDHLKRVLSGQAPPSR GVGTIHNDSSSESMLSYDASPSEFSYDNRGPIADRGRKPSNEIPRSKSQERTIANEGF KPLRSHPVQSDSVPAYGDGSAREADEEEVPPVPPLPASLTIGGSPTGDEDRSRNLKTR AQEISLGDYLQNGRSESRGRRTQEGPQSIENGKQGREASPGLNLELLLKGITTGNDKR QDPGMVEPPY VE01_08221 MSESEKYEVLEKIGHGSFGIIRKVKRKNDGEILCRKEINYIRMS QKEREQLHAEFAILSSLRHPNIVGYYHREHLKTTQDLHLYMEYCGNGDLGRVIKDLQA KKQYAEEGFVWSMFSQLVTALYRCHYGVDPPDVGSNVLGLGNTAKPRPPVGNVMILHR DLKPENVFLGDDNSVKLGDFGLSKIMQSHDFASTYVGTPFYMSPEICAAERYTLKSDI WSLGCIIYELCSREPPFNAKSHFQLVQKIKEGKIAPIPSVYSPELMGVIKDCLRVNPD RRPDTAALLNLPVVKLMRKEKEVVELGKLLKTKEELTAQKLREADEKISRIENDKAKV RAEIDASLRREWEVKARLEIDRLVKQEVEKLQKKFEAEVQERVEILVAEKLNTPSASS DTVTIEDFSRSSMPSDIPTSSVSTSGEFDFPSTTDLTDLSLDSPEPIKPTKKTTRTPF GRAQTMFAGTPMDVEMADPSPMSIASLSLSPRRNGGAKAPATGRNIFAEGGNPRDRWQ ATLMTSDSEDEDDMPPIPSPTRQSSANPFKPSSARPALLAQRTAPMPRISNMSNLNGA ARGITAPSLPTQGNNLGLRPASSSGALKERSTSPNRRLSKIPSSSNLHAADLGSSPTR KPSLTRKTATSTDPSELNKFAIKNNMAMKVNLPPKGRTLVELAQARAGGRQLDINDNV IVDENSPKSKRAFADRMAQREAASWDPERDEMPSPFLVRTRQPIRRM VE01_08222 MVLRKDELEIQLKDEHQLIKEGVLRDDSPLDTSNDFNRLCDACR RGDLKDCHEMIAGGVNINARDIFDYTPLILASLCGHYEVVQLLLESGALCERDTFQGE RCLYNALTNRIRNLLLQYDYSKSSDPLQPLASHITSLLTKRVPKTSDITLTSGTEDFH VHKFILSARSPYFRKKLSLAPETTQWKLAPVIPDESFEIALRYLYLGEVPVDLGLGPK SRISEEDVLKGIDKVGKHLEIESLWEGILAGEDRRIARQRHQDEVARGRDQLESWYRD NVLKHKIILDTKKANNVKWDRNNTIFADVILRADVDPETEAPTPSEDERRDALQGNGI PIGPSASEPLALASSSDKSVLFPVHRAILIRSEYFMTMFSSSFQEAQVTPYLQIIPVD CSPEVLEAILNFLYTEKADFSLDIAIDVLLAADMLFIEKLKAKAAVIISTLGNGDRDV LVDETASVRITQTEEETINIYDVIRAGWLTRVQRLEEFAARYLAYRLEDYIDEEEFEE LIKESASRIEKRQETDSIELLDDIRYYLSERFRLRFEGTGMEEMMDENRQISEDAGND AETDGNVVNDDKIPQESMESIYGANIRTLDGEVVGDEFDSDSINYQILLEKIDKLLER LKLDA VE01_08223 MKGFLAPLRPWGATILTVALAATLPALVHAASDAGNFVKGTVKG TGSRAKTIILANDRYPALYTGKFGDCLGGNSQIDVTGFDAAYYADNMTVMFHLTGTTK IKHDNIMAYISVDALQISTGKSPDSISYQLLTYCSLCPMNASTPIAAEAIIPVTKDMV SGIPPIALVIPDFEGYATLRLYSNTTKTEIGCYQAVMTNGATFSHPKAVGSVLAIFTS VALLASAATAIYGVNVPVMRTHYAHSLSVLVIFELFQSVFFSGALSLQWPSVCVAWWS NFAWSAGMINNAGMTRSISNFLGTDQGNSSHVGGVSIASLGSNALSQIYGKPGKPPGG VPPKAGARTIMRQVLDHIIGVRDIVGASASQDPGYSWYGGKSGSSLPLPGVWSNFTGE LSEIGIPAPNAFMTGFIWFLIALVIVIGLIVGLKWILEGFSTVRWIRKDRLDFFRSHW VGYVQMAILRTMMVAFFMMMTLTIYQFSISGPAGVTAIAAIVFVTFLVGLLGIAAHCC FNRLRFGRYESGFDHVIISRKKVWKFIPWPGFSWHSKTTDADETAATHIDSPPVLGSF PMFVVRYVDDDPLRPGVHEDPTFIKRYGWLSGRFRRTRWWFFALWFLYQFVRACFVGG ASRNPEAQVVGLFVVEIIAMIIIVALNPFEGNRNTALAVYLLGLSKVVTAGLSIAFLP RYNMARIPTTIVGFVIVITQGVVAIATLILIVLGAISSYMSLTRNREDFKPRWLEGIR YKYFTHLERAALDIPPPPPPPKEPEKPAEPIEPYFKVNSVHREPKIEDEQADILAVMT DPKSQPIVSGRRGRANSALGTSSVPGGLPFGARAHRASWSSQDFTLWQQEMMAGSLGK AGHSRHNSVNKLRHSSGTMAPLIQSPQSLGSSDPITTSSKQHIVRGGQWPLTVGESSQ GSSAENLQEVTIKE VE01_08224 MFYSHEILTSRKYGVATVWLVGTLGPTSTALKVKRKQILGVDVR KACETIIQPEAPMALRLQSSLLYGVSRVYNQQCGYVLHDAQTAQNNMRALLKVMKNNA IDLNAGAARPDQLMLMDDPAFEPGLALPSLPPLDFSILDQGFKDSQYSMLSSLKSSNA SGFSNSSQASIIGLQIPASDSDITGQFQLPGRFQLGSSAQKTPSGVNPFDGDEPGLLD DLDFEFDADGAMVEVNAEELERRRTGVYPAGTGRLQSDSAASERVRRDHEEALIGRPG RLDDDGDFIMQMDDDMNILPDAEAFPKHQGAKLRGDNESSLEESSVSAEVPMKKKRQR KALKVISDVDIRLDIRNSELSEWQTNYVQNMAKDKHAKRMRAINKYAVANASIFIWGN GIGGIGKIIRYSGNVPHLLDIFSGDKLKELLTGVASTLGRRMKRARSAFDENDEEAAR NVRARPDLEPQLPRAVGEDTFMPNFDDEMMPYPDDSSGVEQGREAFTPLQDHHSSAMP WNVSASVNSFRHRSSSVVPGQGRHSSVIPSRPGSRHPSASPLLGRGRLLSDNDMELPA GGVRSSSVFENEELEALGPSAAVDTQTAQNSQWVADALETESLNFLEFVKCSHQEAEA DLEDQDSQDGDDEPRENWVGFETLFPPQESYRVVAAQAFHHVLSLATKNLLRVTQEEG GEVMMSVKQ VE01_08225 MSEVNEKGVATGDDSKNALMNPTSAADLPETAIEKALVRKVDMH IIPLIILLYLFSFLDRVNIGNARLYGMEDELNLKGNQYQIAVSILFVTYCGLEVPSNL LLKKFQPGRYIAVITITWGIIATLSGLVHSFGSLVACRLLLGVFEAGLFPGLVTYLTL FYSRRQLAVRIGYLFTSAAIAGACGGLIAYGISFMDGAAGLSGWRWIFILEGIPSVLV GIACIFFLPNDVETAYFLTEDERKLMVNIRFREVGQTVSSQKFHWADVKEGAQDFQLW AFSIAQFGEDVMLYGFSTFLPTIIKGIGHWTVAESQALTVPVYALGAITYLIVAWISD RTQQRGLYTCVFAVVSMVGYGMLLSHASSGVSYAGCFLVAMGLYVSVGLPLAWLPGNL PRYGKRTLASGLQLMFGNIAGIATPFMYPTADGPNYIMGHGTSLSMVGMAALIYLGMM FYYAKVNKDRAMGKEDWKIEGKTDVEIEDMGDRSPRYVYAT VE01_08226 MAPGRKRRAEVAPESSDEQSEDEGPRSTQRRRQNQPAEEEEEEY TQNGVDEPTMVDADGDAEAGANPRENSGLVMKLVRYALACEFGRVPIRREGIREKVFA KHGGRKFQQLFDEAQVQLEEKFGMQMVELPSKEKVTLKDRRAAVQKAAATSTNRSYIL KSTLPQKYNIPAIITPSHIPSASAESAYMGLYTLIVSIIMLNGGRISDEKLMRYLQRL NANVNTPVDTTELIFAKMQKQGYIVKIKDNANGTDITEWMVGPRGKIEVGAEGVKGLV ETVYGDTAPDDLGVRLKASLGIKDGPERRERQVVEPEREEQGRGGRRRRADEAEEE VE01_08227 MADKLRAQQQLEQLQARYVGTGHADTTKFEWTSNIQRDSYASYI GHPPLLSYMTIGMGEPKERVRAALIEKMIQPVGKPPEVQD VE01_08228 MTADIQPTYPLSKAQVDEIASLHEADTSELEGQLKTLSETCQSN CASGFAKCTTHQNEMRKLYQDTYTAASAGRWTSYRPAEYTQDLKRMFDAQTTIEKING RVRREKTQHIKDAQCTFGPSDHPAVKKAKIRAAELRGTGTSPADIDTYIIEEEGKLLG TLTPEQREAQAEYNKSKSEAEKYTYLRNYACTPQPTDTPRDAELRQKWTKLFDNATPY NEIIPAMEKDIADAKSNAQILENRLADLRNAQAANNKAKAAKEESKRKQARDAIRRCC SEGCGNVCELSGPNADLGCERCFGMKEEGGLQEYSWFCSPECAKGNAGSHNARFHSS VE01_08229 MELAGDSIAEVVLKKFNTLPKKRKPIQRADHKREWVPLAGIVAQ GEDGLTCLALGTGMKCLPSNKIPEANGTVLHDWHAEVVALRSINRFLLEECRKLASSK EQSSKYVRRRRADEITPSSFQPFTIREDVKLHMYCSEAPCGDASMELTMAAQDDATPW EIPAPASSTATDSSMPGRGYFSNLGIVRRKPSRPDAPSTLSKSCSDKITLKQITSVLS SVTSLLISPENAYLQTLTLPESQYVAVGCERCFSPRGRLKDLDVPPSGGYSFHDFKMM TTGTEFAYSRRDADGSPLLSDAISPSNIAASWSPYFEETLIGGVLQGRKQNDPRGASV VCKKRMWELARDITRLLDLEDRSLVESSLSHEVDSGAVKGRKTYEDMKQSQLLQDRMT AKNTARQTLRGWARNDGDEGFTLDE VE01_08230 MYFEPIVNKSRKPKFELILQIIDLNNVPLVAGQSYVKWHLPMST AAEHRGVTTKAPIKEHKVTWDYERSLVVRLSMDKTNLLQECFIEFEVIQDYNGGARGE KITIGRVRLNLAEYADIHDEEGEVMVRRYLMQDSKINSTVKIGIGMSQVDGDRNFIAP PLRTAAVFEGFTGVMAVEQEKDKAGYTSAIGINKELAEMQDMYRQTLAASWLCGKGTL AADACIEDIFNGGDGWGNKPAPASQNPVAQTIALGADDSEDEGMGTATPLNRHQRRHH HHNHHNQQQQQGQERNSGGEPTRNGSGASKKHTHAVKKARMESEMPGLTTTVDKKGNP IGGIRRVSVKALTSDKGEQQVQSSSSSEEGRGEGRGRNGTRRTDEVAEWDARDDLRAW TVPCVEVVDEGVELGVGGGGVVAGVF VE01_08231 MDDSTPLRHFHPPVSASTLLARLRISQIDPATGLKLPRLRTGVR DVDEYLLGGGVQRGCVVGVSSGLTSPNRGGREYPAAADAEEGGDTGRLVAMHILARSL LERPHSHASIIDSTGSFPLALFAGVVRWCVERGGGSGVGDAGGAGREGGKGGKGGTGG AGGAGGAGRKGGGGKGEVEEKVNAVLERVGVTRIFDIEGLWEVLGEVGGGAMGGADRR DEAKEASMGEEGRGAEDMGLGEEEEGDDGMPREALPTAAGVREIMDSEEEDDEDDDLA TQRLPNPRPQSPQDRPGSNINIHKPSAPAAPTTHPTTEIILLDNLTTLTTTLFSRTST PLAHHLLSQLSRTLTTLARSSSVTIFLLNTLVRKSTKTAAGNPEQQRKKEQEKQHSVF AGMTATPSLGVVFDGFVDLHLMCFALPRGREDAEGVFGVELPGDDGELRDDEEDGGAT GERGARREGRGEGDRFANVIEVLKDECPQLERWEGVSDGKPGRGVDREKRWAAFGVVG GVGLVDEVFEGAGEE VE01_08232 MDKETVTDQERPLIESKAAGLSLNLPSNNPFRNRTTSPVASGQL SPMEPPPRPLSRNPFLDDAGTVKESLQRLPSPGVKPAPLTGSAAELFDELTLDDKPRT SRPQQPTRTLTDNSRAENIPPNGSVSHHRPTRSQDRRPPGPPRTDKPQPRRPTNELDI FADPTDTKPNERRPRRNSDSSLMGGPSGSGKALDPVEEKKRQDRRRRERRHREREALK DPKKPSRKLDIIDQLDATSIYGMGVFHHDGPFDACNPHRNRQGSRRAPMQAFPKDSLN NALVGGPPMNRRPNHAAFLGNNDEEAFKDFSTGGAAGTSYESYGGRANGAGAQPSFQS STMKVEPVHGDESLGLGTSTFLEGAPASKAAIQRTAAETAAAESAAAKAGGGLARKKS LAQKIRGINNPRREFAPGPPRRGSSNDSRPSPSSPGQDGNGPRPKTNENNPFQFEFEA ARAGTAGRKESITFREPENKPTRSPPGGSPAREAPGARLERRITTDSTGGEEAAKPGG FLSRVKSLKGGPRRPKAEKPVFD VE01_08233 MASSSHPPSPPSNNQQSKYGGFTRFEIELEFVQCLANPHYLLHL ATLTTSETPNTPSIPLLAHPPFIAYLSYLQYFSTAPYLKYLTYPGPTLKNLELLQNER FRRDVLSPDVVGQLVVEGVGAVAGS VE01_08234 MSAITTTPQALITEWAYFLWQERGMAQMYTHLVLAALFPIYAGA HASLRRPPSAAIPIKTKRRTREGDDEDSEDEELEVEQHLGLTPSDAIMFPILAGFTLS GLYFLIKWLKDPALLNQILGYYFSTLGVFGVGKLATDALNVTTSVVFPNMWSAKGQIY HINQTLSAQLIESPSPSRPETKIHRRFVEAKTNPFPSIFSSIRFPARTTKRIWAVRAL LNQQWVFRGYIHGILSAKSRVRLNDALGFLIGVTCIALYNMNGKPWYLTNLMGFGFCY GSLQLMSPTTFWTGSLVMGGLFIYDIIMVFYTPLMITVATTLDAPMMLVVPGPNRGSM LGLGDIVLPGIMIGLALRFDLYLHYLRKGQASSDIALPSYKKPTASQTGDLFWTARHA SLRPATLADAAFRKTYFHAALVGYVAGMAVTLSVLNIWNHAQPALLYLVPGVLIALWG TAAVRGEIGVMWRFTESGDDIADVKGVRVEVVEAKKVEGEGEVKAGEGVKKYGEREDF VMYFTLSTPKAEDVRERAVGKSD VE01_08235 MATNVALETTMGTIVVELYADHAPKTCQNFSTLAQRGYYNNLLI HRIIPNFMIQTGDPTGTGRGGSSIYGEKFADEISPELKHTGAGILSMANSGKDTNGSQ FFITLAPTPWLDGKHTIFGRVTRGLGVLKRMGLVKTGGEDRPVEEVRVVRAYVLEEGG GEEE VE01_08236 MSSTSSRFKGFGFGSKRKSAAGPGIPPPTQPPQQPLPPQQQQQQ QLPPQLAGQPVRPGPLSQSSSSSSLAMNQHPGAGPRPPSYTGYPPGVAQGRAGSPNMV PGNPRTPPSQVLGGPPPINTAPGGYPQQHQQQQMGAPSQQLPGGPPQYGGQQQPYAPQ QGGMVPQPYTRNNAVEVEGAGRSKSQLIVGIDFGTTFSGVAFAFISNDNAEAKEDIIT EWPGAGIYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLML SGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRNQLQKSLGEVFNREERNIKYFL TVPAIWNDAGKAATRAAAIQAGFLRDENDNRLTLITEPEAAAMFCAKAGLLNLKVHDA ILIVDCGGGTVDLIAYEVEEETPFTVAECTAGSGDSCGSTALNRNFSNILRTKIRKMK LPEGSRTAGRVYAKCIMDFENRIKADFRNNNQKWAVDVGIEAEFPEAGIEEGYMTFTN EEILQCFEPVVNRILELVRNQIIAIQAQNRTLQNVLVVGGFGASEYLFQQIKLHVPPQ FQAKVVRPMDSVAAIVKGAVTAGISERVVTSRVARRHYLMATLQPFKEGHHPEAYRVP SLDGKDRCKFTRQIFVQKGQRVKIGEPVKVSFFRQVAPGATLMYEDILYACDDEICPE YTKDPRVKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFNAELVC QEGEAVNSLDAYTYPILDDELYNIIHDIVLKVHRDERIAKANTAAIIIEQEAAEAFPD QPSTPSKSSKHKHSPPKRLENDAAIYEDGLVTIKGNPLATVHEIRCPKCGLPKLLHPT DGNGARKPEPGVEYCKRHPYIDKPGHDIYGLPFPKDDSTANRKSKSKNLLASSGSQLD GPDSSFDSADPSPPPAVAEPVTFPTTLCPRCPRYINIKVFARHLNLHNKGGGRASGRA AIMKINGQSSGGVTPPTSRRSTPNIKLSPQKRSLEDLEDVNYNDDDDDDEGESPKKKA RVPMLKVNPKKKLGNGLGVVKKWKSGKITVDGKTVDQRPGLGTGGGGKKGKA VE01_08237 MSSPLQEAAQRVAKEFDYSDDEVFKAGKHFITQMQEGLLKDGTS MSQIPTYVTAVPNGTEKGVYLAVDLGGTNFRVCSIQLHGDTTFTLTQSKVAIPKELMI AKTAVELFSFLAKQIELFLKEHHGEHFESHLRRRNTVSSPTGYRDEHIFKLGFTFSFP VHQIGINRGTLIRWTKGFDIADAVGKDVCQLLQDAIDALHLPVRVSALVNDTVGTLMS RSYTSPGKTPTVLGAIFGTGTNGAYVEKLSNIKKGLEGAYDAKTGEMVVNTEWGSFDN ELTVLPTTVYDKDLDTASVNPGIQMFEKRVSGMFLGELLRLAVVALYKEKLIFTDVAA IEAASPLNTHSGVDSSILSVAAADETADLAALRKEIEVQLAVKQASVEDAKAIKTIAY AIGRRAARLSAVAVGAIVVQSGRLDTTSSSASGEVSEDDIVDVGVDGSLVEFYPAFEE HMRAALRKVAGMGEQGERRVRIGIAKDGSGVGAALIALVAEGMDVDA VE01_08238 MSAHGQSTSSSQKNHSTPASRRALSSFLLLLAAATGLEAGGHNA TADAGIPIKITNSCPATIWPALATQEGRGPTTHGFELAPKSSRELSVSQDWQGRVWAR TNCSFNADGTAASDGGRQACDTGDCDGVLDCLVTGNPPATLFEIALQSGANKTNSFYD ISLVDGYNLPLSLTHLPDPRLPIPPNLTNPSCIATPPFSSALSENANATYPTPRTQTQ DLTRWCPFPLLLQPPAKPGGGAFPLPDDHIPRPPFSPCLSPCAATGSDSDCCTGSHST ASTCSPGLYSHNAKRVCPDAYSFAYDDGKSTFSIPSGGGWEVTFCPEGPSSDILGTFG EELGVVAGGVVTEEVWRVAREGKKGGVEGIAAAGGKGGREGSLGALVVVVCVWVLFW VE01_08239 MADLRNGPEQDQEHEGAKPSELIIEACRRNNTELLSSVIEECSS PEAAAKLLNESRSVLGNYAYHEAASNGHYEVIDMLLDQEGFECDPINKREGDTPLHSA VRWANEQSAENHEYSIGLIDMMLEAGSDPKIRNKAKLKAADLVNPANTELRDLLQKAE YVLQNQGDFIDAEEEEDEGPTGSNSDSDFDEEPTNGKKR VE01_08240 MLLFCPNCCNVLTVSPVPPLAGNSDDDPSAAAVGQNRLECRTCP YQYLLTKRYFERKTFTRAEREDVFGGPGAWDDAQKAEVQCPREGCDSNEAAFFQVQIR SADEPMTSFYKCMTCNNRWREN VE01_08241 MCGILGLILGDIEDPSSTTAAADLHDALYYLQHRGQDACGIATC ASGGRIYQCKGNGMAAKVFHDGSRVQDLPGFMGLGHLRYPTAGSSASAEAQPFYVNSP YGITLAHNGNLINAEDLRKFLDIEAHRHINTESDSELMLNIFANELNETGKARVNEED IFSALTRMYKRCVGGWACTAMLAGFGILGFRDPYGIRPLVLGSRSSGTLKGATDYMMA SESVALRQLGFTNIVDILPGQAVILRKGSEPVFRQVVPQLAYSPDIFEFVYFARPDTI IDGISVQRSRVNMGYKLADTIVKTLGAEAIKDIDVVIPIPETSNTAAAALAEKLKLPY SQGFVKNRYVFRTFIMPGQGNRQKSVRRKLSAMESEFRGRNVLLVDDSIVRGTTSREI VSMAREAGARKVIFASCSPPITHAHIYGIDLASPLELIAHNRDRHAIAKHIGAEEVIF QTLDDLTASCAELSPRDPATQEFEVGVFCGKYATPVTDGYFEHLEKLRGESRKMKVLE AAKDNVLNGIADEEDINVITQGIAVASKSEVIPDAPDTSNGAVHDYEEAGHARRKKER EDTAGGTATPVRDRVDISLHNFANEPRSGYE VE01_08242 MSTNGPRKRKVDAANGATVNGEVVNGGWDELPHNLGTVAADVED QKPKRPRARRVIKEVVDTSQAVQVQADKLIEGNEILKTAKNPKKHKYGLTPGVTPYPD FLMPTPEACLEVKNLLSGLHGVVEQPKAVPPPSLEVTGCGEVPSVLDALIRTRLSAAT TSTNSAYAFAGLVSKFGILKEGIGKGSVNWNKVREADVKEVEAAIKRGGLGKSKSIDI KKILDMVHDENMARREAFLKERESGVKSDVVGGESFKQGQKDMEIAVADKEILSLQYM HGLTPDEAMEEFIKYPGIGVKTASCVILFCLRRPSFAVDTHIFRLCRWLKWIPEKATR DSAFSHCEVRVPNEYKYSLHRLFIRHGKTCGRCRASTSETSEAWKETVCPIDHLVERT GLRKILKPTGKMKKKKNASEEDIDSELSELDGEIFGGYTWNGTEVTPEVEEEK VE01_08243 MASLMSNVGVQNLIDRMIDGSLWENELETMLLKFAATGLCFTML CLFGAVKFTKWYTSEPVIPYTVSPPQKSTNPPPNWGEPSIKLSGSSAIHCYAPATGEF LGLVNPSTAAGIDRSIQQAQVAQEKWARTTFSERRQVLRTLLAFILKNQEEILRIACL DSGKTMIDATLGETLTTVEKLRWTILHGEKALKPERRPTNLLMMYKENKVYYEPLGVV AALVSWNYPFHNLMGPIISAIFAGNGIVVKSSESTAWSANYFTVIARGALSVCGHDPN LVQTVVCWPQVANHLTCHPDIAHITFIGSRPVAHHVAASAAKALIPVVVELGGKDAAI VLDSAAPDIPRIIEILMRGVFQSSGQNCVGIERIIAGNAVYDKLITLLETRIRKIRLG SDLDSAPTENVDMGAMISPASFSRLEALVKSAVADGARLIVGGSRYNHPVYKHGSYFQ PTLLVDVTASMEIAKEECFGPICVVMRAASAAEAVEIANSPNFGLGASVFGANGYEIN EVARAVRSGMVAVNDFGAYYAVQLPFGGVGGSGYGRFAGEEGLRGMCNIKGICRDRWS WMGVKTAIPAPLRYPIPSTRTGRKLVTAIVDLGYQPGAWAKVKALGRLIRNS VE01_08244 MAFGTLPHTSPRLPQLPHPTTGILVQITNITLSAEFQGLEPLMI NMWDLMISDAAAAAVVAGTTAAAMYIDAKTGIAADIKALKGFKRGGQIWEEAVARKQA SLYYLWEESALRRGNNECIWSREGCYTWTQAYDRVHQYAQWFLAEGVKPKDLVGVYLQ NSPDFMLIWLALWSIGSAPALINYNLAGEALVHCLKISGATILLVDADEALQARINES KSVIEGQLNMRCVNLEDAKSVVVTLPAQRPGNELRDNVKGSDPMCLLYTSGTTGLPKG CQFNTDRMHLVCSQRKAGTARTVDSDRWYDCMPFYHGTGGCAALNALCTGMTLCVGKK FSTSQFWTEVRDSRATWITYVGETARYLLAAPPSPLDLQNEVRGMNGNGLRPDVWIKF RDRFGITEIIEFFNSTEGVFALVNYCRGDYLATSVGHHGILRRRLLQNTFVPVRSDPG TGDLLRDPKTGFAIREPYDVGGEMLVAVPSEKAFQGYHNNPGATEKKFIRDVFQKGDL WYRSGDALRRTDDGRWFFLDRLGDTFRWKGENVSTAEVSEVIGSFPGVVEANVYGVQL PNHDGRAGCAAIYIDPAVRGSFDYAALLQHTRTRLPKYAVPIFLRIVENMTPIHNNKQ NKVPLREEGVDPAKVYKGDEIVWAEAGGKTYQPFTESHWENLNSGKARL VE01_08245 MQRPTYGQPPPNSPPLHHPVPQHVSTVPQLRSPPPPVPQQQSGY GNPYQQQAGPGAAAPSHAFGAYGGFMNDQTAQMGFQVGQTALKHGQEYVEQNFNRYIN VSALKHYFDVSNSYVVNKLFLVLFPWRHKPWSRKQTIGPNGQEGWFLPPREDLNSPDM YIPVMAFVTYILLSTLLAGLRGAFQPELLGYTASAAFAIVFLEILGLKLGSYLLSISN DSQLLDLVAYSGYKFVGIIVTLVLSEIINRGQGTGGWAGWTIFGYTFLANAFFLLRSL KYVLLPENSTDNSRGAMHTVARSQKNRRTQFLFLYSYIVQFVFMWALSRP VE01_08246 MLDTFEIVTTSGVVLWSRTYTPTSPAIINGLIKNVFIEERTRAG GAGASDDISAANNPPYKHDQHTLKWTTVKELNLIFVAVYRSLLHLSWIDKLVDNIKIL FVDLYGDQLRKPHTTGVEVHFDKYFDQQIRELEATVATHDLSVPSTPPRFDQKLAPGD DEPPPIPGLLSRGQSRQTNLTSSESTPIATPDTSRPSTPSSHILTTKGGPGGKISRRA RKTNLVSSGDEASRKAKGGKAPTTKKGRRWDADGNADEDDDTQLDYSIPSIDVTSDGE VEGGNLPGAMEAVDQESWGSRTGKGQFVLKDLDDQVHAILSSADSKKAAAATAPAGIV GSSLSAIGGLFRNVVGGKVLSKADLDKAMKGMEDHLLKKNVAREAAMRLCEGVERELI GTKTGNFESTEARIRAAMEVSLQKILTPSTSLDLLREIDDVTSPSTVSLKKARPYVIS IVGVNGVGKSTNLSKICFFLLQNKYKVLVVACDTFRSGAVEQLAVHVRNLKELSAREG GQVELYQKGYGKDAASVAKDAITFAAQEKFDVVLIDTAGRRHNDQRLMSSLEKFAKFA NPDKLLMVGEALVGTDSVAQARNFNAAFGATRRLDGFIISKCDTVGDMIGTLVSMVHA SGTPVLFVGVGQHYSDIRSLNVKWAVDKLLSPV VE01_08247 MTTTAPQTLTFPRPTFAKLAPHSYLLAHLNTPSPTRANARLPTS PRPPHTHKGSLTHANGSAVIRIGDTTAVCGVRGEVVLADAVGYSETRLAGGAAPATVT TSGTRHKGGMKSTAQELDLLVPNIELATGCAPEFLPGMPPSKMAQELVARVYALLHAS ALVGDECLKIWGPVVDGEEEEDEEGEKEEGSEVKAFWVLYIDILFISLDGNAFEAAWA AVLGALQDVYLPAARWAEDRQAVVCSDEVSEAKRLELRGLPVAVGFAVFRAKEGQGKR GEFWVLVDPDMFEEGLCEETVTVLLDCASGETRLIGVEKVGGAVLGREQMGSLIKLAE KRWVEWKNVLKG VE01_08248 MSDIKDLKDLKADHQSITQDDLDKDTVAAVKVVIGDEAFQQAML KEPPRPFSSHSFMLYLVVGVGFFCSTTNGYDGSLFGTLLANENFKGFFHVANAGAWTG IVTSMYQIGSVVAIPFIGPAIDTWGRRGGMFIAGLIIVIGVIIQGTCIATHSVGQFMG GRFLLGFGVGIIGSAGPTYVVEMSHPAHRGVTTGLYNVFWPVGALVATGAARGGLTYT GNTQWLIPVWLQMMFPGLIVLLAWFLPESPRWMYVRGRVVEAQATLTKYHGQGNPNSE WVKLQMMEYETHLEIDGTDRTWWDYRALFRDRASRYRLLMSCIISLFGQWAGNGIVSY YLSKFLDTAGIRGQTTQINVQLGMNAIQIVFAGFGATQVDRFGRRPMLICVNLACCLC WVGITVATSIANVQENSTPEYLASIPSPVSKAVLAWVYIFQICYSFGWTPMQALYPVE VLSFEMRAKGMAFSNLFTSIGLLANQFGVSVSLVNIAWKTYIVFLVWCAVQAGLIWYF VPETKNRTLEELDAIFHSKNPRKASTERKKLELDVNSNVIHVDNVEKTGLAAIL VE01_08249 MVAIIKLLVLALTASATPLVYRDVITVQNDITQKIGPQINTLNK DVSGFPASGLTGALAIHGDVQTLVSTVNTAITNIKSTGSFGTVSGTTILANIQAIVPD LLATLENIGLQEPSWAQIAGGQALMLSDLHSLNTAFSNYMDAIIGAEPLLLKSGGVAI KAQITGAFTTAIAAYSYV VE01_08250 MSLNKGETGDSQVENNIENAEKPQRGKFMTLVRKYWWVLVIMFC CGVLIVTLPLVLVGFPRIIQDKVNKSTLSIDGISVTNTKTNTVQISINSTVSSSNSIP AVVDGFDAIMYLEDKLPHTPIMTLRMPETKTGLAIVNVTQEINGTALQPFIDFNSWYL WNSSLAVTIEGETHVHVKGLKAAKVSFKKTVTLVGINGFKGLAVTKANVSLSTGENFH GYANIPNPSILTFEVGNATFANYFEQEKIGTLYIDDMFIRPGINNVSVRAEIEQAPIL LALRKKPYCTDGVMPFDLMGESVINHGQPLPYFADALALHAQSTSIGVGEALFETTGA KLYNCSGAA VE01_08251 MSSPQGDSDEGWWPEGKDHQNGWRLDIVSLLAVIGESSMAEHSQ AMTASWIGCLPRIIPAPQVLLKPTRPTRMPHTTASVVGVKNGIQVPTLNYFPNIVHPI EDLAPFSFRVYRIRHSRHALSQHNDPRSWRSVNSRHNTTLPRHNGFFTTASNFLRGTW LRRADPEKAPIIAAQPLKPPPHVPAKTLSPLNLLSIFSCVLTWAIFAVSLYNRDAIAC LALIAISLVSTIVGYASLWSPQLMKRTSGAVVPEGDVVIRTREGAFIVVKCDENVARE LYTGTEECTYLVHSVRAYRALIGLATFILMVAVVLLGNCNFNQQAAIGSAYIVLNGLY WAASLVPKKEFWDLKMYEREDITPDDCRDADCARPGGEPDDLPSFTRTMWYAIRVTGE VGWVSTSGAAPRTREWMRWVQAAERQVKERKQGWETWKAVREKDLLIGQTERGEEEGE KEKVVDGEEGEGVVGEGR VE01_08252 MSKRSVFTTITPLPPSVTRQHVLDTFHNHVEMIDLNPLVEERHR IKPPPKATPEEFHCIWYEVTDRVQYLPGGVYSGKVSYPVCFHDLPNGIQTHCYAPMGL EIKGKWSLGGSLPGEPREPVEMGLNVPMVGLYVREDVDMKCNIMLTNFVKKTFKKSHA ELVARLSVKAQLQEAAATNSTLASQASPHLSYSGSVHSSVGSPGFPPQNLSMFPPGLQ PPPHYNQAHPQQNQYQAYDPRMSMQSAFQGQQGVYQQQPPPSSQFAPSELSNTETKLD DAKKMNGAPPKQEFPPVELP VE01_08253 MGGICSWEKGNEASDKARRPVKRPNDGEDISQKTPGEKTVEKLS DKNISTMADHTTPLTLDAYNAERASVLAIEREEGFDGRARETASELQNKANEIIVAIR QRDAELVHGGGLDMLGKVMTPDKHFVGNASNITNTSLFKIAQQMPKGAHLHCHFNSCL PPQFLLRHAKNNAHMYIKSDLALNSEDNKERAEIQFQMHVIDEEKHLEPTASLLHESY KSSFHADDKRKGWYSYDKFLQEYPGGESAAEEWLASKLLFTEDEVYGISQTTANIWLR FGTRTRMLKGLFGHETAFRAYTDACIQDFIRDNIQYAEIRPNFPSNSLVKSDATGFID NVGLLQIIADAITAQRQSGVPLTGLKVIYCCPRSFSKERVAASLVECIALKKKFPDLI CGYDLVGGEEAGFPLQHFAPELLSFRATCISEGLDIPFLLHAGETLDSGSSTDANLLD AILLGAKRIGHGFALPKHPKAMRMVKERGIALEICPISNEVLHLCPSIRGHALPVLLA NNVHCTINSDNGTFYGSSLSHDFYQIMVGAEAMSLHGWRVLAEWSLEHSCLTPSEKVA AKEVWLERWNVYCQWIVDTYGDESSAKGPEARRLN VE01_08254 MNPNLVPVAKRKPKAPVGEKPDWLFGNGASKPAATPQVSLSQPK AGKKTTVKGAKATTTEKSTKTPPSPELLDLVGEFLTEFGFNNTGNLFASERKDRTKSE GWQGRSAAAVKTTSVTLGNIYEKFRETTNGNTADKPKDDQAALAKKEVAPKKAEAKKT KEKLKPVAAKESSSSSGDSDVEMGDAKAVTTKASKKSSSSSSSSGSSSSESSDSDADD EKEVPAAKAATPKAKVNALKRKASSDSSSSSSSDSSSDSDSSSEDEAPKRKKSKTAPA AAESTSSSDSDSSDSDSSDSDSSDSDSSSSESDSASKKSAKSSSDSSSSDSDSSSSDS DSSSDSDSSTQSAAAKVPLPDSGSDSSSDSDSDSSSDEEMGDATAKSDSTATLASSDS DDSSSADKKTKSKDKKAAAKAKEASPPLPPLPPNPVPKKTNVPFSRIPKDIVVDERLK SNAFVPYDYAQKAHEDLIVTKGKGFTKEKNKKKRGSYRGGFIDVEGKKGIRFDD VE01_08255 MDGPTTNGSAVSNGSGSANGANKAEKFEDEKRRIVESCFSKKDP DGSLAESYITHIRILEDGAYQSSPPPPNASTDQKKPRVIIVAVRKSGRVRMHKARENG NGTFSIGKTWPLDDLTAVESFSGTTPTTPEAELRRQWAGGVGFIAVIGKPYYWQANTP KEKQFFIGSLVKIYMKYTGGKAPELIGFDDQEKDQLLGRAPGQARPPALNKPSQPGQP GQPPRLVPRQRPPGQEQKSPYEAGPASDARPPTSNSRMQLPREDSETESSTSRSTGQQ QTLPRVRKLTGESPSQEASTSRDGGLPPRSRGGLNGTANVPGRFPDRTVTPVSQRTLT PDNTGVPRQATPVDELPVGGNAPPERRRPPLQISSERSLSTDNMVPAPLATPSSQREF VRPPARSTDRPEAPQPLRVISPSSTGFGAQGDRPGTAPMKPLNITKESNITEPPTEDS SQVPTEPAVEVPKEPLEDARPGLGPMIKKKSRADVASAFRKAATAASVANSFKPRAGG AAERLREQQAKSPEGPDGINGVVPAPSKMRSVSNDSSRRKPSDHLVSEEAIPPIAEGP LPEVKITVAPTEKSEAEVEAEKTPPTSTSKQASLQKKPRDTKRPKPTEETQKQLSSLG IESSILDDRGATFATLLDDFGWMGDGIHAKSIDQMNDEIDRELNQAQIGGWLSRFEEE DDRIDAIRRGLDISIAECEELDGLLTLYGVELGTLNEDIAYIEAQSQGLQVQAANQKL LQAELNSLLKTVSISLSQLQPLKEASLESTQGLDQIEKALVLLFKAMITIDPTLSSLS SSRASEDDSSRSGKFENSDISSMRVLQEKKEMYKTESTLFLRRLKQFLQVKFAAAFDE CKKGLERQKESALNRRTGKNKLDPKSHDMTRNILWKYSPLMLFLREIDRIEWEEFMKI YVSASRPIYQEEARDAVAAWQRNAKKPSGDEHEVLFTSQVEKQTEGIATTARKLTVKR SQTLAKSLRSPLGDSGNRTPAEKVQDGRISRYEVINNILDETLPFIIAEQNFVVDFFH ISSFETQDFVEAVSSVPADARHGGDLKKLKLMDPNRDFARKVLSSMQDEYSFFTSDMQ QLVEWAIRDDPLQGVGVIAVIERKLVDIEESNQEFLARSLQKIHSGLAGLFVKFLDEQ IRAIEDTKVKIKRRKGVIGFIRIFPLFSVIIENMLVSADDLDIRETVNNAYNRINKTM FESLKVIARDNTGTMETGAGSENKEELNHQILLIENMNHYLEEVDPRRNHVLEDWKEK AGQEFDEHLGKYVTAVMSRPLKQLLLFLDTIETTILTLPQGSPPSTIAQNSRQSRIAF QQVLAEHDSKYIRNGIIALKKRVEKHFGDADDPGLSRALVAKVLEACENYFEDVENRV RAISTDVYDGVPAVEWTKNDISSTFR VE01_08256 MAPINEELFDEDDTLLINPYEVLGIEKTATANEVKSAYRKAALK NHPDKVPASDKESATKTFQTIAFAYAVLSSQTRRAHYDRTGSTSEAISSSDDFSWSSF YRAQYEDVVSDAAIEAFAAKYKNSEEEKDDVLAAYEKGKGDMDVVYEMVMLSNVVVDD KRFREIINAAIQEEKVEAYTKFTKESESSKRKRTKAAKDEANEAMECAEELGIKDKLF GGKKSKKDDGQDGLKALIMKRQQDRQEQGEGFLDRLAAKYAEPEKKKKGGKGKKNKAE VDEGPTEEEFQKASARLGGKTRGKTDDDDKNTEGRRSKRVKR VE01_08257 MSMEAALDEERLDVLELLQGLSNKPARAPRGPGSPMGPGPAPLG RSSSPYNAHRSPVRSMLDVVEDPRPRHSSIAGTSSSGVTPIRSMLDIGPPAPQISKSA STSPTDSFSSLTLPPKGLAEIPPHQPQGRYKGDVTDSYQFSGYLQSNPGAPLAPRRNT LAGKKAAPAPLAEGVRVDLPYSARDRVHAMGTTGIGSSNKSRSPHNRHSLRSSSPSLG PPPTSNMLVMDSGAVVDKDSAYRRLSDANLARAGGSFSAFTNKVRRRTDSNDKRDQRL QKDYTFTGAEDAVESSDEASTDEDGPRGRRSEKKRLSKGDDHPESKTIGMGRAQGQRT ARSLMAAAEEERQMIEDKQQYKVRSLLEPEITITGPGGDRLKGAAKPGVHPTNAFDHE GGSGLNTPHDSDTEQEISDIRRAQRLAINLTPITSTPETQRSVRTLFRGDFNQMQKEA EEHQRRVRKYLVATDLSEEAAHALEWTVGTVLRDGDTLLAIYCVDEETGVIPDTNIGS TDTATMEKQAASIASATHAIPPSISSFSTMSSHSHIPSPLGTPRTGVSASPMSRDRPR TEQERHRAVENITERVSKLLRKTKLQVRVVIEVIHCKSPKHLICEVIDYFNPTLVILG SRGRSALKGVILGSFSNYLVTKSSVPVMVARKRLRKHTKYKRSHVRLANNLTGVSGKT LASAKID VE01_08258 MKLSLLLTAAALTLAPTTTAWRVYFYQLKDGQGPSITASGPGGT GSACHSVGSLNNKISSVRWYSDNSETNPTTRCCISMYQGLGCTGTNWGQSWCRNRFAD TSNFGADNQISSYQTDCYKV VE01_08259 MSGNSSASDIITYVGVPLAVLGVAPILYNTVITLATLSKVRRLL RKSRLVGITRGDVVNHVIECELARFSIAPLNRQYDTEEYWNVYEHPSLVPGGSWTIFN WKMHAIGIKTQRIEYSDQLRQPQAEINFEDLLSYLLDLGAVPNAAGFQMLRTSGLWVP IGTPLMLSPDRHEAVLTIAPLDDSDGMLSLAVRWSRQWVVRGKTSLPPYWIQVKAPRA KAHPVEDGEGSMSEDGLSATTKAPADQEDLELPQAISGDLIPGDNKDLEAVKRYSSNT VSHTDVVRCHIISSGIAAALREEALDFESLSISHLRPSSSGPPTSAGTYFSCISTAIS TTNNTVLWSYHIPPPFLAFSRSPIIPCGILVLLGMVPIISTPEWYTDHSDSVAEERDL QMRRMRDNMAAMRQESSMTPDKRAIAVRDRQFKQHQDFVDDSNQRRRLAVQREETRML EALQSPQWKALLVGEHTLRWLKEQNHVAEKAELPEVMEVVLHRMLTEPDFSNGLAEVL DAWRGWVEGGGIRKADYLMLKEKLVVFAFASLVLAMVYGSVEPIDGSLSKDLQESIGI WKKVRLG VE01_08260 MANLSISAIAFVVVFLFGLYKFVIYPAVISPLSKIPNAHWSAPF SPLWILSVRWRWTENHDVRAAHLKLGPVVRLGPNEISVGTIDGGVKTIFGGGFEKGDW YAVFDNYGVQCTFSTLHGRPHSASKRMVSHTYSKLALQTSPAVTAQAQSILYERLLPT LKASASPSQKPQGIDVLQLWYALSMDTITAYQFGLPNSTDFVRDTAYRSHWLELYLSR KPYVYYPQEIPRLTSFLSKIGFRLVPRWVDGANDEIEAWGLGMCRAATASSNKHSSYS DNPAEEPVVVRAMLKGIQKETNKEQSILSCRISNSTELMIATEMLDNLAAGHETSGIT LTYVTWHLSQDLELQKALRAELLTLDPPLKYSPEQIKEGSPLPDLPNLKTLDSLPLLH AVLSETLRLNAAIPGSSPRVTPYPSCNLAGYEVPGGVRVCSAAWTLHRNADVYNQPEV WDHTRWLDGDGKSGEETKERDKWFWPFSSGGRMCIGNNFAMLQMKLAIASTYTNFTSH VVCDDGIEQEDGYTASPKGNKLILRFEDVEE VE01_08261 MPSSVAVAGGADQFDSIEDAIAAFKNGEFVVVLDDPGRENEGDL IIAAEDVTPEQMAFMVRYSSGLICAPLVPELCVDLGLPQMVVNNEDPKSTAYTLSIDA NHPTTTTGISAQDRALTCRMLGAKGAKKEDFRRPGHVFPLQAREGLVRQRPGHTEATV EFCRLAGKQLVGICCELVEDGEVVPGKALRKEPGMMRTEGCLAFGKKWGLTVVTIEAL VAYVEKREGKYKNGSN VE01_08262 MRPSTRRSIDLLVRTATRTARQHQHRHFSQTRHSPSSVNPEEVS HFNALASAWWEPHGSSRLLHLMNPLRHDFIQQCQKTYGAAPAHELRFLDIGCGGGIFA ESAARLPSTKSVTAIDPTPGVLAIAEAHKKQDPSLSNKLTYLNTSLEELPVGEMYDVV SVFEVIEHITTPATFLDEVEKHVRPGGWLVMSTIARTWMSWFTTNLVAEDILGIVPKG THDWEKYINVDELEKYFATKGGWSKAVVQGVVYVPGVGWKEVEGSEKIGNYFLGVRKD TVA VE01_08263 MSNMNQNQNPGANGGASMDITNILNTKGGAAAAAAAAGASPSDH TFHQQLMHAAGGQANSETASERGGSPHGSEHSSRYSGPPSMGHMNGMGNGMRYPSPTA MQSPLPMQAGFRNDGYDGSAPQHQEIPRSTGRLPPGHGVTQQKAFPCSVCQKGFARRS DLARHERIHSGIRPHVCDYPNCGKQFIQRSALTVHARVHTGEKPHMCERCGKRFSDSS SLARHRRIHSGKRPYKCPYADCQKTFTRRTTLTRHQNHHTGTVEEAAAATAAALASRA GSNRSGRGPRSDGEQYSATGSPMSTPSPGQRTHSMSPNAELAPMNGMQRHMGDYPYMN NSSLPVHLRNDYAQHVPMQNAPLPQKQSQYPNSQRPTSHPTGYGPPQILEPPANPQSA GSASGGSPHMSNAGWHSPSNMPSPTSQSNGYVYPDPDPYGSGGMGHMYYPNSNIRRPQ STEPDSYETKPRLNELWTAAQ VE01_08264 MADNGSTEKINTDIVTLTRFLTEEQVKHAEATGDFTLLCHALQF SFKSIAYYIRRATLINLTGLAGSSNTTGDDQKKLDVIGNDLFIAAMRSSGKCAVLVSE EEEEAIFFPEHPGARYAVACDPIDGSSNLDAGVSVGTIFGIYKLEESVSGGKVTKEDL LKPGSELVAAGFTMYGASAQLVITMKGSSVNGFTMDNALGEFILTHPDMKVPKKRSIY SVNEGNSLYWEEPVKQYFDSLKYPKEEGGKPYSARYIGSMVADAYRTLLYGGIFAYPA DKKSPKGKLRILYECAPMAMVFENAGGQAVNSKMQRMMEIVPEHIHDRSGIFMGSYDE VQKVIDMHKAS VE01_08265 MSSGNIFQALSKDAPPSVIATRPDHPVKRLGITAQKTPLSTNKF YANFFLGDQSQASWTHPYSVLWSKGGGSSKSWGLAVSHIEANQRAFGPDPKAVPAEYF VNPIGIQHVVLSAAELGISTVLTTDNLTSSSVNVNILASAGDKPAITFPLVQGMGFIT GIYNGSTPILQSGVLFRTITQAKTVPKEGVTKYTIVLEDSSTWFVYAHSTSGQALELS IANNALIKATSKFQGTLQIAKSPVAGAEAVYDAACGAYATGSTLTGTAKGPAGTYTMS FSKGGLKDATLVMFALPHLVESFSSTTKAAAKDVKLQTTTKGIATAVVADSWTMEEPD MPVGMGFAPWSPSLGNIAGLSEAAISSIQKVATSDLKQDMSAQSNGDSFYFSGKALAK FAQVIYASKDLVKDEALAQDGLTKLKAAFEVWTTNKNKYPLVYEQAWGGIVSTSGYVT GDSGTDFGNTNYNDHHFHFAYFILAASYIGYLDPTWLASHKDYINTMVRDTANASSED PYFPVSRGFDWYNGHSWAKGLFESADGKDQESSAEDACFAYSLKMWGKTIGDANLEAR GNLQLSVVARSISKYFLYTSDNTVEPKNFIGNKVSGILFENKIHHTTYFGANIEYIQG IHMIPLLPSSSLTRPKKFVSEEWDTFFSNDRAKKVEGGWRGILFANLALIDPKQSYTF FAQESFDNAWLDGGASRTWYMALAAGA VE01_08266 MGGRQSHGGPLAAAPKASKSKAKKNRNLNAFAIAAQQHPEKLKV SRHRLGESEGGSRPGKRARAQDGSDEGSAEEEPSKKRKGRSNDPDEEGSDSEGNEWKM GQVDSDDDSELDSDDAFGESDEEKFEGFAFSGSTENMRKTSKKRGGDINLDEDGDSEG SGSDDDSLGEDAIDLAAMLDATEEDGPDDTAHATEENMESDSGQSSDGSGDGDSDEES FESFTDDEGNDSDDDPDKILALQRLIANLPQADTSKQRLDRQRSDNASEYATPSGFKV TSKNKLTLEDLGLPSIQDKFVKRSLKLLAAEAKSEGGKNGVSGKLAVPLARREQEVLN RSAAYDKTKETLERWTETVKHNRRAEHLMFPLPDADHMSMSKNTKLQPTTSSKPFNEL ETAIQSILEQSGLATVDGRTDEDKIAEFEELEANKMSLEEVKARRDQLRMARELMFRE EARSKRIKKIKSKSYRRVHRKQREKEERLNKDALLEAGIVPSEDEQEAHDRRRAEERM GSRHRGSKWAKATKDAGRAAWDEDARSAMNEMAVRDEELRKRIEGKSSLRDGADRDED LSDSDDFGSDDDEGLGEAQLLNNLDSRGPISDTGVGGRLSNMAFMLKADAARKRENDD AVKQLRRELTTGSVEPEDEVVEDIGRRTFGPGSQKAAQNGSNETSNQQESDGEHGEEP SQVTRERNKSTSKGSNNDKESSSTMRHSHAPKQAAVPPITSADEAHEGGAWSQVSSRK NAAESSDARRRKQNAHASGATDELDLTNVSMIAAPFKPKGKLGGKKKSTAAGMTTLHV SSDDDSDEEAGPKLPFAIRDQALIARAFAGADVVGEFEAEKRQVVEDEDEKVVDNTLP GWGSWTGDGLGKKSKARNKGRNMVKTEGIKEKDRKDVKLDKVIINEKRVKKNVKYLAS SLPHPFETRAQYERSLRLPVGPEWTTKETFQDATKPRILLKQGVIAPMSKPLV VE01_08267 MKPTQSLFRRLRRLALTTKQANKGFYKGTGTGSTGRHTKHGGYV IEWEKVRTYVVPEGLKEFTLTPFVTRNMKPTRGRFEGDPKGALSGEAYLARWKTENGE D VE01_08268 MFQSFSGSSRRTRQVNLSGQDINPFEASSWAPTASGTQKTLAAA QHERHLRQLERERLVASKNIQRIWRGHRVRKDVANSRRQAWDLLDNQHTQEEDLAEPI LMEELNLLLAFFNYKNSEDISRLVRLCQRILLRGRDGQGFDANMGRRLPFLVAVLLDA LSLQPQPPADILIQTLTCIVKWNPSTASKVITRFYTVLSDLLIRRDLSSQFKTKIFEA FAAPLVFKSDIDDGQRILHDAYVSLAFSFLATPDLASRVGDMKILAETIDIDILSQAI LHDDSRAVLNDMDPESRLWLLSHFIYFHGLCSMQRQKPGYIRALSTILSLSANDIFGR IEVSQPGVLDTSDEEVGGPELPRQALPEFIRSQVISLVNKQSITDLLAKFDSEHAETR SPGYEGDDSLLSSYALTLLRTFPTLGEEIRMWLYQASVTVTGAQIPAVKLFWRIMKQT SIFTSISTDSKAALEILKKPSKISNSPAATSSNATRDRDWRSILLFLELYAFALRFTD DEEFLSGGETSMTEKGGLVSRSRESALPLRDVKLLSVFLKNLSFTMYYNTADILKDDR RETQSNLSSYFATSAKDNNSTAELSTDAPTKDAKNGKNLEFLGIAGMTYDYVRNIVTR VMKMLYERDSRRRFLPPNHWLMTSRFDMGSFIPAVVAEEERQHQLNVEDGEDEDQGIG REPARPIVGRGRSAVMAWREIAARERQKKSRASALAAIGPRLEVLQNMPYVIPFKTRV QIFRQFAHQDQYRRRSGNTDPDVWRMINMPFPGDGNSRHHARIRRDNVLEDAFGQFFS LGEGLKEPIQITFVDKFDNVEAGIDGGGVTKEFLTTVTNEAFRSVDGPGYFVTNDQNL LYPNPAAVDQCKDVLRHQGWQESDAEWNDSITQLLKRYEFLGRVVGKCLYEGILVDIG FSGFFLLKWASTANADSASELRYQANINDLRDLDETLYQGLLQLKNYTGNAEDFALDF TIDDVVSLANEPVRTITRELIPNGANKPVNNENRVLYISLVARHRLHSQPYHQTRAFL RGLSQIIQPSWLSMFNQTELQTLIGGDMAEIDVDDLRRNTEYSGVYVIGDDGLEHPTI NLFWQVMKQLDDQDRRKVLKYVTSTPRSPLLGFGQLNPKFSIRDAGGDEQRLPSASTC INLLKLPRYTSAKTMSEKLLYAVNSGAGFDLS VE01_08269 MSAPSATSAVDQLATDLTNTTLNGGDATAINTNVSTEATSEDPD TAGPTPTGAQAAHPQASASLYVGELDPSVTEAMLFELFSQIGSVASIRVCRDAVTRRS LGYAYVNYNTTIDGEKALEELNYTLIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDVA IDNKALHDTFAAFGNILSCKVAQDESGNSKGYGFVHYETDEAASQAIKHVNGMLLNEK KVFVGHHIPKKDRQSKFEEMKANFTNIYVKNIPADATDDQFRELFEKFGDVTSASLAR DEAGKNRGFGFVNFINHEHASAAVDELNGKDFMGQDLYVGRAQKKHEREEELRKSYEA ARIEKASKYQGVNLYVKNLEDDVDDEKLRELFAPYGAITSAKVMRDTAAETAEAEEKE KSEEKKEEKKDEEKVEEAKEEKKEGEEESAEKKAASKSEKRVLGKSKGFGFVCFNNPD EATKAVTEMNQRMVNGKPLYVALAQRKDVRKSQLEASIQARNQIRMQQAAAAAGLPQQ FIQAPMFYGPGQQPGFMPPNAGGRGMPFPPQQGMGIPAQGARPGQYPNFPPQQAGRGG PGPQQLPPNVYGLPGQFSPGAPYGQAGNPQFLAAMQQAQAAALAGAGRGGPGGRMPMQ ALPGMPPNMAGLQGVPGPGMPPQAYRQQNNAGRGMPGRPGQAGGFPPQGARSTSQAPV PGATQEGASALQAQLTTAPPAQQKQLLGEALFPKIQVMQPELAGKITGMLLEMDNAEL VGLIEDESSLRAKVDEALTVYDEYVKTNKGPDGEAPAEGGEAAKETEEKTAEKA VE01_08270 MTVFPSEQPPVDPIQVELDDELDSLEARIAGLRQRRIIQTANIT NSRSSQTILKRLRSAPKSLETEDNNPLNSIASSNSQKQLTHNQECLYRICSGVTTFKV KDPDPCSIDNGAVLGVRIEAFQSGRFIRPYYVFLNRHHPDSSAWRVHRHTVPPCIPLD SLVDRYLPAPTQSGDLLKGPKQALPRFVKALRSHIVAYHNRITVIASMRRAFGLGANK EQGEGRELIFSDISPADAEAKHIRLEWMDGRIGRAVISSKGKILKCVVIGEDGRDRTT ERVVLGGGGRVEGITGRLL VE01_08271 MSQIPHLLSPYVALPSESSLVLLTSVLGASTNWLVLRYLQSYLG QNLESLSIYNETEDGDTTKVLLVSFMRDLAFWKDGARKLGLDLDKLAAKKRFAFIDGL SELYLEPARSKTGTRGANAVRGNELGNIHNTVKNTLKELQAGSGKVVLVIDQLDLLLA TSGDKLDTVALGDTLVDWRLSAHSTVLTLAADTPLAAGHDTPLETNHAALLLSLAHHA DLVMSLRLLDTGTARDVSGVCRITTGDAEGRGQTEQKAEARELLYFVGGDSAVKVFER GQ VE01_08272 MTKGTGSFGKRHNKSHVLCRRCGRRSLHVQKHTCSSCGYPAAKI RGYNWSEKAKRRKTTGSGRMRYLKTVSRKFKNGFQTGVPKDSRGPAAAPKTE VE01_08273 MVNVYGDTLSALKELQTEELSIIKISKPISTASLQDTAARTSDA SQDAFESPTPTSLQDDLSHYKELFSKLRFSYLEQVTKEKFIRAIVGEPQFIVQHGENI ELEEQLAEVKASLKAKKNDVAGMVAELERQGRELSQKHEAIKLQTSQLEDLPEKIDYL QASIAELQAAQAPGPSANLSLPLDKTMALVEDKEKESAELDRILEKLQSELPRKARAV ERLEAELQPLEVKRQGTTALARDAKRRKEDALGGNGDDLEERGRWWRGVEGGLKVLLD VEN VE01_08274 MPDDFSDDQIAAILSKEAKETSIKYSAQGMSAFLPSKPPANKPK PNTRFLRNLVRDTDSHNAALLAKEAAESRNRLEQLVTKDTRRANDIRRRQLGSITAVL NGNAPKRQRTDGAARPMRDEGTSSGGLEERKDRSTDTEDYRRDKGRGVRKDDEDMKDV SSSKDRHRSHRSESKRLSHHEKHRSRHRSRSRSPDEDRRSRDPKRRERSPGRRSLLSS SSRRDEKSYDRERRRRPSPQADSDSDPLEAIVGPLPPPKVKSRGRGTVSSTSGIDARF SENYDPALDLVPENHETDGNGDDWELVLDRVKWKQQGADRLKAAGFTEEEIQGWKSGK KAEVKWTASGKEREWDRGKPVGGVDGSAE VE01_08275 MVSSSRPRTPSGGVAPPQDKIPAIPDSKNVSTQQQEAPRRSSLG LLLRRSKSGDFGSKGSKKHQAHVRELELKRQQWEAANKPAPSLPVLYNGGAAPKLQTF GGEDHGADHYENGRASMDPGMRSGNIPIPPIPSNGAKKGEWVDPYARTESMTHRGRYS YASSAVSTINSPRRVRRRKDPTPFNILIIGARDSGKTSFLNFLKSSLALPAKKRGTRP TDLPEDVFGAQAAKTGNFIPHYIETEIDGERIGLTLWDSEGLDTTVVDLQLREMSSFL ESKFEETFTEEMKVVRAPGVQDTHIHCAFLIIDPARLDKNIATVKGRASNDQGSQGDK YAPTPRLIGGLDEDLDLSVLRTLQGKTTVVPVISKADTITTAHMTHLKKTVWDSLRKA NLDPLEALGLDDDSSDTVKDSNRIDEQDEDEEGSEHSGNNSSGPDLPIQNPEPSSSPQ SQNRRSSGSIRHHKRQLSSENMTATDEIPYLPLSIISPDIYEPGVIGRKFPWGFADPY NVEHCDFVRLKDAVFSEWRGELREASRELWYEGWRTSRLKHREGPARR VE01_08276 MKLLGPLLGATVVARTAAYKCPDYLYFNLTNAAYMADCARLNYA CTNGSSISFDITGGAAPPVYCWKKELFSLYFEDTNFNGSIVLPDVFETYRITFEGGWP ARANVTAAEVEAFRNKTTIDEADILNLTGVTSPLLLNISSIDFPDLVNISDLSIRYSG NLSSLTMPKLENINGRLYLDLSHGPAISLSFPKLSQVKSDMYLGGKIDALEFPALNSS RHINVATTGDLDCLAFAKSVVNTTKWPVENEGPENRNSSVMTDRTKLVYQQDGEQLLH TAKVLSIVPVSELPKPDQALVKDSSDIEHAVITESTIFYVQGGGQPSDIGTMTKANSS FEVQSVRQPAQGGQILHLGRFVPVGATAFDAGEEIQQHVDAEKRNLYSRLHTAGHILG LAINALCREGVLPPLTESKASHYPDSAAVEFGGLIEGKHKDAIQAKTDEFVRSARPVK IHWWSMEELLEKCSGVTEGFELPEGEVMGRVVEMEGLGSYPCGGTHVQDCSQVGKVEV KKISRSKGISRVSYRVA VE01_08466 MVPPEAKGHDNSVRERDDAHLQRMGKKPVLKRNFGILSILGFSC TILGTWESLLGGGSGGAIYAFIFGWVGTFASFMVLAELASMAPTSGGQYHWAAMLATP KHMKFISFITVGGKILPRAGVVLLVVHIVGFFGVLIPLVYMAEHNTKEQVFLSFHNGG GFETQGLSWFVGMTTCAFAFGGGDAAVHMAEEIANASKVIPQTLMLSIGINGCLGFGI LIATMFCTGPDLGGKLGGITGYPYMGIFLEATNSVSGSLAMSAIILVVYIGALMGLLA AASRQLWSFSRDRGVPGWRLWSRTVAGTRPYSLSDYSLAAGHIRIGSNVAMENIVSMA VSAIYLSYLMVSVLLFVRRVRRDISLYNDSEDEIINVPGAKLVWGPFRYPGILGTIIN GYAIIYITIIIFFSFWPSTMDPTIATMNWSILAIGSAIFFAILYYIFHARHVYTGPIV EVSL VE01_08468 MPHPDAPLQTVEDRAKNTSNLSPLMLNGKGSSVAPQLSVDDGNL EMKNIAAAEPALDASQDIMQLARLGDIPSVQKLYDAGVFTPLHCDDEGITPLHWAAIN NQYAMCKFLLEAGADVNKKGGESVATPAMWAAQRCHYYTVHLLLEYGADPLLTDVQGY NILHLATFEGNIFLLVLLLHQNIPVDVRDSQQHTCLMWAAYKGYPACVDLFLRWGADV HATDETGFTPLHWGLVKGSVGCIQKLIEYGSDRFVAAASGKTPSVMAEEMKTTFAWHK ALKECGYKEDGSLAAFDFPTPPYFIQNKRSAMAKFFFFWPFLIIWSMLMIMSHMVVYA GIPIALLVGYCLQLLAQKAMAYAPSDMRQIHKTPWLSGIFAGTLFFVGISWVTTLFPA TYASYPVVNTLFAIAYSLCGYFYFCTMFYEPGYVPKLAGLTEQKATINELLSLWKFDD QNFCVQCMVRMPLRSKHCKRCNRCVGKHDHHCPWVYNCVGVNNHRHFFLYLVFLELGV GLLIAITVGYYDSMTEKGSPECNILPESICRYVNSDPYTLVLAVWAALQLTWVTMLLF VQLIQISRAMTTYENMRGSHHGHGHGASEAITTALAAGTLSMGGAQLGSEGRGPDPAV AASSHGHRHRHDHGGCFAQWKKILGVDTFVETALNADKDRSRANRNPFSRGCLKNCKD FWCDPAPIFGSRENGSAMLDGEVVNYTTMYEAPRRMMATRPRGRNGDTAGSYERLPVD DDNV VE01_08469 MSKITQLAEALLSPSPLGFLFALIVVISVPILLHAFLSRGSSVA APPSILLIGPSGSGKTSLQTLFERGKSAPTHTSQTPLVAECALPVGITAASAKYRSAN DPSHRVREKFLLIDTPGHGKLHHYAFSAVANTQNLKGVIFVVDAANLPAGDEGLRQAA EYLHDTLLLLQKRLTNIKSSKAPKGIEVLIAANKMDLFTAQPSAIVRSLLEKEIGKVR TSKSKGLLESGIETAGDLDDVDSDDWLGETGSTQFKFEQLEEFNISTEVAGGCAMGEN EADVQKWWKWVGDRL VE01_08470 MDVRTLKNELFQQLKPCCIKLSQRALNGDGSAASLKELLETTLE LYGLLEAKCRRKDAAFDEKLADYIFFPLSHVLRACQKRPGRLAEAATKCVQVLLQYGW TNNIGIELGQQLLILFTLFAGGGASSDPMPEELKLEAFKALALLFDDLRRTPKGATSI VEVSVIPALGQCLSTILDGITEGPSPDIQIEALEALKALWMCIKDRDALSTFLPGTVS ALTKCLMPNNQNPRSVKVLVSSLRVLQSVLTTSIGDIHVRRLPDDTDVASGSKPTTTL GKSWLTATSAQVKLALTNIVKLRSHKSEGVRHELERTCLILLDECNTSLKDAAALLVE TSMIVATPQDEGGKPSQITGLKDLSIMYPSIGELINATVYNWETSLPRIVQSSDDAVK ASAIHQLSQARTLLGAVDAESKVLDNSITESLRDSVINMLDSSTPEVAIEVLPVSNDR GATLPLTVQNTYTENYPPLILEHESQVETRNQLNKLIDNVGAREVQLKMTSKLLEQMF NASGNPLLASFWLSFQLVKSVVLKTEDFDDFLTSDVTSSDEQEVAREELYNFAVSILS DSENSGNDWRLLAVGLEVVAYSSQQAGEGFRANLVDTLYSVVQLLGSDNHHLRSHAIT SLNIIAKSCGYNQTSELIVDNVDYLVNAVSLKLNTFNISPQVPQVLVMMIRLTGPTLL PYLDDVVGSIFAALDNFHGYSKLVDSLFSVLGEIVQEGAGSGQLQLTSGTTIDHQKRK PAPPDFSDVLEILRNMKSKSSAIEMEHEHFPKGPWKTAKMLLDEAEATGEEEEGEEPK GNQEVKKAPPTKVYTMVESIARLSQHYLTSGSPYLRLRLLDLISTATGALHNDEDAFL PLVNDIWPVVIKRLYDPEPFVVIGAANAVSKIFEASGDFMSTRVQTEWPDLIKLVRQW MKKAEGERKGKHGRGTHALSWQVWDAMVGLVVAILKYVRINDGMFDEALGVLSGRLER EDVREALETINAEAVWLVDLAQDRITRREVPVMEGYEFASWETL VE01_08471 MATVNIRRDVSDPFYRYKMEKIQSKIEGKGNGIKTVIVNLSSVA QSLARPGAYVIKYFGFELGAQTNSNPADDRWIINGAHEAGKLQDYLDGFISKFVLCKK CKNPETEVVLKDGNIVLDCKACGQRSDVDLRLKLSGFILKNAPKKGKKDKAERKAARR AKENGNGVAADGEKNSSPNDSNSENGVNDDGAPEAGSDDELTNRIKNGALDIEEPEEI RDDTWTVDMSAEAVRARQQQLPEDLKQKLVLDNDEDEDGEGGGNSAYDQLGSWILAEV EEKGSVAKVDDVDIYVKAKELGIETKHRTLLVLAQTLFDDNIVAQIPKRAGLLKKLIT SERHEKALLGGTERFIGMRGKENPEVYNLVSKVLMLYYNDDLVSEEVVTKWGTRASKK YIDLPTSKKVRKSAETFLTWLAEAESEDDDDDSE VE01_08472 MAKSVDYSLYLVTDSTPAILGGASLVDVVEAALEGGVTIVQYRD KSTETGVMVDTARRLHAVTKKYNVPLLINDRVDVALAVGCEGVHIGQDDIDIKTARRL LGESAIIGLTVASVEQALQGCDDGADYLGIGTIFATPTKTNTKDIIGTAGCQAILKAM APKYSNVGTVCIGGINATNLQRVLYQSSDNSKRLDGIALVSAIIGAKDPKEAAKNLKH LVNTPPAFAAVSPSGYSGVEDVAGMLEAVPSVITSVNETNPLCHNMTNLVVQNFAASV ALAIGGSPIMSNHGDEAADLAKLGGALVINMGTVTQEGLRNHLLALRAYNSQGGPVVL DPVGAAATTVRKSALKTLMAGGYFDLIKGNEGEIRQAYGKGDVQQRGVDSGASMLDGQ SRAALVRDLAERERNIVLMTGSTDYVSDGRRTIAIKNGHEYLGRITGSGCVLGTTISA MLAVHRHDKLIAAVAGLLLFEIAAEYAAQRPDVKGPGTFVPALIDELDRIRQSNADGD FSWLSRADISLVSFE VE01_08473 MAQTLTRRLARPAIFICDIQEKFRPAVWEYDKIILTTQKLLRAA SILSIPVYATTQNAARLGPTCPELNLSTAVAEVDKTAFSMWPALGHHFSSSTPSEIVI VGIESHICVTQTTIDALAAGHKVYIIADGVSSCNPQEIPIALARLRQAGAVVTTSESW LYECMGDAGIAEFKQIAGVVKDTSKDTKRVMEALLSKI VE01_08474 MDVKHVHAVQINHADRILRLERRQADDAALKSVWNPPFPGVLGT PQQGPVHSSQSQVFDDFDDEHGQSLLGSLRLDAEEEPVRRGASRANSVRFDVSATQGS SWAQSSRTSGDFGPTRPNSGFGSHPMERTLSHKSDGRHSSAGHSVRSMYSAPSGRTSS LGLDTNFVIGGQDDPSPLEIPEPPPGLFILGNVPCIIRCWLNETFSHDTLLYADVCTG SHKSTLEYTLVKKLGYVDRIQQNSKGLPIITLPVYLPEAVITQSSSRANSPAPQLPAL TVNFEVTGIHQRSTSRRPKAIHIFIGSDTLRAHSADLLLSQNTMTLYGDSREKLSVPF VRPEDDNVFKNICTMNNPPEAVELKGAALPFTPAGKPSKPALSSGRDENEGGNEAPSE TLQSGDPNVIPLSPRPPRHEYEDGKHVSDLRNGFHPSLEPASADNNPETAESKPTAGG THAEGLTNSKQDAKLATNKESETREDVGAKWGSWRNGGLPKSDGELQKETVSASGYNK PGRGNRSMKVLKPSKGLQNGRSTSGARHVYEPPPSSPLEDDPSRRKSQASLTDSNASS RWESKKTAAEEKAHKEAWPVTGARRPSNPIGGASAFGWMKASNTKADLTTTE VE01_08475 MKGDDPSPVDTSTPPSPTSVRHFPTTKRYRSLLLPSSSDLAAAR SDAEQRDDEHTPLLRGAMSTSHMRIADGGSTPKPRLSRHHSGTGSLRHHSRSNSWGQR LSQALNTDRSTSKAFDNDLRSSVTLDDRVWYDQFTSTDWVHDNIADAYRVKALRARRD FAGRVWAFLDGSQGWILSALVGCTTALVAYIVDISEAPTFDFKEGYCSDGWYLSEKKC CPDGDRCAAWRTWSEVIEVPGVNGELTEFVIYVLLMIVLASLSCLVTLSTKTVVPSTY RISTFDENLAAVPQRENEEGGSPKQTKAAEYNSSSMIYYPAAGSGVAEVKVILSGFVL HGFLGLKTLITKTCALILSVASGLSLGKEGPFVHIAACIGNISCRLFNKYDYNDGKRR EILSAAAASGVAVAFGAPIGGVLFSLEEASYFFPAKTLFRTFFCCITAALSLKFLNPY GTGKIVIFEVRYLTDWELFEMIPFLAVAVVGGAAGALFIKASYIWARSFRKITLVKDY PLLEVVLVALVTGMISYWNIFTKTPVAKLLFNLASPCSPDDLDSMGLCPESIGDIDSI LKQLSIAFVIKSFLTIITFGIKVPAGIYVPSMVVGGLLGRIAGHFVQRLVLQFPHAAI FEQCASHPNGTSCITPGVYALIGAGATMCGVTRLSVTLAVILFELTGSLDYVLPFSLS ILVAKWTADAIERLSIYDLLTNMNSYPFLNNKTKPVFTSDLADITPRVRRERVIDISS SPLVSAKSLRDKIRILHEAGELDGGLPILRQDVLVGLIPAPDLEFALDSLPDEENDLC LMVAVKSFDDDDDETADPTDFTSYIDPAPVALDIRSEMDLVYECFVKLGLRYICVLRD GRYAGMLHRKVFVKYVRELEESGH VE01_08476 MRRVVITGLGAVTPLGASISRTWRGIIAGKSGLVATPDSEEYKA IPSRVAGLVPTESRQSFTEPVPKDETSEGKAPLKDLLWNADEWVSGADQRRMAKYTQY AIAASDMALADAGWNPKPGSIGAQDTGVCLGSGIGNFEEIYDTSITYHKGGYKKVSPL FVPKLLINLAAGHISMRHSFLGPNHSVSTACTTGAHSIGDAARFIAFGDATVMVAGGS ESCIHPLALAGFARSRSLSSTYNSTPSTASRPFDSGRDGFVMGEGAGVMVLEELEHAR ARGAQIYAEVLGYGSSADAYHMTAPREDGAGALLAMRRALRAAGVRPREVGYVNAHAT STVIGDAAEAAAIGTLMLGEEGVEKEGDVTVSSTKGAIGHLLGAAGAVEAIFAVLAIK EGVVPPTLNLHRPDPDRGFNYVPLHAQDRSVDVAISNSFGFGGTNATLVFAREGYRT VE01_08477 MEPMDVDDGDRRGRSETRDGAEEKGKENEDELGKTQRSRFRFKS AKRKPDHRGHDRDRHRHLQSTHRSKRQRSEPPDEPSLRDESQLPNTSSGEYLDPDALF RESLFDAMADDEGAQFWEGVYGQPIPKIDPVKMDVETGKLEAMTDDEYAAHIRAEMYK KTHQHLIEEKERRDKAKKEQAKRDQARAAEEAFQRQVEESLARGKERKDKAGWTQKWS QKWTAYQELWEAFRASTSGDSEIPWPVWSGKVEDLGKDEVESFFLNGPTAGKPDQADL VKTLKLERVRWHPDKIQQKLGGHGVDEAKMQGVTQVFQIVDRMWNEMKSSGDR VE01_08478 MSGNGLSYGLNITKKAKPIGFRPPPGKRKPIFDSDGDSGDDDTS KGGAAEEIGEFGGLDLPSSKPSTSKPSKPLSKPSSKPNPFSKPPTGAKPKSNTPQSLY GDLSTSFSSSKHAATAESIDSSIYDYDAVYDSLKPVKQVTEADKERKPKYMTSLLAAA AVRKRDATIAEERKLAKEREAEGEEYADKEKFVTEAYKKQQAANRIAEAEEKEREERE AKENKNTGFTGFYKDLLEKDEKRHAEIVKAAEERGKAGPEAKVEEEEGGEKSEAQIAK EINEKKSGAIAVNDEGQVVDKRQLLKGGLNIIPKAKPAAPAPRHNRDAMADRRGAAFV GTGGGKQAMRERQTRMMEAQLEEATKRAREEEEEEREKVERASKSRKTEGEIMGAKER YLLRKKEAEEAKRREAEGK VE01_08479 MRTPLDNDVRGWIMTIASGIACVVGSSIICIDLLLRRVPRWKDF SIQDSSLFLACSMSLSFGVMIFSALYSMLPSSKKYLEKAEMSPAKASWLLLVFFILGF IGIQIISRFLHHYIPSQVVDCDHRHDETIKHRGHQHARKHTHAHPQPHVPHPRSRRHS HGPPRSPTLGVAKDTESTPLLNGDSVRARPGHPFPTDGGPSLTPSQPPSDQHTLTESS RRPSMVQVQNRVMSFVRDNKANCDELGPCFGYSEPCGQECFKQFLAMRSHSHSRPPTL RRVRSLRRHEGEDIESQTTELHHVSSSCGSVHDHDHDLDGACLTDSTCSDDEAEQEAA HHHHVPENAFLNIGLQTSIAIALHKLPEGFITYATNHASPALGFSVFMALFTHNITEG FAMALPLYLALGSRTKAMCWSSILGGVSQPLGAGIAALWFKLAKHEDRGHEGGVGGPG FAVYGCMFAVTAGIMTSVALQLFVEGLGMCHRKELCIGCAFLGMSVLAMSNALTAQ VE01_08480 MSKTTFAIIAAVSAATGAAVTAASMSLASPTTKKTKPTPPTLST PPSPTASATLPAPVPFPGALAITAPVDPAGLFQYGFPGPVADLATRNSLISSFDRRTK NPFWVAEHITPASLASREGDRKGSVFLEDPDVPEKFRGKLKDYFRSGYDRGHQVPAAD AKWSQEAMNDTFYLTNMCPQVGEGFNRDYWAHFEDFCRRLTTRYPSVRVVTGPLYLPK RDADGKWRVSYEVIGNPPNIAVPTHFYKVIFAEDGKVGGQVAVGAFVLPNARIPNDKP LSEFEVPVEAVERASGLEFATKLPVQRRKRLCVDTACSLVIKEYAQRQQAFVKEERKQ VSAPGSPRI VE01_08481 MSSDRMLTTVLGAYQKLPDPALTSKILGSTTSLLTTLTNPLNIT LLTSQLLAAPAIWATHALDLQTCLRIISIYNTAAITVLKQAQSNDSNLLGYPRRGGGL GPDEWATAVVKGADDKSPRWRHVLAIAGVLLGMGGQGRRGLSRGLRMSLEGALIMAAN LAMEDPKEGFFVGGEATLLALNHTFDLLSEQAKREIRFDLLLPIAVGAMVGPSGYEMG QFVGAIDADVQVTPDNKLDWSPSSRGFLYLKDVSSRPLVSSMGPFSRLVAYTVEHLQA PKPSILHLVEQLQKFSQELLLQWRHNKLSTIDPSDLSTHLTPATSQTTFPALFQLLKS AMFATVVILRSVLGRILIDPLLATDAHAASLSSSSLHTLRSLYFISSRLGTASFTAYT FVSLTSIDILARYPRHATIFLESIRPSHPGAIPAHPLDRNLDLYYLNTAEHFPLILSP ASNAALLQACTPYLASTPSPPLLPLFEAAHSLTLSVLTAPSNALLAASAIPPYATALL ASFPSNLSARQFRLAFKSLLEVTTPPNPLAASHPDLPDTLLELLRFRALHASTALLPQ APPAEAGPDGMAAPEVPLTEQAALTLALLDALSSLAPHALREWLPLAAGAVRQVAEEG GMRRVCQERFWEALEGGEMDVERGGVCVEWWCTRGGREMVMGGRGRREEVMMSGALQG REGRL VE01_08482 MSGIKTRISDPAPLDYETPPFPSLYWPLDARPGVASYLYYVKDI WRFTLLWTLIFYAAFHIATAALAVCMQVGKGRNAFRWVWSIPIAYAAIAGIEAVLAGS IVGLILGAVYDAGYFRMSTWIPLVWSLINVLVLILSAFSFQGAL VE01_08483 MENINEDENLANMLRGDLYYAFTPRLTASRMRCHHACDRFNSAG EVDRRRLVELWRDILGDKTPLPAKAPTQEEDDKLFNDDAWVDGPIKVDYGTNTKIGKG VYINFNCTFLDTCTITIGARTLIGPNCSFFAASHPLDPFLRNGTQGPELGAPITVGED CWFGGNVTVLGGITIGRGVTIGAGSVVTKDVPDFVVVAGNPARVLKKIEVKAPDPRLA NTEEAEAASS VE01_08484 MATRVNGAINGSSAVPNGAPQREPTIPILPSSPATNPLAQISHG GLGHPPSFPSLTPTPPALNAYEKQYYPSQPISLSGIASRAFLLGALLSFSAALSAVLA LQGSPFWRPPFFAATLAVFHFLEFWTTARYNTKAAQVSSFLLNQNGSAYTIAHAAAMA ESTISCLLITFPPSTFLPDFITAWALPALPEWVGTFTLAAGLILVPVGQLVRSLAMVQ AGGNFNHIVQARKASSHQLVTSGIYSVSRHPSYFGFFWWGLGTQLVLGNVVCFVGYAV VLWVFFKRRIAGEEEYLVRFFGQEYLDYRTRTAVWIPFIR VE01_08485 MRFSTKLAAAWLAVSVMGEKTPPVEEVRSIPLRTHSLTAPYLDT DMQSRWWDFGGDTIIRTDKYVRLTSDRPSREGWIWSRVPLTATNWEIEFEFTIDGAGN LHGDGMAMWLTRQRNTPGPVFGSTDNFEGLGIFIDTYKNNRPGTVFPYVMAMIGDGTT PYDKAHDGKANEYAGCSARGIRGASVPTKARLKYFQDKFLRLDLQYKAEDEWILCFEK TEPPMLPSVAYLGFSAETGELSDNHDIVSVTTKNLYTVGASSSVPQKEQLGKKDKGKF GSAAKKSGGGGWGWFLFKVVAFFAVVGGAYVGYTAWRTQNKRSHRF VE01_08486 MSIDNVGASFGISGSDPKTALMNQVRQEAALNNARQLIEKLNEH CFAKCIPKPGSSFSSGEQQCFTQCMEKYMSAWNTVSQQYIARVQQEGAKNAGGGMF VE01_08487 MAYEQRGERDYGGGGGGRGGGGRGGGGGGGDEGGGGGGYEGGGF GRGRGRRPVTDYGATMVHWMQNRQPRYKARLQGEMERPSPSYIVDMLPPLARIDKAAD TIPAHHLHSSLNKIKHPVNVVRWTPEGRRLLTASSSGEFTLWNGTGFNFETIQQAHDV AIRALSYSHNGDWLISADHDGIIKYWQPNFNNVKVIQGHGDPIRDMAFSPNDSKFVTA SDDSTLKIFDFAGGVEESTITGHGWDAKSVDWHPTKGLIVSGSKDHLVKLWDPRTSRC LTTLHGHKNTITKTLFERVRGLCLATSARDQTARVFDLRMMRDICLLKGHEKDISTIT WHPIHSNLLSTGGSDGSLFHYLLDEPHTPAGTMPSTAVCDSPDPATAPSQTIHPAHKI PFAHDFAIWSLDWHPLGHILASGSNDRLTRFWTRARPGDSTVFKDRYHIGEAAAEAEG TWDRRNWRQKRQEDEEQEMEDEMDGLEDQKMPAKIPGFPGIPGLPLPGSQSMIPGMGA VAPPPQLPFNLSGLPGLSGNLPPPPPMDPNNPPDLATLTAMMKKAGIPPPPPPGQGGQ FPPPPPGILPPGLLPPGFTLPPGFPPPPPGMAGMPGLPPGLGGVPPPIPGFAPQSAEE AGAAGIRKRGPLPSQQESLQMEQRKGKYTRC VE01_08488 MATAVNTRTTTVNSTLTQRSARSNGRAQSAQTPLNSASVPAIDV PEVSRRLRIKKKYRHVAAVHSRPQNTVLSHDAAEVPSFLGFRNLMVIVLVVGNLRLMI ENFNKYGVLICLRCHNYKRHDVFIGATLLLIIPCHLFAAYIIELAAAKHAKRQLAASN PRSGAETPTPTEAERKKFNTAWELIAWLHGLNATLCLLITSVVVYNYIHHPLIGTLSE VHAIIVWLKTASYAFTNRDLRHAYLHPSKRIEDALPDIYLQCPYPQNISLSNLTYFWW APTLVYQPVYPRSPRIRWSFVAKRLAEVFGLSVFIWVASAQYAAPLLRNSLDKMASLE LISILERLMKLSTISLVVWLAGFFALFQSFLNALAEVTRFGDRDFYSDWWNSDSVGAY WRTWNKPVYQFMKRHVFSPLIGRGWSPWAASVAVFALSAVLHELLVGVPTHNIIGVAA LGMLAQLPLIKLTAPFERAGGHYGKLVGNTVFWVSFVLIGQPLAALLYFFAWEAKYGS HLKGRV VE01_08489 MNAVIERLRATLRSQKVRGDEYEQLVGREDEEGGADDITLQEAL ALEEKPFSWVEYTVFLILGVAMLWAWNMFLAAATYFATRFQGSPWILVNYQSSILTVA TTANLASMFLLTNLQAGASYPRRIILALIIDIVVFTILALSTIFFVTVSPGVYFAFVL ATVLATSTATGLLQNGALAFVATFAHPSYMQAIMTGQAVAGVLPSIAQIVSVLAVPEE PSSTDNTPPAVPPPQTSSTSAFLYFLTATFVAVITLLAFTPLARRHTPTPTSPTPAAP ARKVMSMPALYRQLPFYSASIFLCFTLTMFFPVYTAQIISVHPAPTPRYLHAPIFIPL AFLIWNTGDLLGRLSTLFTSSLPHRPRSLFAVSLARAAFLPLYALSNVSGRGAWVQSD LFYLLIVQLGFGLTNGWLASSAMMGATGAVEEEEREAAGAFMGFNLVAGLTAGSLLSF AAV VE01_08490 MPIIQGLLRRRGQASETDLNRRHSLLFPSPTGAADTRAGRLSEQ LPRRDAGASDSLELTPQSSAPAIAFSSTEKTVPEDRPVSPPVQDATPKQSRRFSMMKF RHASDSQLSTRARQQAEADAAPPMPRPPEIITTAPTLDVNTTQKKKSKLKLPGIKPSD PKPSAFDELINASKKERRKTLSEGNSSSRVTFDEPVRHSSQVPGAMPPPYGDDAGSTL ALPVNRLSESSRSDGSSADNHVYAQTTTTIQTTHTTTTFFRLPRRKKPQPLFPLPPKV VHNDQPNSLSVPDKSGNNTSRASTDSGAAQTLRLPQGADDNEGAVHTHSAIAKASMLF AAPGSPLIRRDSTASNKSSPTRRGLRGRSSTLTSLRNGQADEPLDTPPLPSSRTSTST GRKSFGDIFNLTHRLRPDPMPHASGQASPLSATSKNNSIQITREPIIIPERHQDDSPA KYLLRLEEAVSRGAVASVLSKGSDTFSQQVLRSYMRGFGFFGDPMDMALRKLLMEVEL PKETQHIDRCLQSFAHRYHECNPGIYASPEQAYFIAFSLLILHTDVFNKNNKNKMQKQ DYCRNTQGEGIFDEILECFYDNISYTPFIHVEDDLDINGERIVQHKSKKKSLFPRSSM DPMKRPSKEPVDPYTLIIDSKLDSLRPNLKDAMNLEEHYSYIGTALSLNLDDLQRTFF RTGVLQIISARSRPDAFMSDKTVMNPEEAHPGIVDIKITKVGLLWRKDPKKKKTRSPW QEWGAILTGAQLYFFRNTAWIKSLIHQHDHHVKQGNDGIPVTFKPPIESFKPDALMST DDAVALLDSTYKKHKNAFLFVRHGGFEETFLADNEDEMNDWLAKLNYAAAFRTAGVRM RGVVGGNYEGQRTRGIRRLGSANSGRSVQTPTGEVQIISGKIDLQMAQDILAARREIM MQKITEAEEKLAIATKQLDAQLRNARHLQILAPIQPKTREQVVLAAGRMSAKLRWVRM EIWRLRCHRDILSMDLDEEVKTGEGEKPEPLLPTSNPSGESLAKQNDRDSLAAVSSRN SAALSPQQSLQSQHPTPIPQASPSDFDIDNFFTSPSAAQSASTFHKAQASWELPPLKF DGPRKQPSPGVGAQPRSPVLSHTSSYPRMTPTTSADPQRSVSSPHEDAGENIALEQAG LIDTTLASDSIAEEPPTTATTDDASSRPSDSTEKEKEKEKLERGKIRRSIHRTLRDAH VPTQHRSRKGKDSSSSAAKEGEEVVRDDHLARGTGSFVIHGKKASVITFGDALANMSA EERMRLKKAAQAQERGSSVEREGEVRGGDRGGVDHRGSEASTNTLAARSFRELHNRLS AHAATLELGEGGMASDSDAAVSMLSEEEGVRTPLPVVEDVDEDEGMGGFKQAAFYTPE VPGTPAKTDTPGKGKGME VE01_08491 MRLLQEPTSLLPLLLLSTLAPVPTIAVPWATGDSTQSLSGRDAK AAAAPYHRSHSVFPQLTWLRDTAIEKIFGGGGNAKTDTTTTKQENGQTAATSQRRPLS AQLPATLLAKYGGDVVLRFNITTEEEEAALAEAADTLFLDVWEFTNNWADIRLAEDDV PSLLGLLPKSLHNAYSNLMPDLAQSIYQSYPSLAFSSPPFPPSAGHSSFTPSVHKTDG ATDNIFFRDYQPLSVIIPWMRLMASMFTTHVTMTSIGLSYEGRSIPALRVGVHPTPAS PSSKRKTIIIAGGLHAREWISTSSVTYIAWSLITAYGKSPAITKLIHEFDWVFIPTLN PDGYVYTWETDRLWRKNRQQTHLRFCRGLDLDRSFGYRWAGSAFQANPCSESYPGSAP FQAVESHRLAEWAKTQVEENNAKFVGLLDLHSYSQQVLYPYSYSCEAEPPTIENLEEL GMGLAKAIRISSGEFYQVTSACEGSVVTRKGKGKGKSAKTTAKSAKSKKKDEEKEGPM QQIRMESGGGSAIDWFYHEMKVRYTYQLKLRDTGSYGFLLPGEHIVPTGEESFNAVKY FGDFLMGNKGIESVDGVEVEGEVEVGGEGSEEEGVENVAVEEGEGEDVDKDDDEEWVV VENEDTERAAIDMSELRRRRR VE01_08492 MATAPASPPPSTSTNNGTPFPSPPTHDSCNDSYEKHSHDDDDDT LTRMRMASSNKIAGRAIAPFLARHIPAAYAPTGTAREGVEREKDPSTKFCYRHRPDLK CRRTADEPSMDHLQRELESLSQADQQGISHVWSLFSAAPAKHRNLMLQGILTQCCFPQ LSYLSTAVRDLIRIDFITALPSEISFKILCYLDTTSLCKAAQVNQRWRSLADDDVVWH KMCEQHIDRKCVKCGWGLPLLERKRLRDWKRQQQLRATGRGLNEWSPQLTPQPEAGDG AAIPRLELVAAVDQNGRKRSASSSLSEDGNASPTQIKKLRTLEPVANGAVVSRTSTPR FRPWKEVYKDRFKVGTNWKYGRCTTKVFRGHTNGVMCLQFDDSILATGSYDTTIKIWD IDTGKELRTLRGHTSGIRSLQFDDTKLISGSLDRTLKVWNWRTGECMSTYQGHTGGIM SLHFDGNLLASGSVDKSVKIWNFSDKSTFSLKGHRDWVNAVKVDSASRTVFSASDDCS IKLWDLDSKVCIKTFEGHVGQVQQVLPLPADYEPELDDTTPPTADDASSNASSAELPN PSDLRSTFGPAFTAGCATNRPLPPRYMLTGALDSTVRLWDVYTGRCLKTFFGHVEGVW ALAGDTLRVVSGAEDRMVKVWDARTGRCERTFMGHAGPVTCIGLSDSRICTGSEDCEV RLQSFKGDLGEGEGGV VE01_08493 MTNNSDTQSDLSPCSSFGTLDSLKIKGANIDLSYEECYEVDGVT GIEKGLSLLSVNDDSADRQKSDIQFDHTQCLFCKHSSIELDENLEHMLKRHGLFIPHT SHLVVDIETLAEYLHLLIFVYFECLYCGSQRSSAQAAQQHMMGKGHCKIDILSEDSEF RDFYDFHSTSDDSDGGSIKLIPEGLSGVVVEESMRLPSGKLLSHRTEGKRRLHQHRTT YTKVRGLPPTSPSTALDISRPQSDTTLGQSAQSESNKIAKREATFHNQLATLRAGDRM SLMHLSRVQQKAVIIKGRKQVEKARRDENEMLLKRQIKANR VE01_08494 MDNGTLQQEQATKIKHIVRQTSRSKRRRWTVNSDALSLECGSSQ ALHLSASVDGLLDGWDDEPTQNNIFPGVGVIDATSLDEPTWTNLFDNDSLASQALLSP NGITELSKTSLNVDLERPVISTGSADTIFDPASELVDARQSEGMWDDSGTRDDLLTSD GTAWTSCSDKEIFLESRLESATHYQIHPVTRCQKDALTAGISGQQITALAGMNRLASG GETEDMLFMHYLDQVFYIQYPFFDAHKKQKRGWLLSILKGVKSTYHASLALSEHHLLS TQFPNSETTTSLMKLRSKDSYYYLANQEMELSVGGSSWWDESIRLVRSIECLACILQL LFLEIFAGGADNWQNNLRTAAGFLPAIIQGSTSLVPGHLRDRNIRSSEPIQKSLGAKE SEAINFLLGSFIALDIISCASTRSAPLLDIDHISMLQTLDVDSRSFIGCDNTIMILIF EISQLDSWKKDANESQKLSIVELAKRGSRIEERIHRKIAEIENASLSRLSSKRDSRLL LMSAYADINRIFAFSAIVYLHVVISGAHPELPEVKEGVSKTLAALQSLEDKELLVNAV WAFCISGSLAVESQQGSFRELFSAAKVTHSTVGSFAEAFKIMETCWEMRRNGSCSCDW VSAMDKLGRHVLLR VE01_08495 MNSPVFWGKHTRKASQNYHPLVSSPDSSYSEGSEAELKVIPGPQ YTTWRRKLYGIIIFLVVLVVALGTYVAKLHFMEDHGIGPKPIKCLCGSSTSEAESLGC KYDSLAACWLPTHCRDDELTAEFEHAGDGPNGEWTYWADSNATRGMTLEEVGLLANLP KPQAMFYSTFGWHVAHCAFYWRKEFRMRAKGLMLENRYDRESHVEHCYPIFMANIPLD AIGAGFGVKLGGERDVGGHGHGHMERP VE01_08496 MYDKISSSEGLLSRSSSPSFASEEKLEIPRRRNAQVLPKTTYIL IGLLVLSLIANVVVVVVLNAAISKANGLLGDETRNCKSQTKLYSPAQDALEYKVTKFE SSIYGGETEYQGPPTEHNNRLWKQTYAHMNTHITEEEAKQLPNKTMPEPPYEGSGYLI VLNVFHDLHCIDSLRLALYYFLDDQWNSTYNPYTLFEHPDDALWAKGGRDLSIMHLDH CIDALRQSTQCNGDITPNVYQWSEKWGEVRAWATVVHECRNFDNIVDWAKSHYSRLPF AFGDGPAVGKCAWDDPWTCLLD VE01_08497 MDVVTAANINDFGPRRDGSFDFTLLFEQSVLSILPSTLFLGVSS LRIAWLFRQEIHARSGGLLTAKLFASTILFCLQLALVALWTMHSKLQNRVTLAAAILA LIDSCVIMPLVYAEHKRSIKPSIVLSVYLALSTLLDLAQGRSLFLQDNIGSTALAGLF VATLATKLTLLLLEELSKHTLLIAKLQDSAVESTSGPINRAIFWWINQLFFKGFRTLL GVGDLGSIDKKFSSNLLLSKLCYFWDKSNKSAKHSLLTSTLSAFKVAFIAPILPRLCL AGFSFAQPFLVNRVIDFVGQTSNDESSGIAGGLIGATALVYMGIAISRCLYNHLIYQL ITILRGGLASLIFQKSMELSAAAADYGAAITLMSTDIEGIADGIKEMHEIWANVFELG VAVYLLQLYIGSACFIAVIPAVVCSIVTSYSTEGIGPARGKWNSGVEKRVSTTSSMLA QIKGLKMMGLTDYMSELIQNLRIAELECSKKFRMFIVRIILIILTANFGDQMTPAVVV LAAVLWTQTGPEGFTVSKAFTSLSIVNLVALPVSSLVGSYPTFVSSLACFNRIQLFLN SDQQKDERCNIFLPSELKTSSEFVSTAEVFSQPEYTFTNVETQKLPSKGLANLTSNAI IKLEHASFTIKDKTEPVLHDISISIERFSLTMIVGPVGSGKSSMLKAILGEIPISCGT VRVQQSRGSIAYCYQTAWLRNLSVRDNIVGQSEFDQDWFASVINACSLQQDIASFSKG DKTLVGSGGISLSGGQKQRVALARAIYSRDSIVLLDDIFSALDPATSRTVFDRVLGSD GLLRQSGTTVILTTHTVSFLPSSDYIIVLDKTGRIRQSGSLSHLQASDGYIKELALQA HPSPTTENEHQEQPEISTTDSEISSTSTEDDAWKRQLGDLSLYKFYLKSVGTLFAVGF VFLAIAYIFISRMPQIWLRIWTERGTKTDRGIYSGAYISFCLAAIIFSGLVVWWFFVV IIPKSATHLHWLLLDAVLKAPLWFFTTTDSGITLNRFSQDMTLFDQKLPIAFFETTLD SLDVVAGTALIASGAQYVGAVIPLCFVPLYFLQKIYLRTSRQMRHLDLEAKSPLYRHF TETLNGVVTIRAFGWRPSFLKENHRLLDDSQKPYYLLFCIQRWLAVVMDLFVAGIATV LVAFAVEFTHTTSRGAIGLAMINIIGFNTSLSRLINSWTNLETSLGAAARLRDFLRDT PKEDKDYSGDLPSQPKDWPSLGGIELDNVTAKYQLSSETVLRDASLSIKPGQKVGICG RTGSGKSSLLLTILRLLETPTGSLYIDGLDLSSIPVNTTRSRLTTLPQDPVKLPGTVR DNLDPFKSIKSDDDLVSALIKVNMCDVITTRGGLDVEFDTLSLSHGQQQLFCLARALL HKSKVVLMDEATSSVDQQTDEEVQKALKSEFANCTVLAIAHRLETIGNSDVVVVVDKG RIVEVGNPQKLREDPESLFKALWENRHR VE01_08498 MVLLKPKKRKSNDDINRGTKRNKEEKPTLQVLCNETKLELNSGK DNLDVASFPLAIADY VE01_08499 MSANNGLTGALETTTLPIAMTISAFTAIAWYLVIDLNVVIWMTF KRRHGLYFYSLLCASWGIALFALAFLMKFFNIWENPYISCTFITIGWYAMVTGQSLVL YSRLHLVVHDKRNIRWVLYMIITSVVLFHFPTTVMTFGANSDKADLFATPYSIMEKIQ VTAFCIQEFIISGLYIYATRQILKPGGTFQKKRTRQVMMHLIYVNVIVMLMDITLLGT EYANLYEIQITFKSALYSVKLRLEFAVLNQLRSLVFPGDGSGGNQSSSNHNHQSRPRE GTALRTLQGQGHQADEAAQSNNYTCFASSNKPSPLSRRGEDDTRVIIATEVVVKTEDA SKTNQGAGTRTDIVANGRTSRQNGKLSPSSSEVEFANAGY VE01_08500 MAEVEFLLQCQFWAKLKAEKEVAPKDEDLDFVLSNNPLEALAKG EAPIFKAYLC VE01_08501 MAAVIQGLFGGSKDDQPKVVADNDFADFASAPEPTPASFAAPAG TTPLAATATPALPYTKWYNIHERHSLSEFKGEGYILLLLLALGTIHILGTRANRRRAR GWAVAHAPVLHNEFALVGFAPQQPGAGDAEGEGLARAIEKAGKDGEVLKEVGLSNFLG YATGRLNVAFLDIEIDLLKRYNPVLQFIENAAGFFFESMPAPVERVSAILYPFDGKEA LTVPGLPGMAELKGGKSGYDNFVWAVVHKDRMRQLRDERYDVSITVTKDHPRLPEWAT VMSESAEITEAMLTPALVAAVEKAGEAFEHLIITDQPTDQPLSVDETVSKKRVYLSLR LPASGDYEETLPIFTYFIQLADFLVEKAHFRPEVMKKVKGGREDVVKRLVKADTDGKA EERALEREKAKKAKRDKELAGLDAKAQKKFLEKEKEREMKRSMKKGSQKG VE01_08503 MGKKANRKPTVLLSKVPSLRDICDALGFRNSKEQNAFTDFSHKW RKTYRTSDNRSGTDLIQWKLQSTQDDLTRMANTFLHGVGTGEKFWPHLENPGDRLCFP DDEEKIIDLLKQLFWKQNKYAFNNAKYPKNNESRDPFRHSVGRQSSRDASENLAPSIS IESSVNRPATDMVIVPKVKDRHKSEEESDEELSTREFTPDKPQSTSKYAATCETEVGD DVYTIQDDEPTTTPRATRRKNRLYDEAHLSPNKLASKPLSDTTSRSTSSKRPSQSTPG SSPKVPMRGDDRALKRPKISNGKSSESIRSDFGSPRRVSDRKPVPRVISGTVPSANVN EYIADDQSESPVPTSQSSNASSKSRAQVVLKKQHPTGPPTIMKTTELDKDQAQSKKDS LHPQKHQSPAAPPYLSPYLPTPLVASRDISPSPSPSPPLHSPSPAPLNVPIPQDSRLK PSPPPTKQLPPAQHPPPPTKHSVTPTAPPAKAPLPIPFHILQHTDGITRQTFWEAGRF GTKPLDEFLGDLAEKLRCRPADITMVKLVLRLGPLEIDADVEAGREDVWEIMKGTFKD EIRRAKVRGIGIGAVNVLVQPVMMEKGGSQCGGDGEEDEFEL VE01_08504 MSLPHSASYLLEGHFTPNLHTVRLRFDFDFDDGEYWESNMNGGG SIDLFEEIETEDFVREEEEAWEWRALMRETWQSLSAADYVRELILDDFIPKWTSTFDT EMFRQFLSQLESATFNILGMDNGAGWMTNTQDGYTEFLRNLDTSFLHHMSGLKHLHIN AGDPLGLDGYRYVALALKPDDLPVLQTLKLENCFVGPELVWFVHSHAQVLRSLDVKEC FSAGDGGGMADNPLYWAQFFDFIYEAKPALTEFVAGGDEVFLAYDDEPDTKKVRDVRR KLKDNPGLKLFGYGYLSDKYGSLHYNVEENVRQFIDGADQRAYDRLMGLVKENAAQMG NEHGHTA VE01_08505 MSKLPLTAGQILKGRNGLYEIIEALKTNKVFKAAIVTSTSEGIP PGAQELAVIKTETDQSMKYVFNRERNNYELPHMASCRTIRALRDVISFDPQKPLEPQC MVFEWMDQDLRTVPYSRFCSKPELPRVIARSVLETLAFLKETYGAFHSGIIPQTLPTQ AQILTDFTDINPNNILLSNDNSACPVVKVGDLGNMLREGYDDIRIQSLPTRDPEVWRG LGCFHSSDVWSLGATLTFWLAQRLVFGAGDKIIDDNTEAWCIAKIIRLVGSISPPVNE AFLDEFSLARALEKEKYDDPETGEMSDLSL VE01_08506 MSLSHCFLLVTAVSRLLAGAAATPILTVSNAAPVVDLGYSQYEG TTLSSEVDQYLGMRFAAPPLGNLRFRAPQEPAATTGIQEAKTLQTVCLGNGVQLPSTL QSEDCLFANVWTPASATITSKLPVWIYIQGGGYASDSNGRYNGSTVVEQSGQNVVVVM FNYRVAAFGFLASEKIRANGDLNAGLLDQQLLFRWVKKYIQQFGGDPDHVVIHGASAG AGSVALHLLAYGGRNDDLFIGAIGESVFLPTSPKVSELEWQFDQFVSDASCAGSADEL ECLRSQNTTTLQAANKGRPYPGQSKSPNWYWTPTIDGDFLQDYPYKLLEQGKFVKVPI MVGDDTDEGTSFADNAASLADVATFMTRNYPRLSANDTDAINAQYPLMSPLPKHNPYF PSASAAYGESTFICPGNFICNSFATHVSPNKVWNYRYNVNSTNYDDAGLGVVHTIETQ AVFGPGNVGETPAADIQSGITTVNRNIVPVVMNYWISFVRALDPNTYRFASAPRWGEF GDGRDGGRRLRFETNATEMEVVPRDQVERCEFWKDLAVVMEQ VE01_08507 MAPSERGWKMIIIVTVLVGLATIATILRVFARLKRRVKIEIDDY LCFTALFLLYGMLVQLIFWCAIGGNGTHFSELSPETLIIFGKIFIANQFTYFALCPVL KISIICFYRRIFSGATFHRISALINWLIGLWAAAIFLTCALQCRPLRGYWDKSVPAVC IDGTTYFIVNQTFNVIMDFVILGLPLPIIWRLKRSWQDKLALSCVFALGGFVCFASVY RIVVLFYIEPADTTYTVYQATLWTHIEPSVGMICACLPTIRGLFTFSSWRAGTLGSQG SKGVGYGTGSKSQGTRRKSGSQLGNSVYIKMNERVEREEKDLGMDEEESRDGRIRVRT DIKI VE01_08508 MSSLTQDNVSASDDNMPPSPEKLHASPDIMQKRSSKNVVLIPQP SDDEDDPLNWPIRKKIIIFACICLAGFAGQMSPNSNQLTFVLQIPTYGKTQADLLNTV AAALAGWMAGPFLFTPFAGLIGRSSVILWSLVGTFACQIWAANMTGPDDFIPFTISRL ICGLFGVIPAIMGTGYIMDMFFLHQRGKAFAIFEVLIIFAVVGGGTLGGFIAESKPWE YVFWWTLGPVGGAIILVFLFVEDTTFSRDPSVPRRAPMPKSWLPNRVATFFPGSATQP TGRFAEFKRRAIVPLQITIAPITLSVGTFVFVALGLPIMQASTLAIYLMPPVIAGGYG FSSLQLAFFTMTAWIGILGAQAYGYFFNDAIPLWVARRRGGVWHPEYRLANALLPGLL LPIGLGIYGAGLQFHLHIMVLALASFIIWFAALLVLPVCYNYIVECFLRTPVEASVAL NSYRIAFGLMSVFIITQWQMAVGVGWMWGMGAFFVLAVDVLMVLVILKGHEVRKLTVH ISETIAATEDGERIIGEKEDGSA VE01_08509 MPHTSTDAASNDTTKGDAPPQITVPSWINGIEETGPSTFDVISP YTNTTCWTSTSATPSDALRAVDAASAAFPGWSATKPTARRDILLRAANLLEERTEENA EIMRTEMGADVGTSQFFVVPLAIKMLRDVAGRITSVCGSLPTVEEEGQSAIVYKEPMG VILGIVPWNAPYVFGIRSAATALAGGNTTVLKSSDLSPRCYWAIGRAFADAGLPAGCL NIVSCRPADAPAVVNAMIAHPAVRKINFTGSTSVGRRVAQEAGMQLKPCLMELGGKNS AIVCEDANLDVAVPSIIAGAFLNSGQICMSTDRIVLHSAIAPAFLAALKSALSSAPPS DAPTLVSAASKARVQSLVTSSLAAGAHLIHGSVDAAEPEGKASSIRLAPVVVGGAGDE DPLWLEESFASLAACRVVSSDEEAVRVANSGGYGLSAAVFTEDLRRGFKIAKKLESGA VHINSMTVHDEPVLPHGGVRNSGWGRFNAKEGLEEFLVTKTVTWKD VE01_08510 MPRKKALLIGINYYGTKHQLNGCINDAMNIREYLVQDHGFSPAQ SDMVILTDAPENRGTPLEPNGANMMAAFRWLVSGNNAGDSVFLSYSGHGSQVKDPDGD RESGFDDTICPLDFESHGQLDSDTLHKAIVSPMNPRTRLTVLFDCCHSGSAIELPFVY RPNSAGQVNLVDNVKQGISLATSAFNLLHGGFSATKIKDAQALIGGAQSFFASLHHQG GGPTNENGLGEENFVEDWKNEGKDIWMFSGCADNQTSADTSIAGAATGAMSYGFIKTM RENPNQSYIEVLQNTRQLLAQKYQQIPQLSVGGEYDLRQRVSL VE01_08511 MGSIIQKLLLLTAIATHAYGSSKGLKEITGVKFHQPSAAEMQRA HEAFSSQSFQNKTAYEITAELQQAKALVATAHTSQAAYNKWNMENPSFNNYHHKGTGN TAERRDTKSPPTFSRETLDAIKLVGTVDAQNMLKNGTLPNYNNATFWAHKPDSDTNFR GPKNVKRANDDSWLSQMQHGSQPFGGDSSYVVWRNVMDYGAVGDGVADDTAAINKAMS DGNRCGANCAGSTVKGAVIYFPFGTYRVSSSIVSYYNTQIVGEINSDGNSPVIEAATT FTGNGVISSDVYLADGTTEWYINTANFYRQIRNLDINLRFATMQNIKGIHWQVGQATS IENVRIYMTDKSSSTQVGIFAENGSGGWFSAISMIGGLYGFLGGNQQYSVGDINIVGA KNCIGIIWDWAWSWSRLVLEDCDVGINLTPPGSNSASPVGSVMIVDSAFVKCPVAILT YSFAGSTGEQGTTVLTLNNVHFVGDTNFIVFPDGTGLVQNVSNSVIQFWQIGDLEADG ATHDGLFFVNIPRPEVLTVTDAFSSPQQGSFYRRFKPTYQGISASSILNARALAKGDG VTDDTAALQTMLFYCAKNNCILYVPAGSYMISAPILIPNNSVIVGESWSQFVAYGQNF ADEFNPQPMITVGQGESGMVEMQNLLFTSQGALPGLVLVQWNIKAATQGSVGLWDCHF RIGGAKGTNLQSAQCPKLTGSIDPNCIAGSIMLLMTQDSNGYFENMWAWVADHDIDNP ASDLSNQINVYFGRGMLIQSQGPSWFRGTASEHSVMYQYNAINASNIYMSIIQTESPY YQGAAYTQAPAPFSPLWNGDPLFNTCGSDTITCNVAWAIIIQWSENVFIDGAGLYSWF QSYNQDCVDSNTCQQRLVNIYNVGGLLFNHLVTIGSVEVLTPAISNHWNGIKYAADHL QATVYPWWTAIATYLESSESVDLTVDPYPITEGWVSFGDSYAAGIGAGKPYDKIKNCA RGSGGYPPILDNIIQFGHNVETVFQPLSCSGETARQFIDGTATKQLSQWRPSSSDIGT CSFTGNDLGFGNIVSHCIMGYKSRDECQSDITKAKSLLDANSLIGELVNDVMEMIVAK AKQYKPRFVVYWTGYPKFFTSVDGTCDTCWFHEYWYAGEYLTQKLRNQLNQLSVDVNN QIEFAINKYNALLPYPKVVFVSPDKLKLYDGKRFCEKSVSEPLKGSAQNAVAFFYEKG PDNVPAYPFALPKPIEDDAPSDWALSTYNSATCSDSIEASDGNWASEMLCDMAIGISN GSITSQDFLDNEGAGATITANSDGTITITDLDVNYLKMFHPKTEANWHIAQAVTDALI KN VE01_08512 MGFMSGKSNTDAIERYDGNANAVDAGNGGEKALRADNEPTTQNN TIDNSSDVSAEPTTKKHMKTYMGLSGRKLNIAISVVATNGFLLFGFDQGVMSGIITDP VFNDYFPATKDNSTVQGITTGIYEIGCLLGAVFILIFGEWLGRRRACITGGWVMILGV IIQVASMTGSMPFVQFMVGRTITGVGNGINTSTIPTYQAECSKSSNRGLLICIEGATI AFGTMIAYWINYGSQFSDNESVHWRWPIAFQIVFGLFLSVVMVFLPESPRWLLSRERY EEGESVLASLHGKDTHHPEVQAQKAVILDSIRAAGKGASFSSLFTGGKSQHTRRMLVG SSAQLFQQIGGCNAVIYYLPVLLEESLGQTRNISLVVAAVNATCYAAFSTTSWFLIER VGRRALFLWGTVGQCLAMVITFATLIPSGIKNQDTTPEAKQAAKGGVFGLFLFIIVFG ATWLPLPWLYPAEVNPIKTRGRANAISTCTNWLFNFVVVMVTPIMVSNIGWATYLVFA IINACFLPVIFFFYPETQGRSLEEIDIIFAKGYIEKITYVKASHELPMLDDAGVGEYA RRYDLGVDSNDDFNIDRRVSRDGENKAAMQGVA VE01_08513 MPSFNSALIAALGLPLIKLAHGQIFTVNCQPLTIQRGDPIISPG GISAHVHAVIGGTAFQQTMGLNTALNAKDTTCDKKIDKSNYWQPQLYHQNSDGSFDLI HFQGSAVYYLNRACDYAEGRTQCPPGFSPAAPPAGLRMVTGNPMRRTIDESLFEHQAM QHVCLNAASSDNTYGLPKQSCDRMRAETFFQSCWDGKNLDSDNHSSHMAYPAIGTYDG GVCPKSHPKAIFSLFYEFFYDTAPYKDFNKWVYAMGDPTGYGLHGDFINGWDQNALET AIQTCSGPQGAYSPDCSINKNAGSAVGLNPEIAAPAEEVGLNGPVAKLPGNNPVTMSP IMKRSSVAGRVKL VE01_08514 MRLINVDTFELEEFMDHRSTRYAILSHTWGKGEVTLVDMQRGLP HAEALPGFDKIRGACRQAASDGLMFVWVDTCCIDKSSSAELSEAINSMFRWYSDAKIC YAYLSDVAKVGDGPEAESQFRNSRWFTRGWTLQELLAPKEVVFYSREWIEMGSKEDFA TTISEITGIDPDALGTLDITRFSIAERMSWASSRVTTRQEDIAYCLLGLFAVNMALLY GEGERAFIRLEEEIIKNSDDHSIFAWTSLGEAPPTNSGLLAMSPLQFKHSRGVTLYEG ESSKSRPYRMTNKGLSITINVVRGNAPGERFMTLGCRIREGWVLAICIRGVVASSVSD QYTRVRCDELYAVQPQTNGGWTKLFIRQATSLLDPVEILRGANAFMLNDISPSFRLDK VYRYTPDKFNRFSSKEAKIVCTYGRGGEILVNIPKSANHWVATAIFKSTTTEAEVWVM LGWTDEFGVSVHVCQDRDEDSDGEIVEVDLRPLSNCITKREIINESQTPEGEAKTELV PVSVSVNTHHEQGIDALYKVLYKVTVTDDRYVAIPAAYTVWP VE01_08515 MAYHNTETTRLIDTDGTILNETDYLNLLNQIIRRNDSATLHKYL AKFPRYALSTGETEYYDPFWIAASVGSTEVLRVLLDHYNFNYEADPSQIVALDQREFL LLNVACDHAHLETARFLLDSQPPFGEVCAKDYHGGTPLLSAAASLQHLSFDLQHLSFD LELCHHDRHGWIRDRLARGEELMHLLLDKGACASDAIVEVRDNWLEPRNTVLGRAITR ASYELVRRLLDEGADVHARQQYFSNGGEVGFPIGMYTNDVTALHLGSLFWNAEGIRAL LDHQRSASNDHDYGRENEHNDLFTCRDSTGRLPLHWAAGGPGWYDECLLLDDAIVPRI VNTFQLLLASNPHTINDLDHQGATPLYYAVGGHAGCGSLHSDVPIRFLCAHGADASLV DRHGRTVLHALALHSMYGEPIASALLDLLVEHGADVTHADTDGNTPLHLMARNLRQVE AARYLVRLGADVR VE01_08516 MGGIGPLQNHEGKMESVTLASRIRAHDEMIAAILEGETRGDGSS GLMDQQNAKGGVYKKPARYKGKGKGARLAIMN VE01_08517 MDTQRPPKKPARVYGKKKQVSKSAGIFLQDSSPAPRKVGEVEIE KTAEEAVDEKLEEEGKDSKDAVGIEKPATESQKMGSPKKSGDTSATPAPETATTTNAK SKKPKSSRKKKEAKEQKTVDNGETQPAAAGHSESKPPKPQPQPPTKSKPPSSDTEALA DLTHTLNALQLTPASPLPHPAPPKHQRTRRPRPSTTPSPTTKPPSTSKPPTNSPPPPA WLAPLPSPLPWSHLLSPSWPLTKLTESSYAEIYTLHNPSGSSILKILALRPPRGPGSR RETACKVEDVLSEVAILDLVTDVAGFVVFRGVFVAKGGVPSGVVKAWEGWNDKPPGAD ATAEESESWDLGYGRSAFPHPSKYHKDQLFLVLELGDAGKDLEHFNLTTREELWDVFL GTVGALATGEELFGFEHRDLHEGNICLRRAGPSSRIPTATATLSTSASELRGGFSGLE ITLLDYTLSRAMTEEGKTLFLDLDDPENAALFEDCEPGTKEQERKQRRVYYAMREHVI AQELLLTGALQQQTGKGEESRWAKHHPFTNVLWLAYVLEYSISHFEGPKGELKLFEGE IRDVRMRLREGKGGGGWGSVAEVREEVWGRGWVELEEEEWEGEGQ VE01_08518 MSGYGNDNNDSYGSSNTRGGDDSYGSSNNNSSNNDSYGSSNTRS SDNNDSYGSSNTRSSDNNDSYGSSNKNSNDDDSYGSSNKSSGNTDSYGSSGNSRGDNN DSYGSSNKSSSNDNDTYGSSNKNSSDTYGSSNKNSSDSYGSSGNTDSYGSSNKNSNDN DDSYGSSNKSSSNTDSYGSSNKNSSDSYGSSGNTDSYGSSNKNSNDDDSYGSSNTRSS GNNNNSSDSYGSSNNNNNDSYGSGNNNSGSSTVDKLVDTATGFLGRSGGNNNNNDSNY VE01_08519 MTTAHRPTFDPAVGKEAQRGPAYHQRLLPAHTQLKVRKPGQGGS ADSQSRDLRAELLAAEAAHFAKTRGGAPDQTSADDAALEAGKRGGLLESSAMEGVEDD AEAKEAKRRKILEETRDIDADSASESESDSEDESEDETAELQRELEKIKRERAERREK EEREKEKEEEEARERDVAGGNPLMGGGAKQDFGVKRRWDDDVIFRNQARGTEGKDKKE FVNDLLRSDFHKRFMSKYVR VE01_08520 MPREPEPSLNERQFILQALEDNLRLDGRGFDDARDVEISFGDAY GSVDVQMGKTRNNILFPLPPRPFDGIFTLTTEFSPMATPTVDPSRPSPPETLLARLLD KTLRRSAALDTESLCLLAGKSVWAIRCDLHILSHGGGLLDACCVAALAGLLHFRRPEV SVEGEKVTVYSAEERAPVPLSLLHLPFCVTFSIFGIRQGEEEVVLLDADRAEEGVREG SVTVGVNRHGEVCQIAKLGGREVDALELLRCVGVAEGRAKVLDGIVRRRVGEEERRRD GGVGKVLSAENER VE01_08521 MAPRRAPPAAAPPVEPSLPALTFDEPLSWRAGKAIPTAELLRRL GALAAELAELDQEETDKASLGKVAKELCSPNLLGHKDRGVRAFAAACLVDVLKICAPD APFTPSQLKDIFTLFVTSILPALSDPSNAYNTQHMYVLTSLAEVKSVVLITDVNNSEA LLLHLFTIFFDIVSGSAKASTGEQLSKNAEFHMNEILVTLVDEAPTLPTEVIDIIVAQ FLRAAATGKAKQNGDSKADDKQSTLEMKELPAAYKMAEFLCNSCPEKMSRYISQYFND VIVDASSSGSISKSGDHRRSSINDDSDDEDENAGPTVVDLRELEKAHRLLRELWRASS QVLQNVIPQLEAELSAENVQLRLLATETLGDIISGIGAAGPPPAPPMDPAAYPPVMLE EYAQPTAPTNILTTPMSPQSFAQAYPAVYTSFLSRKNDKSPLIRSAWTTAIGRIILTS AGGIGLSREDEATLVAGLAEKLNDADERVRIAAVKAVAGFGFHDIITKLASSGDVNKT GSVLCSLADRSRDRKHAVRVEGMTTISKIWGVAAGEIAAGNETVTALLGAIPSRIFYA YYANDQDVNVLLDHVMFEQLLPLPYPPLKSKGIKKANGESQQATDDSFDPNTARVERL LILVRSLDPKAKKAFFALQARQKTFRNVMTTFLTKCEEYNGGVMDGNEKEIKQKLTAV IQWLVALLPDSLRAGADLWKFAKMHDRRAYHLIRCTMDPIEDFKTVHKAVKEFAKRIE AAPGAPAGLLETMIPLIYRAGSIVYNQSNLPAILEFSRNDAKGLGATAHELLNEISER NPDIFKAQVKDLCKLLVEAAPTATKANDVGSVKTLKSCAAFAKKFPDDIPKDKPFVQA LVAFAKFGSPAKAAKHAVTILTSTTARKEVHARDLLTWATKDWTYGSDHYLTKLACIS QLTLLAPTIMDEFHDPILEITSQQVLLQYRTEPSSSDRSWVDDAAVDAECEAKTWSLK ALVNRLRVVTDPASAAELAPPVYKLLLTLLTNEGELSKTASTPKHHKSRLRLLAAHLL LKLGTTKAFDAQLSPKSFLRLATVAQDALFPVRRRFIDKLQKYLVLNRLPMRFYTIPF LLAFEPEAHFRASAATWLKSRARALQGPVGGGGGALEATLPRLLSLLAHHPDYSPEPA DLLDTAQYILFFVSSVATEENLGLLYKYAERVKQARDALDPSSENIYVLAELAMAVLR KWEARRGWAMQAYAGRLGMARELFAKMPDHKTAQETADKVFLPEGVEEGVEEVVRKGE KRGKRAAGEGAAGGEPAGKRQRVSNAAAAVVPRVKTAKVVKKVVKPKTPKTPKTPKVK KVREEKVESTAERRRSGRGVVAKTSYADRDDSEDDEEMMGGVSKWEYADGHVESDAAE SDDESDAEASEAAASEAAGEEDVEMSDDGAVEEPEETPAPAIRTKVPEPVVQEPEEEE EKESTPEPVEKPTNGRGRKAAAVKAKPKGKENIKTPAVPVRARSARARGKKAGGDVFD VEASE VE01_08522 MKVNMRSTVALTLAALAFGAVTANAHNTLDEVSEYHVTEVDTET VDCTDTSSLPDFSVNTFYSTHVHQSVKYTLSSGHTLALPTEVIGGLNGLTSLFHFYSH TEGQQITSATTSVEEQPTVSSAASTASTSTGEKPIISTVPSFTSTVVQPTFVSIPVAS EPVPVVAPSSSAEAHSEASTEPVPVTTAEQPSATKEIIPPVVSTETPLANPLRPSVTP SDTPTSTSITLTTTETLPSVPIPPVKEGVSQVSSEASEAIPNPLKTHVGPPIVSVHSS ILDMTTETLTNSHTTVVTVIENPTDTPFAVDCFSTTYVTVYSPPSPPASEATFPPSYT AIAQPPTTIVATGVPHPSNNTTVVATGIPRPNNTTTGQTLPPIHGAAPTLSATPGILL AFCGLICYLI VE01_08523 MAQAKLIDISAPGEKLSDEDARPLREEVARLLGRSQKGFPGAQP VSFSRKHIGELMKQDYYVCEKSDGQRYLLYCTADPTNGSEAHFLIDRRNDYWYIPNLH FPLKDDPSFASFHTNTLIDGELVLDNLPTGLRATYLVFDCLTLDRKPLISRTLDKRLA YFKDGVFAPYEELLRRFPEEKPHMPFEVQLKDMQLPYGLEMMFRAVLPGLPHGNDGLI FTCRGAAYRYGTDPGILKWKPENENSVDFLMRLDFAVVKDESGSWTDYDALPVVKLFV WTGDRGEKWYGTLHLEEGEWEDLKARGEPLHERVVECSMDDSGRWRFMRFRDDKDKAN HSSTVESVIESIRDRVTEAELIGAAGEIKGEWKRRQGLREEEARRGMGKGA VE01_08524 MADSSAPVGSDEETTVKVTPRKEIRPNGTIKLKRPAPKHNKPGN WRDGSVVDDDKKKGTDSPSTNSGASPGPVVNQLDDSTRDTFATGRPLEDSPETSLCKH CKKSVLKSARKTHIEKCLADKKEAARKRKEAKERAARRKEEEGAAADEGGGEKVKKVK EKKEKKEKGLEKKEKVDAEGDTAMGNNAEGEEDDDDPEPSASPEVVKKTPAGLKSAKK TAGKKSEEGGEKKQGKKRKAEGDAEKMPKQKKKKDEPKAKIVKAKVPVDVERQCGVIT PNGVPCARSLTCKSHSMGAKRAVPGRSLPYDMLLAAYQKKNQAKQQKAAIDANAPLED EDDANAGPIDSDEETALVMSALSKWNPQPYLPQPVLMPIKRQYQLARLREQLDNATSG GTVNIFRVARRDAVVPEVGEEDAVGERDEGFSLGRRVGSGFGGSGRRPEVVVGR VE01_08525 MVLFKRKPVQYVTTPTITDDDTEVWVIPQTGEVFTEYEIYLNRM DFYKQRRFICQITGHSGLNFFEALKSELAGAEEVEQAFPIALKGPILRRVQFQTISRI DNLVDLIYDEFKKDYYPGEVVMVHVVSGERLTGVVRDKTRFGNKVLPNGTVSPAFSRY FVSLDNRPFEEAVVDDDHITRDRKIFTKQVLRSFIKKTVTREAWTGAPWLVKPDVAET YHIDTRVPQHLKYESHAAERKQKHQQKKNGPDFDGMVGVFPGNNPKLPELKPAPKSHK SKQLQGQLAKSKQPMTLNPTPQMPAQQLHRALPQHQFQAHGFYPNGNGTPPTNGQYTN FHNSTFSFPPMQQLPIQPPPPPPIKYPIEDLQIAPRKEDILKRPPLKFFSENTPVPVE DHPAKDNGILMESMGPLLETWDTLNVYCEIFKLDSFTFDDFVEAMQITSEDVECELFV EIHCATLKLLADSESDGGKVQIQLPESDSDSEDEDDENDESAIQTPTPEPEPKPKGRA TRSSLAKLEAEALKAEAERSPTPEEKKLHLADEMLEDVDWLEKLRKRDFKNGGWEIVV VGLLYQLAKFPRFEKRCEELLQSLAPVDEEPSVETARLRYAGIDINLRIKILQIICML TMETKAIRGFMEECSEQMTSFRKEKIKWQRDRKIALEELRLLNEERKVLLPANTLPLE EPEAKVNGDTKMTTPEEPEVEEDSTVDTDEDIHLGRSLRRGNDRAAERQRKRELEQER REKAEAAAKMPKQSKQFTKLLKDIQKKQDTIKQCEEEIAVIDNDLREADCPRTRCLGK DRFWNRYYWFERNGMPYAGLPNSSTADAGYANGCLWVQGPDPLEREGYIDMPAAWQAE YRKQFDVSVPERKRAEEGATSVFDAKEWAYYDDAESVDGLLAWLDPRGVNELKLQKEI KLFEDKIKAHMIARREYLAPVPAASEEPSQDEGRKRVSARQTKVLQQARETYRCLAWR NGMAVEELGHLHSEQPRVRRPTKKGAVVEGGEGKGKKERGGRRDR VE01_08526 MAPEQHQASSSVAPPNSTTATTAATESAIDLPHRRKAPPRPAVA EKYATRDLLHSAALIKVQQNAKETFNRKLGEVQDYTDIRTQYRKWFPPSRLYGEGYNG FGNGHTDEPVPSVLYPVSKIRAGNKRTPMLRVKRKDLNQQAEQVEELIPIRLDVDWEK IKLRDTFTWNLHDRVVPMKLFAEQLVEDFGVEGPAADHVLDMVMRQIYDQLADFCPPV YIEEDALDPELPYLAYKNDEMRILIKLNITIGQHTLVDQFEWDVNNPLNSPEEFALSM SRELSLSGEFTTAIAHCIREQSQLFTKSLYIVGYPFDGRPVEDSDLVAAFLPTPLPSA FRPHQQAREYAPFLYEMKETDLEKNEVIFSREQRRQKRSVNRRGGPTLPDLKDRQRTV RTLTVSSVLPGAAETIEESRIFKRVSGLTGRGKRGAAGPSGGVDGISESEDSDDSVPE SPAPNQLQGTLRTRNMRGAATAAQQRMANQGRSETPEAILHHHETRTSARRFGGGRDA RDESPDGPVSFIIKLKIGKEKLRQYLRDRKAATTKPLSQHSRSQSNVSGLGTPARGSM GPPSTPGLQNQQLGGGAAQTTTPGPPPGPVMNAQVGRVDAPPPPGQGQSLPAPPPPPA WLISGLQGLQQTYTQDRFEGMMRYFAVDATTEMPVPPAEAETSNNVKYMWFPRIRCSD CPGKLYTPGPGMSVEGFEVHLRNRQHRERVDARTGGA VE01_08527 MAEETKEVDYTLNNPDTLTKYKDAGAISHKVLEAVSKLCVAGEK IVDICEKGDKLLEEEIAKVYRGKKISKGISHPTTVSPSSFVTPYTPLKSDEAEASVAL NAGDVIKIQLGAQIDGFGTIVCDNVVVPGGEDDARTADLILATHYANELLLRLMVPPG LLSTGTPEEKAKAAAQKPVPQSRMTSLLEKVVKSYDCNLVESTTSWLFKRNEIEGEKK IVLAPGEGSKGEGVPEVGEVWGVEVGVSLGTGKLKNLENRATLHRRTTLTYGLKRDSS KKTLNEVVKKFGTFPFSLRQLDDERQGKVGVVECVRGNVFRAYEVVGDKDGAAVARLL TTIAITKNGVQKLAAAPALDVSQYKTDKKITDEEILKILEEPIAKPKKAKK VE01_08528 MPLYERLGHHDRVVRYYMGNDSILQYACHGSIRQYLQIQTKPLE LSLQLRWVEQIAEAVAYVHSKNVLHGDISCNNIFIDHGLNVKLGDFAGSAIDEEPALV YYETSHGHPSITDTTTKSEIFALGSTFYEVMTGLKPYKWLPDWEIDDAYAA VE01_08529 MSLNGPITESFPSPGNPKSATVSFSQHGWTINTRKLPISKSDAI DAMSEKLGIPVPEMIFGDNMVSVENAEKGWCIEFNSFDALDRVDKTDKTMLKVAYSRE WSESREKTHESIKEVVKPFDWSYSTDYKGTVGGASPTLFTPDTEPIPIELLKRPDPIL HFEEVVLYESELDDNGISLYSIKLRVMPERMLILARNFMRLDNVLVRIRDTRVYIDFR SKKVTREYTATEEKFDAVKEASSAIALLKSRLPDDVIVAMRDPNQMASYLTKVDHTLE SIKLS VE01_08530 MASRGLESRFEHLSVTDENDGGEGLKKTGLKSKVVPKSQLSQTS NGGNLLKMALSNNNPAPVTTVTVPSQAAQWRGSNAPTSATSPPRKATSTSSRPSDELV KAEQKAPQIYVMPQPKEFHLGMFEIGRPLGKGKFGRVYLARERGTGFICALKVLHKSE IQQGKVEKQVRREIEIQSNLRHPNILQLYGHFHDSKRIFLILEFAGKGELYKHLRREN RFPEWKAASYIAQMAAALKYLHKKHVIHRDIKPENILMGIHGEIKISDFGWSVHAPNN RRQTMCGTLDYLPPEMLKPGSGDNWYGEKVDLWSLGVLAYEFLVGEAPFEDTMIMTQR RITRADMTVPSFVSPEAKDLIKRLLVLDPEKRIPLDQVLLHPWIMKHCVKGERATQRE SKSSGSKSSLEGRE VE01_08531 MASQTPPTEQAQATASSTERPAVSTWNPNNDPAKTGYDPTKRWA NYFNILLGKMSREGQEAFREDAYIRNEARDCSNCDKWRDYLFEYSPTIRFMSKNIMDL NGKLDRSNVQCRRCPTRRVIGEDGKEHTVRQGGGFSPDHGILICANEMRNQGHLEDTL AHEMVHAWDHLRWKVDWSDLRHAACTEIRASSLSGECRWTREFFVRNNFTLTQQHQNC VRNRAIRSVMNRPSCKDDVHATKVVNEVWDSCFADTRPFDEIYR VE01_08532 MKGPKPLTIVIKLGTSSIVDEHTHEPLLSVLSLIVETAVKLHED GHRVILVSSGAIGVGLRRMDVKKRPKHLPRIQALAAIGQCRLMGLWDSLFEQLRTPIA QILLTRNDIADRSQYINAVNTINELLDMGVIPIVNENDTLAVAEIKFGDNDTLSAITA AMVHADYLFLMTDVDCLYTANPRTNPDAEAIEVVDDIDALQADVSSAGSSLGTGGMST KIVAARLASSAGVTTIIASSAHPQYIFPIVSYTQSLGLPRNKSLTALSALNTPAASSP GRSSPSPFDPSNLPQRPPHTRFSPSRHPIRDRSFWLLHGLAPHGTIYIDAGAHRALSN KAGLLPVGIVDVDGNFGQQEAVRIVVVERITPVTPARSVAEEAEAAVDGAAAATTSAG DGLAHTYTQTPPTGTPPTSTPLSAPITALPIHIHRRSSTPPPQLWRGTPLEVGRALVN YSSAEIARIKGLHSEGIGEVLGYADSEYVALRENVSLAVF VE01_08533 MPPTSPSRRPSLPARLAARLPSRLRILPFPAIRIIAFLILVNAL LWAASGVVIHYHPYLSGPAILSYTLGLRHALDADHIAAIDLMTRRLVASGQHPVTVGT WFSLGHSTIVIITCIVVAATAGALEERFGGFSRVGGIIGTAVSAAVLILLGIGNGYLL FRLVKRMRAVLRRQGRAEEGGDGEKDGLLGVAGGGILLRVLRRVFRFIDRPWKMYPLG VLFGLGFDTSSEIAVLGIASVQGASGTSLWLILIFPLLFTAGMCLLDTTDGALMMTLY TTSAKARDPLATLYYSIILTGITVMVAICIGTIQLLSLIANVAEPEGPFWDGLETLGE YYDVLGGCICGLFVIGAVGGVIAYKPWRRWVAKDWEEEEIMDEGDRKEACLEKGEGGI GGESGTGVGEKVPLGKEDERRESEGAGRVVQVDLLGRGHDDIEPAEVPVKNSAFVSGD VAEETKKSSAISPEIIQDSQEAQTAAVSGTDVKNDDIPSRLAR VE01_08534 MHILSSGQILAAFLWASNAHASPDPSKPHDFDVLKYVNPLIGTI NGGHAFAGASLPFGMAKSVADVNSKEERQGGFASDNSDITGFSHMHDSGTGGAASLGN FPIFAQTGCPGDEINNCKFTKVDRASRRINGSVEARPGYFAITLNTSIHTEMTVTNHT SLYRFTFPTNETSNATYPNQTTHALPLSPLILVDLSDLPDSRIKGSIAVDPTSGRITG NGTFTPSFGIGSYSSYFCADFSGAAIRDTGVWMNNRAGSEPKHLSVATDGVNSPPLPA GAWTQFHAPTSKNEILVRVGLSFISEAKACQNAELEIPDFGFDKTLQAAEDAWRSKLS VVNIDATGVSDDIQTVFWSGMYKSMISPQDYTGENKLWDSSEPYYDSYYCIWDSFRSV HPLLTIVDPTSQTRMIRSLIDIYRHEGKLPDCRMSFCKGLTQGGSNADIVLADSYLKN ITEGVDWATGYEAVVSDAEDEPLNWSVEGRGGLHSWKNLHYIPTDDFDPYGFGPFTRS ISRTVEYAYDDFCIAEMAKGMNKIADGEKYLERSEYWKNMYNPHQTSHIDGKDTNFVG FLQPKYLNGTWGYQDPILCSPLYNFTSCYLSPGGHETYEGSSWLYTFFVPQDMASLIL TLGGPETFIKRLTYLHSYPDLLYLGDEQAFLPVFQYHYGGRPALSAVQAHTYIPSQFN NTFNGIPGNEDSGAMGSFSSLAMMGLWPVSGQDVYLITPPFFKEVNITNGQTGKTAVV RNINFDAEYENVFIQSATLDGAPYHKNWISHSFYSQGGVLELTLGTNESAWGTGHHDL PPSSSTSGVA VE01_08535 MNPFRAASRTDAQWLFVGPSSAFLDVKSDGANLSQSRACNAGFK PGCKAFYTSNEDGENGSQNHKGLVEADLDEALDLKSQILVFQYKGKFHAIDHQCPHSS FPLSRGTPFDIEDFGVVLSAGITCPKHDWSFDLFTGTGDRGNYKLKIWEVQLRGVDGS DKEVWVRKKQRMG VE01_08536 MDGFTKLAPAIWLREPALSPSSPLSSLPSGLPPPSLVVLCTRVG AQPKHIAKYVAEYLDRYPATALLVLESNLADMTYRGDSAQQARLSPARHVLQAHLQPG LTSEGIVVHAFSNGGAQCAAQVVAALPPAQRATAFRAIILDSCPGEASYTRSANAILL PLAKSPMLKLLAFPFIHLILCLVFIADHVFGFENVVARARRGLNSSAYIAYAVPRLYT YSSADQMVPAPDVEAHAEQARRAGYSDVVNLRFEASGHCAHASRNAEQYWAGIARLFD RSNIIAQG VE01_08537 MDRTTRDPPRRRVAQACSTCRGRKIRCDAGVPKCSLCVDLNVDC VYLDSQYSKIDAGTRVVLERIQRLEDRLFSSSPFSVADTSVEPEQPNSFEHGHNDTQL TLPVSHEANADHVYRWPIVQEILDRGLPETENAERLSTFPKLYDVTDIFLVEPSRHSS DIGIESWHLFDDESLQYFRRQPRIGSNDFWDILPEYENLISAFFANIHAFYPIIRQEQ VYKTLHTVFSSEVDQHGLQDDERQSQYCVLLMVLCLGALAASGNVLLPKMPLQPDNSP QVLWERRQDNPANDNQVGIEFSNSLEDRLWKKAQLLLGSVSLDDSLEAGQCFTLASVY LGAKGRPVDSDHNIHVAARKCKLIARRETLIHKEYPEFSDPFRRLFWVVYVNESDFAS EFSLTPPSGMTLFEDIVPYPSPDDTDSEMDYDIGLNKTLMSTSLPDPGPYDNFAAFQV STSSAIRRFINRATAVLYSPHEVRRKENHTTYIMRLHRLVSELRSHHEAIHKNLPSFL LSTDPSDFWPQINPGKSTSTDTLDRTRFSNHLWNVARLRGRYFAGLYIINRPLLENVL LNPHLIDLHPSKTAVLDCCRDCLVGCSGFIKTFFNEPANCLTNLFATGMATFTMVIIL MVATTAPAFRLLLPPDIDSVIAMGRQNMRRFSACVKEFEWHNVELEKVDRGRHKINRT GS VE01_08538 MDSLRKRLPAPRQDILAKESRSSPSITAAFEPSRISELARDSPP WYKVASRRRLYALLFPGAIVSYMTSGYDGSMMNSLQTVSYWDDFFGNPRGSTLGLVSA IIALGAMCSAPLAPWVADHYGRRWGITVGSCIMIAGAIIQCESTTFAMFVVSRFILGF GLTFCTTASPSLVSELSHPKERVAVTAICNTCWFLGAIVAAWLTYGTRVIPSTWSWRI PSLLQMLPSMIQLSAIWFLPESPRWLISHDRGEEAMAALKRYHGDGEETELVKLEYEE ICAAIHMEKASGNTTWKSMVSTKGNRYRMFIVVCMGTFSQWSGNGLISYYLARILETI GINDSATKSLINGIINIWNFLIAISSALLVERIGRRPLFRISTIGMLVTFTSWTIASA VYAETAAHGAAMAVLVLIFLFQFFYCIAFSPLPVAYSVEILPYSVRAKGMATYVFTTK VAVFVNQYVNPIGLANIQWKYYIVYVVILAIESFIAYGWFVETKGRALEEIAVLFDGE EAEVITAAKSEVEIAQVEDLDRASLKKA VE01_08539 MSSGILRTKGSSIVDANGNVVLLRGTALGGWMLMENFMNGFPGR EMQIRRALDEVLGKEKSEFFFDKFLEYFFTEKDAEFLASLGINSLRLPLNYHHFEDDM NPMVIKEEGFKHVDRVVKICAKYNIYTILDLHAAPGGQSQDWHCDNPTGYAAFWDHKH FQDRVINLWQFIARRYKSNTWVAGYNLLNEPADQKWSRLLAFYDRIVPAVRAIDPDHI LWLEGNTFSMDFSGFSTVFPNTVYAVHDYCGFGFPNRIGRYKGEPEQDVYIRKMYDRK VEFMKKHNVPIWNGEFGPIYERPETNAEWEEQNEERYKMLDKQMSIYTAEGIAWNIWS YKDINVMGMTHLSPASAWMRLLAPILEKKRALAVDSWAYDDAHLQPGLFEPLHQWFAD NVPEKYSKKYPWQWRMHMHVFRGIRGITMAEYMIPEWADYFKDLSYEQLDELAASWKF ENCVGRQRLNESLALYATMKPGDARLEGKVIQSTVVEGQEEEGVFELSPSEKLKSQQA NAPEAVVVL VE01_08540 MAVTAHDIEEKQLGKHIEESPEVSTKDTPLFGDGEAFRNAAERG QTATDRHGRSLVQFDPAAERRLRLKIDLYIVPTVALLYLFCFIDRANIGNARLAGFEK DLKLVKYDYNIVLSVFYISYIIFEIPCTMACKWVGPGWFIPAISLGFGICSICTAFVT NIHAACGVRFLLGAFEAGMMPGIAYYLSRWYRRSELAFRLALYIVMAPLAGAFGGLLA SAILRLDGFGGLHSWQMIFAIEGIITCGLSVIAFVTLTDRPETARWLTQAEKDLAIAR VKSERVATTEVLDTIDNAKTMRGIFCPVTIATSFIFLLNNITVQGLAFFAPTIVKTIY PKESVISQQLHTVPPYIVGGFFTLLIPFLSWRFDRRNHFFIVSAPLMMIGYIMFLAST EPMVRYGATFLIASGAYSFGALTNAQVAANVVSDTARGAAIGTNVMFGNVGGLISTWS FLPTDAPNYHIGNGLNLATSSTILLLSILLLFWMKMDNRQRSKKDVDSVLAGLSQKEE QDLDWKHPAFRWKP VE01_08541 MSKLTAPFHNIFSHRSTSVTPSADVTPVSSDEQQKYGGVTTNDV PEADAILHPGELNFDEATAGGLGRHLGLWSTTFLIIGRIIGTGIFSTPASITGSVGSV GAALMLWVLGLLLSFSGLLVWLEFGCMFPRSGGEKVYLEAVYQRPKFLATVIFSTQAI LLGFTASGCIVFASNIWVAAGLVASPWQQRGVAIGVLVVVTAVHTFTPKIGVWAMNAL SSLKIIILVFIIVTGWVVLSGRIDTIPDPNASFRNAFAGSVHSSGPYATALFKVLNSY VGWSNAAYVLNEVRRPVHTLKIAGPLGLGICGVLYLLANVAYFAAATPEEISKSGITV ASYFALKVFGHTAQRVISVFVALSALGNVLTVTFAQSRVNQELAKEGVIPFPRFWASN WPVGSPSAGLLLHFIPSLIVIVAFPAGTAYIFILDVEGYPGAVVNLFVVVGLFILRWK APNLPRPFKPWLVVPLFFLAGQCFLLVAPFLRPPGGIGDTPPIPYWVYPLVGIAILLG GVVYWAVWRVLIPYVGGFEWVERKETLGDGTVYTRFVKGDKSL VE01_08542 MDSPSLVRQMPLEVFLQVSSYLSTPDLGSLRLTCRYAEASLFET FGREFFSKRQFMLSETSLQVLIDISNHQRLSQFLSHVIIGLDNFKDCHYPPIRSQNAR ADRYDAGLADEQALLSTGQDRDMLSQAFRNLPNLQTVGLRDYSSGGRVRDNGQWHSYG ATTIFQEVGIRLLDSRGMYATEEDTRFASRTLSSILYALGQSGAKPQAFEILLRKAAL GLPYNAFEIRKFFEPSVTPVLSSLKTLLLTIAPELPEVDNGTDDDPGVEHPDFPLRRY LCQTPNLTHLRLNFLSALHSEPQRFMVWLGRPVVHAGPVNPSPGPQLPKSPDPVAFSH LRRLDFGMLTISPRVLLAIIHKFKPSLRSLSLWKIDLKPEYLERQDGKRVAVWPKLFA KLPGLDYLSVGCIGQVVGTRHMRVGFKLPPGQSGEPNVTRVCSVHSDMVTFVNNLISD VVVTTPDGDSLSDDESMSDYSGDSDTDMDDDE VE01_08543 MSATINKDEKDQAFEHESNAGDTVDIKSADELERNINGPHSIYA EALARYPNDESIDQIDEKKLKRKLDRRILPLLGICYFFYYVDKTTLSYAAIFGIKDDL HLQKDQYSWLSSLFYFGWLFWAIPSNLIMQRCPPAWYLSFNIFMWGVLLMVQAAANNF ATLAALRILSGAFEAIADPAFMMITSMYYTRAEQPSRIAAWYMWNGIGVAGGGLIGYG IGNIKGALQSWRYEFIVVGAACAFWATILCLLLPNSPVTFRGFSYDEKLLMIARMRRN QTGVEQRRINWSQIKEAYLDYKTWLFTLLGFVSNIPNGGISNFSTLVIKGLGFNTLNT ALLGIPQGVIVVIWIGLAAVCNRYMPKNSRTIICALFMIPTIAGALGFLLAPTNAYVG RLICFYLTGSYQASFVLSLSLITSNTGGQSKKMIVSGMIWFGACIGNIASPFFYKTEQ APKYPLGIGSLLVANIIELVLFFVLRYSFIRENRVKERQRAELRANGGMENNDLNDTA FTDMTDKQNPNFEYVY VE01_08544 MPPKKKAQPQPQPLIGCNIALSGTFSAGSHGVIQAQLAGLGADL AGSIADSTTHLVTVQRDYDKPSVKVKAALEKNVQIINYDWVKECVASNSKVPEKNYLF TSLLFDVPSQSNGLLKREPSADISADENVKPPTKKQKSSNDSKTVGKVDVKAKTAGKA DVKVKTQTKLKAEVKSETEAKSGRITDGQVAKSLDVKIPLDDGCNLSYDVYIHDDGII YDASLNQANATANNNKFYRVQVQRNRNGGDFKTWTRWGRVGEHGQSAYLGSGTLEDAI RHFEKKFKDKSGLAWTDRRKDPKPGKYAFIERNYCSDSDDDDEDDAKTNGVKNEPDDE LVIPESTLHPATQQLMELIFNQQYFDAAMSDLNYDANKLPLGKLSKATITRGFQTLKD LAALLDDPTLAASYDMNYNDATEHFSNLYYTVIPHAFGRNRPPIIRSTELLKKEIELL ESLGDMKDAALIMKPKDRDAEQINLLDRQFQGLGIQEMTPLGPKSSEFGELKNYLLST RGSTHNLNCKVEQIFRIEREGEKERFEKGDFASVAGDRRLLWHGSRCTNFAGILSQGL RIAPPEAPVSGYMFGKGIYLADMSSKSANYCCPYISGGHALLLLCEAELGKPMQALTD ASYSASEDATSKGLLSTWGQGMTGPKAWKDANCVNPSLDGILMPDTTIMPGATDVKDA YLMYNEYICYDVAQVRLRYLFRIKM VE01_08545 METFISRKRRRSSVSNEVPPRDPKCDLRGSTDFNEVESTDYKLS ILISLHPEKDEGTLLETLLASEGSVERALECLKLPPKKRPAASATSYQSSLSSITRTG KDGATIKQLTKRGKTIHLYTPEDIEAHTPCSIIYNFLPSEEADALLQELVDESPTYKT NTFQLFDRVVSSPHTFCLYVDSWDDAEMQKTQYVYDGKNVEDVRRSLPRMRDASHKVR ETVNQEITRRIRSSPTGQKLHHQSPHPWVPNTAFVNCYDGPRESVGYHSDHLTYLGPR PVIGSLSLGVAREFRVRKIIATEDDDSGDSDAARKRADAKADAQGQLSIHLPHNSLLV MHASMQEEWKHSIAPARTISPHPISGNKRINITYRHYKANLHPRFTPKCGCGVPTVLR VVQRQAGNRGKYMWMCYAGYVPGKEGCKFFEWAVFDEDGDPPWAEEAKSSEKQSLNAG EQLPGETEDEL VE01_08546 MVQKRKRSNVEEEPAEASVPAAIEASPSRSPSPPPPAVAGDLAN LQLPPATTGDDPTTFAQLNLSPKTAAAIAEMGFTTLTPIQQKSIPPILAGRDVLGAAK TGSGKTLAFLLPAIEMMQDLRFKPRNGTGVIVVSPTRELALQMFGVAREIMGTHSQTC GIVMGGANRSAEATKLATGINLLIATPGRLLDHLQNTKGFVYKNLRMLVIDEADRILD AGFEDEMRAIVKILPESRQTALFSATQTTKVEDLARVSLRPGPLYVNVEEETKHSTVE GLEQGYIVCPSELRFRLLFTVLKKHLAKKKKIIVFVSSCNCVKYYEELLNYIDLPVLA LHGQQKQQKRTANFFSFVNATEGVLICTDVAARGLDIPAVDWIIQFDAPDEPRNYIHR VGRTARGTNGKGKSFLVLHPSEVGFIQYLTTARVPLVEYNLPKLINIQAQLEKLISSN YYLNRTAKEGFRSYLAAYAAHSLRTVFDVQKLDLAAVARSFGFTTPPKVDIVFGSRMA KDKRPVKRRAYGSQPRQKA VE01_08547 MSSSLPPLPLSAFATPHTFNPPSNSRIAYHLFTPPSPPKGTILL LHGFPDLPAGYRHQIPALLALGLRVLLPALPGYHPSAAPTDLAPYTLRSISRDLAALA AHLGCSEGGVYVLGHDWGGALAWRLALWEPQLVRGMVVVCTPFDSPKPGSPYVPIEDL VAGPLPQFAYQLTLASGEVERKVRSLEEVRGFLNAVYGGRSREGKFGFDATGGVDWGL ILSGGLARTTLMSEAELEYYARTYAAGGGMRGPLNWYRTRKLNWEDDKEIKWDGKMKV PTLFLQASNDMALPVWMAKGMGRHFEDLKTVQVPGTHWVLVQKAEECNNHIGAFLSGQ MAKEKAAESKL VE01_08548 MSEIYPSLAQCAVLATAFKVLLFPAYKSTDFEVHRNWLAITNSL PVQEWYYENTSEWTLDYPPFFAYFEWLLSQVGRLVDPEMVQVYNLNYESWQTVYFQRA TVIITELVLVYALHLYVETSPASTKRAARVAALSILFSPGLLIIDHIHFQYNGFLYGL LILSLVLARKKSTLLLSGILFAVLLMFKHIYLYLAPAYFVYLLRAYCLGPKSIFHIRF GNTIKLGVSILAVFAAALGPFAYWGQMPQLLSRLFPFSRGLCHAYWAPNVWAMYSFTD RVLIYVAPHIGLPVDASALQSVTRGLVGDTAFAVLPPITPSTTFALTIVFQIIPLIRL FTDPTWPTFIGATTLCAYASFLFGWHVHEKAILLILIPASLIALRDRRYLGAFRPLAV AGHVSLFPLLYTPAEFPIKVLYTLAWLLVFLLAFDHLAPASDRSRVFLLDRFSLLYIA VSIPLVAYCSLVHGLVWGAKYEFLPLMFTSSYAAVGVVGSWVGFLVVYFTS VE01_08549 MHFSKAITALALIAGSYAQSLYVQNELSFGHDGKMSPDERTIPK FTLTGDPTQIYSNKIILTPPAPGNKRAALWSQGTLTSPKWEATLQFRATGPERASGRI HLWLVKDGFQNVGTNSIYTVGKFEGLSIVVDQYGNSGGMIRAFLNDGTKHYGQHLSVD GLAFGHAPYPYRNLGRPSSVKVIQDWHNFRVEIDGELAFQTSTVRIPAGYNIGVSAAS ADSPDSFEVFSLTVSTGEAEEGASYNKQPGTNNADPPKNAGAGIQDTPAEPQGSRGNR NPRDGATATDYLSADRIPASGDISPLPASAITQSHEFADLHYRIQDLQSHLLALQADF QSYQGEATRRHGGLLERAAKAEAAFAREAAGGKTGKIEEMLEAMDRRMQGMEKMLAET KRDVGGGSDRVERLRQQLVEGHSSILEGVHGTVGTLTGSMPKMGWVVGVVLVSQMGVV GAFWWYKRRKNVGFKKFV VE01_08550 MADDGGNDTEMRMDDVEDTTMAMDDPASTPANVDNEAADTPMRT DSAASPPKPDNEVDTPRDQDDNSSSSSSDSDSSSSAESEPKEWLATSRQRRSTAGNRL STLLQAAAADDDLELLFAEDEDDAGFSDEGGDASDVQMDSSSDEEDAGPSAPGAEDLE GEAELQRVARAERIAKKRKAATGIPAALRKKPRVVVGREETEAAPRPKKKSERASWIP SAEEAPLRASDRKTTRLSKEQLYVQMQDRERKRVRQLANMEKAALKREAERRPERTQE DRLAEAARIEKRNAKSLNRWEEAERIREEEQRAKLAALHDRRLEGPVITYWSGRATWE GDRLRTVGREVVIEERERPRKRKGGEEGGGKGKMGPPPAVVAGGTGAGGTVVKVEGAA VDAAGMPPPVIDTKATPSMLNGTMPLPGFAPTVAPPSASTAPSTSPAPVAPVASVAPS TSPSAAAPPSSAAPASAAEPVAESAAPQAESIALSTSAAEPAATAPAAESTASQPAPA TVATVPAAESAPPQPESATPSTSATEPAPASTAPPPTIPESTAEPLVSETTPLPAPEA ASSTLAPSALSDTAPSVPKLVPSPTEPFKLTPAVPPTAQPATASPAGDNGPPAATKPT TTTPAGDNAAPVTAPPATASQAGNGPAPAPTQPTTTTDPSPSSQASAPKPTEPTEPTS PPRPRLPTYTSNLNALILTSFSETALRDKSTQAAILFRGNRFTKLPRPPPPPICPITG LPARFKDPKTGMRYANAYAFKEIRKLVGEGKGKGPGWSSLLGAWVGGGAARGVPGGFL GGEGKEKEKEKGEGKGEEKGKEVAGLGVVGEEKEKEKAKEEVGVAVKG VE01_08551 MASSRSEHPSLGYLPPSMMLQPRSGSSTGNTSPIELPNSSSARS PFGNPPGLTSVGKMAGNSRSGAGSPSHELGSASRLFSKRAREIQAQGGVPVNVWGPPT SGNSTPLRENIPESPTDGFPDYIQLPTPESLPQGRRARAGTVPSRFAPGAPLGGLGGL SSLGSKTSRPSPSPSPYKSPSPGLGEIGDHSSASNNAALLSRLRAGSVPQHISNFSST TQSGSGPFGPSVFSTNWTSGRERTSTLASIASLGSNGPNSPTQSSFSKEGTGENDMQM RTLDYLGLADTPQPPRATLAANPFLANMIDANRQASRFRSYSVNATEKYADEEEDDYG TGSMTPYEAHQARLQYEVAQTHAAIQQHNLAVQAFANQASTNRPRARTAGVLESPGPR GLLRNYYPSSRLENSISVADLRDSAEYDGLPEAVQALNLGYTNGQTSSTHLTADEANL EGPTRALWLGSIPSSTTTSTLTEMFKSHGAIISARVLTHKNCGFVNFERLESAISAKA MMNGKEIFPGAGPVRINFAKPPSSNGTPGHDGAFPSPSPDPFSQGQENGSRSRNGTPA NAGAISRPSTTPPTVPRLQDMRSEILSIVKDFSATDEERQKIDVILQQALQYDGYDND IPPVAEPSLNRIHDAPKLRDIRKRIDNNSWSQSEIENIAMEMLPEVAELSSDYLGNTV VQKLFEFCSDPVRDAMLAEIVPHMAEIGVHKNGTWAAQKIIDVCRLPTQMSSIVEAVR PYTVPLFLDQFGNYVLQCCLRFGSPYNDFIFEIMLTKMWEIAQGRYGSRAMRACLESH HATREQQRILAAAIALNSVQLAANANGALLLTWLLDTCTFPQRRTVLAPRLVPHLVHL CTHKVAYLTVLKVINQRNEPEARDIILEALFFSPDNKVLEDILTDHICGPTLIFKVLT TPFFDENIRAQVVENIRSVLVKLKAQPGQGYKRLMDEVGLPTRNGSTGPREHTHSQER APRSAPQTQGQTNGGGGGGGQQQQQHHHADLRQQQQQQQQQQQHSPQPQHHIHQQQHQ QQQQQQSLPQQQQQQQYSQYYTQQPTLPLPLPHPAYDMQRTPSLEPLPYPSPYSLPQS FGAVPMPAGYNQLLVRGAPVGMQGMGVGGFGGYPYQASPAGGLGGLGQLGGLGQIGQM GGQIGGGMGQFGGVYGYAGQQPVGGQVGQGGYGGQEQGRRGR VE01_08086 MPPQRSALTSSFPLADATNEVVCPLRNHDGSGCRKRCLGEKRYR SMQEHIRRAHPEHYISKLPATEESFQLMINTPPSERPQPAQQQQQQQQAQQQSLSSTA HAHERNHYASSSGPGTPRNIEEYTSVPPIANAAAALAQLHTHKVEPEWDSDMEWHSDT ELHHPRSMLSSIELPPLRRLDHPTSSPYTPLPRQNDRLSALLSHSPPNRSSTLPPIRP PQGHARPRKQSLSRHAREPPHKRHKSRDGMGLGHMRRGSYERKAMSAEPSGFGYGKRW EDLLDAATSATSDVGEDRTPLPASPLSAPRSSLPPAQMQAYTASPLQQALTPPAYNFS PPALPSVETIPTPERPDHRIPHDHRGPNSDPSNGPDRGQTNRLPGLQNIPPHPSGGAN VQIYCAACQGVSLLRESYACTECVCGLCQMCVDVLMAGQGARRRCPRCACVGGRFKPF QLDVR VE01_08087 MHFSALAALVSTLSALASATPTPDLSDAELEKPAVAPLADLYGP WNDSISSVKILSPALGICGFHKATNARDRDCRGPYFTIDYLTPVDDVQRYAGFNEQIG SFLCTGIVE VE01_08088 MASAGPKIGVIICSARTPRACPQIANFIIETIKPASLPTPSNLQ PPSLTVIDLQEWNLPMFNESAVPSQIHSYTEYDHAHTQSWSREIQRYDAFIFVTPQYN WGYPAVVKNAIDYLFHEWKGKAAMIVSYGGHGGGKANAQLKQVLQGVRMRPIEKTIGL AFPTKELLVKASSGGDLELVSGSGTWSAQGGSIIEAYKELLEAVSADVVSL VE01_08089 MFCSASDEGLNRAKTTYPGNFKECFRIGAAWNDGVRFSWVHPLG AEFLLPGNQIPFHSPDKDSYVQNGQIVRLDGTYDLRDKEKMANAFWASASRWLNL VE01_08090 MVGPGSGIAPFRAFVQERAGLAAEGFTIGPVLLFFGCREHKFFN IDCAFSRDGEQKVYVQDQFRERGREARRLVVEQGAAFYICGSTKMAKDVKEVLIGSLT DSTWDKERAVRYVNQMKKEHLFQEDT VE01_08091 MHLSECGSSTSFEVMPVPILTEHDEGIAGIQERLDHLYGLVSVR LIGQEARIFERPPGYLPELPPLRDYPLDESIISRQWRKEFPFMTIQTPSMMCLLDLNP RLAAQLVAKERTDISALSAPNEALDLEFQYEDAIRVFGAFYDKMHHWYPIFSSETFDF YLEKLSSPLNPSSDACLLLLVCAVGSIAQCPSLTSAYDTRPDSRYISRALCMLPNVHF EFSLASVQCLVLLSVYYCFIAKPCQAHDYILMASSKAQAILKCRLFEDDERQSDILRR SFWSILLIESDLSYHIDMPESNIWKFDDRILLPGVQTSWQPCREDQRKLLYSMRPTQS FGMPSDTLKAYFLAQIAMCRMIRRCTTSVIVSQGQERYSPVVAMELTYQLDTWHSHLP SSIRFGHHDVTVPPPDNFVQNLSSSPTSSVMAMTGFLQMQYYLCLAGIYWPAVHSVIS TEKLEAAPIAHCGRFFESYFGFVITAASLVPNCPHNPWSIYAK VE01_08092 MRSLVCRTIALGLLVLQVASANNYTELYRPQYHFTPAKNWMNDP NGLVYFNGTYHLYYQYNPGGITWGAMSWGHATSGDLIHWEHQPVALLARGYPGEITEM FFSGSAIADTQNMSGFSTNGNVPFVAMYTSYYPITQTLPSGKTVQGGTQAQSIAYSLD EGMTWTTYDAGNPVIALPPSPYEDQHEEFRDPFVFWHESTQKWVAVMVLAKLHKVVVY NSENLKDWTYISEFGPLNAVGGVWECPGFFPLPLDGDNEIIKWVLQIGLNPGGPPGVV GSGTQYFVGDFDGTNFVADDTSSPDDNLTMADETNWMDWGPDFYAAATINGLSMTDRY NIAWMSNWQYAGSIPTSPWRSAMSVPRKVSLKTINKKATLMQQPAEGLASLETSGSYS KSWDSFPEGNQRLKLSGKTLDITLTFSNSDPSLALSAAQFGIIIRATSDLAQQTRVGY DFGTSKLFVDRTKSGNTGFDSTFADVYYAPLTPTSDGNVTIQILVDWSSVEVFGGEGE VTLSTQIFPNDSGTGVLLFSTEGPTN VE01_08093 MGLLLKKPEGTPGKSWPAISIGLFVAFGGVLFGYDTGTIGGILA MPFWQDTFSTGFVNSSGHRDVTASQSATIVSILSAGTFFGALGAAPVADTIGRRWGLI ASNVVFCVGVILQTAAVDIPLFLAGRFFAGFGVGLISAMIPLYQSEISPKWIRGVIVG SYQFAITVGLLLASIINNATHNRQDTGSYRIPIAVQFAWSMILVSGMLVLPETPRYLI KRDNMPAAAKALAKIRRLNADDTAILDELAEIQANHEYEQSLGKATYADCFKGNLLKR LLTGCLLQSLQQLTGINFIFYYGTQFFKNSGFTNSFIISLITNCVNVVSTLPGLYAID KWGRRPVLLWGAVGMCISQFIVAILGTTTTSQDSLGNVIVHNLPAQKGAIAFICFYIF FFAASWGPVAWVVTGEIFPLKVRAKSLSMTTASNWMLNWAIAYSTPYLVNYGPGNANL QSKIFFVWGGCCFVCIAFVYFMIYETKGLTLEQVDELYAEVTDARKSVGWTPSVTFRE TVDGANEKKNGTVQVE VE01_08094 MVSNDSTPASNALPRTHGAHSKVSMVNGDLSHLINDANHATHAE KSMTTYQAFKTYPKAIMFSIILSTAIVMESYDVLLLANLYASPGFAKHYGSLTDDPKH PYQIPAPWQAGLSNGAAAGQILGLLINGVVSERFGYRKTMIVSLAAMTAFIFIPFFAE NLIVLAVGEILCGIPWGVFQTLTTAYASEVCPTQLRAYLTTYNNLCWVFGQLIGSGVL RAHALGTDKWSYKIPFAIQWIWPVPILIGVLFAPESPWWLVRRGRIENARQALRKLTS FKDGNGSVDVNIDQTLAMMVITNETEKALESGVSYLDCFKGSDLRRTEIVCATWSIQS LCGSTFMGYSTYFYIQAGLPTVNAFDMSMAQYALGAIGTVGSWFLMSYAGRRTIYIYG LSILTVLLLLIGLASFSNSTATPWAIGSLLLAYTFIYDTTVGPICYSLVSEMPSTRLK TKSIVLARCAYNIFGIVNGVITPYMLNPTAWNWKGKSGFFWAGLCFFCSVWSYFRLPE PKGRTYGELDDLFARGISARKFKGAVVTLWQSDVEEKGVVVPVGANV VE01_08095 MGWPAYKPDGSPMVFAANGIVSQLAPMLEFSNESVEVSETLRYT SQPYYIFVNYLTLKPRLTSKVAMMNRILDASQGFILGLVYLKVIAISCIALFLACAVS YLRTANKPELGSVPGPRLARCSNLWRLRNSVSGLAPQNFQKVHKTYGKVVRTGPNHIS ISDPSMIPVIYGISSKYSKSAFYNTLTPTLEDKPLYSIFSTQDPKHHRALRSGIAQKY SLSSLLQLEPLVDEVTQSFAKKMRAFSNPPLDASQPYTAKIVDIGEWLQFYAFDVIGS ITFSKTFGFLDAGFDYTRVIEGIDFGLKYAGLIGQIPSFHPWLFGSPMLQKIISYIPG AEKKDPIRTVLQMIKDALATVDTTSKETSHEDFLTFLQKQNENGSSKMSQKDMMNHLF VNLLAGSDTTAISLRSIFYFLMKNPRTLSKLQAEIFAADQAGMFSETITYAEAQKHIP YLALVIKESLRLHPAVALMLERIVPQGGLTLHGHYLPAGTIVGINAWVVHYDEDVFGD HVHEFRPERWEESKDPVKIEQLKRMERSFLAVR VE01_08096 MSTNMIAARAPMLTAHGAMLPRMSMATSRLCLTPIYNTNGRTPQ QSRHLSLAARPARPVPHHAARPSLQQQPLSKRQFSTTTPPQLEEKYFPEPKHHLIRTT HPAWEHPGFTEEQMRTNIRFEHRIPEDMSDRFALFLMRMLRKGTDIATGYSHDVTVAA TASDPTALESTKPYKMSERKWLIRFIFLESVAGVPGMVAGMLRHLRSLRRMQRDNGWI ETLLEEAYNERMHLLTFLKMAEPGWFMKLMCLGAQGVFFNSMFLAYLISPRTAHRFVG YLEEEAVLTYSLVLADLEAGKLPKWEGMQAPDIAIEYWKMPEGKRTVKDLILYVRADE AKHREVNHTLGNLKQEEDPNPFVSTYFDAGKPHPGKGIKKGEYKGTGWERDEVI VE01_08097 MAKKSQKLWSVIVCVQVSLQSLEVAAKGYKERLLPLVDGLLEAY DVMAGLVNVAGKEDQDGILRDLKGGPDERREVVAKLMNMLSLWNWSTQWVTQLTVLRE AVVSVREEVSDKMIIMTMERNTVNPTAGEEGAYKEAEMMNLQN VE01_08098 MARRIQRERDPRITRITRADARANPAVQAAINTSVLAARGLAEP PTSAETAAIEQSVVDARNNHPPPPPRETKEERRVRRDKEKAEAAKKNAERAVKKAAEA AEAEAAEAAKAIEAVEAVEAAKALEAVEAAEALAAIKAAEAEAAKNKGGKGSKGGKGG KGGKGGKGKDVISDTKLKRRLKGVKWEDLSAEVKAELRAFVGAGGSVEGWEKKRAEKE ALDILTEQIGYESSEESGEEESGEEEGGQEEGGEEEGEEEEGGEEGTEEGTEDTDEEY EDAEGGTEPETESEPEVEGEEEYGPDTISCPGSTDIESGLESDNVEEDGIKWHCVNPR SPPTTGNQTAHINGGSSNTKYSRLDPNKSFRKNAVGKKRKPDDSPEEKPAKKTKLDDY SGGKVITCFGPIDYIPKRRDIGAKTAVPKDPPKAKKPSKAKKPTKAKKPSGTKRKGGE LPEPNPSGKKRKGDELPEPNPSGKKHKGDELPEANPWKRGKREETIICRFPELPTAKS KRDEGIICALPSSWGIERRERRAKEAVLANAEKSSDRKRKSDEATEKESSRKRVREEE PNGSKKGAGKKVGWLW VE01_08099 MAQYVLDLIYTLTNCMSCFPGSPTLKINSRSFKILRLLGEGGFS YVYLVQDTSNEALYALKKIRCPFGQESVAQAMKEVEAYALFTPHQNIIHAIDHSISAD RSDPSAKTVYILLPYYRRGNLQDLINANLVNHAKFPEKKLMVLFLGVCKALKAMHEYK VGGKGAGKAKRLRAEAAEADEDAAQAAGGGRRRGRGEESDREQHEPLMDDEVTQSQEG VAPGGARAYAHRDIKPGNIMIDDDGTQPILMDLGSLQPSPTPVTSRSLALAIQDQAAE HSTMPYRAPELFDVKTGSTIDTKVDIWSLGCTLYACLVGKSPFEMRSDETGGSLSICV LSGDWRFPDEGAGGQKKGKNQAGQEEDTGINDGIREVVRACLKVEPGERPDVEQLMEM VERVIEDLPEDGATI VE01_08100 MTNLSAPDERFTVFSDRQKIFLTIILSLANLASPLAATSYVPLL PLLSRLFSTSLEAINLSVTVYVIFQAISPSLFAPYADVHGRRPVFLITYCLFTLASLG LALNGTKSYAGLLVLRALQSLGASAVLSLSYGVVADVAIPSERGKMLGPIGAIGNLGV CIGPVVGGSIAFGSGNVKWVFWSLVIFGGAMTLATGLVFPETGRNIVGNGGTPPTGCY RTWWRALFSQEEGNDDEAIPSASNNVFVVEAPRDKAIQHRWTVLLPKNPFFGIRIIFY KDAALVLFLSSIFYATYYCIQASISVIFESTYSFNALQVGLAYLPGGLGCIIGATFTG SFMDHNYRVTANEMGHEVDKIKGDNMMDFPIERVRTRFCLHFLVLYTAAFVGYGWAAE THTHFSVCLILQAVLGLFCMLFNIVSQALLIDIFPANSSAAAATGNLARCSLTAILIS VLQPGLDKMGRGWYFTLLGLLSGLGGIVVVLLIRSRGLQWRTERTKGSQEAKSPDSPR GSNTNVLDIKHSLDEIKHSQSQDVCDVEKAS VE01_08101 MGKRVIFTGGSGKAGRHVIPELLKKGHEVLNLDLEPLNQPNVYT LKTDLTQPGQVFNALCGQFKLSSPNPPGTPPTPHAVIHFAGMARNMLCTDDETFRINT LSAYNVIDAACKLGVKKIILASTGSVYGVAFASGDIDYESFPIDEEIDVNPMDTYAIS KMCIERIARGFARRFDVDIYCLRIGNVIEPHEYKDDLFHSYVNEPQKWKNHSWSYTDA RDLGQICNLGLEKSGLGFQVFNATNDSITSKNSTMKFLKEQCPNTPFTREMGEFEAPM SNVKVKEVLGFKEEHHWENYFPVVGK VE01_08102 MDRLNAIQEPQQWSGDRRKTNSSITPSIFGRTFSRNSTSTSDLS PVVDKKGPLGLNTLHDPLDAIADLIFVHGLGGGSQSTWTESQNGTLDPNLYWPERWLP YEDGFSDVRIHSFGYDSNWTKESTLNIHDFAKSLLVAIMDCPAIVKRNSDIPLVFAAH SMGGLVVKKSYIIARASHEYTMIGIRTKAMFFLATPHRGSDLATTFTKILNLTSGIRP FVMDLHRNSQITQSINDEFPALCEELQLYSFYETMPISIGGTKVIIVQRDMATLGYRN ERTAYLNADHRHVVKFLNRDDVNYRTVRNALAAAIHSFRHEPLLIDSKKDLDALQDQL NLCLQISEAPGDELMAVESVRMPGSCEWILRKDVFLEWRNSMNPWIYWVSANPATGKS ILCGFVIKHLKDADLKCCYYFFSHGDKDKSRITLCLLSLAWQMAVAQRDIMETVIEIC SKDGSIGKSTDHRTVWRKLFVEGILRLKIQRVYWVLDALDECKENTELVAYLLKLTDI CDVRVFITHRRRFEAPKNLSALKAKVYAETIGSDETKSDIALYLQDNIHNLPQSTDEE RKVMVDTILEKSAGCFLWVNLVLQQLSNVQTVSDTQRILEETPSDMDDLYTRILTSMS DEPQGNDIAKAILHWVVCSARPMTIEELHNALELDLDDSIHSVVRAIETTCGQLVYVD IQSRVHVIHQTAKEYLLRYKDSDFSINRKDGHKRLALICLKYLSGPDIGGVKRRPSAS GAVPTRSPFVAYASKYLSNHITFIDSTDDEFIVALATFLSSPNVLPWIEYLASHNGLD RLIQTGNSFINILRRRSKHMSLLGQDVATINSWSSDLIRLATKFGSSLTASPSSIFNL IPPFCPPESAIRRQFGNSPRDISVVGLSATAWDDCLSTIYFSDAIVTAIASSDKFFAI GLSTGKVGIYQDTTCQEVKLLDCGEAVRFIQFSTSSSILATCGIKKLQIWSLDSWTRT WKFDLRQMCMSVIFIEENQILLAAMRNNELVEYDLETGESAEPLEWTIDDEGRPAKTF RRPSYVTMSGSQYQLAGIYRGQDILVWDLSGSMRYETYNKDTGLSTSNDRRQNTTMYA VVFNPAPDSTLFAVSYFEGDIVVFDTADGCRKESVQASAQSLAVSPNGRTLASFDSSG VVQVFDFETLKPFFRIQSRDWGIKALCFNGDGSRLLVGRGSQCKIWNPVALLRQDDET DNSDTVSVSTANQELQYDNSGDEVLITAICEHDSGAVFLCGKSDGSVSLYSTKDGKEI KVLYKHPDRASILSLFVDATSQILCSSAASGRIMTHKLIRVRNEWQVSGAQFDLKPGF AIEQILNNEGHTRMLVCSPGVDTLYQMTGDLNAIVATRKRDDDGPHRWAALPNKRDVI LITGHTAHIFDWDKLSCLTGDTGILLEGDGLFGASIESITPCYDDLVIATSFSHFLQA HTKRFNLLLYPTASFQTPPKSSSTTTAKPVPNSLSLSSQVEYLIGPYGKRLIFLDASG WICSTDQKTFAVTRHFFVPADWLSSNLELIICVTKSGDILFEFGRELVEGYQGGKVEG VE01_08103 MPRDWDASEDTENDVPAYVIETVDDAVKEFLPPGTEVIDITPHG SSFWTRTAEIQTQQADGSDLSFFIKVLYGDNGKLNSRGEFASMTALHAANPELTPRPI AVGTYQSDPKAHFFLCQFMDMTDELPDLYNFPAKLAKLHENSVSPTGQYGFGTPTCLG VGRPHYHKWTDTWEEFYLNFFLDVAGYEQEVQGPDEEMAELVKAIAEKVIPRLCRPLE TGGRSIKPRLIHGDLWDGNASVVIETGLPVIFDACSMYTHNEYELAPFVLPRHKMNRP YIKEYIKFFPPSEPVEDFEDRVALYATRFDIISSSVYPGNLRFRNLVKIEMRKLVNKY PNGYADFKDTSKLDAKLTNVTEAAPWQSLAIPGNQAIST VE01_08104 MSTQTPPTPRRTLLRPRPSLTKLSGGINTVSTPNLSTAYSNAAA PPVPTLGGSRVAGLLARKTSLSALTQGSLATIPDASETYGLSTVLDEDSIISEGQGNM AQHTPRGDEGEVEVGELVDVPGHMHGTVKFLGTVAGKKGVFAGVELSEEYSSRGKNNG DVDGVSYFKTSIPGAGIFLPVARATRRSSRSTSGSSFPHTPTTPGSSRGGQGYQTYTP PTPSLPNFSQSLGPGRAPSPQFKRSRPSLPRPESPLRLPQASRPSISGTPGPKGAPRY APSPTPAKFGQSVRGTRDTAGDPPKKLAFTPRNPIKSGAGPRSASALGGAPMNYSDDE TTPIGTARTTNNNESLGSTSSFNAKLRPAEARAKEHEEDLQRLRTQLEERDKQLKEQA TSLAEMENSLAEVQSLMGGSDAGSKNRGSMEDRDTSQLRTLLREKNEKIAMLTSEFDA HRADFRSTIDTLEMASNETERVYEKRVEDLVQELREHQDRSDDVHSVAAQLKQLEELV QELEEGLEDARRGESEARGEVEFLRGEVERGRAELRREREKAAAAQQEAPRQNEASGS KELEQRDDEIRGLKAIIHSLSRDQVPDADPQKTPTQRHGSVGSRNKRQSQGLSESADD HQAREALENEVAELRRTVESKSNREEELQRELAELKRGSTVGSLKNQRASAITIGSTG TVTADKQLNKDVRSSVGSWRDRPPGSPETHRRGNTLETMAESDTYSATTEGSVLWCEI CETGGHDILTCTNMFGAKSATTNNNTGSHLANNPNPPAPSRNGRDISREGLKPYPPKM ENYRPAPLSPAKKPSAPPVSILPNPSIDGPVAGKESGVIDVNRWCALCERDGHESVDC PFEDAF VE01_08105 MASQISGETRILVIGLGVSVVAALGLMRYMLIAFRDASEIEQPA PKTQYIDQKTEDALMPSTLEKLISSHNKDIQGVASRIVLDRALHDTDTIGGLLWEVTS KDPERREKALRVLHLICGSLADVYHHSVIKAIVAALKNLATEIDYPLYDCEFDDFDFR DPAENVALMTLELLISDQSARYLAKTGFIEHWLARQNWGSTTEEIHKSFLCLYRLQEE AGRGNPLSNIVHKLAGQQRGVQKLVATGLIERPESVHVIGFTKGYSLYGDHPDAPYWQ TTGPSGDVITYSLEEVLAATNNGTVPVARDSRRPVEQSAEERRLRRRNREVMVLNDGS QPLAMTDIIEL VE01_08106 MPPSQLKRLKASLREQGIVGPQQSKSQKKKNAQNGTNKDKRVNR TAALAGIREQFNPFEIKTNARGPKFEVTNSRTVGGASGSKSVKNRPGVTKGLGEENRR KTLLVEMQRRNKVGGIMDRRFGENDPSMAPEDKMLERFTQEIQSRHKNSSAFDLEDDD YGDGLGLTHMGKSLSLDGPDFADDFEEDDLELSDAEDHPSDEERQTLKRRRGSDAGAS EDEDAEDLPERKKSKQEVMKELIAKSKLHKYERQQGKDDDEDIREELDKDMAEIQALL RGVRRPPAPRPAEVAGMNPDRAALLNGTDKIKFDKEYDLRLRQLAQDKKSVPTERSKT DEERLADEARKLQDMEKRRLRRMEGEEEEEEEPKPNAQAPEGDEDVYDEHVDEFGLGA GIQGKPSRPTNEELGVEDEDDFVLDDDLIASGSDADISEDESASENGDDGEDEPADDF LDGLLTTEEAKRPEFLTGANAPLPDRDLPTNNGVSGNLAYTFPCPEDHSSLLEITEST DIQDLPTVVRRIRALYHPQLKAENKGKLAKFTVALVDHVSYLANQPELPPFDVLEQVV RHIHSLAKTYPIGTANAFRKHLGELHETRALAPTRGDLVLLTAISTIFPTSDHFHQAV TPAMLSIGRFLGQKIPQNLSDYATGIYLATLAIQYQSFSKRYVPEVGAFLLNTLCALA PTKMADVPANFPYHEPKFDSRLNALPEQKTRMLTLMDCSIQDLSPENESQLKAALLET SIKLFDAAADVWAEKSGFPETFSPALKVLKHLGSKACREHLPRSTTACVTRTSTKLTV LLNQARLSRRPLELHHHKPLAIKTSIPKFEESFNPDKHYDPDRERAESSKLKAEHKRE RKGAMRELRKDANFIARESLKEKKERDAAYEKKYKRLIAEIQGEEGRESKQYEREKEN RKKSKK VE01_08107 MATSKSPDAAPQSPEDEEDDYMSMTIAEPTGPKVKETYTQRRHR LQREAEARAYTKPKAEREADEAAAREDALQRSLLSHPTTQKSKGLAMMSKMGFTPGKA LGKGDNADARTEPVGISIKEDRGGIGMDNEKKRRFREEVEKEGKRVKADEGEYRERVR REREEGRLEGLIGTAMRVAERMASEKEEELSTDGGGDVPPKKGAQRSKPLKTVNILWR GLVRKREEAERDRRMRYDLHQSLSRLPTYDDEDEDKDDKKALGKTPIQYALVEDLEEE DPELEEFNALEPAERLQKIVNYLREEYNYCFWCKYTYPDKDMEGCPGLTEEDHD VE01_08108 MPPLRPPISALGRGYVCPSCITKLQHPRYFPSSVRTISSRRNNP PQKTPITPKLEPRLNKEAVSFRQFEQDEHGRLAPLDIREDDHILEGLDLKQKDHEDEL ARLSETVEGSHEGTLEEKLKFVESLRRALGKDLGVELKAPAIESSATIDSPSTRIAKP VRAKQKRQAAAIEPIEVEDVDVSIPRHSFPREGQAYISRLNEALEKASAAPLKNRKEL WRWYSLSRKTLCAAWGEVPMSTWQLLWKELSVEALDNPDRMPHVIQLGNDMEAANRPL KPEQTLLYIEALFVEGNQKDALARWESVRSSLTLVEATAIQYWALGVVMLAKSKQPAR AQEAADVLLNDLKAVKESRALIPLIRTWSEYPDSSAIQMAWALYVRLKFWMGSSMEMQ DYDAVAGIFLTSNRTDLALAVFRDMMLTDDPVAKSYDSLALYPRFVGLKGTRPLESFQ LGPEETSWTRSNPLTVLPPKFRNKFFFGSWIKKLVGDDEIDWASQVVELMSQRDIRPD ATYLNGIIGAWFRTGSAENQKKGTTMAWKMIAARLEFVRYRSHRYTSTLEGPVRARFD QTSKDDFIRPSSIAVSAQASLETFSVLIHHYQQLGQKDRVQELLGAIKATEIKPNTAF LNSLLELGSAMHHRPWVWSLYVRFVEQERVVPSQYTFALLWKSMKDHVDPLINRSKAG YPSPRFLFAEMNKSSTWKNEKLAREMYDQIILCFGLADDQIGTAVALRAMQRLYGMYP NEATVRSIVLQIAKAGVKNVAGYRPRRLNLNKDTQRRISDVGKVLKTLKDDRTKQLED QGVDVEGMSIEDKSEESLKLLCLLLRSTAEVRMASEASELRMEAGVGGVDYLARSAAH EMGVPENLPWAS VE01_08109 MSVDANQAALDGTEHQTVYVFGPSNGATHEQPRPSKRRKVAKKP KEGEKGGPKTYFPTLLNNTESSECVLLRQKLYEDSWAAIESQILVSSPIPFISLLLTI CFQSILGEANEKTLSEITNFLNLSITAKNLEGIPTGLITTGQNTASQELLFSQLAERV HSETNALIVTIRPGDASNLKAALKKLIRDATNTDHDDEDERSASSVPGGHKFLNYDLQ LLQNHLKTSKHKQVVVAFQDSESFDSTLLTELIELFQSWVDRIPFVLLFGIATSIELF HERLPRSATLCLQGVQFDVEQTSKTLVTIFQKVIASPDIRLRLGGGFIAALLERQHDQ VYSLQTFIAALKYAYMCHFYANPLSILLGSSDDLESTVVPLFQPEHAEAMRMLPSFKA MVEHHIEEGDIDGLKDLINSDEELVQLAVKTLQEKDLMMFTNLRRLASLHAVLSISTS APTDPIELYVKFFADKFRESDFIQDAINSCKRYAPAEVIALAGVVKGILARDSDTGEA ESYSASEDEFLEAISALEDETVALIQEAEESGTPLQSKYTMQSQTLRTTVVAQKVQLS KREFVLSKQDAAFTSIIEKLARTLEQYFNFDSPQDLFLNEIWIYDSKSPYREVFTPRP RHAIERALSVPHDYLGCTCCKAAGGLSSSHPATATLYQLYLETGGLINVFDLWSAFHT IVGADDDEERDERSCLMLFYKALADLRLFGMVKNSKKKTDHLAKLSWKGL VE01_08110 MATLPTMTKQETPVTVALRNFKTQWFLVAQGTGIIAVILHQLKY QFTGLNIISYIFWLLTIIFLTLLLAIFALKIVIFPKVVAETLRTEIGETACLASISIT FTSIIQMIDLSLVDAWGPGWGMASLVLWWINAAMAVVACIGINYFFMKNEAPGVRGLS PVANLPVIAALTAAAGGGVVCRYGELDEGLQVPVIIVSYLLIGMALPIAFAVATIFMA RLFDQSSPAGTTIYQDMILCGPWGQGSFALQILGEVVTRGSFAKYNRGVFLTMDAAGP IGFASMFAGLIAWGQGTFWWVFAIISVLNSGFNKRGEWRGLNFGLGAWSLVFPWGVYT NACIQLGKLLDSPAFSIWSTALTITLVMIWIVNVVLTTKGLITGKMVGLEHGWDGDAY KRRRLEKGQSNDSADQRLDTGQDNTVAKQSGSTE VE01_08111 MLLRFRGPDGTVRLEVEPSTTFGQLGSKLSEVLPPNTDISTLTL SNQPAGGDKKSIKDIGKFKVSQIGLKHGDLIFISYKSKDDATNGAANGSATISIPSHP MSSTNRLNGNPILPTEDIPISPLPVTSPSQKIKNPWEVVKQSALDDRLDKQDGKIERK RDQKMCRHGPKGMCDYCMPLDPFNADYLAEKKIKNLSFHSYLRKINSATNKPELGASF MPPLTEPYYRVKPNCPSGHPQWPEGICTKCQPSAITLQPQSFRMVDHVEFASATLIEK LLDYWRKSGGQRLGYMYGRYEEYTKVPLGIKAVVEAIYEPPQHDEIDGITLNEWTNEK DVDDVARLCGLQRVGVIWTDLLDAGGGDGSVVCKRHIDSYFLSSLEIAFISRLQAQHP KPTKWSDTGRFGSNFVTCVVTGDEQGQISISAYQASNSAVEMIRADIVEPSADPGVMI VRAEEEDDSSVSRTRYIPEVFFRKINEYGAAVQENAKPSFPVEYLLVTLTHGFPQEPK PAFTAPPSAFPVENREAIGQSQEYKSVSQAIGLRPDGQLKRSDTGIEAVSDFHLLCFL RNMAILNKDEEALLCRVATQHDLADGYQLLSTDGWATLLAILQSTGERPQKRPSPFEN DSEERLAKRIGGVSLK VE01_08112 MISLSQIFDIDWVGLSVPFAYIVVLAGSLMTFSSIYRKRKAVQS ATLAPWFPSHLQRNIYLSLLHMEPEDGNDKAPKVPESVLRAALLRRAVEDIRRIIQVR TAKQACSTLLQRGSVGDDLWQRFLRAEKEMEDELRDVVMEANALAPNWGLTIFQSANE ILANTVFREKLDDIQAQTTAEKEWWEKRRASIEAGFMKELDAAPSTDPKTTTSGIKTT SDEDSVVVETAASKKKKANK VE01_08113 MLSRISNNPALSSKIAEMRLRLSPLVRITTGTVHPAFPPTVLHY WLLVESDLDDLAHFYHQRTPSVWTTQYPQTMGWRGNLTFEEKRRKWGKFIGLRGCAAP QDAKTADEMWEEARRDKLAAEDEMMRSKRHWY VE01_08114 MAGVQDNAEGDLTSLVLQALDKQDNILSSEAFPSQKSTDVKSAL DRLASRSMVTYQTIDKEEAVLEPEGEDIVANGSHEARVFEALKQALEGLTIKELEEAV GDKNTVKIGQMRAFKSKWIAKGKEGRFVASTDSVQDETKQQLQGVQLTRTHGDPKILA DLKKRKLVKIHKVTSFKISKGPKFALEIVKEETDLTGDMLASGAWKTAAFKPYNFKAL GADQHAGALHPLNKVRTEFRQIFFEMGFEEMPTDKFVETGFWNFDALFVPQQHPARDL QDTFYISDPKLADKPRAESEDDKADYEKYWNNVQEVHQDGKYGSIGYRYPWSADETLK LVLRTHTTSISTHMLYKLAQTKGPDGRPPPARYFSIDRVFRNETVDATHLAEFHQVEG VIADYDLTLGGLMEFMTIFFNKMGITDLRFKPAYNPYTEPSLEIFSFHKGLNKLVEIG NSGMFRPEMLESMGLPKDMRVYGWGLSLERPTMIRTKCSNIRELLGHKVDLGFIQRSE AVRLSK VE01_08115 MALSGRLTGRTSALLRQCRASASSPRAFSTRTSTRSLLVKAQRP VEKSAQYQIRLFSSSIQRASQPEPAATSNQFMKDGALKKAANPIDLKKVLVIGSGGLS IGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTAHVLTDEVYYLPVTPEYVEYV IQREKPDGIFLTFGGQTALNLGVQMERMGLFKKYGVKVLGTPIKTLETSEDRDLFTQA LGEINIPVAQSVAVGTIEEALDAAKVIGYPIIVRAAYALGGLGSGFAHNEEELRNMAA TSLTLSPQILVEKSLKGWKEVEYEVVRDAANNCITVCNMENFDPLGIHTGDSIVVAPS QTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALAS KATGYPLAYTAAKIGLGHTLPELPNAVTKTTSANFEPSLDYVVTKIPRWDLAKFQHVK RDIGSAMKSVGEVMAIGRTFEESIQKAIRQVDPRFVGFQGDKFDDLDYELQNPTDRRW LAVGQAMLHEGYTVERLHDLTKIDKWFLYKLQNIVDCTHEMEDIGSLFGLKKDLLMKA KKMGFSDRQIANAVGSTEDEVRARRKNFGIKPFVKKIDTLAAEFPADTNYLYTTYNAT THDVTFDDYGTLILGSGVYRIGSSVEFDWCAVSATLALKEMGKKTVMINYNPETYSTD FDTADKLYFEELSYERVMDIYELEGATGVVVSVGGQLPQNIALRLQESGKANILGTDP KDIDKAEDRQKFSEILDSIGVDQPAWKELTSVADAEAFADEVSYPVLVRPSYVLSGAA MTVIRSKDELKDKLEAASNVSPDHPVVITKFIEGAEEIDVDAVASDGKLIIHAVSEHV EQAGVHSGDATLVLPPVNIDQVTMDRVKEIAIKVAKAWSITGPFNMQIIKALDPEGGL PALKVIECNLRASRSFPFVSKVLGVNFIDVATKALVGRDVPEPQDLMAIKRDYLATKV PQFSWTRLAGADPFLGVEMSSTGEIACFGKDLVEAYWASLQSTMNFRMPEPGEGLLFG GDITKDKNALVTIVDYLSPLGYKLFAAEDHVKSFIEKNAKNDVSVEVIEFPVKDKREL RKVFEKYDIRGVFNIALARGKTTQDVDYVMRRNAVDFGVPLFMEPKTAELFARCMSEK LPRKEGIPGEVRRWSDFIGGKPL VE01_08116 MSMEQPSSTNPAFGEAKKALAPYIRTRQEVAHIRRVLALHLKSQ VDDKGDAKLLAPISLACESANKLPTTSGTHGLRKEYLRALKANVKARQEFARLTTESQ ITREKEVEEISLGPYLALEKQKQKLERLRILQKYVDSLAIKTPAFASFLEQPAASIEA LPQLPEEAIASAARSGSEYSAVNLDDLIQDLERDVLRAKLLLKSEKELLAKIQAEQQK QLQRKSSLKAAEPDQTLKALEKTRNELISWVEEELGKAGDSDGQPENPSKPRDSEVSI EQAVTEVREKYARYLRERKGLLEALARPAVPDIAPKTEDKDIPQEPLNTTEVGEMAGF ITPYLSELLAISREQKSLSQQRSHLTTSLAKQNKETIQVFDRLAEESHLLPKYQLPQK RGRKGTGTFAEEVNMAVKESPGVSHRAEEWTYAAQASSIDTMEAVCVNVDEGKTALEE AKKALDRLDQMLGYSARKTGKGDSSGGDIWLQEAESGGAKDENTNIWSTLDGQLGVL VE01_08117 MADQQRDVSQYKYSAMSNLVLQADRRFVTRRGDEVTGDPESLAG RLSIRDMGSRNAREDAPKQKKPTGMLNVERGSLREGEDVLEREQRKRKRGEPAQLRGT GILSAADALVEGIRYRPRTPATRATYDLIITTVASNLGDVPHEVVRSAADAVLEYLKD DDMKDFDKKKEVDDLLGTTMNPKQFNELVNLGKKITDYEAQDEDEAMGEGDANGDGAE IDDRQGVAVVFDDEESEDEGGKLLNEIRDESSEDEEGDQEDNLEADEIARAGGAGMDR DEDGMFGEDEAMTLDSGADRAGAKPSAKDKSIPAREIDAYWLQRQIGQNYADAHMQQV KTQQALQILSGLSEEGDERTLREIENDLMELFDYEHHELVQKLISNRDKVVWLTRLAK AEDDEARGVVEREIIAEGLRWILDELKGRKVSVDGGKGKKVEIKMDIDVPEDYIKGPK EEQAKDGGLVGGLQPRKLINLENLIFEQGNHLMTNPKVKLPEGSTKKTFKGYEEIHVP APKKRSDPTDRDVPVSEMPEWSRVPFGTTPKLNKIQSKCFPTAFGEDGNMLICAPTGS GKTNVGMLTILREIGKNRNPETGEINLDGFKIVYIAPLKALVQEQVGNFGARLKPYGI QVSELTGDRQLTKQQIADTQIIVTTPEKWDVITRKATDMSYTNLVRLIIIDEIHLLHD DRGPVLESIVSRTIRKMEQTGDPVRLVGLSATLPNYRDVASFLRVDPLKGMFHFDGSF RPCPLRQEFIGITEKKAIKQLKTMNDITYTKVLEHVGTNRNQMIIFVHSRKETAKTAR YVRDKALEEETISQILRSDAGSREALTTEAESVNDRDLKDLLPYGFGIHHAGMTRPDR TSVEDLFNDGLIQVLVCTATLAWGVNLPAHTVIIKGTQIYSPEKGSWVELSPQDVLQM LGRAGRPQYDTYGEGIIITTQTEMQYYLSLLNQQLPIESQFVTRLADNLNAEIVLGNI RTRDEGVEWLGYTYLFVRMLRSPGLYQVGADYEEDNALEQKRVDLIHSAAVVLERSNL VKYDKKTGRLQATELGRIASHYYITHSSMLTYNHHIQPSITPIELFRVFALSDEFKFI PVRQDEKLELAKLLGRVPIPVKESIEEPHAKINVLLQAYISRLKLEGLALMADLVYVT QSAGRILRAIFEITLKKGWSSVAKTALELCKMAEKRMWPTMTPLRQFPSCPRDIIQKA ERIDVPWNNYFDLDPPRMGELLGLPRAGKTVCALMSKFPRLEIQAQVQPMTRSMLRIE LSITPKFEWDDEVHGTAESFWIIAEDCDGEEILFHDQFILRKDYAQAEMNEHLVEFTV PISEPMPPNYFITLVSDRWMHSETKLAVSFQKLILPEKFPPHTPLLDLQPLPVSALKA QDYVALYPKWEWFNKVQTQTFNSLYTTDENVFVGASTGNGKTVCAEFALLHHWSKPEA GRAVYIAPFQELVDLRLQDWKARLSNIRGGKEIVKLTGETTADLKLLERGDLILATPT QWDVLSRQWQRRKNIQNIQLFIADELHMLGGQSGYVYEIVVSRMHYIRSQTQLPMRIV GLSVPLANARDIGEWIDAKKHTIYNFSTHVRSVPLELHIQSFTIPHFPSLMLAMAKPT YLSILQMSPDKPAIVFVPNRKQARNTTRDLLTACVANDDEDRFLHADSEQLKPLLERI HEEALAESLSHGIGYYHEALSTSDKRIVKHLYDNGAIQVLVASRDVCWELDCTAHLVI VMGTQYFEGREHRYVDYPLSEVLQMFGKATRPLEDTMSRGVLMVPAVKRDYYKKFLAE ALPIESHLQVVLHDAFVSEISTKMIESADDAINWTTFTYFYRRLLANPSYYSLTDTSH EGLSAHLSELVETTLKDLSDSKIIDLDEEDDSVTPLNAAMIAAYYNISYITMQTFLLS LSGRTKLKGVLEIVTSATEFETIQIRRHEDSLLRRIYDRAPVKMAEPSYDSPHFKAFV LLQAHFSRMQLPIDLAKDQEIILTKVLGLLSATVDVLSSDGHINAMNAMEMSQMVVQG MWDRDSPLKQIPHFTPEVIKAANSAGVEDIFGFMEAMDPSENPNYGALVKKLGLTQAQ LGQAANFTNSKYPNIELEFELEDPEDITAGVPSYIKVKIEREEDEDDETTEVDTTVHA PFYPVKKMENWWLVVGEESTKTLLAIKRITIGKKLNLRLEYTVPTAGKHDLKLFLMSD SYVGVDQDPSFTVNVAEGEDDDEEDEDEDME VE01_08118 MSEHATPVEAVSASVDSSVARPDDATAGGVSSAADATTGDSNGV SATETKEELPVAEAAKEDSEAKILPEDEKPAETATESETKAVADTVAEISEATATPSS ANKSKARRKSSGVPEHKGRKLNKKESKAKMSNIHAQPGEYYFIKLKGYPKWPGIVASE DMLPDAILKSRPVTAAKPDGSYREDFAEGGKNALDRTFPVMFFETNEFAWTPNTDLEP LDTSVIGDVPQGKMSKSLYAAYQLASENHDLDHYKNVLREFEVSRRRDEKAAQDAIDA KEAAKEAKASAKKGKKAKEVVQDEDEDVEMADAGADEAEKEDKPKASKKRKAVDDETS TPKRTDSVKKPKLKLINSAQKGNAAAETPKSAAKEKKEKAKPKTKKAAAAPATPKEPE LSAEEKSKKKEKEVRFLRHKLQKGLLDSVKKPKEEDMKQMSEFITKLEGYSDLEVSII RATKINKVLKGILKIEDIPKEAEFKFRERSTELLAKWNKILESDTPAPASAQANGTSK EQVNGESATAEDGTKTTGLDGADDTLAPEVDMEDADSKDEQAKSEEEEKPQEEEKPED EEKAAEDKTEVIVAEATPVEATA VE01_08119 MPSTLLTGANSFLAAHVINSLIKAGHHVTGTVRRAAAGDAIFAL HPEWKSHLDIVIVEDITNEASWDSIFEEKKGFNHVVHVAAPLLDNPANTDYDRDFLKP SVEGNLALLRSAKNNAPNLKSIVVTGSVNASTTGSPEELLAGPLTNSTWLPITQEQAR AMNNPYISYCSGKKEGELAIWDFVKESAPKFSVTVLLPALIFGPPIEPLKGGVKGLHY SSNVIYSLFNGSNSTIPATTFPSYIDVRDLADAHVKALTEPKVANKRLTIGGNKMTYT ALVHALAKVPALNGRLPTDSGEDQKVIPANIIAEEGNEALNMTFRSLEETMADTAKRI LELEGQQ VE01_08120 MAKALRGRLPNEPFFVNLLKIANEIKHVIIHDPQNRIDANYGQL LTDVLRMQLLLKQSLPESMFDDALNLLQVSNPYILILSGKYEFIIAALSIMSIGGAFA PLATTLLPEEAFYMLQTSRAICILADQQHLQLAEECQGYAASQGISIPVLPINISNPP SEDLSNSDPCFEIDRKLTVEPHRPALILFTSGTSGPPKGVVHTRRLFYDIHTSSSPSE VFLSHDAIHWGSSLVTFVGSVLGGARTEIIPQNAEAIWERLREGGATTLGCAPRIWTQ MMRHFEDHLSPLPPEKRNPYVRGAQSLRHALTRGSMPEPSVLQFWRDLGKRLHVCYGI TELGATVMKTTNDTDVNLERCIGRPMPGITVKLSSGSHGEMLIKKSVVFSHYLNDHGE TAASFDSDGFYKTGDLARRVGSDYIIEGRASTDFIRCQGLRVPILEVEMRLLQLPFIS EGCILQASDARNGQIVAALVRLRTPEKTYLGDSGKVQRLEEPYLEVLRNSLGGALPTF MLPTALRILQDEEEIPRTPSLKVIRGKAAEQYFPRSKSCELPTNVEVLDLRSNLDSRA RMVWDWGGLL VE01_08121 MAACKPHLIDKEQLPEASNSVKVLCLGMCRTGTTSLLAALTTLG YTPIHMTIILEDARQKELWTEAIEKTFISGGSEAPYGRAEFDVLLSGYDVALDVPCAI FGEQLIKAYPDAKVILTTRPVGSWITSMQSTIWQFMRWPSYRILRYVEPEFMGSFLKL VELIFFVHNKNNYGGEKAEQAYISHNKRIRELTPPDRLLELSPPFHLDELCNFLGKPV PLDGYPHANSTQEYTPKIYRRRNKSLALALKRLGRLFFQLFPFTLLLWYIYHWS VE01_08122 MSARTTLKFPHDPILVRLLIAAQQTSDSETIVHDALGFKKSYPE LLTDILQTRDLLRARLPLPATNEQGILRGEFQYVAILSRSGYEFLVAFFAIRAMGGVC SGVLPEEAHYFLSKAKANCMLAGKDSLERAENICAYIQEQGNFDTLTLLPISCNAEPL RNIDIGIDDNLQLDPDGPGLLLFTSGTTGFPKGAVIPRRCFADPQAFEPGAAAISYNP SHWIGGARSLIGPVLTSKKLYIVAQKAGRARAEAVLEAFRNHRITHAIFTPALLRHMK DILIDQSGNLSEDKKSRCSSYFKGLSAIRCSAGVVEFSTKEFWTDLTGLPFENFYSVT ELGGGVTRGISAIKGSIGTPLPGITIKLSEGNHGEIYVKSPKMLTHYIDDKKTTQAAF DEDGYFKTGDLGELKDGEYIFAGRANADYVLFGVFRISALVVESSLMDLPYISEACVV AVPDNDAKQLCGAVIRLERGTMPIGQITLARIRSDLQSSLAPFMLPALLRILEDKEEL PRTVSGKPIKRQILMDFFGAVDGGLAENIPPRVERYNKLMTVEAQAKPWDWCGLQRAT I VE01_08123 MDAALNQFGSLWLSLYSRGQVQQSRQLYSRTPDSNPSEVAWIIN VAEFSRSGFLQSVILITSATWLSCRLRRLPVITLDSMSRLSTKRWIYELLSYTTRAAA LLLLAFAYAEGQVHFLTLVVVAYAQILGLFRLADDVHWRHSVLHQLNFVTTAISLVLA TAHILPCVQIGSSQCTTDAGILGGISSLAVAILVASITPREWVPSNIDLEIGTNTTKR APAPEETCSWFNYYCTYGWFTPLIWKGVTHKLDVNSIPTLPWYDEPMYLLRKIQSARA ISMTTFWTVLRFQRKELIFMALWIAASSCLENVAPFAMFQLLKHIANPMAATYHPEVW LVLLFIGPITRSVSFQQYLFISTRFIVRVKSAMTQELYHKALDSMELEECIFSTDKNN SNGTTKQPKVAQKSSSTGRLANLMAADIDAIYGARDIIIAVVGVPIGTAISLGGLYKM MGWVSIVGIIIILLATPLSILLGRLMYKFQKRVRQAQDTRISLITEYIFSIRAIKFLA WEDAMTNKVDDARSIEQKWLWRVAILQTIINLITQAFPYIGLLVMFGLYVGMEKRRLD ASTAFTAIILVKNIRKNARSVSANSRKFTGAIVAFKRLDKYFESTIPLARHPIGPLRI QNASFRRNNTNTSQLQEISLDFVEGKLNVITGHSGSGKTTLLLSILGETHMESGSLVT PRDIAFASQSAWLQSGTIHDNILFHTAKDKTRYDPVIKACCLDLDFKKMPSGDMTIIG ENGTSLSGGQMARVALARALYSQSSLLLLDDIFSALDAKTSAEVWKHCFCSNLLDKRT TILVTQVPWIISQANLAIELDKGRVKSVEANIEAMCRPITIAEHLETGDSGAKEAITW ESNAELSRNQPSGVADDNTAKEVVNQEMKASGKVGRLAFFQYMKNFGNPILIAACLFC SLLPNGSFFLSSYWMSIWVQAYEHEAHINIAYYMSIYALFTFLGILAVGATTVTFEWG GWCAAHNIHKAFIHSVMNAPLSWFKAVPLGRVTNRFSRDMSSIDRSLSSMLRFTLGSI IALLFRVAAVSSLLPILILPVLGASAIGIIVGEMYARTAVILRRLTSAANSPIFSHFV STLAGLHVIRARDGMHEAFGEDLATKLRVWTAAAEANFNSNRWVALRVSLVTALISVL TGIIALSKVGVISAGLVGFSLLNSVRLSQSVMTLVRAVNDLDIEMQSFHRVKEYVDVQ SEDKDDEPFVDEGSFDERHCLVHSRDVIPNEWPRSGEIEFRNVTVRYEDGPNILTDIN LKFKAGERVAIIGRTGSGKTTLILSLLRFTNVVSGQILYDGIDITKTSRRRLRQSITI IPQEPTLFSGSVRSNLDPTGFVPGDNISRVFNSCKDIASLSGNRSSDQEISHEPSQGI FPSTEVDARGENFSHAQRQVLSLCRALIRKSKLMLLDEATASMDYQADQGAQKVLRRE LDMTGDTTLVTVAHRLRTILDYDTIVVMDSGRVIECGSPHDLYDAGGQFHDL VE01_08124 MAGCPKIKSVAVIGAGASGAITAAALKAEQSFDRIRIFERRESP GGMWLFDAGVRPSLVVPPGTIAPVVDIPLEAPKILPCVTPTNRQERFSRTPIYSSLMT NTPSVVMCFSDVAFPRGPFVPHHVARQYVESYFSIHQTDSLLVLNTTVEDLSQVASPS CKGGKEWKLVLRKHEPVQDVDIWWEENFDAVVIATGHYSIPNIPHVKGLNEYIEKFPG RIVHAKTYRKPHIFTSQRVVVVGSGISAIEIAKDLVPVAQLPVYMSRRSAPKALIPIE GVEKRPMISEYLLNGRILFDNGEYLDDVDAVIYCTGYQPSYPFWNVKANGRPLWDYER DKLINSYLHTFFQDFPNLGIVGIQRAITFRSFEYQAVALARSFAGRNAVDLPTVDEQV EWERKADEESRANNRTFHALGVEDGGLRLWMEMLYKFAGQCTLSGKGRNPPVFGEKEQ EVVMKQKRAELLSERRILLGQPDDGWIQVGETKYKLLGFV VE01_08125 MSVQPTEYLRFTSQKALTTRLVLSTLTGRPVHISQIRSSSAVSP GLASHEISFIRLLEAITNGSSLQISYTGTTLTYNPGLITGSVAGLGAHGDLIEYALPA TNTRGVSYFLLPLCMLAPFSKAPINVRFTGPGVITSSTEAGDISVDSVRTAILPLYEQ FGIMSAKLELRVLQRSCAGPGGLGGGGIVELRFGSQVRLPKTLHLNRNPGRIKRIRGV SYCTGVSASNNARMIHAARGVLNPLVSDVHIAAQYDQAPLVSSNDKADPKGKKRTGIG FGLSLVAESSSGGVLYSADVAAPPQGGVTPEDIGKQAALQLLETIAQGGCVAKAGAAT ILTLMSMGSEDVGRLRIGRDVIGTEDILGLGRDLRKFGASAWGLRDVDEDESGDILVS VKGVGIGNVGRKIA VE01_08126 MITRYLTEISTAFNPFSPRAKTARLFLSFLPGNARATMKINTKI LPRTSREKSFVQVKFKDGKEMKLDSEQLGIKGVMEELDRHSRILARQEELTGN VE01_08127 MSLESLQKRLTTLQETTSHIQTLIARLASFKFPPGAIPLSQGSL DTVATELSNEIHDTLKEQNNDFELLEQEIKDSPGGRKGSDAETNKLRLLERATRTQQE LKHAQSAFRKAQLAAKRNLDLSRRAERELLLQSLAAPSSPSSNQPISRRRTPAPEQTQ DEKLVGSAGDVTAALRQVHASMTNELSRSQFAHDTLKESGAALEQLGESYTSLDSVLA STKGLLGMLLKSQKSDTWYLETAFYVLLATISWLVFRRFIYGPAWWLVYLPLKMFWNA WMGVFTVLGLRGASSAVSVSSQSVGTTIMPASGTVAQATMSGTDAPRVVRGGRGNAKP PKPPQEDDQGRPLVDIVGEMAEDTRAQREEQPQEPAQPESNEGQAQEEQVQPNPKKRM WDSEVEARKEAEAEQKRKDEL VE01_08128 MSELQRAFAKAKLAGLPPVLPEPLVDLDEQGEERDAFPELSTER SDDDSSSASSASSASSTGTIRPTSSGRLFARPMGSPNRSPAHFAPLPWTSYFERDLKI SHIDSNLKVTHQVYLTSPLSSPTKPGPLFVTHHGAGSSGLTFATLAKSIRALLPNAGI LSLDARGHGSTTIETLNESDPMDGILDVSLDTLTDDLVTVIQKTKEAMNWPTMPPIIL IGHSLGGSVVTSVAARGTLGPSLLCYAVLDIVERTAIESLASMAGYLKSRPATFPSLE AGIAWHVRTGSLKNVESARVSVPPLLTLLYNKPKDDANSTAARQIYNWRSDLASTEPF WNGWFVGLSDRFLSSRGGKLLILAGTERLDKEMMIGQMQGKYALQIFPDAGHFLHEDQ PEKTAQSIVDFYKRNDRSAMVLPPKVSDMLQQGKKV VE01_08129 MVSLWNTRSGGDRVESPRGGHNEDNEGAPRIVVEREPDEHTRLL PQPSTRDGYLSPDDPAVSPYNLWSVRFMRYFSILFLFITFFWWVILLVSIFVSPPGLH NRGSGFFDFSYTSLSLANLIIVLLFFSTPSKAAQVTVLVTSVLLLFDMIMILAVPRLR VEEGWVGIASVVWALLIGIWVVITDRVVAYGKEEEEERLTGRAETRRTLREWVEILAS EIVLIAVGLAVLLLSATLILRSRDSSLAPQGERYYVDGDKYQIHLYCNGKETDKSDNK VPTVLFEAGERPFGLSLQAFADNAIANGTISRYCYADRPGFGWSDNAPSPFSAGMAAD VLSEALARAGEEGPWVLASAGVGSVYSRIFSARHGKDVMGLLLIDPLHEDLLYRLASP HRGFFLWLRGILSPLGIDRLSGAIFRGRSREDRVYGWSAYQGGKFIKAKLQESLVADS LTRNEVTISRAIQEEDTPLAIVSSGIELKRDSVWEEKQRDLTHLTRQLVGWDIVNKAP HEVWETLEGREKIEKRLGELVRV VE01_08130 MAGFFDLKARKKEAEALGDKKGAPNGKDNTRLQPWLNASDERGI SIVRKKVKDFARMQLSRPPGGDYQKQYPCPPYKIIILDEADSMTQDAQSALRRTMETY SKITRFCLVCNYVTRIIDPLASRCSKFRFKRMGEGQAGTRLREIAEKERVPMEEGVVE ALIKHSEGDLRKAITFLQSAARLVGAAGAGLGGEEEVEEDAMDVDGVQKVVTVKSIED IAGVIPDRTIDSLVKAMQPSSSGLTYENISKVVTDMVADGWSASQVVTQLYQTIIYNE SIADIHKNKIVMIFSEIDKRLADGADEHLSILDMALRIAGVLTSKV VE01_08131 MLIYAELCFMYYNDCSLFRFLLRSITQLVFLSPAAKPPPMPGPR PFIPALLSTNMLCVFLHLATARPSGSELTRGYLHGGIIVDFIGQRAPSTKAVLVGLDI LILVLQLVMLSVHVEEAVLKAALANRDGMTAYIAGGGTGVDPAERDAVDLEMGTGTPG GEMGMEMGMQDLSAPDGAGAEERDVLLAERMRRAEEGGDAGVVDAFYTGNVVVGTFDV VGTKGV VE01_08132 MAPQYTVRKIGAANTLEHRVYIEKDGVPVSPFHDIPLYANEQQT ILNMVVEIPRWTNGKLEISKEETLNPIKQDIKKGKLRFVRNCFPHKGYLWNYGAFPQT WEDPNVIHPETKAKGDNDPLDVCEIGELVGYPGQVKQVKVLGVMALLDEEETDWKVIV IDVNDPLAPKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKKYA TDVVRECAEAWEKLITGKTQPGDVSLTNVAVKNSPSRISPEQLPHIPQNQELAPAPID PSIDKWFFISGAAN VE01_08133 MTNSPKRVPIPRNGVDYRCKLVLAPMVRSGELPSRLLALHYGAD LVWGPETVDRSIIGTTRTFNSALSTVDFTRLSSNGSHGPRKDQKESVIFRLHPAREGK KLIFQMGTSDPERAVEAAKLIAGDVAGIDVNAGCPKPFSTSGGMGAALLRTPDKLCAI LEALVANIASEFEIGISVKIRLLETREETETLVRRLCATGITGLTIHCRTTPMRPREK AIREQLTMIADICREMGVACLANGDVTCPEDAQELVNTFGVEGAMIATAAETNPSCFR RKEDGGLAPWREVVELYLRTSMEVENRWGNTKYLLGHLVPGKDPVYRGVTGSKSYTGI CELLGFPELVERAKEVDHALGLDVVPVKQGKKKQNSTADAAGGQSGKKEKRKPLSTRG VENSKPAVGTSKPAVQSPAVSIDIPQPAMESALAAQLV VE01_08134 MAMRAEPDVDLEGVSDVEATIDFTNPKDFTKTVQSFFLNANARD PVGDHTVLVTGYPNKWFNPDNDKSTLVPGAHKALYYHNTEILLLTMLGLPHEIAAREF SNSFIIKIDAMGCRDDIMSTGGATRSMSNISKEPDASWGPDTALPPTNSTFILEAGAS ESGRALGRDAKIWLEHEESHVTQVITIKISRRQPEIVFTVWKRGREHGDTRANHPLRA VVDQQIQVTLQEGRPVTDGMLRLSFEEIFERPQQLGTAEGDLTFSTRELGAIARKVWR RMGNDIE VE01_08135 MRRSIARSVPALASRARPSLTPRLAGLSTTTPIQASKPTIRTLH ITSRSQQASSAGVKLTSTTTSYPTSHEKIQNPVDTPFFIDNKFVASTTSEFIDLHDPA TNNLVTRVPQNTDEELRAAVASAQAAFPGWRATSIVSRQQIMFKFVQLIRENWDRLAA SITLEQGKVVSDARGDVHRGLQVAESACGITSQLTGEVLEVAKDMETRNYREPLGVVA AICPFNFPAMIPLWSIPIATVTGNCLILKPSERDPGAAMILAELAKEAGLPDGVLNIV HGSHRAVDFILDAPEIKAISFVGSNKAGEYIYSRGSENGKRVQANLGAKNHAAVLPDC NKNHALNSIVGAAFGAAGQRCMALSTLVMVAESKDWLPELADLAKSLVLNGGFEKDSD LGPVISKHSKERIEGLIASAEEEGATILLDGRGYKPADPKFANGNWVGPTIITGVKPH MKCYTEEIFGPVLIALEVPTLTSAIDLINANEYGNGVAIFTKSGATAQAFQKHIECGQ VGINVPIPVPIGVSNFSFTGNKKSIAGGGANTFYGKIGLNFYTQQKTVTSLWKEEDAL ATKADVSMPVHH VE01_08136 MAQMGVKARQRQVFNSVFEKYTVAVSEPTPKSTPNTGFTAPGQP FGGFRGTGNQHLVTRSADYQPPSDTRSDSSRHVQDQVTWDRSWHVVTSWLSFQDEPFS PYGQEIHSAEKASPPLKYGTALLDVLTPETRLPYAAHHEDLVKWLSQSMRSHFFRTVQ PWLENAVTGFGHEELLQRTLQVLVQAQSLYLDKVTEIEKTLSHRSSSLPESFKGGFHR DFQALVRKSVPDSVWETVEWYVNREIPIVLAVPRVVDGTRVSPDKMEQEDARTKLLAL AQALHNVGLGGEKFQIMFAEAMNTSMSTYVYGTYQRVWKDEPSPPTLAEVTKRRKSQH FRSSLVGPSSLSTEEEPLVPRVVNHSKPSRCVTDLCAWTENEYARLAVEILSQLDSTE VAYSDLEKWKEMAIGRLASLRTSELFDIVVHWPNGGGAIDDLRTAITTPQRRLQLTEA FTVELKERLLHPGKSTLEILRTYIAMICSFHALDHSKVLLDRVAYPLQVYLCSREDTV RIIITGLLADKDVEKGKPSSSNGDKLVELAYLLNSGVDSTQGPDDDMDWNDMEWVPDP VDAGPGYKRRKNVDIIGTLIGVLGSQDVFIKEFQSIIGDNLLKNDGDFDREIKVLELL KTRFGESSLQSCEVMIKDIQDSRRLNSAIRRAQKLDPSAEEITTASLHTLRTAQDDMS PEGLLKPSLHAKILSRLFWPQLQDETYKVPDPIAHLQQRYEAGFESLKNARKLAWLHA LGHATVDIELEDRTITEVVHTYQAAVIWAFQGAPQGAEPVSRSFEYLAEHLQMDDGLL RSALAFWVGKMVLHSPRPDEFAVLETLNPAERQRSDAAAAAAAAGGSTDDGPARGSQV EDKMAMYWKFIQGMLKNSAAKMPLAQIGMMLKMLIVEGFPYSNEELAEYLSTKVAAGE LELAGGKYRLVKK VE01_08137 MVQSGMPVEEVDIPSTNGYPTQDKTTKYEAQLEKQIVPREQYVK SGFAGILGSPYVLACASFSAMGGLLFGYDQGVVSVILVMEQFLSEFPRVSDTAGGAGF WKGLLTAMIELGALIGAMNQGWIADKISRKYSIMVAVVIFLVGSILQTASNGYAMLVV ARLIGGVGIGMLSMVVPLYISEISPPEIRGTLLVLEELAIVGGIVVAFWITYGTRFIP GEWSWRLPFLLQIIPGVVLGCGVLALPFSPRWLISKGRHEEALDSLSKLRQLPPDNAL VLREWTEIRAEVMCHQEISAERHPTLHAEPTRLNRIKLELVSWLDCLRPGCIKRTHVG TGLMFFQQFVGVNAMVYYSPTLFKTMGLEYDMQLIMSGVLNICQLVGVASSLYTMDRV GRKPLLVWGSVLMSVSHIIIAALVGVFGKDWTHHRNSGWTSVAFLLFYMLAFGATWGP VPWAMPSEIFPSSLRAKGVALSVCSNWFNNFIIGLITPPLVQNTEWGAYLFFAIFCVL SGIWAFFFVRETNGKTLEEMDGVFGDSASAEDEERRRRIEDILRIRDGDADSRAEGEV GVLQGDKEAE VE01_08138 MEPYPQFPSQEDSQTSRSRPQRQLSLAESSAIAIHNQAPLSSSS EYDQSTPQQSPAFSATSSPPLFAPLPLASQNTTLQGWSYIDPSQSSQTPLLRLDPAQD QVIPPPSRSSYTSEGDPFSQSSMTTMGVQYYPPFDASLNRSAYSWPVFDQSTSYQDGS GLLDPFAEQAIVSSDSRSISSSPPQGMLTTEQRELKRQRDQARRDSKAQIRRDRSSSN SYHPSLSTTPDILPRTLTGYSQPTTSASISSINLPLQQPYMSPPQIPSTQSPELYQAP YPIISNDFSGGYLMPYTTAPGEPSIPSLSSRPVTMPPMTSGPEMQFYYGNQQQANAPQ ESPDTVRVVHSRPKPQCWDHDCNGRKFSTFSNLLRHQREKSGMANKPTCNKCGAEFTR TTARNGHMAHEKCKQRRNS VE01_08139 MNDEQAHTSSNPDSVTPESDTGHTHHSKAATSGASSNDPAATPT LGSALPLAMVDNGHVEENPKGDSGFRKTVSKPPKKRDPEWPSAPRRQGPLKLLDLPVD VLKEIITHTNDLTALALTHSALHCLAIPHIYSRFDIVWPDATSTSDTRTGVDALTYAQ EPQPQPSDADASGSPPPPGSRLRIGNNYSMYTRKFSLGNGPSDWVQDYMITKESGKML GTLVALAVARMVNLETFVWDMPTGVLRDVFLSLSSLERNNKPGECRLEKFLVRWHDNS LDQPTPPGGSSLTAPGGSVIPPNSIVTSIGIPLPQDNIQHPLNPHFVSSRPASFHNAV EHPTLSVLPPLKSLSVLDIDEVSYLDEMSVLIERSKDRLQALRVGIARKACERPFVMP WDSVGLHQVDHDQTWPGAQSKIGDLRLGGVLGVIMGRVFDIHRSTKAPVALKSEATIV PDGGQTDMLNGIEALNLGGTAASEAAIEQHSQLMDMVDQDISSTNALIEGAQLLPAHH VEDESDPSKTDTEPLISDAGDADLGVSSNIISPAVEISQLNISQPYGVPASGNRRPSK KGAASEKSADESGPPKRKLLTGKLRLQVLELERVPLSIYVLQKAFDWTTLKSLTILDC AYHESLWRMLRRQFRPQLGTNSSKATPHMEYQLNLKHIHTDIATPSLISFLKDTLAPN TLEVLFLQDRRQKTSSSVTIDAIYKGPLRRHRKSLKKLLIDSSDRIPRGPSVSSETAR WRTWMLSNEALSYITSGNMTSLKELSVAIDYRDWHFFLRRLPNIPGLRSLNIPFIGDH VTPAYDPKELAMQVVDMIFLRPEIELCYLGISNKCFEILENRPGDERHSGDEAVTAHG ELGEQMTEDEEDEDAESDADDDDAESEADDDDGTATEAVETEGAEYELSEDSDEFDGA SEVDSDTEGNRTALRLREILFYDDKVAIFKARHMRL VE01_08140 MATFFTDLMTSIFTPGPTSSLLLATNVSFAALQVTFLGLLIATF SIHFVVLSVLCGSLWWGINWFVAELLAHDAAEKEKAREEAEAIGESSESDTDAQTVVG VKGGSGVEVREEVEGLVKRDLAESPLRSKSDVSTEDEWERVSDNEKDK VE01_08141 MKLVRFLMKCANETVTIELKNGTIIHGTITSVSPQMNTALRTVK MTARGRDPVALDTINLRGSTIRYYILPDSLPLDTLLIDDAPKPKNKARKEVADKGGAR GGRGGPRGGRGGGRGGGFRGGGGRGGGRGRGF VE01_08142 MLDTPDTAHVPFTHIYEPAEDSYLLLDTFSSPSLTSLFRSLFPI SPSTSPSPLILEIGTGSGVVLAFLTAHAETIFGRPDVLAWGVDINEHACRATGETVAV ALREAGQETGGTYIGNSVGDLTSAVKSGSIDVLVFNPPYVPTPDVPALQDGDGDKEAP SDREAAYERESRLLALSYAGGKDGMEVTDRLLAEIPRVLSQRGSAFVLLCAQNKPEDV MKGARGWEGGGRWEAEVVGSSGKKGGWEKLVVVRIWRVSGGE VE01_08143 MRTLRPLLARAATLQPAGRAPPSALLPPIPLYRRLLRAHRRCLP REMRVLGDEYVKGEFRAHREVENPMHIIGFLTEWQLYAQKLEGSSWQGEVLDKAKIDK MSDQQLGQLYELMKATRELEGEGEGGELGGEGGEGKK VE01_08144 MAPALLETLPTAPYQSKKAVDTTIFHDGIKTSGQHPPLYDQLRP YSEFPKVCSGPSLWKPEDYKDNPEKWVHRFTAEEIEELGSVADKFIADGIPLTGISKS NFVLPKLGKLLSDVKHDLIEGKGFILFKGIPVQVWDNHKCAAAYMGLGTYLGYFISQN SRGHVLGHVKDVGDDATQTDKVRIYRTNARQFFHVDDCDIVGLLCIARALEGGESDLA STQHVWNTLQRERPDVAETLTKPIWYYDRKGETSFGEDEYVRQPLFYLETGSDNPRVF AKADPYFVKSLKRFSDIGEIPPLSSEQLEALQVLEDTCMRLSLHMVLELGDIQFLHSG PHVFHSRTAYKDNLPPLPRRHLMRLWLAVPESEGGWKLPFHDSNEKKRGGIQVNDTPP VCPLDAE VE01_08145 MAIAVGAVGSSTKKHDLAVAQASTPRLAKVQWWSDPGMRQLYFY AAILCVCSATTGYDGSMLNASQVMDKWQDYFGHPKGSKLGILNSIYQIGSIASFPVAP FMADHFGRRIPIAVGCIIMIVGAFLSAFTNGYGMYLGGRFLVGFGNSLAQLSCPLLLT EICHPQHRGIVTAIYNCLWNLGSCVCAFVALGTINIKNDWSWRSITLIQGVPSLIQIV CIYWIPESPRWLIAKEQPEKALDILAKFHANGDRNDATVQFEYHEMKETITMEYQAKA NSSYLDFFKTRGNRYRLMILVSLGIISQYSGNALISNYANLIYEGAGIKDQAQKTGIN AGEQLLKLMVAIGFSMGIDRFGRRPLFLSATIGMFFSFLIWTIIAARFENTGEKDIKN LGYPQIAFVWLFDVCYSVAWSGLLVAYALEILPYRLRARGLMIMNFFIQVALTIGNQT NPIALNNMPRKWNLFCFYTVWIAVELVFVYFFYIETKGPTLEEISRIFDGDDAMVAHV DYIDNEKTSQIETETKDGDGRKQAA VE01_08146 MSESFQEPNLKKRSIGANGEKGRVGDSGDEKRRRLVGEDGAVNG NGAKKISFGDNGGGGAVNGNGAKKTSFAEPTAVNGNGAKKTSFAEPAVNGNGAKKTSF AESPVNGNGAMKISLAEPASPASSSVSGGKKTSTEGAVKLATAQVNGIKKAAAAESAT SNDAPKPSPFGKKQKPTGLANGAAGSLRPSQRLLDEKEKKIFQERRKLPIWLSGPDIK YGLRYKGNIMLLAGETGSGKSTQVPQFLMNEPWMVRKSVVIKGEDGEDKSVAVGGMIA ITEPRRVAAISLAQRVAVETGSYLGPHCNERDRVGYAVRFESNVPKGAKIKFLTEGML LQELLRDRHLRKYSCVVVDEVHERSVDVDLLLGFLKNILTGDLSGRGGIPLKVVIMSA TADIEKLQEYFTIPEALPAPATTNGGGKQRKTNGVKPTGLIKEWIATTSPPPEAILDG DDDEGHPATESRRSSASSYSSWSGLSDTIKGSSSSAASAAASPASSTPTSSPADNLDT TVAVPSKPALDIFDLKGKNNTITYRISGRQHPVSTLYVPAALPDLLEASFRTIFHIHT TEPMPGDILVFLSGQDEIESLLARVVAQAEQLVKSFPRLECTPLFGQLSIEKQQLAFA RPLAKNARKCILATNIAETSITVPGVRYVIDTGKAKVKEYRPHLGLQSLLAKPISQSS AIQRRGRAGREAPGKVWRLYTEEQYNALSSVERPEILRADVVEAVLKMKARGIDDIFS FPLLSPPPRDAMLKALTHLNTIGALSSTGELNETGRTMAQFPLSPNYARVLVAASRTS PACLLAAIDAIAVLSGDDIFIQPTSEEARLAAEDARKDLLRREGDVLTQLTTMQKYVR EAAGERKRWCGVRGVNARHMETGMSVRRQLRSACVSAGLVSSTDISELDDAAEGEFIP MSEEAGEELLKCFLKAFVSRTAVLGADGAYRTVRGKHVVIVHPSSVMFGRKREAVMFL EHVFTNKNYAKKCSGVQADWIAEVLAGEGEE VE01_08147 MLSRPCLGYAIGLTLGLVAKGVNGAPCDIYATGGTPCVAAHSTT RALYDAFNGALYQVKRDADGATTDVKPLSAGGVANAATQDSFCAATTCLISIIYDQSG KGNHLTQAPPGGAASGPDANGFDFLASAHGAPVTLGGKKAYGVFISPFTGYRCNTASG TATGDAAEGIYAVVDGTHYNGACCFDYGNAETNSQDTGNGHMETVYFGTGGGSGAGNG PWVMADLENGLFSGADRNSNPGNLPQTSRFVTAVVKGNTNNHWAIRGGNATSGSLSTL YDGARPDSSYNPMKKEGAIILGIGGDNSNWAQGTFYEGVMTTGYPSDATENAVQANIV AAGYATTSLTSGPALSVGSSISLRATTACCTGDYITHTGSTVKIQAVSSSSPDALRQQ ASWTVRTGLGFSGCFSFESKDTPGSFLRHSQYTIVLNGNDGSKQFAEDATFCPQTGLN GASNNALRSWSYPTRYWRHFNAVIYIASNGGPFEFDSKVSFNDDVSFAVGASLA VE01_08148 MHFQLLTLLALATASAATQWAGMENLPDGAYSGTNHPDGSTTMT SLTTGSTYTFQLASTSATSPTRRSPRHGISKRDTSCWGYELDHGGVDEGVTELKRWAG AGGQDLVSGDSRSYYGFNRKGVYVYYCINALHTQGNLDTGDIDYANRMMDAGCGMYQA GFFLWPGSPELVGKCRSGTAICLG VE01_08149 MAEAMNPNFMEYQMDIDDPTITSSQAMAQPTCPYRPTADTPNAL AAFQSSWGYNPHPNTPSFGPSSHPGGVHWPGMPSHNSPQPDSSAGGPSRQLPYSGHPG SATRYSLTPFNRTPDFAGNQQQFLRPEENRHPIPRAPNMDRFHNANENRQGESQNAGP VPSVATGVPNYSSPVDEATQRRSELIQAQMARAQQEAQSNRNTRQPPTLTVQSTFFPT HLPQPNFTGSRSPSHFQGVQGTRVETFQYTSRNVSGPPPAQPPRQLTHLEHEQRRAWI HEANLISDYGDESDDDSLDSFDRRDLGARPRPTPGAAHGQHRPAMSSAEGDERELAME RNRAARRAAIAAAKLVASPAALASLEPVELSSLTGDDRNCIICYNEFGIKNPDGNIEC AVRLPKCKHIFGDHCLKHWLKDSDSCPYCRDKLPSEPKRSPAEEMRRLFVLNRPATSS AQQHNGPMTAEERAAAFAAMEARHLGQAYMNRAHVQSQIHALYALEAQNRARLENSAL EHSEAIMRQHMQRQEEWAAMHHSHGVPLGTRQMADNEARRRNRRGNNIRNSTGNSISA AARSSGVMPRGGGSIPNPNPSSGPSANSNPNSNANSRPPTITTRNIFDTANTVAAVLG QPRSVTPHPTVLENGGATPAPLSSRRSSLPVDAPVRDEARDMARAQMLGIPHLPPTQV GDMDEAQMALASPRMELFGAEERVWTEAGRPVSEGFLGGAGGVGVGGAGFQAGVMDGG GARRWGGARG VE01_08150 MASSSALNIAFAGLGAMGLGMASHLVSEGHNVTGYDVYEPSLEK FRAVGGGVSSSPKEAARGNQYLICMVTNSQQAESVLFDSANGAVQALPTNSTVILCST VPATFLKSVQQKLDYMDRSDIHLIDSPVSGGTVRASQGKLTILAAGTESALQQGHEVL KLLSEKLYIIPGGIGTASNVKMVNQLLAGIHIAAAGEAMGLAAKAGLNTRQVYDIILT AAGSSWMFENRVPHMLDNDLTPYSALDIFVKDMGIVTSSARSHGFPVPLSSVAEQLYL SASSQGFGREDDSGIVRIFTPSTPTLVHESSKLATLQPDVLTPSATPFEISKVGFVGL GAMGVGMATSLVKAGFNVWGYDVYEPSIQKFVAGGGKAIAATSPAEAAREAEVLVLMV QNAAQAGDVLFGAGAAAKSLPEGSIVILNSTVSPTAVRDLSTQLSSLGKGLELIDAPV SGGVARAAKGELTIISSGNELALSKARPILTAMSGQATNLHRISEGVGAASSVKLINQ LLAGVHIAAAAEAMAFGAKLGLDTANLYEIIKNAAGGSWMFENRVPAMLNADWTPHSQ LAIFVKDLGIVLDEAKRLTYASPLTAAAHQLYLMGESHGWSKDADGGVVRVWELMTGV SVSSSAKTPAAPTHKPREYSPLPLKETLASLPPAAGGADDILSTIRSQVDNPSTPLVI ALDDDPTGTQTCHDIAVLTVWDHSTLCKELQSAKGGFFILTNSRALPGPEAEILISEI CQNLAKAAAETNKTFQIVLRGDSTLRGHFLEELESAEEVLGEVDAWILAPFFYQGGRY TIDDVHYVAEKEVLVPASQTPFAQDATFGYASSSLRDYIIEKSGARFNPKDIFSITLS DIRLGGPEKVAERLLQIPRGSVVVVNAAAESDMAVFAAGAISAEQQGKRYLYRTGAAF VSSRLGIAGKAPMSAEELDMGYHSGVATTGGLVIAGSYVPKTTAQLASLRERRGGKLH VIELDVGTLVGEGPKAEEVVSNAFQEASVKLGEGQDVLVMTSRRLVTGSDAISSLKIG GVVAAALVKVLENITVRPRYVIAKGGITSSDAATKGLNMKRAMILGQAALGVPIWRCE EETSRHKGVPYIVFPGNVGGDDTLAEVVERWAV VE01_08151 MEENSQTLSLAVSKILSVLELPSLESFAAQLQHNQLQHSQPQAQ QAVTRSIEAPDRSRPFRTSGMAMTRENSQEPTQSRADTLVTDPMGALYEVTKLRNLRS NNLHGHAYAARPTILEDDFISKGKVSEADAEELFRTFSTSLNHYLWGGIGLVHDNLTS VRRSSTLLLAAIFTVTALHVPGKEQVFDICYAEFTALVCDSMFDRYHTLDGIRALCIG AFWLSDVSWKLAGHAVRIATELNLHQSYSRAMRGSREHFEGARLWYFIYVCDHHFSIA YGRPPVIHEDATITNHEKFLNLPGITQADYRLHSQVSVFIILTRVYNTFGPDIEQVVA EGDLILLRQFNHALDSWRLHWESRLTPNPFIATYPAKGVVLHYHFARLQVSSLSLRGI QKDGMHDLTIDRRDLANTAIACATSILQTVLDEPGIRNSVVGVPIYLHTMIAYSAVFL LKVQQKWKAYNLSADATLIRDLVMRIIRLLLDARAGERHLSSHIATGLSKMLDRFTSW EAGEKSRNLLVDSGRISQDDPNNPAAQMWEPNYAISDTGILRMFDSSVPFYDEHYFPL GFFDVMSAGQTDTEYRHRE VE01_08152 MEESKDNALHVEMTTTITKGDGATEEEIQLQEALSNYIPDTDAE KKLVRKIDMHLMPTLWVMYILNYVDRTNIGNARIAGMEDDLNLDAQKYAWVLSIFFFG YLIMEVPSNMILSRSRPSIFLPSIMLVWGAMSAIMACAPNYGSMLAFRFILGCIESGF FPGVLFVMSCWYKAAEIGKRFAIFYSAAVLSGAFGGLLAGGITNGLHDAHGIAGWRWL FIIEGVATVGVAIIAKFILLDFPHSSPVLTLEERQLATVRIIADSAVSGSASGSSRDD RLSHWEAFKAAATDPRTYSFMLLFVLDVGAGTISYFIPTITKSLGYDTVKAQYMTVPV YAVATVCLNICAFSADRHEERRWHITAALSLGFICAMVCAIVETPVVRYVMLCFVASG IWSALPLILAWASKTIDLPAEKRAIAIAMINAVGNLSSVYGSQIWPTKSAPHYTLGWG ITAGFLGAGACVAALMPVFIKFVPNKPTKAELALKERGERMMAEAAAL VE01_08153 MRFNAAAVLSTALLAGAAHAQAEVKDTEASAAVDSAVLPSFTPT KIKGDFVEQFTDDWEQRWKPSHAKKDMKGSDVDEEWAYVGEWAVEEPHVFKGMDGDKG LVVKNAAAHHAISAKFPKPIENKGKTLVVQYEVKLQNGLECGGAYLKLLRDNKALHQE EFANSSPYVIMFGPDKCGHTNKVHFIVNHKNPKTGEYEEKHMNSPPTAQISKTTELYT LIVHPNSTFAIRQNGKQVKEGSLLEDFTPSFNPEKEIDDAKDSKPDTWVDEARIADPE AKKPEDWNEEAPFEIVDEEAEMPADWLETEAQVIPDPEALKPEDWDDEEDGDWIAPSI PNPKCEEASGCGPWTKPMKANPEYKGKWVAPLIDNPDYKGVWAPRKIKNPNYYEDKKP SNLEPMGAIGFEIWTMQNDILFDNIYIGHSIADAEALAAETFDVKHAAEKAAELAAKP KAEDKPKSDLDIEFGDDPVKFVKQKLDLFITIAKHDPIEAIKYVPEVAIGAGVGALTL LGVLVGLLTLGSAPAPKVKAAAKQGKAAVKEGKEKAGSAAASAVDAGKSEVNKRTTRS NAAE VE01_08154 MAVIGTPPLEPATNTSNDHLVKSDDSQHPANLIPELCAKFWHLG WVTGTGGGASIRQDDLVYLAPSGVQKELMKPEDIYVLSLKAQTDPKQRIYLRSPPSFK PSQCTPLFLAAFNKRNAGCCIHTHSQWAVLITLLLEQSGAETDIFEINNIEQIKGFGR GFQKTGNLGYHDTLRIPVIENTPFEEDLTDSLEAAMDKYPDTYAVLVRRHGIYVWGET VHKAKTQCESLDYLFQLAVEMKKLSLPWLSNIV VE01_08155 MRFSTIFLSTVVAALSVQAQANNGTATTESPAVSGTALPTPGQL AATRCLATCPSNDNDCRAKCQLLANSVNPIGDCQAACPKGDGSAAGNLSYKECLQGCN ALSPVPSASETSSAASSTSTGTDSGSGTGSGTDSSSGGASSSGSGSDVKATGTNASPS ATPTGAAANMAVSVSVGAGLGLFAMMLAL VE01_08156 MATKDLIPETRVLTIASHVTYGYVGNTMAAFVLQALGCEASAIN TVNFSNHTGYRQVKGTKATAADIDDLYTGLKNSGLDDFDMMLSGYIPGREAVEVVGTI ARDLKSKAAEKPGSFFWVLDPVMGDNGKLYVAEDVVPAYKKLVYDADLIMPNQFEAEW LSGVKITDVESLKKAITSIHEIYKVPHILITSVNLTALGEVPSLSVVGSTKTSLDKPR IFRVQVPSLDCFFSGTGDMLAALMVVRLREAVCAVERLGRKESWVSGDEVSETDLPLA RAVERALASMQEVLARTLVKRDEEIAAWEAKVAANGAGDGVDVEKTRHLMRTKAAEVR LVRNLECLKHPEVKYQAEKIDIVGNLAIGAV VE01_08157 MDAVVFEDSPLASYFEGEGDGDKSDTSSSDEKQNETSSTNSSPS FAPRGRPTVPSRFRHKLISPLRISVPQATAATAIRNTYSEALNSRLGKADNTKFQERF RYIIVASQLLNDRQYHGQGYGSKSPPRSSEDAPVTSLNTFSPRGAVVTASVAFGVSWM IRWGSAGRDWISITLRCIVIAAVLVAGAIIGQAYLRRKWLLYLREQNITEATRLVGQT QDLDSAVTAALSLIQEVELVSRGYRLSLPLPPITRLEDRSQARRCLQLRRALFDSAID LMRRYHQGYLALQPLTEPLNLEKYYDIYDITTIDIQEALTEYTKDEFEDYESLRVLKI LISRFVTLRKLFLCCLLALEADGGKEDFMRWRTALDEIKGLSDVASIADNRVRSVFEQ EESFPVPTTPKSPLTPGRERWRGQLRKLNTLSTGIRSLQAKMHVLREESDKTLDESED ISQLGPHLMIQYDAIGVDLRSLMKEWEAGKAALANTIDRNERRISSMSGLLSPTTSLG GLTSVEEGTPADALKALNGESRLSPELSSENEEVFEAIGLPRPGIGPRSTLTREERIV KMKEDREKRETARETAEASTKMLLLEARLEQANLLKKVVDAIKDLVQDCNFDCNDSGI ALQAMDNSHVALVSMMLKAEGFSPYRCDRNIALGVNLTSLTKVLRAAQNEDILTIKAE DAPDVLNLVFESSDSDRLSEYDLKLMDIDQEHLGIPETEYSATVSMPSAEFKRICMDL MALSESVSIEASKDGVKFSCAGDIGNGAVTLRSHTNVDKPENNVEIELTDSVALTFSL KYLVNFCKASGLSGTVKLCLSPEVPLLVEYALAGSSYLRFYLAPKIGDDE VE01_08158 MSSDSSKHRSSKHKENGTALSEKSEANLANVKNNLVLLNKMLSE RPGEWEQWLSTARLAMRAIDAMRFLKDTARLQEQVWLIQVLQDYSFHDADEGCIQDIS QWCQSSWLRVLRDHPNNVTILKGLGDNWLQTSQGFLAMIHREGSAAEASMNLGNVSSP SSAEQHFVRAVKYLRRTVAIPGYTLSTFFQEYLDDYGRFVAA VE01_08159 MISERELQINPLVPDSIVHNTKTLANLHNLTASLLGIAAGILGL ESYPGFLFYALLTFITSALVYVFRVRPTAAAELDTTRFFVSGWTLWTGGLIDGLSGFV LTWTLVYGLVRA VE01_08160 MASIFSFDPDPPTISSPWLTPAEGSPRAETPISRGDSRTQDRSL LLGSKASVGAVNGTSLQPGHLSDYNVTKLEPEPQTGPAEYKLHLLLRPRRKYTSFSTG THTAAPPQSKVATGESATAPTNQSRQHRLTQLTTQLLWRLQQSSPYHASSSSTSELIV PQLPEAMASLNMQTRPGKLLAGLESSRGALYEIGVSDSGVLVGLAKDEMDESLTNLRA MAASLGCFVEVTRMVVVGECEWSPAEAPTDEPLDISGPSRDHAEDKLRKEKLWVAEAL VTPDLSLVSKDAGRAPHNGSPISSATASGAGLSKDAELNISRGSSTEQLRITLTGPTT SGKSSLLGTLSTGTLDNGRGKSRLSLLKHRHELASGITSSVAQELVGYRTSSSPAIAA EVINYATGNVTTWTDIHAQSENGRLVFVSDSAGHPRYRRTTVRGLVGWAPHWTLLCVA GDDGTNTPQGAGGTSSAQDIMGSTLGAGIDLSKAHLDLCLQLERPLAIVITKLDIASR TSLRETLGKILSAIKAVGRVPMILPPDQTKGIMESDLQSIPDKDADTVKKIVGTLNPD NLTKVVPIIMTSAAKGTGIRMLHSLFCSLPVPSTPTSHDYIGEVLNPEQPACLFHIED TFALPAAFAMQTSVKDTHLEEGTVVAGHLRFGSISVGNNIVVGPFPADSGSDDETLWP LSSSTGARQHDQEFDLGRSASHPSASELARAARREAASASAPPGEWHNAHIVSIRNLR LPVHNLQAGQVGTIGIVFDIPEVENPADPFERRPTQAPRLRKGMVLAIPSHHMKDTGL SLQAVSRFTASFEDEDINSITIGSLVVVYIASIRASARVVRLMPHAPASERGQDIDDD GSNLFEDEDDDPGPPIFGSDGVTDVTLELMTTREWIELGSQVLIMPGGGHGLYYGSER GEKGIAGLEGFVGKVIEVVDW VE01_08161 MKKFGFGKKKESREADNNSGRPSPSSNPYAQATQSPDPYAVDTN KYANYGAKPGQEKQSSGSPASGAVSQRSQYGGLPNGPASGRSNSYAASTTSTETAPPA YGAERYGSANGYGSNRYDNASSPYGGAPSVQSRGAGGYGGLGRSESADTATTEDNRNA LFGDAKERNAKKQSVPPENAQPGGGYGAGANSGYGTYGDRQLTAEEEEEEDVEATKQE IRFMKQEDVSSTRNALRMASQAEETGRGTLARLGAQGERIHNTEKNLDLAANHNRIAE ERTRELKTLNKSMFAVHVANPFTSQSRKAARDQNILDKHHAERDQREATRQAAFSSGQ RMEGAFKEMQPGDRGYKAKAPAKASLADRAKYQFEADSEDDMMEDEIEGNLSELHGAA QRLNLLAQATGKELDEQDDLIRRVGVKGDRVDDQIAMNRAKLDRI VE01_08162 MAGRVRQPIDVAALERYISKSVPEIKTPIDLKQFGFGQSNPTYQ ITGSDGQKFVIRKKPPGKLVSKTAHQVEREYRVLHALGKTDVPVPKTYCLCEDDSVIG TPFYIMEFLDGRIIEDAALPGVSPAERTEMWHDAVRTLAKLHRVNIKSVGLEEFGRAN GFYNRQIRTLGTIMESQGRVKDIETGKAVGPIPHVDEMLEYFKNPSTQVADRGQIIHG DYKIDNMVFHKTEPRVIGVLDWEMSTIGHPLSDVVNLTMPFIDGPNKHKGFVPGATPG LPTKDQVISWYTEVSGYNPEPDLLWGAAFGMYRSSVIMQGIAARYAVRQASSANAKDY ADMMVPFGEQAYRIFQQANGARRSKANL VE01_08163 MVKSYLKYEHARTFGLITSSHANAVWTLGDNSSSSARNTGAGRA VVAANEEVLCWDVKKGELLSRWKASDCKSQVTVIAQSKTDRDIFAVGYEDGSIRIWDS KIATVIVSFNGHKSAITKLAFDKSGVRLASGSRDTDVIVWDLIAEVGLFKLRGHKDQI TGLEFLQPSAPITTEDDEMAVDTEEGVSEGFLLTTGKDSLVKIWDISLQHCIETHVAQ SNGECWALGISPDGSGCITAGNDGEMKVWSIDTNGLYALTKSVDRPLDRHYLTSRGLL HRQGKDKALEVTFHPKLDFFAVHGSEKAVEIWRIKSPEEVKKSLARKRKRRREKLVAA GKAIEENDDKADDVSSADISEVFVQYVIVRTGGRVKSADWISGKSSKSVELLVSTTNN QLEIYKVPTKEKKAQAEGMPDYTRAFSVDQPGHRVDIRALALSSDDRMLASASNGSLK IWNIKTRSCIRTFECGYALCCSFLPGDKIVVVGTKAGELELFDVASAVLIDTVKAHEG AIWTLQVQPDGRSVVSGSADKSAKFWNFEIVQEEIPGTKRTTPKLQLAHTRTLKVADD VLSLKFSPDSRLLAVALLDNTVKVFFNDSLKLFLNLYGHKLPVLNMDISFDSKLIVTC SADKNVRLWGLDFGDCHKAFFAHQDSILQVAFVPHNQDGNGHHFFSSSKDKMIKYWDG DKFEQIQRLDGHHGEIWALAVSRTGSFLVSASHDKSIRVWEQTDEQIFLEEEKEKELE ELYESTLTTSLEKDGNDDDEAGDAGKQTIETLMAGEKIVEALELGVADHELMEEYKLE KAANPNTAPPPRNPLYLALGNISAEQHVMTVLQRIKAAALQDALLVLPFSILPLLFTF LNIFAERSMNIPLTCRILFSMLKIHHKQLVASKSMKVVLDGVRNNLRKSLQRQKDQMG YNLAALRIVGTQIQEHGVTDYIDEETWDEAEKKVEKKRGFVHVS VE01_08164 MLLLDYQNVYIQSVLTERFSGAPPASIDQTVSDFDGVTFHVSTP ESKSKILVSLQIKCYDELVKHGATKVLEREYGSYVVPPENGYDFSVQVDLDNLPEDQG ERDALIRSISLLKRNAVAAPFEQAFEEHHALHEEASKFTSEEAPQGVREGGEVKAIHY REEEAIYIKASHDRVTVIFSTVFREETDRVFGKVFIQEFVDARRRAIQNAPQVLYRTD PPLELQGVPGVKDSGTGEIGYVTFVLFPRHLTKQRRDEVISHIQTFRDYFHYHIKASK AYIHSRMRRRTADFLQVLRRARPENEEKERKTASGRTFKVQGN VE01_08165 MRWPPWSSDAGNEDSNKKQQIAWADTLNATNWSNYANPETVVPT VVLTATILLSVRVYRLYLRRIPDATHVQPGFFRKRSLFGTVTRVGDGDNFHLFHTPGG RLAGWGWLRKVPEKAADLRKHTIAVRIAGVDAPEAAHFGKTAQPYSGEALTWLRSYIL GRRVRAHIYKRDQYDRLVATVYVRNGLFRRDVGREMLKAGLATVYEAKSGAEFGKKED NYRSIEAWAKSKKKGMWAGSQKDYESPRDYKTRTGGTEEPKAKQKKPVKSILSSIFGS GK VE01_08166 MKFPSLVSRAAGEQLRLSQLCFALVSLSQLSQAVTFNPIPSPNL DLSQFGRVGIAGDFDGISLYEYEGQSEVGPSSNGAESVLARFPDGGFASVASSDASIQ SMCPLVSKDGSVSNVVVAGNFTSLGGVESQGIALFNPNTSAVTPVPGLSGQVSSLYCD QSSNTVYIGGKFKAANSTNAASLNSTHGLTDLPFLGFNGPVTSITKSSAGKIIFGGSF TGLGNASVISDPDQQIINIAKAGITSGPDATSADFADPKNIICKETGADGPGNTWLLA DNAGGFWRADFGFGFQPTKLRLWNTHLDGRGTKTWRYTAMPINGIMNFTYVDPATGAN SSCSSECPLSNDPSVTFQDFFFVNVIGMSMFQIDITDWYGSGAGLTGIKLFEDDIYSY AINDFNEPECAVSSSTVSKATVAGPWEVTPSRQSVSNYLTLKIDGSKASSDSESIEFF PHIKEAGNYSVNIYTPGCIQDDSCASRGQVRVTGTMSAGSSEPAFQTDVFQTNNFDKY DQIYIGYIDASSDSFRPSIKLAPSSGQTGQVTAVANRVGFTLLDSAGGLNSLFEFDPS KKSVDGSEFAKSAIDKAGSALSPGADIKALATSGDITIAAGNYSAEGMNNIFGVNKTS SFSLTGDGLNGVIYTLFVNGSIVYIGGEFDNTSKANTKGLNNVAAYDVSKDTWNTLGA GVNGRVIDIVPFAVNFTNGALETVISLTGNFDEINAFGANKSIPVSGFAIWVPSRGNW LQNLKATQMSFDGKLTTAVDLPNGGGSLFSGSLASSQVGAHGAAALTDGKISSFPVDI QAKTKSSSSISKRATSANNTLSGVVTGHFYEANGINVTIFGGHFEAKATDGSTINNLL ILDGEKSDTVTGLSQLRNDSTVMALASNDDVLWVGGALKGTVNGASINGLVSYNLKTR TTPIQPPALAGDEVIVSAISIRESTGDIYVAGSFDHAGSLDCPGLCVFTAAATQWNRP GTMSGTVNTLAWQSADTLIVGGLLEVSGNKTSLASYDAKAQVWTEFDGGSTIPGAITS FTPASKDTSQFWVSGVATNGSAFLMKYDGSKWSSVGYTLGSKSEIRSIQVLPLSEGHT STDLIPSSYVLLLTGSLNLPSFGNASAVLFNGTAFQPYALTSTSDKRSGSISKIFSQQ QNVFEDGKSHLALGLIVLIGLAIALALIFLLVVAGIIAERLRRKREGYVPAPTNMFDK TSQMNRLPPEQIFGTLGKNRGSVAPAI VE01_08167 MAIDHQQRQFGALNFDHMPYNHSPHFTNPWSASSAPPPQSLYSS SSHSLNPISLDSIPKEPPARLGSHASSMPPYASAPQSSASAGSAPMGTVYGQQQMLSL PQDVMSQNRVQPPNPAYGSSVSYSSGGSSHPTYATSTPYDSMGYTAAPVRSTYALQQQ AQADNSRRLSQPSVASSSLLTTATENERRRQSSLIDFNSRSVSSETTRDFGDAINASR GMIAMSQNTTPRNIYGPGARNGRGSGDSYGFPATHSTNSSISSSGTYPAYFGGSVDSS LSDYSNAGSDIETASSRTLPRPPGFMGGGAPPAPSSMMGQFSSKVSSSAQKKHKCKVC DKRFTRPSSLQTHMYSHTGEKPFACEVEGCGRHFSVVSNLRRHRKVHKGGAPSEAGSD DHHSE VE01_08168 MSDDTFETIRKLQAERNAASAGKSGSKTFDPSSQRTDVSTKASL TESFDTTVYDRAAGDKFAGYNTSISVAEDGEDDEMADADTSRRLVGQYTATRSQMDEF SRGGGVEEEDILLGREKSARITDRETDYQKRRLDRILTPTRADAFASNRQAGAAEEGE GYREVMRRRELEREEERVKRAIADKEADGTAVQHKPTLGDEGHALNDKENNEAGSTEV VAAGRKRKQRWDISSEAGAEAGAVQPSETKVKRSRWDQTPAPSGALVDDTPRRRSRWD QAPAATPIGQQGLVTPAHPSQGGMMVPTAFGTDISMRNMALSDEELDLMLPSEGYKIL EPPPGYAPIRTASQKMMQTPASMSGGFMMQDPESQRSMGKQLPTEIPGVGDLQFFKAE DMAYFGKLTDGSDENAMSVDELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARQFGA GPLFNQILPLLMEKSLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDQD YYARVEGREIISNLSKAAGLAHMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPAL LPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLKGLVDCIGGNLNDDQTKVR TVTSLAIAALAEAANPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEE YANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCAGTDGVTAGYLKEHVLDEFFKSF WVRRMALDKRNYRQVVDTTVDLGQKVGVGEIVERIVNNLKDESEAYRKMTVETVEKVI ASLGAADIGERLEERLIDGILHSFQEQSVEDIVMLNGFGTVVNALGTRCKPYLPQIVS TILWRLNNKSATVRQQAADLISRIAMVMKQCGEDALMYKLGVVLYEYLGEEYPEVLGS ILGALRSIVTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESV NAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQS RVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYV YAVTPLLEDALIDRDQVHRQTAASVVKHVALGVVGLGCEDAMLHLLNLLYPNLFETSP HVIDRIVEAIDAIRMAVGPGLTMNYVWAGLFHPARKVRQPYWRLYNDAYVQGADAMVP YYPGLGDEQMDRHELAIVI VE01_08169 MNGDSYSSRDAGRPSRDPYAPRGADRDDRRVDNRDRERGGDRRR SRSPGHRGPRPSRREGDVDSYSSSRDYREREREDRYSGRDRRGGGERDWDRDRGSSRR DARRDDDDRPPRRDRDLFDDRRGGGARRGDRGDRDGFGGGGGRQERKKSASPPPKKRE PTPDLTDVVSVLERKRRLTQWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQPMDPSKL QAFMSQPSGSITNAALKPSNSRQAKRLLVHNLPETLSEEGIVEFFNLQLNGLNVIEGS DPCLTAQVSKDKSFALVEFKTTSDATVALAMDGIGIEENGASRALSIRRPKDYIVPAV DETMHEPGVVANVVPDTPNKISISNVPPYLTDEQVTELLVSFGELKAFVLAKDSTTEE SRGIAFCEYVDAAATDIAVEGLNGMELGDKHLKVQRASIGTTQTAGLEMGVNAMSMLA GTTTDGLDEGRVLQLLNMVTAEELIDNEDYEEILEDVKEECEKYGKVLDIKIPRPSGG SRQSAGVGKIFVKFDTPASAGKALRTLAGRKFADRTVVTTYFSEENFEVGAW VE01_08170 MNSIRTGEWQKLAVSLAELSLDTTLRCGQSFRWKKLNEDEWTCS LRGRVISLKQDPKQDPNHVLYKVTWPSNSPLAKLSSSSKGVKVEDDSTKDDTEALLRQ YFNLEPNLTELYERWSKADANFKKRAPKFTGVRILKQDAWEALVGFICSSNNNIARIS QMVNNLCLSYGPLIGHVHDQAFHDFPTPESLAGPEVESQLRKLGFGYRAKYLARTAVI VSKEKPENWLESLSNTEPFDETEWKTLEPGGRPGYRKAHEELLALQGVGPKVADCVCL MGLGWGESVPVDTHVWQIAQRDYKFGKGKHKSLTKATYDAIGNHFRLLWGEEAGWAHS VLFAADLRTFAIRLTEKTEVQEELQIKAETEDGVIVQATTVVKKREAIKEETIKEETT DDLNVVFSTTAKRTRRR VE01_08171 MVYNATLDAAFRGNCFAPFLQEELYVNITTGYIPGRICTELAPN ITCCLPCPSTDWLYPDSFQTVTNASSWLNVVGLVCTVFLLLSFAVLPVEKTHRHYLSI CLVIAVVIMQLGFVIPLGAKPEQCANAITPHGMESSITCALSGAFLLAGGWCGIMWVF MRALALHLQICWQVVIGKAFMWGALAGGWGVPAVALAIAMVFSGVSFRFGETCHINHT NSLADFWIPLLIFAGLTVIIQFTTFGYCIKVYLASLSDSSSTTNSSALPSYSGSVVTL TPRQAYRRVQRVVELQWRGIAIVLIIITDVIFFAVVFVVMDNNQIKAANVSLLDATAG GETSPSVEWLLCLVTNAGKKGPCLEKSADLIMNQATITAVLVLLSCNGIWLLLLMSRL SMFTGWYDLVRSKAKPSGEFISADAARAYSKDPSTYEMLASNGRDVEYPSKPDLPLTQ LSPAVTSPSSLRIYAWLILLNAPLLETDAYLVLVHRGASPAYSKIRNDTFRTLATDPL FRRRVSEASLIRLLNAVAWRLHDAKESRIESASSASNNSKVTHTATADGSPELHSATP VIKNRARALTLTTEGSDAGTSEPGTYVQGMNVLAAPFLYAARSESEAFVAFHRFITHE VPGYVRGAMSGVHKGLALVDKVLSIVDPKLSLYLIGKGMHAELYAFPSVLTLCACTPP LPEVLRLWDFLFAYGAHLNILCIVAQLVMLRNSILSSPSPTKILRSFPPLQAETIKEL TLTLIKKIPDDLYAEIVTHAQ VE01_08172 MGRLHSNGKGISASAIPYSRTPPAWLKTTPEQVVDQICKLAKKG ATPSQIGVVLRDSHGVAQVKVVTGNKILRILKSNGLAPEIPEDLYMLIKKAVAVRKHL ERNRKDQDSKFRLILIESRIHRLSRYYKTVGVLPPTWRYESSTASTMVS VE01_08173 MEAKNPPTGIAAAAQAYQLAMSQQPAAQTEAESTDISANPPQSE AAKDVTMADESVESPAPAVGKVPNAQSPIPARTGTPVQANIAADSSSRAASQHPEPGP TAMPAHAPPHGAPARQYLNAKVTATLLEGMKHLAKEQPSDPLRVLGEFLLQKSKEIEG N VE01_08174 MAAIDERDNGYPVKRRKTGEQVETLKPETPSATCGGFENSRPDL DLDTFGTDTDAAESKPDTDGPTELESALPHLDQGKDAIVDYEAIQLFEKDLNISKDLE DNLNSQKLPSGRRSIYVDAFNLALETVLDEESHLFDEREGKVFDEWRGLSYEAQYLYV RLFLRKTSAWHRTSKLGYHSDISDISAAIGSLQSSRPLSRVSEAGLITDVKIEDSDSQ LEGNSFTFADALTEDTTTLEEVSSLLSLDELKSIGKEARVRGKNKTELLSALRRMSRA QSGLGSVGLKILKSKSNLEIVSPEIRISDIPHISAGNSDVVHQDENATRSNGNVKLSD QTFGENNRDRHFILRILGNIGSCIRLSSNKSLTTIILARIQKRNFPNYLICRSANIFP SRAMLLEFEASIRLQHQVDSVLENGLQDNQVQEEMLSIFEEVYPKWQALVADEQHKEE RVYESGEGAYLRLFSPCSVYTRIIFKATLVFGRLKMYEREHSVLTELLEQRLFTYRRG GIYQRKALLEEHYLADAGANSTETAGMSQDQLKRYWKRSSLKTAEEGLQDRDCHVIYH YDLEKRIVKLEKAIKTPKREQHDFGHTRLITPIERNVEGIQVRVIPADVGRAGAEERR SAKTIWVDEKDGGGECSVEAMCLSWYRNQGWKGYHAEGGILQTLFAYLFYDILFAYIP NVFQTEFQTCPLDLYTEAFFPSRASEIHHRLAELSNGGAGRLIREVDERERERRTCVV GLNWDFAVDDLLEIAACFDGSALASICMVMAQDYRQRGGGVPDLFLWNPETSQVMFSE VKSENDRLSDTQRLWIHVLMSSGVKVELCNAVAREMRTREE VE01_08175 MAESRAKAGHFVAKALGIKLDEPYIYETTTRGESVFSTKTADTF VEEEPSTWEWIHETVPSWKDLGSYLRSLFPFTYWITRYNVQWLIGDLVAGITIGAVVV PQGMAYALLAKLEVQFGLYSSFMGVLIYWFFATSKDITIGPVAVMSTIVGNVVIKANA IDPTIPGHVIASALAVLCGAIIVTIGLLRMGWIVDLISLTSITAFMTGSAISIAAGQV PTMMGINKLLDTRAPTYLVIINTLKNLKHSNLNAAMGITALAMLYIIRFACSYAAKKY PSQKKTFFFVSTLRTVFVILLYTLISYLVNRNHRAHPLFSILGKVPRGFQNAAVPKIT IPIIKLFISEVPASVIVLLIEHIAISKSFGRINNYVIDPSQEMVAIGVTNILGPFLGG YPATGSFSRTAIKSKAGVRTPFAGVITAVVVLLAIYALPAVFFYIPSASLSGVIIHAV GDLITHPNTIYRFWRVSPWEVLIFFVGVFVTIFSSIENGIYCTIVISAAILLFRVLKA KGRFLGQVKIHSVRGDNILGDSTDQYNQQDSDAPTSNAAITDARSRNIFLPIDHDDGS NPEIEIKTPYPGVFIYRFSEGFNYPNANHYLDHLTNHIFAVTRRTNPNSYGKLGDRPW NDPGPRRGQVEVDDSGKPTLKAIIFDFSSVNNVDITSVQQLIDVRNQLDKYTSPEVVD WHFACINNRWTKRALVSAGFGNPSQPGVDFNHRWKPIFSVAEIGGADSAAEVAEGIEK DELVKHRTRDAERTSSSPDDIGNSPSTTTTDGLVPQLKQPNRVAVVHGLNRPLFHTDL TGAFQSAVANIEWRQQYEDAGGAGIGQLP VE01_08176 MPPKSRFTRLDAFTKTVDEARVRTTSGGIVTIASLLIVIYLAFG EWADYRRIVVHPELVVDKSRGEKMEIWMNITFPYVPCELLTLDVMDVSGEMQTGVKHG VSKVRLNSPDAGGGAIDVKALDLHSTEEKAAHLDPSYCGQCYGATPPPNAQKAGCCNT CDEVRDAYASVSWAFGRGENVEQCEREHYSERLDEQRKEGCRIEGGVRVNKVIGNFHI APGRSYSNGNMHVHDLANYWDTPSLERGHSFAHTIHHVRFGPQLPEGLSKKFGGKNQP WTNHHLNPLDGTQQHTRDPAFNYMYFVKVVSTSYLPLGWNSKSAAKTQINEENIGLGA YGHAMDGSVETHQYSVTSHKRSLSGGDDGAEGHKERLHSRTGIPGVFFSYDISPMKVI NREERTKTLSGFITGLCAIVGGTLTVAAAVDRGLYEGVSRIKKLQAKTL VE01_08177 MGSRPPAIEIRSRSHGPLGSDYEPSLRPLPSPRLHVAGDVPPEL SPLDAFAAQSRLLAKKLEEGMKGENRMSRLPPLSSESPLIASRPGFFRSASARDAENI MADPQSSRLGMRTEVDEPTLRPISVYPRMSKIPSPGPMLNFPLTHDDDDETNRGRKPG GTGNNIMHSRTEESPGPIGQLPSGRVQDRSPSHLSSRPSIDSISQQRSYDVRALAPPR SPFSPKIPSLRSISADGSDEESAAIAPSERNNLRKLSSSSSLSTSPISPFMPRSPSIY SDVSASTNRLSKPAFNFSRPLSRAEPPPEMLMRQSSMDSERFMSRDDIARMPSNLSPG IPPPGTQETPAPSTIYSTFALPRGKMPEPGSLTSPKLEQPSSSNQSDLPQPSSSKTET SPPRANRDPNAEKSAEWHVTKGIECHENGSLNESTYHLRIAAKQNHPTGMLLYALACR HGWGMRANQQEGVLWLRKAAESATLEVNEDENAAKGGQAVDVVDQKQRKAQFALSMCE LGMSHLNGWGIEQDKVLALQCFEIAGAWGDADALAEAGFCYAQGVGCKKDMKKSAKYY RMAESKGISMVGNSWRDIRIHKSKYKEDPVDDDTSGLKKGRNKSQTRSIFARKKSS VE01_07991 MDTDVDEPHHQLVMDLDASVTCCRMLVRRIDSEVEDLQQKSGTG LDAQSKIKLLMKNGTLEELQKMVDRQTGALTLLLTVCNCKAIGEQKVVLEKTSTRRVI KRIKDDASSLYVQRDSMSMYSRCTDNLSKISKMFEFDRELFVSKVYEKALRGSLKDTV ENMRQKQQRSDVRVSREERVRDMIIERELKEHALKMRQEARVVLLGESDCVQGFIKNM KIKHADGFTDDDRRMYKEVVMKYMMRVMESMVLVQKNGDIGLDNTAKIQAKLLSQEIE AIRAGGGKITLEGAGAVQGLWKDILKRDLNYEAYIPESPS VE01_07992 MADTRKTVLITGCAPGGIGHALALDFHNAGLRVFATARNKAALK DLEELGIETLSFDVTSQADRVRVREELERVAGGRLDVLVNNAGKNCTVPAIEVSDEDI QSTFETNIFSVIAIIRELSPLIIAAKGKIVNIGSITAVTPYVFGAVYNATKGALQAYS NTLRLELAPFGVRVILVVTGGVQSRIARTDRTLGEDSLYLPINTEYLRRVKHSQEGAM PTKAYSASVAHEVLKTNPPNWVWQGNRSWLIWFLGLYLPFDVWVGEVEADCCEAGECL IERDW VE01_07993 MVQVPTTSGGSSAATRASPASATASNAPSTRAKGAANGNAVPAP VHLSAMKSAPLDLSSVERRGQPTASRETTKKMRPHDLQEAPTYRPTMEEFKDPFQYMK MIAPEASQFGICKIIPPADWNPGFAIDTEVGTIRAGDSMDSEALVSLLKFHFKTRKQE LNSIEGSTRANLTYLDQLAKFHKQHGTSLTRFPSVDKRPLDLYKLKKAVESRGGFEKV CKLKKWAEIGRDLGYSGKIMSSLSTSLKNSYQRWLFPYEEYLRHAKPGVQAQLEYEYG GPITPSPATSPMKKSHSHQHTPSSLRDDSPAVSASEALNSSLRNVNGNGTETKDIPML DRSNQSTPAPAPPTSGFTPVNAGGFTAVNAGQGGFTPVNHARREREPERSFTPPRRAV DSPMSSAKNTPEYRPSNLKSTPLTNGFTSNPLKRQMSHDSIDSRKENTTPRDDSDGGA NGRRSKRLKKEPVPTVAGSHMSFLRPLTPRIPGEPGSFAPGEKCEHCAKGEDAGTLLV CESCDHGYHMQCLDPPLTQKPDYDWNCPRCLVGDGQFGFEEGSIYSLKQFHDKAADFK EGYFQNRLPFDPVLNCPRPVTEDDIEREFWRLVASLEETVEVEYGADIHSTTHGSGFP TIERNPRDPYSTDPWNLNITPLHPDSLFRHIKSDISGMTVPWLYVGMIFSTFCWHNED HYAYSANYQHFGSTKTWYGIPGEDAEKFENAMREAVPELFETQPDLLFQLVTLLTPEQ LKKAGVRCYALDQRAGQFVITFPQAYHAGFNHGFNFNEAVNFAPKDWEPFGDVGVERL QQFRRQPCFSHDELLWTAAEGHATGGVTIETAKWLAPALERMREKEVELRKNFMAKHN DNNHPCVITDEIEGAGPRCHVKFEVDDRDVPEEEYQCSYCKAYAYLSRYKCDKSGKVL CLLHAGNYECCEMSEEERYKGKNHTLHYRRTEQAISSIYQKVCDKAHLPEVWEDKVTK TLEEDATPSLKVLRALLNEGERIPYDLPSLPTLKTFVDRCNEWVDEATNYIVRKQQNR RKSEKSWRKGSKAAEAEERERELRKVENVVKLLKDADALGFDCPEITQLRERAEAITS FQRDAKMALDNQNVRDTQVFEELLERGRGLNVDMPETERLEGVVAKNKWDDRAKEARG QFLSLTDIKELIAAAEKLEILPASNSYLRHFKEQKEAGEGWEMKAKELMSAESIHYPQ LEALSNQAQAAAIPVSAETLAQVDQILNKQREAHRQIVSLYDRSKEADISKRPTYSEV VFTVDSLLDLNSKPSGTLDLEKEQKRHEDWMRKGKKLFGKANAPLHILKTHMEYVLER NLDCFDIMYDKPRMPAEPASREPSPVDGKMHTWEDPRFREVFCICRKVEAGMMIECEL CHEWYHGKCLKIARGKVKEDEKYTCPICDWRVKIPRDAARPKLEDLIEWQNEIKSLPF QPDEEDLLARIIDNAQDFRNHVAPFCNPIMATSEESSILRFYLRKIEGAEILLVRETN YFRAQLHNWSPVAPEPPPVLETSKSTRKPRPTKLQKLMAQHGVDDPENLPQSLRTKQH GFKRKSSEPQSAPPRPALQPAPGGAQGHYAMQQFSAQQQQAQNGVYPGSGPRMLADSP HLQQQQQQQPRFAYDEIPYGARERQPGFAPMAFVHATPYGGSGQGAQGPFTGAAMGRG GEMTLDGRGMGSPLGEGFDSSSITGGLPGGSGGGGNGGEKRAKEEAGGGEAGELLRDM TMFGEDGGWDE VE01_07994 MPPPPIPPLYTVYLLRSLPLPHSVYIGSTPLPSRRLRQHNGLVV GGASRTARASHRPWEMPVLVVGFPSQVGALQFEWAWQHPTLSTHLSPPSTTSSTTQPT TTTTAPPRPRARRPRLTLPSSLKSLHHLLSSPSFSRWPLRLHFQSEEVYAAWLKASGS ASSGANGLGGGGEGRGREVEVVKDFDSAVFQELGKVSKVGKGGKAKAKEQQDEVEEVE KEVLGLGNCAACGQGGGIMAVCGDEECGVATHITCLAKHGLRDEAGKSGKGQILPLSS KCPRCRGEMGWSDVAGGVTGRIRGKGAKGVGGAVASAGVVGSADVVENGSGSASGSGV ESGSESEVDSWAGVVEL VE01_07995 MILEEQRFLHEDLERLEQGIADRVADEPRHVRERLNRDHQVAGF LDRIQDQSKRLIDIYKDADSARSKEIQNISTGDPLAEFYKQISDIKSFHSRYPNEPVE NLERAYKKKTPQEGEQVVSEIDNMFTGEEAYGRFLDLTALHELYVNLPGIKRPSYLQY LDIFDIFAPPVCAIKRPDKMTDQYFTYVGQLATYLESFMRRTRPLENIDSLFETWDAD FDKSWTENTIPGWTVDTAPSDPTSTTGVFCQDCEKEFSNPNVYKAHLTGKKHLRAADQ RAARLADSSAPAETTNGGARPASTLRLKERAIAERENRVRRLAGAMTQERGDTRVNVE RRQGMTERERQLELEALFADTEVGPTMDDASDSDSDGEEKVYNPLKLPLAWDGKPIPF WLYKLHGLGVEFPCEICGNFVYMGRRAFDKHFNEARHIHGLKCLGISNTSLFREITGI EDALKLWDKIQREKKKEESGRDVVQMEDAEGNVMPEKVYYDLQKQGLL VE01_07996 MADQNPLADSGITIRSDSEQYSNSGPDDLSMSPSPPSTSSSPLI LYSPPTFWSLARGAAINLFLPFINGLMLGFGELFAHEAAFRLGWGGTKVFPSSRNARA IGPGIEIRDSPEEIERERDMDRLTSLE VE01_07997 MADNPTDKNALIAQFSGITGADPQEAEQFLISSDWDLDDAAATF YASQEDAPAEAEGGAPAPEEYTGPRTLDGRPAPESRTPTVASSSRPPRRAPRSGIATL GSIASDSAQHGHDDDDSDDPDFHDDEQPRDLFAGGEKSGLAVQDPRRNDPRNLVDEII KKAKSQASRAESDPAPAPPSRFHGSGITLGDSTTPSTVLPSATPAAAPATPQRRILHL WNDGFSIEDGPLHRFDDPQNAADLAVIESGRAPIHLMNVAYDQPVDVQLNRHEEDYKK PKTVYKPFSGGGQRLGSPVPGAETSTASTSIQTSAGPSSAQPASQAPQVEVNPALPTL SLRIQLADGTRLPARFNTTHSIGDVYDFIARASPDSSTRAWVVATTFPSKDHTDKSAV LGELEEFKRGGVAVQKWV VE01_07998 MAAKTGEAAARAFFSTPTFAVVGASNDPSKYGNKIFAWYLSESL PVTPINPTAATITALSADHPAVKSLSALPSPKTTAVSIITPPKITQKVLEEAKGLGVP AVWLQPGTFDGDVMAYAKENFGVAVGGEGGGGSEGWCVLVDGEAALVGRGKL VE01_07999 MAQCVLVGKPRPVLGPSVENQRLPLTIAAAQRRSSNGSQYQMPC RILPAAVEELPAAQLYDRYDDGSGNQPEVSRGSEHASHSQGFLQNTNIQAQPLESSVI GPVDDANECGRLHDATPDPFDFDFTFGAAGHRPDEDFVNFDIELPTSYTRTEAPQNDI NSIDTESQSLKKDHRKSCLQNLADLHASLLRDLDEVKLSKPANECDCSRSSAERTSEN GVAPDHPVGKVLRSSEKYLGILEYFSLPSLPQPSLQQRSCSSEAISAHEDLDLALGCE NDGGTPFDSDRFTSLASGLEPDLSTSSLPAHCDVPTTFSLLTCYISLVRIFRTIFSCI YISLLPLPPPTWNALPPIFPGLQLAGFAMERQIGMQIRILLQVSEDMLGKIEGKLGVG AGSAGEGGLLGKVMGNEVLRMMLQEEEEERPEGGCGSVDSLRGVMASLKGLC VE01_08000 MTTFDIEIVSDVVCPWCYIGYRRLQKAIAMYRKTYPDGSKDTFN ITWKPYFLDENPPATPVSRWERMLVRIGPERAPAIAARLKGIAAGEGILLADDGVVGG TALCHVLLHLAGTISLEQQNKVAEELFHAYFVEAKDIFNAPSLVEIGVAAGMDRGAVE KGLEDGKALEEVKKEEQENKARRGRGVPRFYLGGKTIEGAKDMEEFFEVFLQIKEGRD ELP VE01_08001 MDFSPEQLAALDAESKGPTTLVVVILFTILSFFFVCMRYVSRFA IIKRGSAEDYTIGVAMILSIGMAACMIERETLSMNFQRAYADFTEVKYGNGRHAALLE LDNFIGILKYLYFSILAYCMAITLTKISILLQLQRIFGVNKLMHFATLIMMAVVVSYG IESVTIGIFTCTPVRAYWDLKIQATSRCLPQDKVYYANGGLNIAIDLTIATLPIYSVW KLQIDIRQKIALMAVLALGWFVCFVSILRLQALVVLYQHPEDTTWYSPETAYWSCIEV NVGIICACAPAIRPVLVRIVPRIFGTPDYGSGGRTSKTHPPFIELGDNKSAPSTTIRS NGARSGRSGSRNEVQESGAWRGFGRLEKPPPVAPANTTTRINISRDVEQTFWRGDEDS DGYNNGFKGSGGRAESTRELVR VE01_08002 MHFLGPILLLAAFPSSISAASLIQQYPALQVDLGYAVYTGAFDA SAKLNTWKGIRYAAAPIGPLRWQAPRAPVTNRTITPATEFGPICPQNYPSVPNAPFIP GNEDCLFLNVYAPSNAIKQPVLVWIHGGGYGYGDASQDMTEIIAANNNGFVVVALQYR LGAFGFLSSAEVKRKGVVNAGLLDQDYALKWVQKNIHLFGGDSCSVTIAGNSAGAGSV MYHALTNGGTSGTALFQNAIVSSPYLPVQNNYDATFPTQRFYDFSVAAGCPSSGSVFD CLLSKDSMTLQQASSQISTTQTYGTWAFLPVTDGTFIKQLPSKQLLAKKVNGKRILVG SNANEGALFVPPTISTEADLVAWLHLEFPNVSDGDVAKILAAYPSSSGPVNPNDPMFA TTGLGPATALNVSQVATGQQQRGNNIYAEATFVCPSYWLASAYTGNGRTAYKYQYSVP FGGHTDDLPAVFGPAQPNHSDSFVAAFRSIWGKFVTTSNPSISAALASGGQPTTSSAP NPVTKFPAWTASSPQQINLNITGGTPYTAITLFGATVTQFQEPGLKNAFSVASANTWE GGRGTRCDFWRTIAGKVPM VE01_08003 MQPSPSLKTAKSALRKVIKARLSTLQPSSIEEQSTIVSNAVISW PKYQAAKSISVYLSMPSAELSTAAIVRDALVKGKKVFVPYLHLATERDRGQDGYLPKR CMDMVRLHGLEDFEGLENDSWGIPTVADEGVEKRERVLGGEREAQLDLMLLPGVAFQP ITGGDEDGMIRRLGHGMGFYDFFVRRYKAKTKEVAGEEPLLVALALKEQVLESGDAEV PLGEHDAMLDGLVIGDGRILGKA VE01_08004 MRATIRLFASVKPSRYLEAGAPTGLTGLFTHPAPRSALIYLYSS TLEKLKKFPEDSVYRKSTEALTKQRLQIVESVVPEGFAAWQEKLQKLVKENPDVFTTP KGAAAYMGGMHVKETMGGNEFVTSTVGKVYDEQNDEWDGEQVYDGELEGTRTEAERKS QADLAEERPQEETKRVELDDEPSLTAEQIGEIEDKIGAGLIEEVIEVAQGELELVDTM EKSQVWEDLVEKPVEGQWVYFERK VE01_08005 MRFLSSTSLLVVGALATLVRAADTKADVSKDAGDSQADETYTTF NDQKVPELKEVGDKVLDELIQNGYTAVKFYSPACHHCQAMAPAWKTIYEYYWTSKPVP STTTETKESLNDFHHYYGFDFASVNCLAYGDSCLKYGVDGFPVIKIFKDGKEVDEYIR KSDMPPISVETIGQFIEKTLETIRPGSRVKDGPVWPEAGAKSVEGLSTSAAAPKPTAT AKTNAIAPSTPPKPPKSNKIPSTPANPHGASVSFTPESFHTQVTLSQDSWFVKFYAPW CHHCQTLAPIWLELAKEMEGKLNIGEVNCVEHKALCNEAKVIGFPTLAFFSGGERVDY DGLRGLGDLTRWAGSATGIDEGVMDVTAAEFKTLEKTEEVIFVYFYDHATTSEDFDAL DRVILSLIGQATLVKTKDPEMYERYKITSWPRLLVSRDGRPTYYTALAPDDMRDYRGV LKWMQSVWLPIVPELLPGNAREIMDGKLVVLAVLTRERQDEFKAAKNELKSAAMEWMD KQIQMFQLERQEFRDAKELRIKEAEAKDDQRAVRAAKSIRIDMTKSERKEVTFAWVDG VFWERWIRTTYGINIADGERVIINDEDNRRYWDTTINGNSILASRTSILETIPKVITS PPKIKPKYTISNFSKVFFDIRRGAGSHPILTIGLVVAIILAAVGLGRKKRRSRGGFFR LDDEKNLVV VE01_08006 MPLSGQSEDATGGDEPPRKRARTTGALEEGSTLSSLRASISPPR PRITQSRESSNGTPRQDEPEIAPGSLISSPFQLTRIRDSPGSLNNGSVSLGTIVCDPM IREMWQFNYMHDLDFLMSNMDPDTKDMVKIHVVHGYWKKESGLHMKSQALQYPNVHLR CAYMPEIFGTHHTKMMVLLRHDDQAQIIIHTANMIPQDWANLSQAAWTSPLLPLLPAE KLADQALARGSNTASYGSGLRFKLDFLGYLKAYDSRRTICRPLIEELLKYDFSSIRGA LVGHVPGRHHVESDNPTLFGWSAIRAILNTIPAHNGDKPEVVAQVSSIATLGVTDQWL QKTLFAALAASSNSQSKTPKLGIVFPTPDEIRKSLDGYNSGGSIHVKIQTAAQAKQLQ YLKPLFYHWSGENRPVAPPSTSAAAPSTVASTVREAWQNRAGPSTVASTPSTVANTVR EAGRNRAAPHIKTYIRFADEAKTRIDWALVTSANLSKQAWGEGLNAAGDVRICSYELG VLVSPSMYAEDAVMVPTFQTDVPKEAVDGKITIGFRMPYDLPLVRYGADEEPWCATKA YEELDWMGRSYGV VE01_08007 MSGVTLSPAFNQAVVDSKKLVEKPSNDHLLEMYGLYKQATQDPP IEKSEAPGVFDLKGKAKKRAWQKVVDEGVTPEQAQEKYIALIEQLKVLYKFDENKVPE AVGGK VE01_08008 MIEAETAIEAETKIEVDTVIAEGIKTVVGGIISAVIDPDHLGVM RPHVKIGSESLVEAEAEAEVVTKETPQEMLRDQTFPRYQTMSLSLRALDKYLIQTSKF EARLAKKSWCRYEFRFRVPEDSQLKGSDKVSTQQLARVLMTKAVTTFLKEDFVFDGVS LGWSPHIIVPVGAAETNVVELEKRRDGKPNSVEVSVRSTGVLPIGTLVNYIQNGKFEL NPAGNESIENILKWIQAVFRKDPATRFITRPNSNAYFDRSPETTMMLRSTKNVLEARR GVFQSMQLRFGRITLNIDTATTPFWVPGVCLIDTACALMGTQTGRLEGDFRSNPETFF VACGRLRGSFFNIRHLTSAKKDKKIRLTGFSQRNAIESTFEERVGDDESTTQTTSVSD YFERKYGIKLQFPRLPLASTRFGDFPLEVCFSADGERYKEVLQGQETADFIKFATAPA YERKNQIQHGLRLLSHHAVPTIAAHGFKVNPEMMSVKARVLPAPRLTYGGNRPMTPRD GRWNLRGLRFLRPSTIKSWVIVYIPARQPLDNGQLERFGSEMVRSFTDCGMTVPREGP PIIVGNPFGNLTQVVKDSVARAHNSFGVPPDVIFVILQGASVPIYKTLKAGLDVHMGI ASQVMLQEKALSGRGSAQYLANIAMKVNVKLGGTNCIAEEPLFRSGRCMLLGGDISHA APGALRSVNPPPSTAALVGTWDRECTAYTAVASVQESLLGFIGNVKPMMAELLKRYAE KNNGLYPEHIVYYRDGVSESEFQAIKIEEGRKLHELSQELGANAKITIIVAIKRHHTR FFADRDIASKLGNVPCGTVVENSSTINDAFIIAHPDLQGTKRPTRYVTIIDENNMSAD AFQRLTFNLCSSYARATTSVAVCPPVYYADQACERARLHLVDAEDGKMKLGPVHQNLR WNMYWQ VE01_08009 MRLDVKKQLFARSERVKGIDFHPTEPWILTTLYNGHVYIWSYET QSIVKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRVYNYNTSEKITTFEAHPDYIRA IVVHPTQPFVLTASDDMTIKLWDWEKGWKCVQVFEGHAHYVMGLAINPKDTNTFASAC LDRTVKIWSLGSSTANFTLEAHETKGVNHVDYYPQSDKPYLLTTSDDRTVKIWDYTTK SLIATLEGHTSNVSFACYHPELPVIISGSEDGTVKIWHANTYRLEQSLNYGLERAWCV SYQRGKQGVGIGFDDGAVVIKMGREEPAVSMDGSGKLIWARHSEVVSSIIKGGDASLK DNEPISLPTKDLGTCEVYPQTLIHSPNGRFVSVCGDGEYIIYTALAWRNKAFGSALDF VWGSKDNSNDYAIRESPTSVKIYKNFVEKAGGLDVGFQAEGLAGGVLLGVKGQGGIGL FDWQTGGLVRRIEVDPINVYWSESGELVTLACEETFYVLRFSRENYLHALQNGEVEED GVESAFEVITDINESVRTGEWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQPMYLLGY IQRDSRIYLCDKDVSVTSFSLSLSVVEYQTLVLRDDMESAAELLPSIPAAESNKIARF LEGQGHKELALSVATDPEHKFDLALALNQLPTALALARVADAEHKWKTVGDAALAAWD VALAAECFMHARDLGSLLLLHSATGDRDGLAALAEQAREGGAHNVAFACLWQLGDVPA CIALLSSTGRAAEAALFAQTYAPSAAPKAVEEWKRELEAGKKGRVAKILGVPGAEGDE ELFPEWDEWIRLEEEGGVATVTELEVEEREEEVREVEENLIDAEA VE01_08010 MENLSITNNMEESDKKKAVHFGAGNIGRGFVGEFLHNSGYEVVF ADVQDSIIDLLNAKDSYDVIQVGTEGEQRSQVRNYRAINSRINEAALIKEITTADVVT CSVGPNVLKFIAPVIAQGIDRRASGLRPLSVIACENAIGATDTLAEFIKKGVSPYRIL DLGNRARFANSAIDRIVPAQDPNAGLDVKLEKFSEWVVDRTPFAPYSPPDIKGIKWVD DLVPFIERKLYTVNTGHAAAAYHGYYHKKQTVFDAMQDPRIVKQVRRALAETSSLIVG KHGIGAEEQSEYVERILLRISNPHLEDSVERVGRDPLRKLSRKERFIGPATELAEMGR EYSALLLAAEMTFRFQNVPDDKESEELARLMDSTKDAKEIVEEVCDVERTHPLFDDLV KIVERVQGEAV VE01_08011 MSSAATAISSHTRSKSLRVPVSDASFLKRSVVAPTNLQQAAGPS HVALFLTNLRLLDLDKRQDWPGITPVTFATKDSQQNIKKRIQCVEWALFRLFEIWEPE EAREKLQPFFPPLEPLQSLNLRTALFRCLDQAKKNGTLGRDAVLRKTMLDECKGERLE EVLSVFSTAVVKRSVRENQDGPEAIALRLAMENFSYTGDRASLSALVLAHKSSLRKKI EQKDRAKGIYNDFSGLLELKDRQITRRKEQLKVAIEEDENTEHISKAEMYEVQERARK NWYGSNDWLESILQSDRQAQTDTLLSEPYDQVWKYVEKGRIGEIEDSQRKGLLQQLDA RVKEQKNRYETWQNFERSIKARRSDSGKVDVMISTKPAKDLSISFGAHESLQLPSNPL QSSKSWQPLPEQAELLANLQRELADVGKPQRYEELPRRRVSTRGGSLEPQPEVQSKPQ PQDDEKDWESNSDSDKQETPVPQRRHTRRRVERRRVVAPPPESSETEDVSDSDDQVVE QETPIPQRRPSRLMAEHRNAAAPPPEPFSEIEETDSDNKQFEQMTPVPQHRSAVLPPP SETDEATDSDNQPMEQETPVPNRQSSRIIPIYRTASPPPANHPSLEASPIPSDQPSPP VPRVLSTISDMPPPSRTPSPSLPSPDTTQLPLSTPATSPSPPKPRHILSLAERTRMSM SRSLPRASEDFDSPPDLPAPRLGSSITTDPEINPAAAAAAATAKRHEDLLARTRQSMS NTAAVAKSAQLERRRSVKTAARKKRESFMPVRQSLAPFDEYALEGGVDREALIEEEEV DMEAVFMSRPRVKTSPASSPRKAWGEIGGGREEEGSLSPGA VE01_08012 MSANSEKPWAVEDVAASMIGGAPESGSESPVPFFHMLQQLKTTK REGWRRFGIDQGESIADHMYRMSLITMFAPPSLSSKLNIPHCTKMALIHDMAEALVGD ITPMDGVSKPEKSRRESTTMDYFVNGLLGKVNGGMTGKDIMAIWQEYEDSVTLESQFV HDVDKIELILQMFEYEKSKQGKLDLGEFTWVATKIKMPEVKAWSDAVMKEREEFWKAN GGVYTDFSNEGDEKKTEKREKHVATLNEYYGKEGK VE01_08013 MDWSNGYPTGDSIAMSGSLDPGSPLPFVNTRYQIAGGLDTPSAA AAHYFEESEFSDTGYRRNLPSGSAKPHFETEYHSFARLPSSASMETNGEARVPSLQQS TSGGWSKTALDVVGGVVGRVWQFCKAGAFSGFTAGGGQQYQLDPYHTIETELDNQNDS EFWESEISRKATLFNDTSGRSSTPVPGQYPDESICIVDPMDRGTPEGTPARATKRRQI DAGSSDAGLGKNWVVVPSTPATATPKPAGRYSMPTSSSVSRRQPNGTPSTASRPSARP GHRRPLLHTRASAVSHAGSPGLRSSGPASFASPRSPGGSKIPVPISSNSQSAVAASPA AIQAQKWAAKKRKEDLEADKSMSKLNAQLQAMIREGKEALGTTFEVKDVDEVGMGDTD MDEGFEEPVSSPSKGRWR VE01_08014 MDKVSAFGKSIGSSFTPFATRTTQYVKEQLGQAEDRTQLPPDYI ELEKRVDALKQVHQKMLQVTTQYSNESYDYTNIRENITDLGRSVSEKIQLLSTATSAA EAQAALTAPPVAKPQPKTFAHAVARASLASSQLLQQQHSGAGEDPLATALEKYALASE QVGEARLTQDAQIQARFLAGWNTTLNTNLMFSTRARRSVENSRLLLDAAKTRAKGGMF KLPGKADQQHHEEAETSEDAKVEIEQAEDEFVGQTEEAVGVMKNVLDTPEPLRNLADL IAAQLEFHKKAYEILSELAPVVDNLQVDQEASYRKSREGTS VE01_08015 MYIDPHVLALKSESDDPSNLGSSLYSRTDDNGSLSHEIIRSLES FDPLIPTDTSLAAEITPNTADVHPRKQPSLISSHIGIFLSSIIGICACLIFFYWLHGC FKVGGPARPRGLTRPNSGSPRPGILTRLRRYFSKAQAEGRRKLTPKRAKQTKDRHGPC GCHWQDSESAYPVRDYGPSGGVAGLNMPSPVLGGGRIRGPSCNWDPSMPSRYSNAMLY TSYGDSGLRGIGAAEPGIFSGLTMPARSFMRCGRRWTGGRPGEETYFMDRARGYPTIS EVGLFADEGLRTPPPRYDEMYIDGSRRAF VE01_08016 MASSIAPAPVIPGRITADALSKILLNPSESNKVAVIDVRGEDHI GGHILSSMHVPSNTLDHAIPSLIRKLSDKEIVIFHCALSQVRGPKAAAQYMRERHRLL GPQGAGRGDVGKTLVKSKVRSEATEGGASDSKNGEWVDEGTAEEKGEESKLKEQKVYV LDKGFEGWQEVYGRDKRLTENWSEELWQSW VE01_08017 MLNSPMMELRKAADALLRSQASPLAQILAPSTSTRWATSSMRLS QRAFSSSTRRFIADSNQSNPTSAPTNANRGTQNSPDTQTSSPGWAAGARSNRSSSRIL PSTSSESADADSWDSGISSNSAAQDLLTGFNAGRKERGANSLLNSKKSINLNRMLSPN VSDNDLKDVISNLTASVTAPVPPKPALRLNARTGRSVVVAGGVDIGRSFNLLGMSCSR NKVKLDFNRQKFHERGGLKKKRLKRERWQRRFMAGFKATVSRVKEMKRQGW VE01_08018 MAYNPYGQAPFGRPPGFGAFPGAPGAPPGMGPPPSMGGAPGMSA PGTAPPGMNQANAVQSGRPSGLPASFQGPTVMPNINFSAPVIRLGTGPAKPSTPVGGG PGGRDGRSESAGGGRPGLGADRGLDPQQQRQALRDSMMALAPPTKEEIVRTIFVAGIT EGAGGNEGIERILSSAGRLRRWDRAIDSDGKECSFGFAQYEDAESLSTAVEVLKDIEI PLKKQTPDSKAKKEDGDDKDEPAKKKLLVFVDDNSLNYLENWHSSRGDDPAAEQSRLD NARAALKSAVDYLFNPTTRVETSDSNGDVKMHDNEDSGDAVEVVNIPLAVDDELADIP AEMRETVAKEITAFRDRSNRRDIERLKREEEMEAAERARNGAASRPSRLASPGPAPSR LGGDANNIPLGPRDRSITNAPSGPKGSNIPRDYQKGVSFVNGSGTNGAASGNVWINRE DDDDSASDSELEQRRASKRAAELEKQYLDQERRWLNRERSRTAAVEREKERDEGEDAK ATAAKEKMAQRLRDWNDDEQGNKRIEEYYSDRSMWNRNRAAFRAREAAADAADRADED MESARERSEKEAARGMADNFLDRQAEELGAREPARAAQQPFKLSLGAAAQKAQKATTT RRTVAEVEGLLEDEEDATQTQRRQLIPIKYDALADRGAGLSDEEREQAVKDLAAEIPT DRQGLWDWTVQWEFMDESTIKEKLRPFVERKIVEYLGVQEQTIVEVVEEHVRRRGGAA ELVDALEGALDEEAEVLVKKLWRMIIFFSESEKRGLSA VE01_08019 MPPRDLEKVALRTIRPSVADSTSASASNPSQSTPESTSSDPRLK HHTDKGRDPGPLPSKRRRVPVSITRNACVNCKKARAKCDGNTPCLRCTTRAETSPCVY EVHIKHAKEELMKQIRELRTKNRLSERIFRTLQSSEKAPDILRALSNGESIESIAESL GRPGTEEQEGVSPIGSPSSAVGGSEYELDAQVMSGFSWTTVTHDSATFDHLFQLYFAW VHPVSTLFSEGHFVDAYQHQRQRHCSSPLVNAMCALACHYHTQSEDSELDSDQLGAQF SEAFLAGFEPDDKSITTIQAAAVMFLVELGRGFGLRASSYLRLATESIAELSASSNDE LPYVLKKTIQGIRCLNVEWAQSTFQFPSILGFRDVENTHEDATHDKLPWHFYRYEDDQ CPAWPSLLATTNREKIKLISIINNVSMMIWSPLSNTITARHVLEQYSKFVAWRLALPP VLGDAETTTQALPHVLSLLILYDYSIVQLLCPLLELEGFPSRLVDEVVWSHAQHALLL LERHYRLHYTCRYQPALQMFVVLNICHLIARFFPAKPTNDPSIKDGSEAVTIGIEVLH ESNVGFPAAGALQELLRRSAVACSLKLPPSLDYLLTQQGNGRTAHSYNDFIDVCTRPS YHQPLRGVREKFDGNFAEEFYTQSPEFGFRLPPPGQQSLRELQTESDRSIPYLMQIRN LLNTN VE01_08020 MATANSNAEQAFAPVLSAVNSMRDGTREQKKEAYEFLEKFQKSV EAWTVAIGILQSDATPEAKVFAATTLKGKITYDVSQIPRGALSDLRTQLLAFLKQYAP GPRPIRTQLCVCLAILAIQMTEWKDVVAMVVSTLGTDAASHACMLEFLRVLPEEVTEG RKITLSEEELSERTQELLGNNAAVVLQLLIDYSQSSAEASTNPHLLECVSSWLREIPV TDVVNSPLLSSIFAALGTEDSFDSAVECLCTIFKETSDVDEYMQAIEVLLPKVILLRP RIAAAAEAEDAEVFKGVTRLFAEAGEAWCLLIARQPEHFRILVESILECAARDTEKDA IGLTFRFWYELKQYLVLEKYIQARMQCVDVYSKLVDILIKQLEFPTPDDPNSLDLFDG DREQEEKFREFRHVMGDCLKDCCEVMGVTECLTKVLECLKLWMTNYGSLATPDSVPHW QALEAPLFSMRAMGRMINKEENIILPQIMPILVQIPAHEKLRFAAIMVLGRYTEWTSN HPEFLEPQFQYIVKSFDADSKEIIRAAAMAMKFICTDCKHLLSGQVVELQKFYNHTLD ALPQISQEELTEGVASVVAVQPPNDIYGLLELYCDPLVERIMRNANAAKDEDGELAVA DAVQLLNPFIQWVVPRVDHGQPDPAVQYCQKIFPLLAQIVQTFIKSAPICERVCRCWR NMFISYRTAMEPLLPVMADKLALGFSESKQGCFLWTTAAILREFAEDREHVSPTTTDA IYTFFEAQSRTTLRMMSSLEPRDLPDIIEDFFRLLTDTVLYYPYRLIPSELFTPILQA ALSALSLEQREPLTATLHYLRDVIAFGGPNPPVSTGQLNPPEVQAAMRNILVAHGEEL VKRVMAGMMITFPRDCFADGSGVLLELIELMPEAAVGWVAVTVRMLPAGTVSPEESKR LIDGIGAKLNGGPEALRGVRSLLQDFTNAYRRRYVAPRDGLGRLEATRFRFSG VE01_08021 MLSAARSPTLAHLPATIRPLVTARLYATQTGLGASSAQPQPKRK TVTPFNDNGRVPWGMLSPAEKVARTTQQSFNFGFMIVGAILTGGVGYFLYTEVFALDS KTNWFNRAVDRVKDDAQVQELLGDPKKITAYGEQTNNKWAVARPIASSHQKDKTGTDH IIMHFNVEGPLNKGVVSMHVTKHPSPDSEFEYRYLKLDVKGHPTIYLEGGTANAVGKG KTKLFGVRWR VE01_08022 MSALASKSQSLKIFEKLKTKQANRICFDCGQNNPTWTSVPLGIY LCLDCSSNHRNLGVHISFVRSTNLDQWQWDQLRIMKVGGNESATKFFQTNGGTAALNS KDPKTKYTSNAATKYKEELKKRAAKDAIEYPTEVVITDVMGNGPSDGSSTPAGEPDDD FFSSWDKPAIKRPTPPTSRTATPPVVGRTPSPFLTADGAPPARSPSPLAGSDAPAPAS RAVHSSALRKSSAASGAPRRANVLGGKKPTKLGAKKLGGGDVIDFDAAERKAKEEAER IEKLGYDPEAEDAAKKTAAKTESKVASPSPVSPGRGTYGNPTPRDRSGSDAEKLGMGM ARLGFGQIGGGPKPAGGAKKMGGFGSVGPIKAKEEDDSERYARQKFGAQKGISSDEFF GKGTFDPAAQTEAKSRLQGFEGASSISSNAYFGREEDDGGEEDYGDLESAAKDFVRKF GVTAGDDLENLTQVLGEGATKLGGAVRAYLNS VE01_08023 MAQQQAHGGAADEFFRGAPDGGQQQNYQQQQNYQQQNYQQQPYQ QQQQQQQPYQQQNYQQTDYNQNAGNDQVPPYNAPANPDEKQEFHEAFKVPKPKWNDLW AAILFLATCAGFVVVSGLSLNGYQTTSHGSSTNNTFGLNRLTIVLFAYVLAVAFVISF AYFWCIRAFTKQIIWITGILQIVFGIGTAGFYLWAKEYAAGIVFLVFTVFYIICFISW IPRIPFSVLMLQTVIDVANNYGHVFIVSLLGGLIATAFGAWFSVTLVAVYARYNPGNA ACSADGGCSTAKVIGLIVFITFAGYWITEVLKNIIHVTISGVYGSWYFCSQKPSGFPK GATRGAFKRSVTYSFGSISFGSLIVAIIQLLRQACNVAKQNSAAQGNMVGQIMFCILG CLISLLNWLVQFFNEYAFSYIALYGKAYIPAAKSTWHMMKDRGIDALVNECLINPVLT MGSVFVGYVCVLMSYLYLRYGNVIVDTNYYAVIMAYSFLVGLQICNIFLVPIKSGVAT LFVAMAFDPAVLQNEYPDLYDRMVGVYPHVQQLVHA VE01_08024 MLSKTLTAVALLAGNAAAKIFYAGVAESGGEFGVYSPTAEVGFG LPGTFGVDYKFIDEAGIDVYVDQNKVNLFRVAFLLERLCPVETGLGATFSETHFSEIE DAVNYITLTKGAYCILDPHNYMRYNDPSQQPMTGSIIGDTSDPTAATTEQFGAFWGEL ARRFVDNEKVIFGLMNEPHDMDTNLVLANDQAAVDAIRATGALQLIIAPGNGWTGGHA WTEDYPGNSPASSEVMHQLSDPASNLAFDIHEYLDTDFSGTHSSCENLASTALAPLTA WLKEHNFKAMITEFGASNGTQCASYVSDILNYMADNEEYIGWTAWAAGPFWGPNSPCC TDSKQFGSLEPGSKAADGGEGLYDTVWLEEMQPLVPTADLVWSGISSVNGGGGGNGTV GNGTSPNLGRRGVRRGPVRL VE01_08025 MNGQSLAFKSTRLAAGLQHSCSLKAAKEASRESKGIRCLSTACP RLKKEDGPEKVDNTDVKPKGNGAKHDKFANRDFFLSILGSTTTKRDTRAYVKHFAPPK ETSQKAEPSSSRTTKKLAGSPRSHGGVNLGSFYGSRAVENSPRFVQEPYTDFTSRLQN GPQHVALVKIRAPQEMNDENLNGVARTLSQLAKLGMISTVVVDCGIPSNGDNGRTDPH WKALVMKQVDRIVDAIDAQSVPGARKEDNIIGVLEKDLPHQPLSDFGGRTHIRYRKLL MTPLLRGIIPVIACIGYTDVSQQAVPVKADDVVLALTREFAGFGFNEPPAPEEDPNET REKLRSLRSQVLLDRLIIIDPLGGIPSSQRPNGYHVFLNMEQEYEVVKIDLLSAHGLP STQNSASTSKSSKVTDLGKSNPFSQFVETEFGAPTPSPEALATSDLSQGLSSASTIHL NNLELVRKVLSILPPTSSALLTTPTEAANSGNRSSSPALTTGVGTRSHRNPLIHNLLT DKPVFSSSLPPSRLGPTNPTSPSATTPATACHTTFAKRGMPVTIFPDPRIHPWRPPSR NNPGLSLRDARIDLPRLVHLINDSFDRKLDVPAYLARVDRRIAGVIIAGEYEGGALLT WELPPGIDPDSPEAEGRWVPYLDKLAVLKRSQGSGGVADVVFKAMVRDCFPEGLCWRS RSSNPVNKWYFERSRGTWRLPGSGWTMFWTREGVEGGGGVFEDYRGVCESIQPTWMDK KEVLD VE01_08026 MPICIECRYPVKTLYTEYSGADDKSTGHGVRLTVCKNCGRFCDK YVEHDFVVLFIDLVLIKPQVYRHLLHNSLMRADDQFDRSIIRLGILLLLFDVYLTWAR IEKAAPTSSAPEASNFGRLAQQPIGIQYIFFLVLCALSTLAFHLSIRFLTSSRLSPLH FLGLLPTYPRPNSVSTALLVSSSTKLFPILMVIWEYDVPAAARSLGWAVVANNIEALK ILLDCGYVPAAILAAVGAVSRWLVARGILWVVGLEGLDSGWETPSDGKAFWSAIGMAR EWGGRLGGL VE01_08027 MAGSWSIFIGFVVIVLACVAAWFLSPKGENQTVWRSTLILSFAS CYIMWAITFLAQLHPLINPKRSDLRPEYASH VE01_08028 MATIKAIEGRTIHQIQSGQVIVDLCSVVKELVENSLDAGASSIE VRFKNQGLESIEVHDNGAGITSQNYETIALKHYTSKLSTYADLTTLHTFGFRGEALSS LCALSDFTITTCTAEDAPKGTKLDFEVSGKLKGTSVVAAQKGTTVTVENLFNNLPVRR RELERNIKREWNRVVTVLGQYACIQTGVKINVTQQAGKGRKTTVFATKGNPTTRENIA NVFGAKTLVALVPLDLNLELEPSSGPSQRWSTQDDDGTKEIRIVGHISKPISGEGRQL PDKQMFFVNSRPCGLPQVAKAFNEVYKSYNNNQSPFIFANIELDTHLYDVNVSPDKRT IMLHEQNSMLEQLKLSLTELFEKQDYAVPVSQLSSQKQPAYKQLTINREPPSTPSARP TAPAMREVAEETDEESAPGEDQSIGGEDEQAGEPRNGELEVREESRDVANRDRGAIDL MAKWHEAKTKDRAIQGETRSKARTTEKADGPSKDKLRLSKRLSREGEMDDEASDIVGD DGSEHGSADEMPDRSHQLPVPVRDFNARLAEAEHGGQEANGYKPPRPISHDDHIPSLA SPTRRPAPGTGSIISKHVRPRRMSEETATITIGDHTTVSSIGTPRPKKRRLEPPSSNV AQQDSTPSFGSRLSQRFAAHGADIEQIDSSMDQDEESVGEEIEEEQDEGVEEEEELEE EVGEAGEEEEYPEGLEMEHSSEMEPEEPVEAPAEEYEEEILDEGGNEMDTAEDLASMV DNQDGDYMDEEDKKALEDAKVQEMIKAAEEVASRPSADNEKRANSLLKNDARRKDATV HLVKNLNTTVDAIAQQMQNLNESLVHFEASEHFEEPSASGIDSVNAEEKLSLTIHKSD FAKMKIIGQFNLGFILASRTSHGSDSTNKASDDVFIIDQHASDEKYNFERLQASTTVQ SQRLVQPKPLSLTAVEEEIVIEHLDVLETNGFLLSIDHDAPVGERCHLVALPLSRETT FSLSDLEELIVLLTETPPGQIPRPSKVRKMFAMRACRSSVMIGRTLTTKQMAKLVGHM GEIDKPWNCPHGRPTMRHLCGLGGWDEEGWKEGQGVDGDKDGETDWNAYVRRKKRTN VE01_08029 MGVEPPFIYDAVKKDTTRYSYKEFDPKAVSRSSMQSQPQKPKKD GPLVSFNRHPDSYLVMPSGKATGARVSASMKGRITETRMAVLFFRIFQLLGAIGALTT MVLITGVDTQMGWIMRIVPGISILHTSYGIYHLSRKPSGRTPGSSASYMLFASLCDAS IMAFYAFSTTTAYYRWDPKNGLWESTDSVATWSTWPILQDGGPYVMKTLFFSAVLCFG VSAIFHFITLILSIWLFVLFRKIVNLPPDMNPLESNLTSRAHKRNKSSVSTMSMYDDK RESKQSVAGSGAPPYEDLAQPPMVPFMHTRNNSGTSFSTYYSIPTSSSPRDSRLDLPS RQYQIPTTSSPRASMSDLKRASQSSLPSVSPKRGSYAPVNTQDLADQYAGVQNENWYS SDSLPRNRYSQPPSPVKSARSPTKARPQSAYTPLRDGFGTYEDINDADFDSDVGSSTV SANPLSAHPIAAGQRVSALNRDSALGEISLNRNFTTGSSSYSGDVADINGPQRGYYDE ATVEPTGQSEYRELTPQPLVRPGAAGKGVVGHSSDRDGIVKGSVGFKARYYGELRAGT PPTLVSGVKGRQVSSGIDMGVPTGDGMRDVSGKIAEEGRGGWGARFRKISGI VE01_08030 MAVIGSGPAGFYTSYKVLSKIENGTVDMYEHLPVPYGLVRFGVA PDHPEVKNCEDKFQEVAESPNFNFIGNISIGSDPGSLPLAAIAPHYDAILFAYGASQD RKLGIKGEDTVNGIYSARAFVGWYNGLPEYSGLAPDLTMGEEAVVIGQGNVALDVARI LLSDVNSLKGTDITEQAIDALSRSRVKSVRVVGRRGPMQAAFTIKEVRELMKLPDVAF RPIPPAQLPPDMTKLPRAPKRIMQVLSKGSEASLGDVPRSWSLDFQLSPTSFNPDSSN NLASLSFDKTSLGLDPFDPKARVTSTGEQADLPAEMAFRSIGYKSEAIAGLSELGIPF DDRLGIIPNDQEGRVLSLGWEKGVKQHVPGMYAAGWVKRGPTGVIASTMSDAFLTADR IAEDWNSKAPFNGGEEVKTGWEAVKVEAEKRRCRRVSWEDWKKIDAAEVARGKNSGKK REKFTTIEEMLRVLD VE01_08031 MLSSVSRSVLRQARPQFRVQSLSQLQRLLSTLAVLEQNNGKLNT GSLSAVTAAKKLGGSVTAFVAGGNIKSVAEEAAKVEGVEKVISVDNAAYDRGLPENYA PLLVENIKKGGYTHIVAGHTAFGKNLLPRLAALLDKQQISDVVAIESENTFVRPIYAG NAIATVESSDDIKIVTIRGTAFAAAATTGGSATIEEGVDPKSESTTEWVSEDLAKSDR PDLATAGKVVSGGRGLKSKEEFDKIMLPLADALGAAVGASRAAVDSGYADNSLQVGQT GKVVAPQLYLCAGISGAIQHLAGMKDSKVIAAINKDPDAPIFQIADVGLVGDLFEKVP ELTSKLQK VE01_08032 MDSESLSVGSPATTATPNTNAQGQQQGEAGTPVVEGANDAATND PSATDAETSEPAIKPDTSTSTPAVVSDNPLDAPEGPRPEDTRQDTEMGEAQEDVKDGQ QLVTTGEGADTTAATGQVEQTKASIESTAREHLISQTHSIILPSYSTWFDMHQINNIE RKALPEFFNNRNRSKTPAVYKDYRDFMINTYRLNPVEYLTVTACRRNLAGDVCAIMRV HAFLEQWGLINYQVDADARPSNVGPPFTGHFRVIADTPRGLQPWNPATDPVITQGKPS ADTDAKAKADGKIERNLEIGRNAYEPNGKEVTQKTGDKQANGESATNGAADPSKALDA LLKSPIAKVNCYSCGVDCTRVYYHNGKTAPGVTSSGKAKYDVCPNCFLELRLPSNQDS SLYVKIENPSYSKYPDRDAPWNDGELLLLLEGLEKFDDSWQEIAEYVGTRTREECVVK FLQLEIEDKYLDTEPAVNGSTGLGLLGTSGGQLPFNQADNPVMSVMGFLANLTDPAVA AAAAGKSVDAMKKSLRQKLDKDYGGDDASDSKDKQNDAMDIDIHHHETTTTTTTTTTS TTLATATLAGAAARASALATHEEREMTRLVSAVVNTTLQKLDLKLQQFNEMEAIIQEE RRELERGRQQLFLDRLTFKKRVRDVQEGLRVAATVGGEEGNRMAQDVMDTGDRLSFQG PGNNDNVQPLSAEGAVSTFEI VE01_08033 MMLFAEEEAPQLKAWIVKRLENTSDADADVLADYVLALLRHDGD IETVRKLCEEEIPDFLKEDSTVFVKDLFDAVQYRSFMPGAPPPPQHPVSTLPNANAPV GTPYNNFDMSGVPTGPNPNGSKKRSFNDRGDADAQMGPMDTTGRAMKQARRNGSMVPG GHYDSFGNYTAPQPNRRFDTQQAFPPMPHLPPGMPSTDPNDPMAAMMAMQAMGFPVQG MPGFDQHAAGPRGPGGRPGQGRRCRDYDTKGFCARGNSCKFEHGQDSIYVPQGVVDEY DPTNSSLVVGAEGSPAGMRPGGGHQFPGSERGRGRGRGQFGNRGERGGAPSRRGGRAE FSSDRPNFDRSKTTIVVENIPEEKFSEEAVRAFFSEFGEISDVTMQAYKRLALVKYND WNVAQAAYNSPKVIFDNRFVKVYWYKDDASLPQPPRGNAGEGFTATAPGDAASGVPAR ATSETRIDVEEFTRKQAEVQKAHEEKMKKKTEMEAAVAEMQKRQEDLMKRQAEEKRKL MERLAAKEGTAQPSAESNGADGKPPSQAEALKKQLAALEAEARSLGLDPAQAEENTWG GWGGRGRGRGRGAPGMRGAYAPRGARGGYRGRGGAGYAPGPNPYKLDNRPKKIGISGV DFTHAEKDESLRQYLLGVGEYADIETTPKSTSITFKDRFTAEKFMYGISNSEIPGVGK VDLAWIQTPLPPVTLPSAAPIAKPDTNDHENGDTDMGGSGEGDAMAQDTHRRPAQSQK REQQELDYDIGDDNEWAQ VE01_08034 MDFEDSDTSGHGYDVAPVDDDDSGSSYRASSESADERRKVGRKA GGSRRHMKTAVTAKRGDSEKSIPAPAISPSEHTEGPAAPEGNYDGEERSITASPRKRR ASSTRYESESNRRSRYFREKKIKGNYNDGYRQLLNNDIKDAASCSLPSDYPPLPSSQI GSSMWTTAEKGSLFTALGRLGKDNIAGIATRIGSKSKLQVQEYLDLLQRNRGTKKPLV LTDLPVAFEVSQECSQALDEAAEALAMRQERHEESLEKAKWGDDSWLLTKSVNESVES HISEPNGEHALAEVLPAAQLLNLGNWLELSTRVFMNPAGRKDENWRYLIEEGDEHGIR ATAFSDFQNLAISVTKRLISATLFCAMSRMRAKDMDTQTKHRQEDVIGADVEAAAQMI GLSINSHDFWKHCPRRNNLQVFQRLTLSHGNRPPMEYVAVEVELNKQTPKQRARSRSR SRSRSRSISGSASGSRASSVSTINASDIDISSDEEREYLSIAPSEASHGDLAMEIDYD SEAQLQRDQGDYPPLSPRPAASESMDHQASAISPESQELAYADKFDMVASMDEEARLW ELLNQSPPILVKDEVDVITKPKIKTRAKDDMMDWREKVEFWSPWETHESLPSEDKFAA NQRNARKRRKLVREESESDGGDVGAVETEA VE01_08035 MAGLTAVSLTPILAFNWVFLVELIVCGLLTLFFLFYFNRLFATL VSYGIRAWSWHKYRVYVDIQALQISLLGGRIFFKGLRYHGNNETILIHSGFITWEYWR RNVRELDLCHGRGLHNVPSGSSAKNDENHEDNQSATESGRQASTSALPCRLNVEIKGL EWFIYNRSAAYDSIVAGLAKSDETKHESTLVDDPTEKEQDEGLKKRGFPKPSALANIL SKHSLETDDSSGLPPLTEKDTNRESSRNPDSLHSDDSNEYHGQDNQEIEKSFILNLLP LHIDCVKAAIVMGNDNTKSVFITRADKFSADINATACRGPDLYKQVFDLQLGHPMIQL KPNEDYNEDQTATANRAKDDIKERTDTGDGVLHPSRFFHRYRRNAWHKIQSIVPYFSS SVETFSSLTTEPVVSDSNAQGNIHQWQGLSRYLNDEEDGKAKWSSFEYATVTTILDSP EASVSYYWDIPGKVPVEMGSSKPQPWQTNDINGDLPPEWGIDVIIKGALVNYGPWADR QRADLQRVFFPSLCKDATPADNLKPGDTRVASNLKIYIQLDDDTTLRVPIREESKNWK WKSQADTMTSQMQEQNKRSSKTSRKQKAKGGTAGPEIRPFGWLDLKICKSATIHYNMD MVAGASGFSNTLDVEFPNLEITTSVNHGILCRSLNQRLSCNLSNPLGWNAFHTWKFDF VSNGMELFILREHIFLFIDLIDDWTSGPQPDYLTFTPFHYFLKLQFGDFKLCLNVNDS NIINNPSDFDDNSFLILDGTGLVADLCVPLDKYRPHRNNVPFDLAIDKANLLLHVPPW NTQATFMPSNELAQVEGVTIKGKYQYCDTTSPSNTDTLLVDVSGRNLEVQLYGFLIRY FMKIKDNYFGEDIHFRTLEEYQQIINAKDPDTEALLNKPPSKKSNDLDVIISIGCKTT GALLPANLYSAKDHVRVEIASLSADLRFTNYYMDLNVSLSPLVFSLGMQEGLSTPMTS ITGSQIFVDGVEIFGNRLFGLPPTEPTYLCNWDFTVGSVRGETTAEFLMKLSAAVKAF AFSFDDDENALPPVTPEVLHDATFLRASVEHVHIWAHVDDVAFLLSTGPLRVSFNDLA GLLYSKKLKVTIPDLALGCVDADSASRHRSRANHPVETHAYITTTIELTMVNRKAGFD DDKRLQQAHIRHEDSRTQRTQFLLVDDPFRPPPIQVDAPAMCFPPVPLPINESNVYHS DRSSSSIASSLHSKQYLRRKSSFLSLTSSERSSQKSIIRTHSSLSEPKVQYNSSGSPS RSRQLGDNLRLTPLPRDASAASTGRQPSFYSAVDHNDLRARASSSVIFSSPFMSPYFP LDDVEPDMGNLPELNEHDLDQAPPPSAEMKPTDKIFDDNTEHTSFIVEFKQGIRAVSN PQAIKAVTSLMEALQPTSAEDIIDSVQVESITDIFAAKKQIVVAGKNTDVNIVLPIIR LRFANTVVSESHLRSDQPLDQYDFSARGMSVIARQRSAAKENVDRSKSDEASAVRVRV LSAEVSAKERSHGRADAQAAINGRVEDISFWMASDKIISGHLKIKAVDVEMASSKVKY LASLLHRTTHMAAEAGEGFASLARWQTNRIRHAVFLLSTHGQRTGDPLFMTRPSYVLR SAVGHLRTTDSWKLITRLRHVYSSLGHDEKQRIERLLSERDSASYPENIREQVQAGFE KWRSWDLENLTECVLMTKIFGSLIRDDTTSPQIQKPVQFGASITRTSFVLDPGPKQNE IVLATLAANASINQPHAADSPDQANPPQDTCTIVQIYCMESSITLNWELCELIEVTAK LYNEPEASPASGQPAPAIKPPTATQIDKPKQPLHIIFASDNGSVTLDTINIRARSLST GLKISLMIADGICDGRNIATALITADAATSKFRSHHQDLAIHQFLAPTLNISRESRVV GKVKENAWKVAGNSQELSFVVKQDPVALLQVVDRILGDEVHQISQILRSLPKKPVQRP VKSPVASSTAINKINIALFLDEYHISIPLLNSVRYDIAGIVARASLAARQGEEFVFDF DIKENSHDIRTQTTGKSQTISVLELPQTNGRVIARINENEHKFSVFVSVEPIFLDAAK IQNVIAALNRPEMTNLMDEAREGFRTLKGHIPESTNPGKVPIKQEIVEDTPKPFIYDA HLSLAGLEVFTDAPNGNNNDSKARLTFNLGIVQVEAHNRVAMAGPVLEFPELQLNLRR VVANLTSIANTGEGDSCGNFAFAAKFTAGSKETEDRGQVRSYHLTSDSLEINLFADTV STIVNVVGHLQTKIKELELPREVHYFQRFRNPRITIEEDVGTPESAATSLNLFDAMFS LELSNWQISWIVTSPYVDQTEGMENLVLSLQRIGLSTRKKKSARLSIENLMLQMVPPD QAKNLRSQNSALLPEVVFNIGLVSTADATRLAFQAAGKSLDLRLTSQFITPGSHIQKS IVSAAEKARSASASWITSPASEKPSVTAKNIFFGKKKLESLLVDADFAGAVVHLQGMK TTDSAMSSLPDKRSRAPQGGRYGQFAQDEATGSTMLRSPGLAIKVEYKDNGLDDPSLN AEVKVNASKNILYPQVVPLIMEISSNVQAVMSNNNEPEGRETPTSQKLMSVADDNILT VDPSAVLGRTRLNLGIRICAQEFGLSCQPIARVAATARLDDIYITMNTVRSVDHGHFF ALSASINNLQASVQHVYSRESTGSFDVDSIVLSLMNSKHVSGTSGLSAILKVSPMKVL VNAKQIQDFLLFRDIWIPNDLGNGPAPTPVPQQERTSQAQTYLVQRYQQVAATAAFPW NATISIAELDVQLDLGQAIGKSTFVISDFWISSRKTSDWEQNLCLGFEKVGIDSTGRM SGFTSLQNFRIRTSIQWPEREKALNQTPLVQGAISFSHFRLKVAFDYQAFLVADITSI QLMLHNVRNGPSAAGDRLVSMLDGDSVQIFCTTTSASQALALYQALEKLMQEKKANYE SSLREIERFIRRRPSTYPAPEIAEAAPPPQEELAKAPITLHTDVVVTLKEVNMGAYPS TFFDAQIFKIEALNAEFRFAVTVPVGIVHSRLGLTLGQLRIGLAGVKSPGQPKSTGDL SVEEVVSSATGSRGGTILKVPRVEATMETWQSAGSNQIDYIFKSSFEGKVEVGWNYSR ISYIRGMYGNHAKSLAQRLGKPLPISAVKITGVPEADEDGKERATGEQQKITAEVTVP QSKYEYVALEPPIIETPQLREMGEATPPLEWIGLQRDRLPNLTHQILIVTLLELAGEV EDAYSKILGSSFTIEEVRALMDKPTNVRNMSVIAHVDHGKSTLTDSLLSKAGIISSAK AGEARATDTRADEQERGITIKSTAISLYGKLTDPEDLKDIIGQKTDGGDFLINLIDSP GHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVIINKVDRAL LELQIEKEDLYQSFSRTIESVNVVISTYFDKSLGDVQVYPYKGTVAFGSGLHGWAFTI RQFAQRYAKKFGVDRVKMMERLWGDNYFNPHTKKWTNKGTHEGKPLERAFNQFILDPI FRIFNAVMNFKKDEINTLLEKLSIKLSSDDRDKEGKALLKIVMRTFLPAADAMLEMMI LHLPSPVTAQNYRAETLYEGPPDDEACLGIKNCDPKGPLMLYVSKMVPTSDKGRFYAF GRVFSGTVKSGLKVRIQGPNYVPGKKDDLFIKAIQRTVLMMGGKVDPIDDVPAGNILG LVGIDQFLLKSGTLTTSDTAHNLKVMKFSVSPVVRRSVEVKNAQDLPKLVEGLKRLSK SDPCVLTYISESGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVVAYRETVTTQS SITALSKSPNKHNRIYMIAEPLSEEVSNLIEAGKITPRDDIKIRARLLADEHGWDVTD ARKIWCFGPDTNGANLLVDQSKAVQYLLEIKDSVVSGFQWASREGPVAEEPMRSIRFN IMDVTLHADAIHRGGGQIIPTARRVLLASTLLAEPGLLEPVFLCEIQVPESAMGGVYS VLTRRRGHVFAEEQRPGTPLFTIKSYLPVNESFGFNADLRSHTSGQAFPQSVFDHWQI LPGGSPLDPTSKVGQIVTEMRKRKGIKAEVPGVDNFYDKL VE01_08036 MNAGPSWDFPPDRRPPSPPHTPSLSPGAHAAEAALEREREWQKE ERKRRREEKQRMKDEAPSLMERMWKSMFGNTTRKSKRKGKQPEKGTRKPKKTPQKCKR DGGANEKESKKAKTASPKTKPASLKSAAASLKSRAASLKSRVESIKSRVASIKSTIRQ KLDDVKAKIRKKPDVTSDNDSQDDADVDEHEMSGGLDSETEREKRAEETEKKTSQANK EAQATEQKGEEERDERERVK VE01_08037 MSEYLNLASIATETTTAPSPEKTFTILRHSKKRIFTFECSPTSP AEYAIAASKSRPLLHRGPIPLPPQAESPSTTSTLSTTPIIGSLRFTSFWSKLALSLGD GVAQVEHNRSAKHLRKTEARKRKWRRWFGMKERPVREMEEVEVLGLVLGVCMERRPRV GRRVGWVFDGVGYGWSGTREMARSWFRGVRGYSHDMKLVRTSDHALIATYEKKHFGRS ADPGMDRKGKKRPIGTLRIYPAAYERPQTGTVEDLDGESTPGVRLAPNHTTGSNITGT HTGDIFEEAVVLSCWAALEAEHRIRHTILDILIAIAENAGG VE01_08038 MDCYPSSPFLPTTSDPPAYDDWELDNYNTAVLPPSTHSDELEAL QLLQEETTAFKTQRRQVIQHRSWKLEEVFLSDQDYPLVTPVQSRIIKNTAEIIQSSSP LKLAKMPSLLSSPAACPQTSSPPAAKRPLPAPAPTSRPKNLGAFVDGDSDDEEDDFRI DEPAAKRRMLDADKLLPNSLAEITEGDNREPVGASTGYQAHMDIFNAPVLNKDHEQSY SPIMPSFLRKSAGLKEGYTCSGKMLNIKQRQKTVAVPYEEMVAARSTTKAGRAKKSYY GIDIHQLVEDATKEIKQKKKEKPVAPEVPLPSVEPVYHTRTRRTPMWTEKYRARRFFD LVGDDRTHRDVLRWLKGWDPIVFPRSGKPKSVLSKKPGMDGEQEEKPHRKILLLTGPP GLGKTTLAHVCAMQAGYEIMEINASDDRSANVVKGRIRTSVGTESVKNVGAKPKDGHK PKPVRPVCVVVDEVDGVVGGSGGSGEGGFIRALIDLVQLDAKNAVPGATMAKPGRKKK EDDFFRLLRPMILICNDVYHPSLRQLRQSSFAEVIHIRKPPIDAVTARMKHVFEKEGV ACEADGVRRLCEATWGLSSGPEAKFESSGTEGDLRSVLVVGEWVARKLKTDTSSRPKL TKKWVEANMASMLGYGGGGTRGVVCGGAKEIVSRVFLMGAGFPKPTMSANVSVGPKDA NMPRERLHTAEVAKKAGMERIREMIDTSDESDRIMSDIFSTYPTQPFNDDSILSKPDA AHEWFHFYNACSKGVYQEQSWELMPYLSQPILACHNLFASSTRRPVQEYEKKRTDDDG AAEVEIPFTGPRADYEATEAKKLNRAMLVELQDSLRDEHHLFREQNATLLRSFRSPED MATDLLPYLIRILSPDVKPVVVGGSGDSKGIASVRKEGEREMVKRAAEVMASIGVTYQ RGKLEGDFGSRVTQWVYRMEPPLDTLVDYPTAGAESSLSAAPIRYAVRQVLDQEYQKA MKLMATAARQMRFKEGNLLGEDTTYTFKTGYGEKPMPVDTDENPLKVKKDFFGRVLSV RAGPLGETDGNAQRVPKEGENKVWVTYHEGFSNAVRKPITLEELLGGL VE01_08039 MEHFVPIPYPTPESGNSVPDRPDYQQGAAQVDDSQSEHAKKRQK RNKPTLSCEECVGRKTKCDRGRPHCLACLKRQTECQYDRMANVLEETNRSATDSRRMT IPPKRRLPQQIHNGANQPSPKLAIHPIEQNISRTSMNSPTGALPDVPNTNQPASASNV FGIGSEHPFANYWTCQGGLAEVISVLPEKIQADMLLERYFDIVDCVYPYIHRQTFYAD YSHFWSLSTTDKANSDAALIALIFSMLAMGTQFVSAHSSTTKQTAEFYVSAAHQALRM SSYLNKTSIRSIQSMVLIVYFLINDNHASDGWAFAGILIRQAYAMGLHRDPNIVCPHA TTFEKQQRRKLWQAVLFQDTFLTVLLSLPPSATHTDVSVADLVDDASSIASSSPTDVA YIRGCWTLANLVQESICSPRSLSLPIASTPRHKSTLLASFRAVYRSFPDQFRCWDSSS IAHLALTNKRLVRQTLFLTSNYWHNVMLLHSSESKEVPMNVKGTLEAAHEAISAFFLL VEVFGEEARVWWVFCHRAFLESVCMGNILREQKIHVDSGDSGMFARARGDITKMIDIM TNMSEGENGCEVARTRVSALSNYV VE01_08040 MADQPQEQRRRLDAGGPREVVYCHNCENDWYRDESGLVCPRCDS EITEIISAQNDPRRPELPTPLSADELRGLREHDPWEHHNDSDPEEGDIREFIRDNPQG GRTTFISRTFRGSPHEVFASGSSRQPPNPDDPASQTLRDFEGIISGILGPNTRVTGPQ GEYPGSPFGPPGPRPGEEDGEPAGPPPSAPPSWGDYRPGPQPRVWGTRMTFQFGGQPR TFNGGGEEQGQQGPQEQDLTTYAPPSQRPPAVLILVLQGRPNEAPRILRDLMLVLQPP GANGGDANAQNPLGGLHGLFAGLLNPANAVSGDAVYSQEALDRIISTLMEQHPTSNAP GPAPAEAIAALPKKKIDKEMLGPEGKAECSVCMDDVVLDEEVVSLPCSHWFHETCVKA WLSEHNTCPICRTGVARDGTAVPAGTTPPTSPPNPGGQNSPDPFEGVEGQSPYARRST FLRRRPSINETRLASIRQAAGREPEQNRGVDYAGLRMNPSLRRERSASPPSHVPGAFS SSSNRNTSFRREESDTDAGSTGRRDQERELERERSRIHERSAPQLDRSGERGRPGSDH SNNSSNSIAGTFGSWFRRFSGGGSGRRNE VE01_08041 MTTLPYAADAESPLKPSELQVLRAQYEKEGEYVGVQTKFNYAWG LIKSNQRTEQQTGVRLLSDIFRDSAERRRECLYYIALGNYKLGNYAEARRHNDLLLDK EPTNMQAGSLRALIDDKVAKEGLMGVAILSGVAVAAGIVGGMIFRGVARKR VE01_08042 MLLDEDPATLIHHTIGNFNIQPDKLAVSRINESLSTLQQARDLR LRETENSLKKLTRTLNTLQNNHQETLQSHSATAHSSRIAELDTQKFRVAKSFSSLEIE TDHLSTQLADLKAQLQELELQGVDGGEEAKRSEVEDEVLLKLRVYRSLGIEAEREGGE WSRAVVRGRGKEGRGEVQVVNVEKKFSKFFYANYFWQAL VE01_08043 MASADKLIADLQEISGSSFANEAERVRARDALFEALRKVQSPWD IVWDHNWVNGATNASVKTLIDAGVFTKWAGCGGSPKTCAQLSELTGADELLIKRMMRQ ISGQHLVIETAEDTFATTPWAKALAADPALSAVYGEFYAQLNSPMFKSLPYFLKKRGF KSPSDVNDCNWQYWKGTSNNLFADLSTNPAMANDFHAAMQCHSKYNLTPWPEVYPTST VVSALKPDRALVVDIGGSKGHDLEKFRLCHPDIPDGSLILQDLPDVVKDVQVDPAISV QAYDFFTPQPVKGARIYFMHNVLHDWPDDSAITILKNVASAMEKGYSKLLIHESLISR VNPLARVTVSDITMMACLAAKERTEIEWGEVIRNSGLRIVRIWRPPQSVESVIEVELD VE01_08044 MTALWQADDYGVQANWECWTLFAFTCVTVGLRVICRTYFVRGEG RLGSLGVDDYTTIVCVAILLLTCILVSIGSHHGLGRHMASLDPLDIVEALKWNAIISS ILIWSFSLPKFAIIAILKRILDYGPKMTILFWAPCPLFTGLHLGYLGLVV VE01_08045 MADLGYFTSAYSAFLDLFFALYPIPLIMRLNMPFKSRIAVSIAL GLSGLACIVSVYKLAIFGQVFKILEQDPTYPVPYLDILGVAEGSILLICASIPTLGPL FHFARGTPTSGGDSRSMPNQSGDNSQGGRPGGIGNGSWGKVKGDILGYAERGSIGMTS SVDDIPLVPSSKR VE01_08046 MPRFLSLYTFSLPVAVFIAIPLACAAVVTTLVAASILAVRVTFV YIELVLAVVPHYILRTDDPHSKLSHRFLSPIATYDNSPATTPTIERRSRRLSNTSFMS GLTPAASAVSLPLPQQSVSFGRDFEGVGGWRLDQPSDEEQLWTKINSRLELPAESPRR YHHQRSLTTGGYSGDQRERNRSPELSMRRNGSFSPNTKRLRTPTLTRSGFTTVDGYFG MGGGQENAMGGSWSPSALRTPTMTRRNSVSPKE VE01_08047 MRTRSSGPAKDPITGEIFPDPLQSTIPAFIHKPVPFNPFPESAF PTLEFPIPPERHERARRIARGNRGRLMTPRRSGSPSSVANSQPGLQGVSPLAQGITPS QGLTRSMSPQLDEIASILRNQPLFTGCTSHDKPGAFDNNPYWEDGNELHPLVPTTMEK KYAVIPAWSDLTPGVQIMAMSEMVLSFGLKNAPKFLELEPEEINRFVDLQEREVQAQA AEEERAKQYLNQSFQEMLAGKLDGSNTMELLDLQFSNGSIWEPATFAMKRVDLKAGSR FLRSLGFEDESIRLWQYHGMDVSIKYESDDSNSAATNDPQGDSSPKIERHTSQPGNEI TVQPDEPENLGVEAEPSRHFVPKATRNLLLDKVMRPKKSRPPKRKQVSFGLEPYSPAR TPSKLRMSISVDEIQTDEASDEDEEASPVKARVARQVPTGTDLSKAVKYTRPTRQWAD LPNTGRGSKEQHIRKQRQITSPLKQTSYVDLTELEPDSPVTPEKQKYQNDAYLQAPSE NVWFAPVRGRSRMPVAREMMSEPLREADYPSLPVVKNVAGFANPLLAAKWAKFPKPND NPKFDEPTKVPPVLRRRSALGFGAIGSDSPPGKESLPGSSVQYMDETPRSKRKTMTSD ELPSPFDASTPDRGSNGRKWKEGTVVRTRQLSMQEQYVADEVVPSTPRRANNGQKWKE GTVVRTRQLSMQEKDVADEDVPSTPRPANNGQKWKQGTVVRTRQLSIQEQYIADEDVP IEMLDAGEQILMTRPRIAARGTRKSSGLATTGEGRNGRGEGTRKNSGLATNEEGGNGK GEGTRKNSGLATIGEGRNGKGDGTRKNSGVATTTGEGRNGRGEVTRGRPERRFYVPRH LMDDPDPEDEDEDE VE01_08048 MAKRIEQQEIEKYWEIFASLSHGGTHLTGSQAAPVLKNSQLNDD KLERIWDLADVDNDGSLDFEEFCVAMRLIFDIVNGEYADVPASLPDWLVPESKAHLVQ ASRALTGRQVQFEKVEDEDETLGLKDGFDWYMSPSDKSKYEEIYNANRDGRGEISFDT LEPLYSSLDVPDTDVKSAWNLINPNANSTISKDATLAFLHILNNRHEGFRIPRNVPPS LRASFERNQIDYQVDNQRAGSPAQKWGSAGGEETSTGRKAKFGDTYLSRLGVGGKSSY RPAGTDFSTTKTTEDWEEVRLKKQLAELEAKVDKVEAASAKRHGGKRDTKPALVKREL EQLLDYKRRELREMETGEGKTKEGAGLKGVREEIEAVKEQVDGLGAHLRSRQSALEDL RQQVEDEKAGR VE01_08049 MSPVNDDFTPLVTVVGFHHARGPEVESWYGADEGTDPAELNNWP LLPFMALSDGAHASSEDFSYFTLLKPGVDATLPTSLFGISCTRQIAASELLEKSAEVT RSTVQKAVVVIADSPHLFGMLRERLSVVTSAWFAQKDFSETEILKRFQESLKDEAERG RLKEEVDRDQYLGMSLRQLIREFKWQTLVLFKCCLLQPKMLFFGTRCERLCMMQFSLI SLIPGLIRKLQDCADPELDSYERGLAKPTSLKTSDRNSLLSYMGLPLQIFPKGSLFGP YTPLQQLDTLADFGTKSYIVGSTNSLLLQQKDRYSDILINLDEISINITSTSLRTALN LSVADRRWIDFITQSVNDTWDDANPERPSTMGYVGSEEFIRLQFEEYLLSLISSVKCH NYMTANAGNPNVMLPQLEGDPTYDFGSDWIEAWAQTENYRIWNKNTDSHLFDIVEPKH PCAGGLTIDDVQRRLAQQVQELHLDERFAVGKEVLGRNLAAGKERASGVFNKLYNDME LLRESQRRRRAEQAAIPERNEVASPTARHFVPDLTKAQAAAANVGSMAGSYVSSWASW AGEKKKGWGAASSKRNSEDVQDIDFTPRDITPKASITMSRDTARWTPEIKPSSASPQV PTTTIYEELQQSRPQTQESYKESIFDADSATDDEEAARVVGREPSTSPKLPEPKPTTA LDTEPLAAANIPDSKPIAALNTESSAATDLPEPKPTTTLDAEPAAVTSLPETMSEIPL DTKPPTATSIPETMSEIPLDTEPSTTASPPEPKKITIPTKEPPAGPLSPEPIKSARSD SSGKVSAMKQRFEAAAAAKKS VE01_08050 MSTRQVSPDFQSALGMLQICDDDENLGRQIAVVIANTGADVGIF CSTYFNTLHEWFPIIPSRDIYDRIATLSTGPSPEFAILILCLHLITKIDRTNCDCQTM MHFYLTAKRLYSLVSSSGRMSKELVQSGILLALYEYGNAIPDTAYVTLAGPARMALLL GYDKTVYEGGNAGNISDVEAEEQRCIWWCIITLERQVIPKLWGAKIALGVGLKLPGNP FQNSGPETRLKTFALVAQSIYFLDRVIEHINTTYEEVNRKPEITAQLDEAIRAFCMTL LEEKHYDRTGHCWPHATCLSALLFLSRDALEVRDGTYKYEDSCRAILSLRSIIRITLE GVKSGSYTTQTAVELVPIWGLHCIYLAATSHTEFGDKTNEKEWEEDLECLHQTLSWYK SKWMLAGCYLDCSKMATRIIKDMDTGYHNEDPSELCSGPGLR VE01_08051 MSAPKSIGIIIGSTRAARIGPHVAGIVKSIVEGGAASSSPAPTF TLIDLKDFNLPVFDEALLPAMVPAYGEFKHQHSKDWTNEIQKYDGYILVSPEYNYGIP GGVKNAIDFLYHAWIGKPVLVVTYGIFGGSASSDALVKTLEGMKLQVAETKPQLSFPE RDENNRNMSPGLMSAMTGELHETAKEAWSNGESKDLILKGYGELVTKLEAKKDTEEK VE01_08052 MASSPSPGGPNPWKVAMILAELNVPYKTEFLTFPELKKEPYEKL CINGRVPAIEDPNTNVTLWESGAIIEYLIETYDKSNSLTYDTVPEKFQVKQWLHFQVS GQGPYFGQAMWFEKYHQEKLPTAQQRYKDQVLRVYDVLNRALEGRDYLVGDKCTYADI SFIAWDYRFQAVFKGEFAIEELEKKYPNYVRWHKAVASRKAIKEVLEENDRVVAAAH VE01_08053 MSETRLDQIIKGAPQGDAPAADTTTASGVADPLAHLPSSPPQIY LNLLILEASLRAQYLELRARRRQHTFFLTLLALWTGYFAYALFLAPREDGSGVGGSVY WVVEVAEKVCLMGGVVTAVLVWGTGQWERGIRWPRRWVGVTNRGLRGFNYKIVVVKGP WWKEWMSTFSFFFSSGLLLNNGSSTYRYIPPSIANPTPQSNRNSTSGLPNIAEHVPSK GHEEDLSPGGDTISLLLLPKPFSPTFRENWDLYRTEYWERENERRRVLLQKLRKYERE HAKKQSWWKKLLGVQPVLTAKDIEKHGHHHNQSVHRMGHLGLPADKEKRLRSGSVRSG SHSRTNSRSNTPTPSARGEDEGGGSTTHSRRSSTASSTAGRKKRPSSNLSTSTATTPR GLRELRPATSVSSLSAASASEKEESRSTTPELAKREIHPTSSFTSDASVESGDGEAKE EKEAEKEAEPRRVTRGAKPQDNAEGEAIDVKTEI VE01_08054 MPSPATHSEEDASRHGAKPIFASERTREATAAPKIPNQAPRLTQ YFPLGYKEAFNQWWATVSPAIAEHNVLSFVPHLRQPPTHTQTGTYATSSDEAPMSYNP DPTRAATPVRTTSMNDPFGPRSWRSMMVQLGGKNRALNEFSVEREGEEVEENLVMLHG YGAGLGFFYKNFEGISRVKGLKLYALDMLGMGRSTRPPFKVNAKDQQGKITEAENWFI DALEEWRVLRKIDKFTLLGHSMGGYMAVAYALKYPGHLNKLILASPVGIPADPYATKA EIPDQATIENEVLQDQEDDIVNKPSNGNNGARNGKAQGPAESTSDDPPRRRIPKWASY LWDANVSPFSIIRWAGPLGPRFVSGWTSRRFSHLPETEAQALHEYAYSLFRQRGSGEY ALAYILAPGAFARSPLIDRIHNVGRQPLGLSGAPSTSAEPVRRETGYPVIMMYGENDW MDVAGGLAAEQKLKEAKAAALATATPREKQEERGSARVVIIQKAGHHLYLDGHEDFNE VIRREMEETKRDLKKQKEQSGGL VE01_08055 MGVCVSTNNGEEAESKKKSQMIDEGIAADARRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYSMDELALYRLTIYKNLIDCAKALVGAMRQFDILPKNPE NIEYCDFLADYQVDPDPHIPLDSKIGVAVMSLWKDPNMEILLQRQSEFYMMDSAPYFF EESLRISAPEYIPTEADVLRARTKTTGIYETRFSMGQLGIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKFD LFKQKLGRSPLGNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNI RLVFAAVKETILQNALKDSGIL VE01_08056 MATPTPPPPRERRPSTGAPISDLRGPVGPPGFTRPKHKRTLTGF GAGEIKSVEASIPENQRTAWKKYSTQPFTTAEDFEKEVVRHVETTLARSMFNCDETAA YAAASLAFRNRLITEWNRTQQRQTFADGKRVYYLSLEFLMGRALDNAMLNVGLKNVAK EGLADLGFRIEDIIEQEHDAALGNGGLGRLAACFLDSLASLNYPAWGYGLRYRYGIFK QEIVDGYQVEVPDYWLDFNPWEFPRHDVVVDIQFYGEVRKYHDENGKSRAVWEDGELV KATAYDVPIPGFDTAVVNNLRLWSSKAASGEFDFQKFNSGDYESAVADEQRAETISAV LYPNDNLERGKELRLKQQYFWVAASLYDIVRRFKKSKRAWKEFPDQVAIQLNDTHPTL AIVELQRILIDLEGLEWEDAWNIVTKTFGYTNHTVLPEALEKWSVPLFQNLLPRHLQI IYDINLFFLQAVERKFPKERELLARVSIIEESSPKMVRMAYLAIVGSHKVNGVAELHS DLIKTTIFKDFVKIFGADRFTNVTNGITPRRWLHQANPRLSDLIASKTGGLGFLKDLT LLNKLEEFADDKEFKKEWAEIKLANKVRLARHIKDTTGVVVNPHALFDIQVKRIHEYK RQQMNIFGVIHRYITIKSLSPEERKKFAPRVSIFGGKAAPGYWMAKSIIHLINSVGAV VNNDKDVGDLLKVIFIEDYNVSKAEVIIPASDVSEHISTAGTEASGTSNMKFVLNGGL IIGTCDGANIEITREIGEQNIFLFGNLAEDVEDLRHTHTYSTTTLDPSLSAVFSFIRT NAFGPADDFAALIAAVEEHGDYYLVSDDFNSYVQTQELVDQAYKDQEEWVGKCILAVA RMGFFTSDRCISEYAESIWNVEPMEPLAHE VE01_08057 MAEFVRASIFGTQFEITSRYSDLQPVGMGAFGLVCSAKDQLTGQ HVAIKKIMKPFSTPVLSKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIHTIASENTLRFVQSLPKRERKPLTDKFTNAGPEAVDLL EQMLVFDPRKRVKATDALAHEYLSPYHDPTDEPAADEKFDWSFNDADLPVDTWKIMMY SEILDYHNVDDAGGEGEVKMDEQVPV VE01_08058 MSVATRSLLMPPMPNREDLHATWAYLEAGISKIMIDLQSGIDMN TYMGVYTAVHNFCTSQKAISSTTTGVIGGAHRGAHLLGEELYKNLKTYLVHYLESLIA ESQKHVDEALLTFYIREWDRYTTAAKYINHLFRYLNRHWVKREMDEGKKDVYDVYTLH LVQWRAYLFEAVHKKVMAAVLKMVEKQRNGETIEHSQIKSIVDSFVSLGLDEADSTKG TLDVYRFRFEKPFLEATMEFYQKESKQFVAENSIVEYMKKAEIRLDEEEERVNMYLHP DIIAALRKVCNTALIGDHSAVLRDEFQSLLDDDRVEDMQRMYNLLARIPDGLDPLRIR FEAHVRKAGLAAIAKVAADADKMEPKIYVDALLEIHTQYQALVKKAFKDEPEFTRSLD NACREFVNRNSVCKSGTNKSPELLAKYTDALLRKSSSSAEESDLEETLTQIMTVFKYI EDKDVFQKFYSRMLARRLVQTTSSSDDAETSMISKLKEACGFEYTNKLQRMFQDMQIS KDLNSGYKAFEAKLVESDDATGVIDATYSILGTGFWPLSAPTTSFSPPPEIVKAYERF QKFYNQKHSGRKLTWLWQLGKGEVKANYTKTSKIPYTFQVSTYQMAILLLFNERDVNT YDEIMKATQLSQEVLDPQMAIFVRAKVLLQSPAGPSYTPDTKFSLNYDFKNKKIRVNL NIQVKSEQKQEAEDTHKTIEEDRKLLMQSAIVRIMKSRKKMKHRLLVSDTIDQIKSRF VPNIPDIKKCIEILLEKEYLERLDDDELGYLA VE01_08059 MVQGDAESGSGSDLDVFPWHLGVFDAHCHPTDTMSSIDTIPHMK ANVLTVMATRAQDQKLVAKISEAYGVDLESFKQHGKITGRVIPCFGWHPWFSHQMYDD VGNAYEPTDLIQRKIKHYQSALLPAPEDLDYIASLPEPFLLSKFLKETRAYLEMYPLA LVGEIGLDKSFRIPEEWESELEKSRDMEITPGGREGRRLTQYRVNMGHQKQILLAQLG LAGELQRAVSVHGVGAHGFLYDALEETWKGYENKVVSKRKKKLTKGLEFLPDEEEDEI QSDAQTSKPFPPRICLHSYSGSPQLLKQYFHPSVPIAVYFSFSSAINMSSAGSEKAIE VIKQVPDDRILLESDLHTAGEEMDRRLEEMARKICNIKGWSLEDGVKRLGENWRRFVF S VE01_08060 MSINKGCHFVAGDNNLSNVFGDQLHVGYQGAMDHVSLANQYGNQ TDPSGAMNSISLPENAYEGQASEARHVSVGQGGGLGSNPYVRHQIGEGPLQSYTSTPT PMVDNNSLQHSYPTAYSQNQTFQPRQNVGMNQNLRSLPSPSDMGMGSRTGRGALPPRL SESSMGFGLNHFNSGNGDMASYNSQVPTPMHAFGNGYSGTTNTAQARNVRSTFRRSPL DMAEPRYDIQNGFAPVQHPDMQPNNSANMIPNMGHPGSVFQPHPEAEGWTRDQISKHG DSLSSKRTRRQSTVLADHEADTPDGSDMSEDSDGTVCSPADQELSTLQGSTTGQESST VQGSATGQESLTVQGPMTSQINERLAGDDRTSRSGTRGPGEEPMSSRQFRGHFDRAAH RVGSSQQMVGKLPGRRSKGANDPENIAIVNWYDNYNMSFVEIAQRLNSRQEATGKPGT FTPNSIHNRYNRCAPIIYRANGRVFVAIKDRRKHAPEELDAMSYGAYSIEWTPNKDQL LRRTVQEDEANKWRRVAEAFTTSTGERVGEGAVSTRFLLI VE01_08061 MLRASRGLVTGTLSKLQYRVSFFKRCLATAASPPEDALEPVINP PSGIRLRQYQEECIQSVLSYLDRGHKRLGISLATGSGKTVIFTQLIDRVKPLPCGADQ TLILAHRQELVEQAAKHCINAYPTKSVDVEMGNIHASGAADITIASVQSIISKDRIQK FNPSRFKLILVDEAHHIVAPGYLKTLAYFGLSKAKADGPALVGVSATLSRFDGLRLGA AIDQIVYHKDYIDMIGEKWLSDVIFTTVESTADISKVKKGATGDFQTGELSRAVNTDQ INEITVRTWLAKAQERKSTLVFCVDLAHIAGLTKAFRDRGVDARFVTGDTPKIARSET LDAFRAGEFPVLINCGVFTEGTDVPNIDCVLLARPTKSRNLLVQMIGRGMRLFPGKEN CHIIDMVASLETGIISTPTLFGLDPSELVAEATVDDMKEIKEARMGQASIDPEFLSPM PGTSHPIKVTFTDYDSVFDLIEDSSGERHIRAISRYSWVEVSDRKYILTTPSGKYLKI ELNTEEKDEDPAFVVSEIVPYTGPLKKQPYKPPREIARHTGFEDAVHAADTFASLRYP KPFIVTTQRWRDKPATEGQLMFLNRFRLQSDPLTPDMINKGKAGDMITKVKHGARGRF AKVEATRRKLGRQALKLEQEEELKRRENVSVGPLLD VE01_08062 MSQAPFPSASLLTDGLLEQRLKARQIPEAPTEEESLYDDVKPEL AVNIQSSLPHSQKEAIVLKETPPIGAADTSDDTGALPLDITSETKLPEPPEPPESTDS GTPKPIDIPRPSHKSDEWATTHTGGPDNETLNWLEKEIAKQDLEKDTIASKRASAPKQ KHGTWPLLPSEKERSPRKIIYSEPDRNVIVHRGFGDFARPRSAALEETRTAFPPLSNE SYHLDTYGLDPNAPKLTRMIWLGKKKFLVALTDPTGADGEPLTLDGNVSSPSSVYSNS LLTGSFAPSEAATESVFLNGNLELCTKCQKRHIKPGTPETLDARDTCRSMLPDWFPKE RYEKPWDAFTDLINKYVRASQMLEDKEANPETKIPWDKEYHDPSPEWKEVGRFGGWWK CRIEVESGEDAEGGEGPEGPEGPEGPEGGEGREDPEGGEGEEDAEGGEGEAGKSDVPA IERNCRLCHRRKAENDKLAAKKVESLAEKKQSIEDWINKHMKKEMLKDKAYVKARLAK EGF VE01_08063 MLLQPLYRQHRSCLLRISKYSYSTAAEKLKEQPREYTEKEIVYP DRLNANHHDLETYLAYASRSGLDSKSTVYVGTHYEYTVQEVLKRLGFSLQQTGGASDY GIDLLGEWKLPAVPLNLRVLVQCKALAKKSGPNFVRELEGAFAGAPSGWQGNGVIGLL VSQKPATKGMREALARSRWPMGAITCTGEGRLVQMLWNNRANEEGLTGVGVGIRYKGG NLNEEEIHLTFKGENMETEP VE01_08064 MADRLTQLQDAVDQLAHQFVASIYYVHRHHELTPVNPTDKPREG PMDSDGIEPYPTAQFLDGQRELAKDLIVREQQIELLISALPGLEHSEQNQQERIKALE EELEKEEKKRQAAVKEKDVLLAKLDEVIRSVRRP VE01_08065 MAEEDTRPVFFFDIDNCLYSKNKNVHDHMSELIDDYFMKHLSLD RAEAYRLHQEYYTTYGLAIEGLVRNHEIDPLEYNEKVDDALPLEKILSPDPQLRKLLQ SIDTTKVKLWLFTNAYVNHGKRVVKILGVDDLFEGLTYCDYAGRPLICKPAEEMFRKA MREAGISDVNKCYFVDDSALNCRESQRLGWTTVHLVEPSVTSPPQQVCKYQVANLEEL RRIFPQFFKEDN VE01_08066 MAQGAITTAPSVAVAGLTRDELHEIQEYEKIIRFRDIVYSGAHP RIQIPPHLAGKSLVKPLSPSTVVTPSNQPPTPYSSTAPAAKTYTGRENGGDGDRLLDG KRLMAGRPTVALGKTNKSAINPILLEKSADLIKAEIQLHRQRLERSLRDQVDQRRISM KAASQTSESLPDFDLSDVLSKAFTIVHPSTASEAGQSQGGRTSASDSFDDNTFYSSQH NTPEHGRSPRGRRESGEVYTSAVAPLNAPDSGPSVVRYQDESPSFISKDVTPSNVVPG LQELARSQISAPPQSTSTLGAVGVNQSNELLKAATSNKPATDIARPVAEKQDFALTPH SNVSTPQGATPSRTEADERNRIAQGGPDAVPSQVASATSQKRRLTLEEPETVRNFNLS PVAPQPARVSPLATARAPVISQEAFTLQEEPILSPVHEAGPSSATGGMPKISKRAERR KGKGKSVDTGNNPASAIATPASPYIKPEPKSPSPFQSAPLPRPHKRQRQTMQQGAGLD YDEPAEDTIMMQPVAPRTRDVRPRPVQRSGERLGEAMEIDQPQRHRMARDEAPSRRII SQEIYRDPPSPAMYAVPYPQYEQRPVRASSRMVADTYYQEPPAYYREPPAAPGAVIEI DPSDRSLSPILMAPPPRMAPSRIVVDEYGRQYYTAAPNPPRQSVAPQPRIIDDNYYYD RPPPRAIRRPVQHAYEDDGVIYRRASPQYVPQRRVITLPENLAEPQYRQREYSTRPVA TRPPPEEYAAPGDREPIEIRQREYSTRPPPARQPIDDYLRVAEAPPRRQPTHFEDQPP HEYLPQRVASVRPESFRHEMRGEYIPRAQSIRPEPIHREYAGSVRPEGRRGGGEVQSQ ILREYSVRPGEQEVVRREYLPARTMDEYQHQQQPMGRPMARRVVEEQEYIPWRPQERE VYGGGGGGEDTQRDVIYK VE01_08067 MNKLANMRHWSEKMGPGFGMSRPNPNMPPNMPPGVAPPAQHHKG SHNGGPSPIPQTAADAFIHYSFNVPFASDLEGPIIEDIVHATPDACERWTHPESAPDG VPVHELPVHAMNVLNLRKLCREITNGPLPIEAHVICSEPKRVKGEIVNVCLSGSPELV QKSRETILNDIPLALRCSVVDIDGELVLDQAGTALKTFVIEHLDEVSEFCGVDIFLLG PKFNTIASGINGNGEAGQDQRWRVAIYGDMESAEHAKTRVLVFIDRLLRRSVDAMLLE LSLHTVICGRSRKNIKHIESTTNTAIYFPPPFSQVYRYCHPGAKRRNPEEIFITGETP QDIALAKQKIHELVQRTRLFMKDCMVSPAKIDSILLGRLDKVRKIMETNGTFVLFPQL GAQRNLVRIQGVEGLHVERTIRDIMGLTGQFYSASWWIQAPHGGRMPSPQETRSMLPD ICANSDADLTLDKLTFSITGSDDAVKDALAVISEIPFVARSQYQIRVKIELANEHKEF VSGKKNGKINKIMGQSSVQIIFDGFNEYNFNIDVCSSQYEAMKTGLSLVEQEMPASIS FHVPDQYHKRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGIGRDEDDIKVDNVICRTP ARNAGALELVKAEILDMVDRVDSEFTNQTVNVNRLYHRQLIARMGQLDELEKKWNCKI MFPSTEQASDDVIVSGPEWQVPHCVDEFLGMVPDTHDLVLASSPELLKFLESEEFVKE TIPKMKAQYVVELQVKKDPAEVTEDGKPTVTLMWSFTRNNAGGVRDAMDYLIQLLLPA GAEVNIVKGAIPRPKSDSFEESLRFFDSKLLQHAPAPVAGTDSPTKSAFNEDVSRERS NIFDKLRKPGSMTSISAFLDRRKNSSQSPAATSSFFKNGSSNVSKSSLISVESTRSFN ANRDPWNDSGVNLPDDEGGATWSRPFSNGNGSIHLNQSGHHGDTTPKHNMRISTDSGR PSTSNSTNSGFPGPIGTPR VE01_08068 MRFSVIVPLICTLAAFVLSMLCLFAGSKPNFMQDFEIITLNTSS LGHDTSPTTSSTSSSPTSIGSWLNNIAGDPKGDIMNEFNEIRGDVADKLAEKLGIHQW YNLHMTDMCMGTYTPRATDRGAKMNVSGCTPMKAMYHFDPTSQLQKELDSGPLAGKIN LADLGYSDDIKNGIKAFNIAMTAMFILYCIGAAASGLCIILALISFFSTGRLTSCLNF LLAIVAFLALAISSAIVTAIIVKATEVVNQYGNDVGLYAYKGHKFLAMTWGGTAAMGV AMGAWVVIFCMGRKDSRRASEKPY VE01_08069 MMESLNGIMDSLTANGDKNPVGLQYLPAELIEAIFQDLGVDSCK SFRLTSKRCAILGQPYILSPRLKLFPHRDDFTKLMEISQHPYFSSQIHRVEIYMAKAD DFHFRNNLCLQQCAKERTGSAETMEKSMAKYQKQKGLEEAFADDFCNPEILELAFPNM KNLEAIDIKMEECLYNDLVLWDAWKMGLSKAEPGDAEIVHFMAILRAASALNLRVLTN DLLPFGIWDMDCYRPGLEAAFHGLTTLKLVMGCKNLRDHHATDSRDSWANKLNKALKG ATNLRELHVGFKLSFSAVLDCPPLLDGLMLPHLHTLILEKIAWHPEELSSFLKSHAAT LRRLRVWAFVSKKDLKGNTVDQPADLELLIDEMKEHLQLEKLDVCGHPSVLCQPAEIF WFHETGLYDENWEPVKQHTGSPSVMAQRFEEYVVHSGPSPYGRDIGDLFS VE01_08070 MPSPISWFRALTPKAQGLIGMGLLSWGAIGLYASDAAEEKLGFK PSEEEKAALRAATPRISVVDRE VE01_08071 MASKVANRPDWADDEELDDNALELPPQQITTNKDGTKTITTYRI NDEGKKVKTTRRIRTTVVKEVVNPRVAERKGWSKFGLSAKDKAGPAVDTTTVGENIIF KPSVNWRKDAKEEKVEVGSMKDKLKDKKVKCRICTGEHFTAKCPYKDTMAPVGEEGTA DVAAGAGDVAEGPGGLGTGKSSYVPPHMRNGAGGAAAGGERMGGGKYAERDDLATLRV TNVSELAEEDELRAMFQRFGHVTRVFLAKDRETGMAKGFAFISFTNRDDAAKACSAMD GFGFKHLILRVEFAKKAT VE01_08072 MLASKFSRALPRATTSFTRTANRAPLLSKFARYESTAAGAEEKV KGQVIGIDLGTTNSAVAVMEGKVPRIIENSEGARTTPSVVAFAQDGERLVGVSAKRQA VVNPENTLFATKRLIGRKFTDVEVQRDIKEVPYKIVQHTNGDAWVSARGEKYSPSQVG GFVLQKMKETAEAFLNKPIKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTA AALAYGLEKEEDRIIAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDITLV RHLVQDFKKTSGIDLQGDRMAIQRIREAAEKAKIELSSSMQTDINLPFITADSSGPKH INMKMTRTQLEGLVDPLINRTIEPVRKALKDANFQAKDIQEVILVGGMTRMPKVAESV KSIFGRDPAKSVNPDEAVAIGAAIQGAVLAGEVMDVLLLDVTPLSLGIETLGGVFTRL INRNTTIPTKKSQIFSTAADFQTAVEIKVYQGERELVRDNKLLGNFQLVGIPPAHRGV PQIEVTFDIDADSIVHVHATDKATNKDQSITLASGSGLSDEEIQNMVNDSEKYAEADK ERKAAIEAANRSDSVLNDTEKALNEFADRLDKTEADQIREKIAALREFVAKSQSGEGT ATAAELKEKSDELQMASLNLFDKMHKARSESGQAGEAKPEEPKEGEKKE VE01_08073 MAVKYDSLYHATDNLILPCPRKQYRAFMLAGHWQLRSFVHSPSL GKVHYLGVRDIYEIDTSKRTRRIVDTLSFKPKCLVSSNGWICCGGEKGRFAALHLDSN EGGVGPPSEADVRLPLDLDPFRRLHLSLQNRDSRPGTSADANRNRSQMRIIGDEILNC ITLWFPGSEVTEGAYSKPVAVVASNDLSAYIVDLESLEVIDSVEQVDPVNLGRISPDG SVLIVVGDDPYMHVYKRTLESGSRKNEEEYSWTKCHAVQLPGQRISDEGDMRGSFAGD FSDRYLAVGTQHGMIAVYETAHLIRPEKPRPIVTFPTTRPNTKHGAVRSLQFSPSSPY DLLAVTEDGGRVIVADVRSLNTRQVMDVGESVEGFELVTLTESTGDDVIDPRLQADLD ARGDESTDFFDSFLDSGQRRAAPQLINHGTHQLTRDETAVLEALQIERRRRERETNPT ASNNPWNSVADELRARTRTGERRSPNTNLPGPLRDLLSSRNTDSLRALVLERNQDREQ RGLPPRRRGVMTAARAGLDPESRDPTRVPDNQPIDGDAMPRISLHLPSSLSIHSSPSS AEVDAIYSMAMDSQDPSARLQLGVDDEVRRETIRQADELASMRRRQNEPWTSSPVQAR YSGQRDPPEENQTTGCTWSRDSRTLYVGTEGGMYEFPVNITNRKIFPSIKWR VE01_08074 MGRKPNQLILEFFERGQKLEDSSNRYQHTCRACGEKFPKGRIDS LTTHLVKKCPAISLRDRQKALLQLNDLPDITDARNQANPSSVELPVGNRNWTALETLA EVSRQIDLSEKQDDRTQHNHSADPRPEETPRIDRFELQEQWTPDNPPLSYEARVQRDK KLLSQKLTPRRDSESTLPPVNFAQENAPSTSPPPPLNMAAATSMAVAASARFTSSMVD PQLFGEGLPQEISESLASDINSLKDSTISDQYFVHRPADQPTWPMLDGSGGNMYPDPE GFESHVEEPRAQQQQNFPRAIAINTGMTTEFSAEYGNGQRANKPKVRGRFTPNRRKEV QEVRKRGACIRCRMLKKPCSGETPCNTCRNVESARLWKQPCMRTRLADELDMYSAGLH AVLAYHEVNAVKSRVNFTASVNQIEASHYPETNIYAGFNALEGQDLPSEKNIDPILGL NIDFTSESLRILDNDNDDLPMKIEGYAQRMSPIFFEKEPSHFMNVTLSVAMELSIQKQ DSLLSRALELWATVHILVDHELKWSLSERRTMGDETSESHPIDPQGTTYQLLCMQLSA AVEKKAAQTSKLVLNDLERRLLQRSSTSSFETFLVAIILLNCVEKSTWLFKSWEQDYL KARWPLDKTPIWYGSQGDRITDMLQMLLRMRNIPPKTYARMPDGILATELDSTVQNYF EKLNLSHAEVLDKQNNATFDPADSRCYELRFCSKLLLPMG VE01_08075 MAAQQGETKQIIVVIGGSIVGTSTAYYLSHHPLYDSKVHSVTVL EANNIAEGSSGKGGGQILKATDSPELKGNGDAPSSLDWLLPGSTQQYGEIGVPGNSGQ VNPYMFTKTLAKLAESKGVMFKLKASATKINLDEIGRLSNLSSSRMMKAPIRLMQLTY SVIVKPTRPLSPYILFRNIQPAPASPFDELLSPDIYPRPADHLYEFDTVYAIGLDDCE TSLPSDTGDIELVDQKCADILKAIGSVSQEIHDGALVKKQACYKPQIRQHEEDEEVGP MVGPVGIHGLWLATGHDEWGIQNGPGTGLVMSEMILEGKAHSADCSSLDPKHFLNTST KL VE01_08076 MKAASCVLKSSQLRTRIEKQSPSSPLTISQRIIFGGPSEIYEDG YDYHPSLVQIGSGEHAAHNEDYNAYAGLGGGVAFAQEMPEEAQRVLTYNALEDMREAW ERDGVGARRQAGAMGSLWVEDEDEGEYDDEFDKVDEADVRRGHQIFLGSSLRQRNRGV TDVALEESLWDDLAEENELPDHRRGYQCAEPALFSEHRYANSWDNDNEDEEDGGRVFV MDRDGEHLAPCGDDEEYGCRDIYEGAAAYDLEDDE VE01_08077 MPLNEGLVNILRDESPSFKDRWLGRKLLDIAIATVAARSVSAQA SEKVVKDLYQDMKAAFPYDNCFRELPGRREAEPEDPDYCYLLESLLGHIYLRGQDDAP GIVSILERNVRDPRRSQDEKYLKSPPLPPQISQSTQEERILDNASNGMATEVGSVFGS NQYIGSIPKFTPPQEVSNGNVRQLQEHADLGDLAIYTVFFEEHGTIKGTKPSYTWRQT QLKPTSRFLFTVTFEGVEVAGLECSSKQNAKHEASKALWEELHLRKQL VE01_08078 MNRLPIEILAKIASQAFQEKRIGPGRLRLAPFLTINRTCQVVIE REIFRHIGVDTDSLPQLTAILSGSAGDRRIRLIQKLEFHYLRNLVPFLTPTKLSELRE QQRQANESFSESMKALFGHLKGWEERLDASGTVAASQHQMRLLLGKEFCQKEAVPPMP PSHTEDIAVPPLPAQSPANVPLEAPGRHFARRPWLGYAPEPVLARPL VE01_08079 MSSLTDKPVSVGQEDAVEKELEHDLNSEGHDADFSGIDEKKTLR KMDVRLIPALAVLYLLAFLDRGNIGNAKIQGLTKDLHLSDNGYNIASTVFFLTYCAFE VPSNLLLKRLRPSIWLPSIMIAWGTCTVCLGVVQSYHALVVIRIFLGVTEAGLYPGVA YYLTMWYCTNEMALRQGLFFSAASMAGAFSGLLAYLIVKMNGVGEYEGWRWIFILEGL LTVVVAIAAFFLLHDFPETASFLTPEERAWAAHRLKYQGSNRSDRMVAEDDKFKWKYV FQAMTDWQLYLGILMYWGIVCPLYGISLFLPTIISQLGYTATIAQLLTIPIYITAAVV TLVVCYFSDKAAKAGRSRSPYVFFPMCAILVGFIMAIAGSAAGTVPGVVYAGVFIATC GIYPAFPGNITWMSNNLAGSYKRAAGMALHIGVGNLGGAMASNFYRKVDSPKFLLGHG LELMFCVIGMIALAALRYSYMRINEKRDALHNDGSEHTDQQLSEMGDRAPTFRYQL VE01_08080 MLGSPSEREEEDASDDNWYGSPTPFTPAPAVNTDIADWLYTMYE DVFEAVFGSWLGNYSCPFVFGEHRHLENGSSERTPLALSISISSLCRQFDQWMKDLEA QGNDVASDQAPTQAEVKKEQQIDHCLNQAIQTFSARWLPLTFESPLHKAAQTELIESL WRGLRKDLIKIINRPCYRSMLCLFLFAMVPIPAGISEEEEDSGIPAQFCVQAALQHVQ HLRARQRSLEFNGSKVCPISDRVAIMTSLDQFQIDFMSMESIIYWAALTYDTSSSLTF NTKSLLSSGLLGWESESSWRLVKTCTDIFHNQTEDWRLRGVIVTEENANRIIAAASAW KLRVWKVAAVLKEALREGHEEDAVHRVYTSAVDAIKQFNMTYRPLLVACERRIQFLSQ HTKLRWCEFFPTTQLFASRSSVTG VE01_08081 MAWIAEFGARSVELGIGTKPNLRLRYLCQADEKDFSSSEGAVKQ FIKDLKAQLSPTHPQYSKVISALSDFFKRDYWGRIWVVQELSTTAKTLFVCGEKIVTE NELHYGLRVLRNFRHYRLLTSSHDAQLRPYDESIISIDTRNAIELLKVRRAARPVPLI RLLRSLRQDKATDPRDKVFALVGIAEDRQVLNLRPNYSKSCAEVYINTAHTLFQAGYI EILSLCESLQRIPELPSWVPDWSRETYRCPLQRRTLNRDTNYPTTLLQPRFSASGTHN FIIGQASGCNEGDNKTLSLSGTFVGEVQSVGAIWEHEGVTRWLCDLNNLSQLSSRAVE GSKQAQVTWRTAVADQEIWHGTEKPRLSESTIRKVHEFLTNRDLGHLDSQTFTEAGLG DYNQQLQEIARGRRPMLASGGYIGIVPSETKPGDSVFVISNADVPYIFRQQPQGNMLQ LIGDAYVHGIMDGEALEGSALIQTIKIG VE01_08082 MAEVVGILGSGAGIASLGCQIFKSIYKLQQVLTDIRNAPQELKT ILEEIALVTTILIQISERPHLYTIGRSQSVARDQAVIYCETACRQLFTVVSEIENEIG ESKCRSRWHCFLTVLKAKKITDLVNRLERAKSILALAQLMYLQSFEIERLNQKTKISS ISTAENPEPRMSTTPIRQNENGHISAPYNQTTFQLGIGVLVVRQVPNGSGKTRTSLEE TIIQFRFADWFLRWAISIAICPEIENVAAAWHHPSTCKQLLEHGANSSLLMQYSTISW NALGLASEYAGYYRCFLFPGLEEHRPGPKEDLSQKRETMRILTDHNSCEVHQGYRPGH TGYWNGSTSALHMFRGTSDDFLWLYKRENVLMDVKDSEDYIASIVIQQTQMFPNASFP AAFSLVSSMEHLAKYRDSSGSTLLHHLLNTSSDIKPGFWMNGIDTFDIARTLLEHGAD PTSRNDQGYTPLMGAARDSLLYLHSKALFWTAESAAKMYSGYLTRWIKTLESCLVDAR EYRSREIELGAESFVLCRQFQHSNDGLSGTGQWSVRLVFGLDDIHHGLQIKFQFQSEE KNERMPGSWPKEII VE01_08083 MDLVRMQEEIDAEEERQSRLTPEERMRENGQELQRRLECEPAIA ILLQRSPPGHMVRAECRAVSCPIAAMSPSDKGRRIMDVYRAVLVSDEDQYFHISCLEK MITLSSLAPSRFKLDMNGYRWRDGWPWTWGLMLRKWFEHSGCIDLAKIAEYIDAYDTF KEADSDFMTQWGEWEHTHRRECAADLGSCGCPPEPKGPVSPMLEGYKTEKAKICSIGE VLRHPYLERLSAQIHTSLHESILVFPEQEPCGGEAQKDGSNLEPRPKDEDNPSPMNEA SKTEEGGACSHHV VE01_08084 MIPGDVEWLSLQFANLASLPAPVQRPMKAKKKVTTATERLYDIE EKSWEQFRSNLEKPGDPEIRPELSVLQLYFKWFAITHKGKLGPRPSISTLVWRVGRFS YMYADRHGIDIPDDVKDGVVQYIQNDLAAELHLTSKTYDKNFATDEDVSEMLKYLWTE DTHCYLNERMRVQGAFFKAFLRATASRPGAFTRSECYRDSNIALCYKDVEVWAIRKKQ GGRSLTLTIEEKYLEDLGSSVVFLFLVLGWMDRAFENITSLHQLFHLEGFIPDGASAI RLPVAAAARTQPILRRMEKRGSKRISKTLAWSSGSALQQLKRVCEAGGWPQRMTAYNV RRGGLNKLDGDPNTSSAQRNQIAGQGNSAVFTRAYLSRHSAVKIFKGRATHVKNEDDD DDDDDVRGMRLLRDRSAPQTLPDHLWEQIMQADRDLKTIDENRQLVRQLLRRELALLG ADRSSTNVTALERELYNSSRKFHQRKQNLKRQEYLKFRAEWFKSRASKILASSNESQL QKPITATEFSPARKAVIEALYPADPSKLSMFSAAKALIFFVETSDGVRASIASERKRR LDPAILPGKKRRQGDSITFIACSPTI VE01_08085 MQQVMSTKHAREPNPVDTTGLCLLSLDGGGVRGLSTLYILKSIM DRLNHERKKTANLPPVKPCELFDLIGGTSTGGLIAIMLGRLEMDVDECITAYSNLAAA IFSEKISQVPVNIKGKIKPRFDSAKLESAIRKAVAQSGVSETDLFNDGTERGCRTFVC TADRDTKDIVRLRSYSLIDEPNIHATICQAALATSAATTFFDPVSIGDRAFADGGLGA NNPVDEVEGEASNIWCSETGDLKPLVKCFISIGTGNPGKTAFEDGIFKFLGQTVVDIA TETENTEKKFIARWAKHFDESRYFRFNVDQGLQTIGLDEYKKKGAIESATGGYLTHTA QKFQVRDCIQNMKLKQIKTDPSFAALISKYTIRQHSGSGATIFGNVHWIVPRPVNDLF TGRIELLDRMKKALSTINTPPTDKRKIFVITGLGGQGKSEICLQVANLMRKEFWGIFW VNVDMLSTATDGFITIAETLGSAVKDVPSALRALAKAERNWLLILDNADTPDFDYQVY LPSGTHGAIIITSRNSECSQYNTVGAEVIKALKDQDSQELLFKAANLPQDSWSLYASQ ATEILHLLGSHTLALIQAGAYIARGHCKLHEYPNEYRRQRKRLLEFRPKQARSTYGDV YATFEASAYVLKQSKSEAASDALQLLGILSMLDSSILPLQLFQNTWEGCRKVLHTNRI EECGIDVTTSHVLQLPAFVMADDDEWDSYRLTKARDLLASLSLVTQHNLDGSPGLSMH PLIHAWAKDRQDLEKQGITWISTGCVLALSRFNTQWRTQERRLLPHVQTFVDIRNNRE VSLGSEPIVVPILLECGWILLDMRQDSKLDGLLKDIFVQLGKKPDELSKEFLPLYNIQ ARSLVNLGHYKKAVKLLEQMVEVEEATLAKDDPDRLASQHVLAEAYQANGQVKEAVKL LQQVVKIRKATLAENHLDRLASQRALAIAYQANGQVKEAVKLLQQVVDIGEATLAKDD PDRLASQHTLAIAYQANGQVKEAIKLLKQVVKIEEATLAEDHPDRLASQHALAGAYQA NGQVKEAAKLLQQVVEIGEATLAKDHPNQLASQQALAVAYQSNGQVKEAVKLLQQVVE IGEATLAKDHPNQLDSQQALAIAYQSNGQVKEAVKLLQQVVKIRKATLAKDHPSRLKS QHALAIASRQRTDQRRSTEDQTLTVAIHWLLEVSKEMESAYLAVSHQQMEGQ VE01_08277 MASSKPSKKESKKAVAAKPAKVSKSAAKAKEAEKSTERIADSDI DMDDVSSEEGSDSNGEAGSAAGPDEDTSSSGSSESEGGSGSGSESESDEELPEKVTAP TTTLPKRNKNPSPAPQPAVAQHTSTRRTPFAPPKGYTPLPISSSTNKLLTPAALANKQ IWHITAPASLSLASLKNLTLPASSGADEDEEDAPTISLPGGEYSVALAAATADTTRVL LPGRGGYKALEAPVGRTLHLQRVNVVGGEVYVGRKREARKQPEGLRMRFRPIGFGEEG ECGEIGEVEGDEVVGERPVFKKHKKSGEGEVEEGEKEREKKERKERKERKEKKEKGKG KEKKVKK VE01_08278 MSSNKRRKLNTEPETKSLSAFAARQQRKLATAAGAAVGAAEAVL EGHGPDELNGASFVEAGKTSKVFRLGIDGPGDAKAEEGEFSDSSPYEGRDEGRVSGKK SRGTNTPSEQVVVEFTTVKARGDRIVREKRGGVSVRIGPGERVVVLGEYRLAVRKGRA TLLGATLSPESTKVFVDVYAASSHSLPVIRYLESEDNEVIISLGQLKSGLRPLKKLSP LFSRLWNESATILDGDVGSDERSSFQILFSTADGPRKIPLHKVYSPPEWNETFAAVSS SKWNRESVSSTMICGPKSSGKSTFAKLLVNRLLTLPPPPKSRSKRPAGVLLLDLDPGQ PEYSPPGQLSLVHLLKPNFGPPYTHPDADSGGCTVIQAHSVCAISPSADSDHYMACVS DLLSHYRHYISSHAECPLIINTPGWILGTGLELLIELIKKAKPTVVIYMSTEGPVDVV KSLREEARQTPVIELPSQISEYTTRTAAQLRIMQTMSYFHLNRKDTTAPWNWSPLTSM PPWMVKYSGPGSGILGVMCIGEQPAPQFLHDAINGSVVAVVVIDDELALRSPQEGEAE NGDRLSSDISPMVTANTANGHLPYLEPGPNGYPDPKHSHSIGFALVRGISTTSNSLQL LTAIPHRTIRELAISEKSIVLVSGKLETPGWAYTEELHQKAVVALNRRKKEIGGLVDD GESDEEVEGDGEYMGEFENAPWVERLEGHRGRGVGERVWKVRRDLGRGGDGG VE01_08279 MKGALFTAAMLLGSASAGVHKMKLQKIPLAEQLEFANVETHVRS LGQKYMGIRPQTHVDAVFQEPSSVKQGGHLVPVSNFLNAQYFSEITIGNPPQSFKVVL DTGSSNLWVPSQSCGSIACYLHSKYDSSESTSYEKNGTEFAIQYGSGSVSGFISQDQV NIGDLVIKDQLFGEAVEEPGLAFAFGRFDGILGLGFDTISVNKVVPPFYSMIDQGLLD EKVFSFYLADDKSQSEAVFGGIDKSHYTGELTYIPLRRKAYWEVDFDAISFGKVRADL DNTGVILDTGTSLNTLPSSLAELLNKEIGAEKGYNGQYSIDCKKRDDLPDITFTLAGH DFALGAHDYILEMGGSCVSTFMGMDMPEPVGPLAILGDAFLRRWYSVYDLEKGAVGLA AAK VE01_08280 MSTNTFTPSFITLIDEETSIPELISSECLTPSQPSSVYSIAMDT PSPAADEFEHLPWILAAEATDAHDGAMFPSKPLPGHHRSLLEDKRMAADLGFNYWEEF IDYSIMAVDGSNKLDAFQEWGSGDQFLI VE01_08283 MVGVSNEASSSRMQQLTQGRRLGFRGLLGANRYLLFSSLFACIG SFMYGTDQGILSNLLTGQNFASKFPSVYSDPVLKGWVVSVLQLGAWLGALVNGPLANA ISRKYSLTVAAFLFTLGSAICAGAQNVTYLFVGRIIAGIAVGQISHVVPLYMAEISPS EFRGALVSLQQLGITAGIMVSYWLCYGTSFAGGQACDANESAPFGDSGAWSPYTDVPE GGCTGQTELAWRLPLALQVAPALVLLLGAPFLPFSPRWLMSKGRDDACRAAISELRSL PLDDPIVETEYLEIKAAVLFDERTAAELHPGKSGISLTFAKVGMLFTNTGLFKRLATG CIIMFFQQFTGINAIIYYAPTIFGSLGLNASTTSLLATGVLGVVDFVFTFPAIFWVDR WGRRKFFMAGALGMMVSHVIVAAIVGHYDGNFNVPGGKVAGWVGVVFIYMMGANFSYS WGPVAWLVPAEIFSPGHRSQAVSIIISCNYMMNFVVGQVTPNMLDKLKYGTYILFASF CLLMFLWVFFFLPETRYKTLEEMDEVFGDNSATVDRERMQEIMAEIGLEQTPQQIAER LSDEKDREEYMENTKNV VE01_08284 MASIFVLPVRTSNALNTFLKRTQVQTVLSFHSRNARRAASTRST RHTLNTGAKIPAIGFGTFQDADAQVDAVSRALKAGCRLIDTARVYDVEKQVGHGIKES GVAREEVCVGTKLWCNNFHPDDVESALDDSLRDLDTPYVDLLMMHYPCTFARGEDRFP RDADGKMILGKTSFVETWKAMEKLVKTGKTKAIGVSNFSKGEIQQLLDQSDTVPAVHQ MEVHPYLQQKDFNAWLRSKGIHVVQFSPLGNMNDFYRNTGWSKEVSHMTRVTDQPVLQ EIGKKYGRSPVQVVLAWAVNSGRSAIPKSVIDWQIQQNLEADFEMEAEDMEKIAELDI KARFNDPSLDYQWRLYSDLEGTQGAVEGKTH VE01_08285 MPLYQPADLNTPVLSQFSLKGKIALVTGGSGGIGRQVVTGLAEA GADVAIIYHKATDAHEIAAKIAEKTGVRVQAFQSDVTKRETIAKTIEQIATEFGRGRL DIVIANAGVCQNVPSLEYDEETWNYMNSVNYDGVMWTAVAAGRIFKKQGRGNLIITAS VSANLVNVPQTQAAYNSSKAGALQLGKSLAVEWVDFARVNCVSPGYIMTEMLTRQPKE LIDKWLSMIPAGRVCNPEELKGLYVFLASDAACYMTGSNIIIDGGFTLP VE01_08286 MSIFFFGYDQGMMGGVNQSPDYINLMKFGYVDDAGVPVVTDTLL QGGIMAVFYLGTLVGCLFGGYFGDKYGRINTIGLGAAWGIFGAALQCSAMNSNWMIGA RLVNGIGTGILNGIVPAWASELSDYTTRGTFIAMEFTLNIFGVVVAYWLAYGVSFIDG GESAFRWRFPIAFQIIPLLCLLATCWAFPESPRWLSKVGRNEEALYILQRLRGTEGTD AGVAEAELNSIKSVIELEQHAEGTTYIQMFFGLKDGKLHTARRVQLVIWLQIIQCWTG IAGVTMYGPTIFHIAGFGPEKSQWISGLNNIFYMFSTLICVFTIDRIGRRWTLYWGSV GQGIAMFLVGGLSRGGLDARNAGNINNANQWGAAAATMIYVYTFIFGATWLTVPWLYP AEIFPLQVRAKGNAWGVVGWSIGNATLTLVLPYIVNAINEKMFYIFGVVNALSIPIVW ALYPESNQRTLEEMDLLFAADAPWTWSAEKKFKELKEERDAAGLDGDFEAKAAHPASH VEDNKV VE01_08287 MGSEVTAAAASHLPVQMQVNLGGKIIAITGANRGIGLGIAECCL ANGASKVYSIDYADDVGDDFKTLSKVYPGQLFALKADVTKEQSITEAIDKVLEEAGAL HGVVVNAGRTNHKSALEFTTEEIEALFAVNLFGAFYTARVAARAFIKQNVKGSIVFTA SMASYRPNKVVPSTPYGASKGGVRNMTHTLAMEWAQYGIRVNSVSPGLVKTAMTYWVE KQADWETQLKYYRGIPRLAEVQELGGAYVYLLSDSASYTTSIDIPVNGVIGIC VE01_08288 MSVSESCIVYPRKELASMRKKYFREPFAEGCLPEGGFVLHDERF SQILGSNPTIKVIAEQIEPFAHEAGVYMPATGEVYITSNHLLCAGEKYVQISRVYNDW KGYHVDSIEPDIVLANGGVNYREGILFCEQGSLTEPGGLVYMKPEEPYKTEMIISDYH GRQFNSVNDVVIHKDGSIWFTDPTYGHEQGIRPLPQLPPQTYRYDPGTGDIRAMDDSL TKPNGLCFSPDQLTLYITDTAGMAGCTHTSGIYSPAKAASIYAFDVITRHGGPFLANK RLFAFADVGIPDGIKCDTEGNVYSGCGDGVHVWSPGGMLIGKVYVPGGCANFCFGKGG EMFLLNEKKMWRAQLNPELKGDLLGL VE01_08289 MSKNSRENAGATTPAFNPTLPFFSLTGKTAVITGGARGLGLAMS KALVMSGADLAIVDINSKTPKVTAHYADVSAKSDVDAAIAEILTQHSQITNLVTCAGF CENCDAIDFQPERIKRMLGVNVEGTYYFATGVAKHMMERQIAGNMVFIGSISGSIVNV PQPQALYNASKAAVRHLAASLAVEWANKDIRVNCLSPGYMLTEIAMDAQILVDNPGLK AEWESKIPQGKMGAPEELMGTVAFLSSAASRYITGQEIKVDGGYTVC VE01_08290 MQGSLQTGQDSADADAHRPSTSQWHSTYPDPDREQAASGLLRLT AEAAAASSSLPVDAISPPTDTPYLESSVQEQFEADTEIALTPPDAAFYRWFNLLASDL TSEKAGGTRLWTSLTGVPETSAPETSAVDTIDSLHLPVSSHQTSTDSLLVQDVSLLAS PAPNVSASFSSRPPYTKPPDQDAWQGSEPAKLSDQEHDLFENFVTKLSAWIDLYDPTR GFAILVPHLAMHDAGLMNAILALSARQLSININATEEQRDRNIGLQYYYETLHYVQQA MHYDSYTVSRELLATALIISTYEMLDDFRSGWERHLKGVFWIQRSQVIHGDSGGLQQA IWWAWLRQDIWAAFRERRKTLSFWTPAKPYTAMTSYEVATRILWIFAKVVDYCSEEAI QAGEGNLQLRIDKAGSIFEMLDEWQNNLSVEFAPLAGRKGNPSDVFEPIWIHPPAFGN SIQVHCAGRILILLHKPSLKGMREYMANQKLLAKAAETVCGIAMTLTDAASSLVSSQC LYIAGLCIQDSRQREAVISLIDACHRRTGWPTRSLSHDLREEWQQSDVE VE01_08291 MAPPPDWKLSNKSNVVLTAAEKGKYGVIAAIAYNIEHIIGFIKA AEVAKSPIIIQFFPWAVTYSSGLLIRTAADAISRSPLRDHIVLHMDHAQDYNLIKECA DNLPFDSIMVDMSHYEKEENLRKTRELVAYCQEKCIATEAEPGRIEGGEDGVVDTEGL EGSKTTPEEVDEFVDTGVDALAPAFGNMHGEYWSIGPQLDFLRLGQIRKNISSRVRIA LHGTNGFQEDLLKKCIAEGCSKINVNRLVLDDYYDHLKANAPTMSQTQLMTEGTQKVV DLTVKWMEICGSAGKAV VE01_08292 MKASIKICLAAVLSTSSWWSHSMVDAKSVFAHYMLSTLDPNTDH AKQDIDQAIAVGLDAFAVNVGAPGADFSKNTLRQLFDYARDKPFKLFLSFDFYAAGDI GAHQEFFNLWRNEAAYLNYGPQNLPVVSSFSGGSLGPDVWRNFKETNNVYLLPNLEGD ADYYNNPTAFFQKWGNAIDGVFSWETAWPDASNTPVNVTSEKDVAVKNAADRAGKSYM MGLSSLQYKHCCGDSWYRSGETNLPERMTQILSLKPELTEVMTWNDAGESHYIGQCWP EGLPEEILQYGNSETYPHDGWQPLITSFINAFKNGEEASQMKPSGDSPIGALWYRGVM APCDTGLRGSGAAINSVNYAVVLPPTTQGARIQVSSGGQVLSNVPAHEGLNYNAIGGM VTGPQKLEILDQSGAVIASASSKVDVSNGPQGGFCNFNYYVAGIQ VE01_08293 MEAGKELSEDTTESKDTERCTEAECSQETDNGLDGGFAAWSVVF GAWCCLFCAFGWVNASGNFQEYYETHQLRDYSSSSISWILSLEPSVLFATGLVVGKVF DNYGPRWLLITGTFLHVFGLMMTSVSSEYYQFVLAQGICSPLGASFVFYAGLSCTATW FQERRALAFGIVASGSSLGGIVFPILLTRLLPTVGFGWSLRISGFVILALLIIANLMV RSRIKPVPRPFTINDYTDPFSEPTFVLLMLSVTCGFFAMFIPINYIVLEAVKNGIDAN LSGYLLAILNAGSLPGRILPGYYGDKLGRFNVMIAMCAFSALILLVMWLPGTLVAPRS AAVYVVTSLLYGFASGAFVGMVPALLGQISPDITKIGVRQGVLFTCISVATLTGSPIA GAILSQQHGAFWGLQVFAGVMMVACVLFLILARITLVGCALRVKV VE01_08294 MATSMDVEKQNQAGHEQIDDDSAGTSSDRNITPPENLDDAQVRT IREGNAILRFLVNVEKRIDGRTKFEAMGVERIPDDKRSPPQKLNMMLFWFSLLCSPVL IQIGMLGPIFGLSVNTSIILTIFATVIGSTIPAFTATLCPPTGLRQIAVARYSLGIWG AKLCSILNIIVNIGYATISSILGGELLRAVSGGSLSLAVGIVIIVIISFVVSFFGIAI IHNYERYAWIFAAILMCVLYGQASKHFTPTPGFNLAVGLDYSGGCLSYFAIIFGVCSS WCPIVGDYYVHYPVNTSKWLVFGLTYFGLLIPTIFVGILGNCFGGIIAANHDLAAIYH ENGVGALILTIMSPPEAWGKFACIVFALSFLGNVIVNIYSSALSMQLLGKHFIAVPRF VWCTLLSAATFALAYGGRNVLEDIINNLLSILGYWTLAFALILFIEHFWFRPRLGGYD LSAWQDQKRMPWGLAGTGSLLIGIGFSFLGMAQTWYIAPVAKKIGLYGGDVGDELTLI SVLISYPLLRTVEIKYIGR VE01_08295 MALSALHRSTLIDGMPMNFDLDELVSSFMASSLQNLRHELQAKE GVSKYALLATIRTLCVCEIHSGRADARSWRVHVEGAIALLGTTRAISGVGPVDDGESQ WLIGSWYASIESLMALTTRRGLPGPQIDQLGPRVLLRETQAENRYLDIYSAYSTDLND TFKRIRAAAWERQQLNANADITIEPSNRDLDEEADRLESSIRAMIGNSSFRPNDLQVL SQHEAQQFQACNETYYHTALIHVLRRVRQLPRSDLRVQASVKRIIECCLQITPADGLS PWVMLTTPLFTAGCEALGEDRAMVRQLLQSMYDSLRIRNIQRSLGILETSWSNTEDND DWDSLLGQDDIDFIPY VE01_08296 MVMGKVVRCLALGLCLATLTAGHGQHAFVDQEQEISQDRLAELQ RKWNDEWAFSGISTFAHLPHQKCLVHPGELYDIAIIGSPFDTAVSYRPGARFGPRAIR AASARQTANRGFNPRAGFNPYTSWATIMDCGDIPINPFDNELAYRQMTEAFSELAHRP SKSGSQKPRLITLGGDHSLALPALRALNEIYGPVAVLHFDAHLDTWHPAKYPSAWTSE QSHFNHGSMYWIASNEGLIANGSSVHAGLRTRLSGSGWDDYEDDDRQGFFRISADDID DIGGPAGVAKLIVEKIGTEIPVYLSVDIDVVDPGLAPGTGTPEPGGWTSRELIRILRN VEGLNVVGADIVEVAPAYDGRGEQTALVAAQVVYEILTSMVKRGLPVAKVSSSKDNVK SEL VE01_08297 MATQGKDSSELSNSINTTVSSDIKAEASEQGQIALTRLDPYGNP LVPPLTSDPQDPMNWPLWRKYVCILIVCMAYFMFTYFSTITIPSFALLQKQFDATYTE VNWTFAISNLGLAVGPLFTGSLGDTYGRRSVMIFGCIIALVASGCTSIKTISLNGYMT ARFFQGLGCAPASNVGLSIINDISWEHERGQRIGLWTMAIGAGGFLGAIVGAFLATIN QYWAGYHVAIMFAVLLVLECCFLPETLYPRELVVQAEMNQIQIDDTGLKRTTQLGYFS LKKVPGVPHPKPWHGLVQFCKLWTYPTVVISLCAYLFGQYWWICSLLTMEPAAYENYS LQVQGLLFISLLVGVVAAEILCSGRLSDRIMAILAKRNNNQRVPEMRLWLGYPAAIIS AVGLLLWGLSVDNHWHWMVGQVAFFLYAFGFQTGNTVVSSYLVDNYPEHANEVITFYA VVLNLSAFADPWFINIWVDATGYTWSFAAQAIICVGLVIPAYAVLQKFGPRLRKPMRL EHTSLESVMP VE01_08298 MSDLHIELTAPNGRKYTQPTGLFINNEWVKSSDGRKITSINPTD ESEITSVYAAGASDIDLAVASARNAFNDPSWRDLSPTDRGNMIIVLSDLVAKHAATLA TIETWDNGKSYIDSLGDVAEVQGVLKYYGGYADKIHGQVIDTGPNKLAYTIKEPVGVC GQIIPWNFPLAMASWKLGPALACGNTVVIKAAEQTPLSILYLAQLVKEAGFPPGVVNI VNGYGREAGAAIATHPGIDKVAFTGSTATGKEIMKMAAANMKNITLETGGKSPLLVFE DADVEQAVKWAHIGIMSNQGQICTATSRILVQDSIYDSFIAAFKKHVQKVSVVGDPFA ETTFQGPQVTKSQYDSVLAYIQAGKDEGATLVSGGEVFTNGPTPSKGFFITPAIFTDV KPNMKIYREEVFGPFVVIATFTSEEEALKMANDTIYGLGSAVFTKDITRAHRVARRIE AGMVWINSSNDSDYRVPFGGVKQSGIGRELGEAGMAGYTQTKAVHVNIGLMI VE01_08299 MSAEKFRASAESGEVPVDCHDQVLQIAYIYSDEGMWDGNGIFDV LDKLHARGWSFGQGDLKFNRTLDISYLAQIAAGFYRSNFQTDDDPLSADEFDAFYAQH HQLLNQDAWRQYYSPTFLAQATSARFYRLPDLQDLPDSSGPLGEPRQKGIGHFTKLPR WAYNAARTPKRSPTLSVATITQIALSTLQQTTLRLQKDHPSVQPYSATQASFWLKHMN IDFPGPFTKKQKHRLNEFDVFAAQGGYDIWAWEAHYSPKLWDSIEARIAPLEPDLDGT LKSEVMWCGMPDGCYVEWAARGIGWEPEVGGEEEIQFLAEIAVKETESIEVGNWDYEM RSHLLLGVMHAVFQTEREKHVEGLKQRIVESGIYDEIKVEQWIQEVRVVIEPYMQKLE VWPPTVEDRSGLLRHILTENGQLFAGWRLSDTSKEFDFQLKPKE VE01_08300 MRFTTAFFASAFTALAAAQSSAANPFTNTDYTSISAGKAFTITW SPTTTGAVSLVLVKGNPAALTTVSTIASGLDNSGSFNWTPDSSIAKGSDYALKIVDDA DATIVNYTLQFPIDSAGANDSVVSSIVASTTANDANKEITALDIKTSTEITKSAAPDI MTSTADASPTDASTADDSTTLATVTTPNESSSAFSAPDNGATTKPSASTTRASESSTV SESSSTGAAAATGAANVCAGLMGFVGAAMLLL VE01_08301 MFVEVMLFERSREAPSFKFPCLLSVRRQKTEKTPEILIASQNAL LSLLIPLDSDINWHMQPHALEIKVRMGVTARVQLSSWDFYGLRRVFNYTSHLHLRLQP WAGEELIFEATAKSVQYTAPKSSESQRFPALPTPNCVVRVFENVTTEMSASGTCNTQR GFRLAVMTDPATRDLRYVCHNMGFGNLIRYALIGKDDNQGLQLSFGDAGQESSMTLYF TSNQERLDLLRSITGQPDTNEVALTDVALRSVITSDGVPTPSSTYESPISSLGLQRIR VFPNSIIIEGSHGVLTDRIDVRSTTLRMRLLHNLPQSPDQLHMLLVYRQEQSDLTMGV SQASIPISTQQSLAEALRLASKPETVRAYQFEHLHELHRFQAALTGSTVGYDGYAASF SIARRRMLVPVYTQWKASIVRLQIVCSANGKVVKLAAFFKDFRHASAMIFEVKPTDVF ESFTSRQGRFGVRLVDAKFAMPRKTALADDGNEGFLDFRTLEYPGEHDDIMISFDVKE ERDKFAEFLPALVNRLHKV VE01_08302 MLQELTARDKDTQRSQDVSSASFSQRAAQSEVQQKLPEYSPSPL LQEANDVHGRTTLLGHSIHAKSVFEMLTSSTSLRRDPNMLEALTALQNVIRTQSDRSP LHDLRFTPARNITSLSLSDFELPPAEVVEDVLRFANKNIPRIFLKLPLMDVSNFASLC RGLYSSTPDVSPALFALVNGGLYYMFAEQDVSRSEEQTSDFRRFASMCQLNFEVVLEN FHLSTSPSLEACQALALGAFHATESVKPYLCRLLSMAAAQMSQTLGYHRIPAGIYVTK EIQAKKLAFWNIFCLERSLSLRLAYVPTLQDCDILTTLPSYPEDVSLYSWHAVWVSWV DITKFQGRVFTELYSGAAMSLPAEYRIGQAHKLAADIKAWHERWISFQHNHAIYFDVL QAALEATEVVYHGLLTVTYRVIPPPTSETVVFCSECVTSARKALELHHIAAARYKGSK DVWRGYTNWTLNSSPFAPFLIIFCEAVTTKDFTSLRSLGDFVASIEPFSAATEITDRL YQLCSTFYNVLKAYLQQSQSTSSTSGEGILSTDSLPQLNLESAPQINWNIQHTQPLYG PDGGILQSNQMPNIENWGSWPVDDLFGQDFSMISGW VE01_08303 MPGIGAAPLGVADAPRLSEEGIGYADAGRASQDGFEDSQSARVV AMAAVAGEDNGEEDGGGQVELFRELYRRSEARIARLFGGEGGEEEEIGDGEGAVVMDA EMEDAVPEVATKRGGRAVDDDEDYDEYDDEEEEGDTRPQLTSKHSGNLLSPSKSGSSP AQQSDKSLSKQSDKEKESASQTQPKTSEDARKQLEADKKATEDAVKRSFNTLFYTLEN DRVAMLEQQKLEESEKQIDAEMDHGNNSHGGTGPNGEHHGTLSSANLGASSLTLKHLI ARIDLKRDQVRASDAELRSLMNEVRKNRSKWASEENVGQEELYEAAEKVLSELKAMTE YSAPFLTRVNKRDAPDYNAIIKTPMDLGQMTKKLKTFLYKSKADFVSDLDLIWSNCLK YNADPSHPLRRNANCMRREAEKLVPLIPDIVVRPRAEVEAEERRKQNGVEDDAGDESD DEPIMSSRGRKAPGKTGVKGSSKARKVAPGRAESTPGVDQKPVLPLNSVIGNLIHEGS DFGVEGSQNGFGSPTLGGSNTPGGMPGTGSQADMMDIDGPSMNGMALGQALGAAAEDL QEDEEYRVWKQVTKKDRALAAKERHKLFKGDRLNPDEPALLRTKAGMRRWIRQHNVAE GGDAVDSKAADGKEPVKGSETLAEGMEGGDDERVLPDYYDPLSAIPDVPEKLQWIEDA SGNLVETSEFLRMIPAGHFRSPQSKLTERMESNMRQMQETRKICSKIGVVKQMQLQTQ MYNNQFQKYNPQPFYEADIEPYVQSDEGPVMSKWVCQAALRRSVGKLCYHAGFEELQP SALDVITDIAADYFTKIARTFAIYREAPKVPATGLAAQNGAEWQERFTNEEVMLHTLS ESGLDVETLESYAKDDNERFGTKLGVMHERMKAHLTDLLRPALVDAGTDGVGAFNDGS EQFVGGDFADDIDEDFFGFKELGLDKEFGLASLSVPLHLLQAKVHSAHNANNPAAGPA AATLFETLPTLRPVTRENIQSQIGLVKNFFLAKLHANSDEPLVEDEDLPLKQRFPKPR LPPTGKITSPRKRPLKEQAGNAKKKKKLEGGVEQLVNGAVGIAAVGKTGGGSPEKGGK KRILPGGVGMERVDSEMGEEGGKEEGGAVGPISPESIER VE01_08304 MRIRLPFAGAFLILLLGAAYLGLSSLQLALHINDKALHFLTFFL LTLCFYWILDTSRRRTLNLTLSICTAFLGVGSEFLQALLPNGRDFDAYDILANVLGSL AAVGLSTWYHKRMVERKRLRKNYSAVPGEDGDGDMDVELGEGGGAQESGVVPGHTAPQ AVSLEEEVDAWDENAEDTWEEDDAGVVSPEGEGGKLTPSASSGGDEGEGKKRAD VE01_08305 MPAITTTDENPPPFIPLSKPSAPLAYTSSPWQLLRSDFITFIHL LPSIFSIITPWRPWPTGFYDELYPSPGNIFSIFNHVILFITQGLFILSTPLWLLFPLW MVALYVFVFVLLNRLFCYCLNGSQGTFESNPAVLKHLGAHADEEWIFINGVAVGRHWM QANIDRLSLTFQRPVRGAHNRTAGILFDVIQCLIERTFDYCTNDVRICYEILRVAMLS PVNKVVLILHSQGGIQGGLIVDWLLEQLSQEKLSKLEIYTFGCAANHFNNPRVSAKPT ARHPTASAISYIEHYANERDFVSRWGILHSINPLPAVAKSKAMKTEALAAAGGEVAIE AGKARGGYQGRVFQIPESGHLMNQHYLDRLFPLDKKLREVTLEEGGVWDMHVHFGDDG GEGGGHEEKFVRVSDARYPFTSFEINGKKGETSLHPPSMPVAAASNGELAVEIDVDEV WKMPREVAGLKVKDLSRLYMYVNGGSPPDSPVESRRSVTF VE01_08306 MNDLPSPAPSSSTFDDELPIALRRVRRSCSNQNLLQDSPSRASR RSNRVFGIETPPATPQRRKKRVRFSDPGPAIAAATGLTPFINRHFSISTPSSNRRHSA PSQWNLAQDDAPLSGTLQFAPLRQVLDGRVKRRIRRNRLSEEINTIEWEKKQEKKAER GEISRLQHALRQKDIELQYLREEQETASQLGGEALTPTARVHDLEAQVAELKAELEKR EVSGQDDPNWTMAARDPYDEDAFMQDYDNDFDDGMTEMIISTPLRRSFPSPPATVPNT PSKGPVNHNIGVQASIPDPSKQLLEQQLQTLQSELASLTKTLELSNTTHDRLSAKLAP FITPIDACPNESLDFALDSILTHLALAQSSELESTHRFTALTTELHALFPTTSTPSGA SAEEIIQALHSQFRAARLELEYLLPGEQPEGFDNTALLSMLLARLRQLVDRVKTQDDH IDQYHEQELSLRSQLSARVSAMQGLQEKLASADVVIRTLEREVGDKDAGLRKLTTALE SYRTEVSNLETLISRLDVEHTATIESAKQQLSSLHAAAESRIQQEMNNASTIQGQAEE RIRQEMENAASIQAAAEQQAAQAAAESELHDSLIRELDARLSCAIIASDTLRAELEEL ATTHAAETSALVTAASEREISHAEETSALRAVATQRETDHGAALALRDARVSELREEV GRVNVALRGAHETIAILGRRVRGLEIVVEGEKMRGREGVERIRGELRRVLEMGKGGTP AKGRSEGVRRALGLDANIDAGVDGAAGVAVSGVPEGPKVGVVRQGGLFDKELARRRSG SLGAGGEKKRRRYDSGLGFLDEEEEGEGGIGRAL VE01_08307 MLLLRVILPWTILLRACFAQQDLLSQLPQCALLCFAAALPTTTC SATDLGCLCVDAPFINTVAACNAGNCTVVENLQSTNQTYAACGVPIRSQGTTITGLAG SFGVMAWVMVVLRLIHRHFSTQAKLGWDDYLVGLAGLSSMAMTIPALLAVKEGFGTDI WGLTPTQITNCLRFLYLAYPFYMTTEAFCQVSILAFYLRFMMDQKTLLFVKGLMVFVT AFGIANTFCMIFSVTPINFFWNGWKGEMVATSSIDMNLFSFVRGGIEIGLDLVILCLP LPMLAKLHMSLEKKIHIMSMFCVGFVITGVSCARLHALVQFAKTSNPTYDNTPTIYWC AIEADLFIIVACMPSIHAVFSRMRSSSDTGDSTGYDRSDKSSYFNRSKRSENSGSNQN FGGISKSTEVNVYSTERADASDVELVDRPAYLKDFDRKAHE VE01_08308 MAIISPTLTVSVACGVLALYVISRLLRGNGDRRSLPPGPKGLPV LGNISDLPKPGEPEWLHWLKHKDLYGPISSVTVLGQTMIIINDLEIAFELMRDRAAIH SSRPSQIFSGEIVGWKNATAMSQYNDVWKIHRKNIAKVASTNTSLKMFNRVQEVESAH FLLNLLDSPNDLFDHIRREAGSVILKTTYGYTAESHGRDPFVDLAGETMAGFAEAVIP GKWMVDVMPFLRYLPDGFPGTAFKGTGRQMADTLKQCVDQPYEFVKQQMREKRHKTSF LSQAIEDIGTDAEMEFVHKWSALSLFTGGADTTVSALMTFFLGIAVFPEVQKKAAEEL DRVIGSGRLPISTDRDNLPYIMAIVKETHRWHPIGPMGLPHASSAEDTCRDYRIPKGA IIFANAWWFTHDPAVYADPMAFRPERFLDTPTHKAEPDPRSITFGFGRRVCPGRYVAD NALFITIAQTLAVFSIGKLVENGKVVEPEVKFEPGMVSHPAPYRISIKPRSKMHEELI KAAEQEYPWEESDAKELENVKW VE01_08309 MGSASSKLSRDNGKLLVRDRGTLISPSAATDSSAYDYEYILDDI DGCIHAAVAGFLEKFFDGKSWSPATQKVVSSVDLDDFKTSSSKIESPIDLCLLLHEVL KLNERGVIYCPVQTPPSKSPLRPSGGIALFSAITESEPRAEVVGVFRPGGASDYKDGL FELFGHARAVFSKRPDRSFVHGFYLFGNTMECWMFDRSGAYSCEPFNTTEHPERFLTI MDAYASMSDQEWGRSNFIKEDSEGTYVCVEDEKTKVPGKMYLQTPPFFIRDEEVIARG SLLCHRGRESPDAPWNFVVKYKWKPPWHEPEAEQLKRVRDRNVWGVVQFHSYKVIDKT EDLRRDLIFGPPQQFKDTEAVEQTAESNETSAGAVGAKALLETFEENRPNLPKSPGYR STVFSCLIISPPGTSIFDYANLHQLLEVFRDAIKAHRSLYQDGGILHRDIAASNIIIA PPTTSAESPKGMLIDLDTAWDISRGPTPQGSMVGTSPFMAIGALEAYVQNNPRTYRHD LESFFYVFLFIAICPREEDGTKTEELPETSRLLQWRQDKRQQVRKTADMGEKGFEAVL EEFSPEFECLKGLARILRGILFPLKDGKIWTWTDMSPEGTNKLYDDMTEAFKDALVSI VVDAEPRYPTTTSITEIKAPGLNLLAWLWLPKR VE01_08310 MALIQEGFVSADTYNSLPTIQEVADVPQEYAADLEHLQELLARH NVPESISIRLIHRHYDIADGDAMVFRDIALPAHGTVEVMRATPIASAQLHGKNFFVSS TAQLQAYEYTTETTVGLSEYETFIAEFVEIVVQRKLQHKFGLKINHRSEMEVKADQKE FEFPEEQCTITIPITLPLPEATHEIDVDTDWGAVDIQHKRKRGKTKPRPRPSSTRTTC SHGGPAAPEDCRGECAKEGHGGPAAPEDCRGECAKEGGILFDGRIVQLGTPFHGLLNN LMEVW VE01_08311 MAELAATILGIAGVASVLQNLLQCYKDFTRARNFPKDLTTLMLQ ATLLDNSTRSWAEAVGLMDRSGAPSNKFLIERPTEKNAKLAAQTLTHIQKLMDDANET LNDYHFSDEPLAFEKVGSSLAKEEENESRHRKLFQKLSRRATRTSTYESSNMVRRRVS WSMIDKEGLEKTLAEVTMLLDRLNTDFKPKDPETQMTVYQSSLENLGVEKEEMQIIAS IAVDKVSRAVTAFSMKGYATRATGSTFERIVLNNQAALHQGHFVAPDYAISGEEHLLL SNNLFGVIEARDQTLICIGNQYGGKSPVEMIHERMVAAMGTSSAMNAAWSQFKSSHEA GLKIGMLEIESQKS VE01_08312 MSQIHGHKSNSYDSIKLDGTSRLSIGDTFNNTVFQQPVAPLPVP KQHFNVLLPRAQHFVGRDGELAQLTKALESGKKAVVAGLGGVGKSQIALEYCYQYRKK YPISYVWWIDAVNTARIVQAYSDIAETLHLPVSSKENLMRDVRIWFSQPENGQWLFVF DNVNDPEAVLQRPIDGQTGSMLDYMPHNHLGGQIIITSITKEAAYIVNAELIEVDILP SSEARLLIRSYLPQPPDNSTSEDLDMLADTLSCIPLALTQASKYLRQMGKTVKEYLSM LKNGAQKSSLLSRHLGSHHQYHENQHTIFTVLNVSYTTLKHKHKLGFEMLNIACWLHR VNIPERILRQHCQGDKEMSEVEFDEAILPLMQYSFLEKQSRDSGSDEAVYCMHSLIQA VVQIFQSEEGLEDASKSNALMLIEQETIPLMYKHNWHALESWLPHIHSVIQCSNGSTL IQHQSRSPLHLLLGTYSFSVLSREDEAMEYLEASLPDLQRPVDISNGAVFLASCYEEK VNGKPKAIELLRLTLERPDICSAPMSLAIRLEARFRCKIAALLCELSPPQPKEAQDEV SKAAELLGRFEIVGHSYEQLIPYMITRCRGKIALVHAATSNSKEADELLRESEIEVLE YWKRLKDIKTPSNVDNNRKVGLLSLLGQVYMAQAARGQPQVKEQKLKDAELSFRTCYN FCRHDSGDLSTWALRALYELAECLREQKRYYDMEVLLKVLTSNSGIAEQGKTSAKFLK SVDLLSWVLMEQGKWQEALDVCENFYPAMEKVCGEGHRNTVDVRKRQVVLRVAIDRQR EKMNWDKPNWDTMNWNKMKWFSSWM VE01_08313 MTEATSQMDTTTRSLASRSPTPTALVSPPTPTSGTSTPDMPGTP TSTTTSLSTLSTTAIKDGHRGTHPPAQDAERDDRISRLTGMSGLRHPGPRVLSTVGSA SATSAGGGRGNEDNGSVGGSAAGERGDGDESGVESAMGEGTLSLAGSQEGAGREAERI VRRLDGGDGEPAGGRAMGSPEGEGLGRFYFEDKR VE01_08314 MADPRPQTPIPSSDPAKPLLYDEESQEPIPLPVRSGIKTKLSAR GTIKLLLSLSTIAFS VE01_08315 MTTPKQQDATTSIKLPIRSKEAPPPPPARVNTCFTYLSLVNSAD SPPPHHYFEAVFAQSRRRYAIIYVDDILVFSGSPDEHSADVYDVLNLVKHLGVRIDSP RSVFCQSESLLGVGGEEEEEEEEEEEEEGVLDG VE01_08316 MTDVAMSPNGLLSPQPQDDRSQSPLSTSAKRKRVNSNEESSIHV NGTTEPKTNGAPKTNSHEQIDDFITILKRFDTTPSILERPLSNREESKEPQAKRSKTD NANTIIARAAAHAYSSLNDVISDAKAASKSLTDELQLPNGSTRTHNTPLSIRESQLVT NINLFTKTAEDLAHRQKTQDELKSAKEEREQSPNISNYPTNGTSPKASTQISMTPGEH KLVLTLYGNAPGAKQLFSSLQETAKVPNGTIRVPQPLREVGLPNGINTTQIVPIDTSG AVDDKKQLQTLGDLFSTSTSTLPFLPPKPIRASSNKGLAIGWSQPSVSDIERARNNQS YYSQPISSGHWLEYTGSSNLPDPKRKQRERAMSLNGVKASTSEAETAEQEAAKLDALF RSAYSSFAPTKDDAAAVVPQGVVSKIWWQRVGEKTFQRLANNMETMALVGLDAQQSTS DNMAVDSVDDEDEKFKDAVDNWEEVIDPSLEDSTSDANDQSIEDKDVAEVLDGISDLL ETLNSYQRNRNLSLSAVPRAGVMPGADIPSPAKPSEAEAATYSILKSQLTLMIATLPP YAVAKLNSDQLSDLSISTKVPVLTDTFKGVLEEDEYAARAKVAAMTAATTSRHTPSTS HHRSSSLYGNQYAAASARPSAPPAQYYNQSQTPNRAPSTNMVRPPSTVGPIPYTPPRT ISGTKYRPQQAYGTPTYPHQVPRPGPPQQYPSGPQAYYQTPNAQKYAQPPQTAPQQRY QPPANAAYAAQRAQPPANGLAAYPYATPARQASPQKPQQGYTSHLPATAPRPFPASYY PNGGAPSTPQPQAAPLGPTGYHTVMTPAEQSSMMERQRAQLAQQQGVQAAARNVAQAG VNGGQANGGGGGM VE01_08317 MQSRVVYGNVYGNCSNVRRRQVASALTFSELRPTCISARRAIPR GGDQAIRWASLSSAAAVKAAHQSEAYEQPPEEDRLLHPITRPSLDYRHSTSGAQSVSP PQQSTTGVASEFRVRGNKAPISRAAIVASKFRTNRPPNSVASGERDRTPMSRATVASN LRAKKNRPANSTDYKPNSLDIKKDNSGLEHVSAQRASLLHSSKKPDRQVNIRPRSNRQ LKSQRPSPSQIITAWQSGTINFLSSGDVEGWSKSDALTSIFVQYMKYMESPHLPQFSQ ANPDQLERLLRPSEPFFTEDITASLQKHGCTPQDVRGWAWIVLAPNAHVAAERYLSAD TTFPPVAILTNILRMKKMHIKTLRQLVFRVSTMLTKVSTSPTSKNRGILEDNSFQTVV CGLLRHAREIDPLSFTGIARLVSQYCGIVTCISDEARGFGGKEYTRLTNICRTVICRL SIPAAKSPYASMGYAWEAQQVILEAGNSLQPALELDARSYQAIACVLLADKKTEAETE AVAHLHRSWPPWKKVLDGMDARRQPEDDASRATRVLENMKSAGYPPTSFENAISILAG RDTDGTPAIPTRTIMHLVRQKLSKDIRHNKPLQPAHKRPSNVASKQPSKPIQNSASEP ALTNPLKSAGERTPASNGNNSAGKSPAEWAARIRATRDVQEAWAAFRAYQGLPSQAMF HEMFLKLIYDKVNRLRPRMHHPAPGDGFEVFPVENDNLSDFEIERKAPPDVRGLYELM RAAQLTATDRTLRHLLAQADSISWALRLLLDSGLPSDAVSALVRGNDRKSRHSFPSET SPASPNSLNQKETALARVPHGIFTAHIKLLARLSLSTPHPRQTPPITHLHTALTLLKS HPSPPNSAWHAFLRAAAAPKTLAQPNNTSPDTALLRTYNHRSAPYWNSVVRETVAHLR EGEGDVNPDIFQYAAYALYSTIFAARRRDVAGAHEEAEKSLPALHELWDAITEPSEDV GGVGGEMVHQVMGTHVLAYLRVLAIADADEEIEKVLEWMVRYQSTLGVVGRTRGNGIA SLRRVIVAVRAYSERRDAERERDGEGESFEGEDEGMTQRLVALAEEIEEEWGGWPREE EVEEYFREGEERYGGGFGEGEWVVGGLVG VE01_08318 MAPRYQHSQSIDAIIESPDEDLAGSRGASRTISDKKQRRDGNPS PTTTTSAAHSKEKSRKSHRSSFSSSSSKHVSKSSKPKANSAKRYAPAHGEESSQSLVR QHPRHARRDADSDSSSSEEDEEEEEEEEPKDYRSVLAAARGRLTSPSLLSTMTSLTTA TNNSGGSSGSNSTITQASMSRTETVQEVTISGTTEEPEEGPLSPAAPDPPNVFAYLDE DSSSSDEDEEEDEDSDSDSDIDENENDETIQYAPHAPSPAPHPNDSETPDSHSDDDDS HPSQPRPQTTWLHPLSPTSSADDATPMAPTFSSSHARTPSLSSASSFTASDTSSRADV DMDTDRSTSPEQSVKGSPSPTPRLLLSRPPTGAQPLASPTEAKLAAQMRAAQMRQDFH STPGGYHQHPRHQNQHTPPPLSLHPHPHQDMQALSPRLPPPSGYDALATHLTTSPPVY RRFATLNHRLLLHMQDELCELESHLSALDAADAASRTLALPPTPSSAVARSPGGRRSR RNEENRERGLKTHTHPSSRRAAAQQPSQLDHHRADLLARVSYKMAQYNASLTSFAKTS RLPAAESKDVEAYRAFLANTQPVVWEEARFLERGGDLISLAPPPPPPAPVAAPVVAPV ESVQRTLTPPPPTHYQHQQQTQPHTQRALLLAALASILLPVLMFTVVPNFVGRIAVAV LVAGMLWGMVSAQGGGLRGIVGGGGGWGLVWGWGAGILVAAVVV VE01_08319 MNASSDGREQPTPLFVELEGEQPASLSMAPYLPQEIIDLIIWHT EDNLTLASLRLVSRLCRSSATPLYFRRLPIMLSNNSAANIENLTRSPYSSYVEELHWA DKELQYQLNDNLETFQDAFKERLAGLSSEAVIEWHEKYRAWYSGQESLYYPIYVGTGE KTFDVRGFVNLKRVSVTNDCEAGAEQYPTALEAPDILDRPAPWSTTRSCSPQRGGTYV MILKSLATLNRLTHLSIKTEGAKWERVFMSPRQIPNGTRPVSLSLFESITHLDIDLCM WDVHPYGHIPTPQCRVINFGEARNLESLTWKTHLHAVDEAGNLGRPLKGLQAARWCPP VFAIRSELYPKLRYLEVDCFRVSIHWLITGLTALQSSLQSLILRRCIFKPPLAKIFVE LRKRKIVPPVAIFENSQNNASQPDPERPNVLNEVAITRYLVWLGMKETLCLHSWDEQI EAYEERALRV VE01_08320 MPRIPPRLIQSYIFGGSIRSHQCLRPSRTATASLLRPLHTSPAR HSPGVEPPSPNDAGDEPYVPLRKQIKDELKRRKAAARNPSSSPPNASAERLPDWELTV GIEIHAQLNTPTKLFSVASTAPSPTPNTNITPFDLAIPGSQPIFQPTTLIPAVRAALA LNCTIHNTSTFDRKHYFHWDQPAGYQLTQFYRPFATDGHITLYEHDGISPEDCPMVTI GIKQVQMEQDTAKTITTTDADGSESHLIDYSRVGTPLIEIITHPHLAHPRTAAALIRK IQTLLHSVNACVIGMESGGLRADVNVSVRPRESASVELGQRTEIKNLSSYKSIRDAIV AERTRQIDVLESGGVVLGETRGFSVGDSETRRLRAKEGEVDYRYMPDPDIPPIIIGTD LVTRLSETLGTLPDAEIGILVQEYGISLKDALSLVALENGGRAEYLYAVVEELRPFLP PAAAAAGGIVPLARKAGNWVLHELGAAANSAVEAGNDAPLFDDEGVCVVSPAQMARII YHVERGAITGATGKELLAGFVAAAGDGKGAPITSGDEVDAVIEREGMWFKGLDEEGYK ALVVATREDRIVEDVRKKGWEKGRGKIMYWVGRMVREGGGGVDAKVAEGWVRRALEEE IGVDGKKGE VE01_08321 MASDSESGIVIRPFNSAPDSWDEVAVSRICEITAPPDVRSVLAP APSAPLGPYLWAIPYVRLEPGTCFVLDASASASNDSNNEDVTGTSSLVPANCVGYILC APSTPSFVAAYEETYLPSLPSSWAAPPPPALPWSGATLGGGMLQALHNPSSMLHSDFP ELVEEYPAHLHIDILPAFQSKGLGAKMIERLMEELRGREVRGVHLVMGAGNEGADRFY GRQGFERWGVVMDGGL VE01_08322 MPISTADLSSTAELPSPTTTPILTPETAYLDIIGAALHNGCDDR VLYTISCISSTIELMHDLDAHQLSHCAQVFNESLRDTTNSSVQARTFSQIEAGATFAA SEVPHVSILTTIAFRQAARIYALGEWPRRSGAAMDVSRWWSASMPANAFRVFLPARME RERDRRFRAVVIKMMEAWGKDDDTREGMGEWTRAV VE01_08323 MVSIAIPQFYRSCLFQIIIVGLVAFCEPGIWTALNNLGAGGQAT PWLNNAANALTYGIMSFGCTIAGGISNKISAKWTLVIGAAFYTPYAAGLYCNNRYGNE WFMLLGAVLCGIGASLLWASEAAIAVGYPEESKRGRYVGIWMCIRQLGPLVGGAISLA LNVKTTHAGKVSYNTYLGLIAISSLGAPFALLLSQPDKVVRSDGTKIPYMKQTSISIE ARALWKQMKSRRILLLIPVFIAGQWGVTYQGNYLTGYFTVRARALASLLTAIVGFAGN ILTGIILDLNLAKPKWVYVVIAFFITASWAWIAAVQAEFSSKSEAPTLDIGSGKIFNS AFVVYLLFKFFYEMLQTYLYWLMGETGAEQKAGDISRTTGILRSWESVGSTFAYVVGA THWSNLNSMILAFVLWAVTVPFTLIAVFGVWDKTAEVGGEGEGNKSSSSLEEETVIW VE01_08324 MVVKHENVKWVDGLRGLASVSVVVTHLARTFDQILFYPNTGGSP ENQPYFLQWPIIRVFVQGRIGIAIFALVTGYVCALKPIRQSKSGNIDGALTSVAKSAF RRIPRLFLPTTIATCIMWVLSQLGAYDVAAATDSYWLITTSPAHRRPFSAAVHSLFRE IMVTWTMLQNNYDPNQWTLQPLLKGSMMVYMLIFGTIYMQQKYRMMISLAFYVYFFLA GEVTFSMQFFFGMFLSDLSNHQPTLDYINARIWIRRFLPPLLMFIGLWIASYPEDHAD WANWSQQLKDMSTYILLMKQDTARFYTGLGMDFICVAIFLSPTLKDVLSSKYLLWLGK NSFAVYLIHGTLIRTILTWCMYGMSIPAKVEKEVDGKMTLVNGPGLKHGGYVYMTVFI PLFFVLLYFLANLWTMHVDPLCARWTAAFERATLNESEKTTSSGPAGHGLLSQPPA VE01_08326 MASTTTTTAPPPQFAFRTLKEIGLTDAAPLYAAVPGFTRPQGNS RCCIYSPCGRYFAWAAVEGVHVVDSATGLPVADLPVPNVYELAFSPRGSYVITWERPS KDEAGDAVKNLKVWRTIEEGERTVLGRWVQKSQTGWNLQYTADEKYCARVVTNEVQFY ESHELAVPWNRLRAEGVADFALSPGNNNSVAVFIPQRKGQPAAVKVFNVPQFGTAVSQ KTFFKGDKVQLKWNALGTTLIVLAQTETDKTGKSYYGETTLYVLSANGGFDSRITLDK EGPIHDVTWSPNSREFGVVYGYMPAKTTIFNTRAVATHSFPLGPRNTILFSPTGRFVL VAGFGNLAGQVDVYDLSKDYRKLTTIESGNPSVCEWSPDERFLLTATTSPRLRVDNGI RIFHVSGSIVYNEDMVELYHVAWRPSLPGPNTVSNPLAPVPQHSSAAQYLTTVKTPSK PAGAYRPPGARGTSTPLHFKREDEGGAAHTVSNGTSLVSAPVFGHQGPRARRAVPGAE LVEATPAASAEDENLSKAALKNKKKREAKKAKEAEIKAGGGAPAVPPGFEGVAAGGQG QRANGNANGNANGGRGAGRNTPAAASAAPSTPARNRAPAPAAAVPVPEPVVVVEEVLS PGGGSAHAKKLRGLQKKMRAIEELEMRLAGGERLEDTQMRKIGTKASVVKELEGLEVG V VE01_08327 MVRTNRNTASIGLTTLYEPEDALIDIVFIHGFNGHPERTWTYKR ADADPPNHSNDPELPERPSKFRKLNPFSKDQERNSCPPKETYWPRDLLPHTVKKARVL TFGYDTTVHHRFGQTRNRNTIYDFAWEFLNCLSDARQSDGQSRRPLLFVAHSLGGIIT KEALRQANLKSAHESCAHLRDIFLSTSGIIFFGTPHRGADPRGFLQHVAERAVRAAGF TVNQKVFDTLLPDSERLKELLDEFPLLVSKMKWNIVSFREMHGMAVLGGKKVVDDASS CLGDFNLEIVRDIESDHRDMCRFSGLNDPRYNKVAAAIHRIVQPSLGELNKEICVEES RSRPRAMTPEPKTPEPKTPEPKTPGLTTPGPATPGTTTSETKDDGKILSEAQQKEMMD RLRFQQMDARLLTLKTAQAKTCRWLLKNGNYKDWINTTKIKEHHGFFWIKGKPGTGKS IMMKFLFWEAKKSMKGSIVMSFFFNARGGDLEKSTTGLYRALLLQLLEKAPETRHVLD YYGTSGFNAIKEASDWPDEMLKEAFTRALEKLGDQRIVCYIDALDECPEDDVRDMISF FEGLGDLEKAVEFRVCFSSRHYPEISIRTGLQLVLESEQDHKNDIILYIDTHLKIGTT TQAEDIKAQILKKSSNIFLWVVLVIPILNKEYDRGRIKALKKRLGEIPAGLHELFLDI LTRDHKNIGELLVCIQAILFAARPFRPDELRIAVEAGNEDDPTDGPCDPAQMTADNLR RFVLDASKGLAEITKSKEPTVQFIHESVRDFLLKDGGLKKLLPTAKNFEGQGHDTLKR ICSLQLSLYTARLALFTERVSVRGENPLLWAKEYPLLQYAVDHIYFHANWAQKQGLDQ TVFLETFNTREWLRARNASHKTLLPSETWHNRPHLLYFLGEYGCADLIGIHPERDQHL SLEWGPFGYPIVAALYGGHSAAARAFVNLPQLHDETSANPAQPISRRSFTRKKGGFNK IRHVISFLCQFGDVDILRVVLESSLPGAKQNLGVLLSSQDTMWECLQYASSEAIVDML MEFNTAYIGITEIIGHKKPLNLLNNGEEPTLSKFPHLELALKKHPPLINKGGFWGNSI NLLSYAAKRGFEDISKLCIKDTYACNISNALRCAVRGRINHSSRLVIIEILLDVGVDL RAGENQNIDGLIFDAMMQTHNEEVVALLLSTSSLDLEANRQGLTPLLWAIQESRKTFV KMLLEAGSDPMARTPNGTTALTLAVKLSDLSSFRCMLSNPKCEVDARDDNGRTALSWC ATVADKTAIAMVSDLIKLQSVDPNSRDYSGQTVLIRAVRSGNPELVEALLNSPRIDPD LPANRGSTPLMLAIKFSRDYGCNRVFWEISRMLLLTGKVDIDRYNRRTTLHSLAEYYG TVELVRLVECFYRCSGSQMDELKDLEWDGKEMPQTLQ VE01_08328 MANPASPIQAQSPSPPTDTMPLLNGQRHAQDQDQTSQWQPVSGG NRQHVSLRVSREAWHALSATIRYSYSNVLLVCVPLGIYAAEHGWSPAAVFTLNFLAMF PLASILTFSTEQLAAEFGSVIGGLINATFGNAVEMIVGISALKEGEISIVQSSMIGSI LSSILLILGTSFFCVGVGKQTVDINIDIAGILTSLMIISCSSLIIPSALYIANPGSND SNHNPNDYILNLSRTTSIILLIFYLLYLFFQSYTHSHLFTEEEEDEQSDKLSTWSSST VLILATVGVAVCSDYLIDSVDGFVEALGVSRSFIGLIIVPIVGNAGCYVATVKWSRTG KINLAVSVIVGSTLQISLFVTPFLVIVGWIIGKDMTLQFDTFETIALTMSVLIVNCLV RDGQTNYFEGVLLIGTYVTIAIAFFVHPDSDTAINLAGSIPNITNFA VE01_08329 MDPVNGAEAPISRPGPRSSSNLEPQGGLLRRINSLPVARISRTA TASLFTRTETWGDAGPSLNHSYGPAKGLLVFVPIGIAAGVCGLPDVAILILNYLALAS LAPFITFTVLGLSNGVGVSSGLLRAIFGNATELIISITAMCYGQFQLAQGTLIGSTIL YSLFVLGGSFLCASYGKDHQMFSKTRTGAMSALVMVVSICLTIPTIMSISNKESVPQE GRQRNMLILSHGTSVVLFFLSISYLAFRFQTHHKLFNRDHGPTRSLTVLLMQPEHVTT SPLILAFGFLGAMVCAGICTNYVIRNIDLTVKMLHITKSFAGLVLLPLAGNLAKSFNI IRNARQEGNISPKTANNLDCAIRLIMTNILDTLLFIMPLLVLLGWIIDKPMTMQFGLF ETVVFLQSIVIMTYLVQHGKTTYFEGFMLIGTYVSIAAAFYVRPDVAEGGTNSWK VE01_08330 MSESDPFLNDSAELGGGRAHREDVELGWRRLLVDAGRQVAEQPI VIKVLLGFAPLGFIAGFLGWNAVLVSVFNFLAIIPLSALISDASDTLANRWGSLLGGL VNATFGNTVELIVGILAINQNEIRLAQSTMLGSILSDILLVQGCCFIAAAHGTSILSV NAAIVDALSSLMLVAAVALILPTILYSTLPKGGEDINDKILSFSRATAVVLLLIYVIF LYFQLWTHPSVFLDEKDDVHHEAHGDGIEDPQQQQIDEATREAIKSQEEDDTPSVSEV YSAVAILIISAALITKCTQYFITSLDETAKSLHITKTFIAIFLIPLASNAPELSQVVA ASKQRKINFAIGVIIGSILQIALFVLPFLVVIGWYMGRAMDLYFETSQTYILLLAVLS VNQVLQDGKYTYFHGIMLLSMYTVIALAIFIEPYN VE01_08331 MAPVFSVGRSRASSGITVIRHGDNDNILAPGPGKRVSSHTEDNP FAFGPNVIAEMIASKSLTEFQALGGLAKLAQGLRTDLLTGLSLDEAWLHGTVRSGSAS LATAARHQESYQVLQARRAVYGTNRLPDQKTKGIFELMILALSDKVLVLLSVVAIISL SLGLYQAFGQPHKPGQPRVEWVEGVTIMVAVIIVVVTGALNDYQKERQFAQLNKRKED RMVKAIRSGRSVEISIYDVLAGDVLHLEPGDLVPADGILISGYTVRCDESSMTGESEQ IQKVAGDEALARLHTSGDVDSLDPFIIAGSKVLEGIGTYIVTGVGINSTHGRLMMSLT ERTDETPLQKKLSIVADKIAISGVAAAVTLFVVLTAKFLSQLSGSHDSPFEQVQAFLR IFIVSIAIVVVAVPEGLPLAVTLALAIAVTRMLKDNNLVRILSACETMGNATTVCCDK TGTLTTNRMTVCAGTVGVAGRFLDEGSQPGGSESRRGSVRPNSYNTMEGTAGSSAWKD GAVPTGTFCSLLASDVRDIMVKSIAINSTAFEGEEDGKPAYIGSKTEAALLTFARDWL GMQPLDVERENAEVVEIYPFNSTRKCMAVVTQLPNGLYRIYLKGAPEIVLEKSSRVIS KTTSQLSENVNLTEDRLEVLTGAINEYASQSLRTLGFAYRDLPSWPPLGDGVGEVPFD DIFADMTFVGVLGLQDPLRPGVEAAVELCQHAGVFVRMVTGDSVRTAQAVARKCGILT ESGVIMEGPDFRKLSVPEMDSILPHLQVLARSSPEDKRMLVKRLKELGETVAVTGDGS NDGPALRAADVGFSMGISGTEVAKDASSIILMDDNFSSIVKAIEWGRTVNDVIKKFLH FQLTVNVTAVTLTFVSAVASNKEESILTPVQLLWVNLIMDTFAALALATEPANPTVLE REPERKTAPLISPTGWKMIIGQAIYQLIAVMLLYFKGNEMLGYTQPEDMERLQTLIFN AFVWMQVFNLTNNRRLDNKLNVFSGILQNPFFIAVNMVIITGQVLIIYFGGSVLATTR LSANEWAISLLIGFASLPVGMLLRLTPDASLQRIFTFRVGTQRSRETRASEASEGDQW HRAIENVRFKLGSRRQPRSSRLERLRRGILPFVKAKLFGVAQDDDGEVDEISPLLPFN SRQDLSRTGSICAPAAAVMAGIVAGGVAGWPRIPDET VE01_08332 MERLLKALRSPGGRRAVECTAPTQMTVHVEDEGDKAGEISVEND NTYNLTTKAGRLDRWLDKVVEFSGSEPMFLTIIIALLAWAFLGIRFGQLTQWKVAISD AQAILNMVFDAFLMRQQLNSYDSLIEVSACLRSRTTSNKRMLRSLIESGKYEKVNPMQ FHELEQTEFASELPVESLLGRISTAFSTFLGHIATVVAFWVCIFIWIGFGQYCGWSDT WQLYINSATSALMVLMLAFLANIRERHSKYTTKCLESIYEVDAALELRLRTASGDTIE NAPVHIPVPERSRIQRAIDYYADLVGTLLGIVILVFALIVWVAIGPALSFNSNWWLLI GTYAGLIGMNDGFVLRNVHNVLHGYEDAQFVQVTYDDMDMLAVIGVTQLNEERVAADS LTYRISVKMGDFCSHELTVVLGAIVILGLVIGASAMEWSVTGQLLCNVPPSIIESFFT MILITGHNIGDAKRRVDLHNIYLRRLKSITYVNTLAKPEKQERLLRPHDHTELMEVKI DSL VE01_08333 MQEFFGPQYTREDRSQQHQQQHQQQQQQQQQPPPAQRRYPRNDI GSQAMGMAMLGPVSENEAMDMTNMPDMMGGGDTLDDIVRQNSKELLRRQSLPMQQFGG DMDDIYTPIQNRRGSMMEFGSGQAALNNFQFTTTAPNANLLAQSRRPSMQGLEVPRGY GDIGVQSRRPSVHELEVPRGYGDIGVQSRRPSVHELEVPGGYGDISVHSRRPSVQELD VSNGYVDISVHSRRPSVQELDVSRVYGDMGTNMDMAGNPTNFSLMAQTSVAIESPTAY QAIAPQIMPTNMMGDMMSFPEMQDTQPAIIFNPNSFTQPFSGPSMDTISSDFALSAAS QVNSGTSSVVLGHSSRSEITLVESHNTSRRNSHISRRNSHVEFSTGMTQSPALYTTTS IPTIPTISSIPPEMPNMETYSPLLLTTTAEAFNGPVSSSTNTTFTNHNSSSGFNIEGI LMKVTSRTNPETDLGSVDMSCAFVVCDATMPDNPVIYASEIFSRLTGYNKNEVWMKNC RFLQSPDGKSKKGEKRKYVDDAAVYALKRGVLKRKEVQCSLINYRKGGQPFTNLLTMV PITWDTEEVKYYVGFQIDLVDNPSAIISKSTTGTYSVNYSQHHLPRYTPRAPLPTPSH LDTSVTLSPGEVSTILTSYQAGLNYDGTGLRDALLLEISPDVIQILSPTGHFLYLSPS SQRILGYAPEELVGTALSSVCHPSDIVSVMRDLKDATTSGGGITTIFRIRHATRGYIW FETHGRLRPSPPNPSSSSKTLLLTSRERPVYTLSHTTISASGTLTDSDIWSKLSTSGL ILYISTSVRTLLDRSPSSLVGTSFQALLPGDQRVGFEECLVGRGRGWRHEVRNKRGVG VMAVTSLFAGDAGEGNKGKCGFLIALTRLVKPGMRTPNQVDGSAAAVDASGRPESPLI TELLPARCTSWQSELTSLTRHNASLTTELSALLSLREDDAGVEEGAEWEEGFV VE01_08334 MGFSERFLRTEQNVQLSYELSHRIYTAAPYPTPAPNGSSIVICG HDQGVRILWRGGRTFKPAAAPKANGNGASNNAVMIIDSDDEAPADAEEEAEFEDEEAE TDPSRPFPPVLQYIDLVFGTSATHLAVCAAVQDRIVFAATCGDSSVRLVSLPITPPSP ERKAKNKSRKWGETVVALSGFSTPADGVAMTFVREQDEKAKSSGYLLVASHSREVTGL LLLHKVPVLSAQKGGKTTEKLSLDHTAPFQTQYLSTPASSLDFSHSSNSLLLADKTGA IRIYSPSVSDGSWLLTLHTDFIKSDTAKFSSRKAILDTKWVLGGKAVMVLLSDGEWGV WDLEGSAPGASRGILGSQGIKGGALTPFSISGYIDGPSIKSTSRPTASTSKFAPMTPA TRRTVEPALLGAHNQSATAGLISALPLPKTTTTSPADERIAFWIEEAYAVIPSLRSFW EAQHRRGANLFSGASGTKMLRIDGVNLRGERCTGLTQSIVDGATPELIIAAEHRLVIV ADLPESGGAQRPRVPALESGAGQLQIAAAGRDLDITGIDQMLDRMDEDAGSPLYAKRK SIQT VE01_08335 MSLDQRRRQSPTQDTVSITNQGLYDNQQHILQETQQHRLARQGQ QPTRFFNPTNDENYLISPLVTPQRKSFDIGCIDAYGVSGKRASYSAYPEPINSINTVN ENAHARNGQFAGNDSLLYQDEETTPSAYVDFSMAFDGSQAQESWNATIRPNRSSHGRR ISGSIADRVAQYEDLAARQSSSRPITPPNHDSPNYIPLTPAHTPFARHAKVEHMPQAY SGNHDTSMEATIKPTHHRNRQSISNFQYMRIQAEMDDPPSPPSSSPMPNSSFIGMPSY VKAEPRSYESPYQSPTHSKYPPQNFHSQSSSPELSQRSLYRDPFDNKPHLDAPNGSYP ELEEFSSQANSPMPSSLHHRSFSEDSAHADEAHAETGITTDDIACYISGPSPTDQKYL CLFPSCNKRFGRKENIKSHVQTHLGDRQFQCPHCRKCFVRQHDLKRHAKIHSGVKPYP CACGNSFARHDALTRHRQRGMCVGAFEGVVKKVVKRGRPRKHRPEHDERVDKAQRTRT KNKNAAAAAPASNPSSDVPSSASEYSESSYGLSPAPQGDYDVLDAPPFAEYAHSPYAS ADPFIGAGVDAELELEYAMQPVTAVAETQCISPQAIQNAASPAYSHHSHHTAAATSPT LSARSPPDLCASTFEASSPSASLSFYDMPGGQGQQDADEMFLEAFGEGLPMVGAQEGD SLLSFVGGKEFEGFGGGVGDVDELFGEGAGVWVD VE01_08336 MPQFTNSPSSGIPRPLENHGSSTLQSEAGGSSVSTSRQKQSKRD EAIRRKIETDLSKKTKTTGRARQSKRAPPGTVMALRPSQALQIKPNTTVAEAAQLMAA KREDCVLVTDDDDRIAGIFTAKDLAYRVVAAGIKANNITIAEIMTKNPLCARTDTSAT DALDLMVRKGFRHLPVMDENQDISGILDITKCFYDAMEKLERAYSSSRKLYDALEGVQ SELGSSQPQQIIQYVEALRLKMSGPTLESVLDGRPPTTVSVRTSVKEAAMLMKENHTT AVLVQDQGAITGIFTSKDVVLRVIAPGMDPANCSVVRVMTPHPDFAPMEMTIQAALRK MHDGHYLNLPVMNDGGEIVGMVDVLKLTYATLEQINTISTGDSEGPAWNKFWLSLDND TESMMSGEGSHHQVASRSLMSPDHSKHGGMMDTSVAPGDSASHTGAESPVRHLEEPAI PVEDIPFPFKFKAPSGRVHRLHITASNGLAELIALVTAKLGAEVEAVGGAATFEDDKL GASGFALSYLDDEGDTVSITTDHDLKEAIVIARQGGRDKVDLFVHDPEKPAITVTVEP VPVIAELPTPPMSTVRRRRERVISEEDEEESDEEDHHRLHSRSRRQQPVMQEAPQIIA GVPNDLLLPGAIVTLAVAIIGVFAITKATSR VE01_08337 MIIRNVVSRHGRRALTTSLRTLTTTTAPPPQTNLTPDSVNPTAG ADFKAMNHIKRATGSLGSDIAPFYQPHRAITAPLGPNDITLPLLLASQSHMGHATALW HPGNQMYIHGIRAGIHIISLEATAVHLRRAAKVVEGVAYHGGTILFVGTRKGQQRAVV GAAKLAGGCHVFDRWIPGSLTNGDQILGRAGIKVVDERDQVIDNATVRKQLEDHRAVK PDLVVVLNPLENYICLHECGLAGVPTIGIVDTDVNPTWVTYPIPANDDSLRCTHLIAG VLGRAGQMGQKKRAEDAKNGKVPWETAEYLSDWMAEEAEAQAEAKKGPVEKQE VE01_08338 MSSVLSPQVHTELSQLLLALQSGDNNIRSQAEEHLANNWTNTQP EVLLMGLVEQIHGSTDTTTRSFASVIFRRIASKTRKAASGDQIELFLSISQEQAYAIR EKLLEALANETVNTVRNKVGDAVAELAREYSDNGQQWPELLGVLFTLSNSKDEGQREN AYRIFATTPGIIEKQHEDTVLSAFAKGFKDVNVNVRLSAMEAFASFFRTISKKAQVKY YALIPEVLNILPPIKESQDSEDLTRALTALIDLAEVAPKMFKPLFRNLVAFSISVIQD KELSDQARQNSLELMATFADYAPGMCRKDPSFTADMITQCLSLMTDIGVDDDDAAEWN DSEDMEPEESDMNHVAGEHCMDRLANRLGGQTILAPTFGWLPRMMTSNAWRDRHAALM AISAISEGCRDLMVGELDKVLELVVPALRDPHPRVRWAGCNALGQMSTDFAGTMQENY HQIVLPAIIPVLESAEPRVQAHAAAALVNFCEAAQKEVLEPYLDGLLSLLFQILQSPK RYVQEQALSTIATVADSAEAAFSKYYDTLMPLLFTVLRQENTKELRLLRAKAMECATL IALAVGKERLRDDAMTLVQLLASIQGSITDADDPQAQYLMHCWGRMCRVLEKDFIPFL PSVMPPLLEIAKAKADIQLLDDEEQAEAIQQEEGWELVPLKGKIIGIKTSTLDEKNIA IELLVVYAQVLEADFAPYVPDIMQNIAVPGLAFFFHDPVRVISAKLVPQLINSYKKAY GIPSNELISLWEPTLEKILEVLTAEPAIETLAEMYHSFYESVEVMGKNCLSGKQMDAF ISAAQSALEDYKERVKERAEEAEENNREEGEEEDDDILYAIEDDQALLAEMNKAFHCI FKNHGVDFLPAWGRLSATYDAFLKNGDPTQRQWGLCIMDDVLEFCGERSWEYNAFIIE PLITGCRDAAPANRQAAAYGVGMAAHKGGVVWAGFLGAAVDTLFALCAVPDARDEDNV FVTENACAAIAKILHYNATQVREPDETSRRWVDTLPVVNDEEAAPYAYLYLSELIDRQ HPAVLTQPQKVFAAVVLALEAKTLQGQIAVKVVTSTKNLLQITGQDLNVLTAQLAPEA QLIARSAFS VE01_08339 MFNDFDKSTIPDLAGRVILLTGGTGGIGVEIVVELAKHNPGHII FTGRNATSAATTIKRAKELSPRANITFIPCDLASLTSVNAAADKIIATCPRLDVFLAN AGIMAQPPGLSEDGYEVQFATNHVGHALMTRRLLPLLEKTAELPGADVRVIITSSTGW HGGVMEFEPLKTIKDTRPVMGRWQRYCDTKLANMLYARELAKRYPKLLCFSLSPGVVG TTLVTDLSLFDRAFVYVTQLGRIRTPEQGSYCHLWALSVPRESIKAGAFYEPVGKLST YENASSKDPEMAGRLWEWTEKELARWLK VE01_08340 MIGDKPAPPVKKTRARRPKVKTGCKTCKLRGVKCDEAKPICRRC ISTGRTCDGYGIWGGGGNAYGSVSRITNSSRSPSSLPLTTITTTTITTSTCTPGPLTS TRTLPPLLGATTQHEHRAFEYFFHRTSRKLPGIFDSPFWSTLVFQASACDPAVLHALI ALGAVDLGKATRELACAAERVRGYERVALVQYNKAIVSLGVHFRRGGGDARRVALITC MLFICIELLRERFKTAKTHLGNGLKLLGEMRRGEGGRKRGGELVVNTELESVDDYLVE AFTRFNIHSSLFGQDNILLYTIAPHPSCADHAIPRAFTTFRIARLYLDTLINAIYNLA HQVASLSPASPIPKHYTNTQSHLRSSLDQWLKAFQTSLPTLTTHHPLIAPLVTPLLHT YYTMSTITLSTSLSPRDELIYDSHTAAFESMISLMRGVFETILSAHAPLGDEIPDPPG FTADMGFIPPLFFVVLKCRDRVVRREALELLDGAPHREGLWDGIMAGGVGRRVVEIEE GGSWGDEKGQGEVVVPGWARVYDVRVVLRDGWEGKAELRFRRCGEGGGERVESAEVEV EFGSISSGRLGKCMFTGR VE01_08341 MTPPTSDPTNFTSTPPLLTPQDSSQSTQADRNPVPPASPHSGSL SHRSSFADGMRPHPPSPRNQRHPSLSQAAVQELLNNPTPLNKPDARFTGRDWRSIEIG ELVTAEDVRFVETNTSVEEATNILITSGSPRVVLIREDAESSTACGTFDYGDLNAYLL VVLGLGSPDPEMIETYTEIARKGREGVEIPLGDVVSLAKKAPLVTLEESESLSAALEF FAGGVHRIIVVKDGTQDVVGVLSQFKLLNFLWENGNCFPVIERLYPKILRDLQIGTHQ IIAINGDKPLTEALLLMSTEGLSSLAVVDNGLNVIGNISTTDVKHLTKTSSLPLLKSS CTHFISVILMERGTDNGKDSVPVFHVHPSSTLAHTVAKLVATTSQRMWVTESPSPAPT PTPQAVPSVLAAAQPHGTNPSASPPLSPAYPSISAASYPGVRSGRLTGVISLTDVLNL FARQSGLQTQDPNEERQHRRRSSSISSMPRPSMDSTRGSSVDIRR VE01_08342 MPDSSPRPESKRERKRSPTPNSEDERRRRHRRREREDRDGESRD ATDSTRKRHHHRDDDKTRSSHRSDEEGVSATKRRRSRSPRDGESSRRHRQGREHGREN RHRDRSRDKDSKPRSPRRDRDRDSKHRSPRPRSRDRNRDVDRDTRPLSNAPPSPMRKS NAPLPSQQDSFSMIRGGGHGGGGRGGFGGSRGGRGGGHGGGGRGDDDNALVPHDGAPP PEKKQPNFAPTGLLAAETKTVTTSTGAAIVLKYHEPPEARKPPPRDAWKLFVFKNRDI VDTIDLGSRSCWLIGRDASIADLPAEHPSISKQHAVIQFRFVEKVDEYGERKGGVKPY LLDLESANGTKLNGGVVEGARFVEVRGGDLLAFGESTREYVVMLPKD VE01_08343 MGDTSSHRYLWLATLVPPMPLDMDEAWMTGQAAFMESPSTLHSL SLSSLWLKCRLLCPFSALFIHIHSRRVPVLYLPSTSYSSQEKAARGVEEAGTTTPLDQ IKRFEVTEVYAHPEATVDIVLVHGLNGHPQNTWTAKNGVFWPSQLLPLTLRQAKARVL VYGYNADVYTFGSDKGASSDMIHQHAQSLLTGLSMERMSEEKEENAIIWVAHSLGGIL VKRALELSNDLTSKSADPSRSIYVSTYGIIFLGTPHLGADGAKWGQVLHSLVRTVMPK KIVETEDQLLKTLQNNNETLQNINLHFLDIYQRFEIDMVHEAMRTDLKGTKIFVVDQA SASPPLPGVRYYGIEGTHSSMCKFESKNAPGYLNVSTAIKGWANDCTPKIQARWAAER KARRQAKENEAKELLGIFVTDKDDTLSAPVAQPRPQEASGTSNSTSAPTSHQAPATSQ PRAALEAASRQAASPFQYQYTTEEVEEREAELVER VE01_08344 MNRADFSRAYVDSSLAVSNANIAQPAARPADPATTAAPTAYFIK PQGFRPNSLFVGREEELEKMHRMLFDRKRRAEGVAAVLIQCIPGGGKTHLAREYVYKH LQDFPGGVFWVTAKSPEQLEAGFWHIAATVALPPANGSVAAKQTEDFVRCVIDWFTTH HDWLLVLDGIHFDHNETLSRFIPDSTNSSLLYTSTEHSVGGDHHFMNPEVIRVPLLSA REAQELFLQELGRKNPTTDDLAEAMKLVQRMGFLPEVIHVAAKRLKSTQEPLAKFARA YASGPKLRALDTYVAVVEQLRNSGADEALNLISILAFFSSSIPVEMISLGLKSVDIPV KTYEMATGRSLNNTFKILNSYALISRSEHDLSPHASTSSKSSSHLLADDLDIIRIHSV VQDFFIDSLRAAGTRAVWLSRAMVLFTKSYDQASQRIQAKTNAGLVGDFRSYEIHARC LLAHLARLERKGPQLPAIREPLEASLRDIMAEIVRRTRESSLDIAKGGSDEAFMLSIF DRTSSSSDTGPETPGPADSYPPGAHLWGIEVSQLESPVSVNDFPLKFPAMPEVDDGYD SDWSSNASASVIMRGSHYSIGPGIATPTNPTNTNTITSPTLQLDSPTPTKEEWTVVPP RHPRTTLPRADLHRTTRALEASRYHDTTGAFRAAADPRVSLSHETAMGVLERRGRGGN RGEGVMGIPIPGAKGNLPSGLSAAEVALGEISKSSPPPSRGGGHIQDRGRRTSSQRRP SEMLGGKSAGWQGEAVAGDTIFEAVGSPLSTSTSGSGTGSGQKRSRSKPSPRPRPATP AVTALRGIPYEPRRPTSSSSSSSATTGAPPRREENNLSPRPPIRMYTQPYPISTPDFS APLPPAKYPRQTGPLPIEYAPALSTAPVLKTPDVRPHVASTVSAPTPYLYPHAPAPAM GGSQQGGSGSSSGQGQGRAYFPLLPTNPQRPRRVGGYSSQPMSRNVSGYSSAPMSRDA SAGLSAQSGSGSGGSRSGGSRRSGGSDGGMPMGMARGRGEMRRRPSLAETEPPPELPR FSPETREGVDMGFGGMGRFN VE01_08345 MLAKPLLILGLMAIASSFEIPQGATDGVYRAYINESGNEVHELI PAGAIDISPREPMPHDALSEGTWLAKRGQAWCGCGFGMDHGSCDAANNALSKHLNNGP IINGGVAFYSVVGSSVAFLCNRDSFPAQVFSGSVVIGARQVTAACGYYIAGTWRVDGF KDMDYGYMNWYNGLNFCGNAENSPQHHC VE01_08346 MKFSTIPVLLALVFAVQAEVNSWYVSSKDITCTGDATGQINCIP GHTEGVEEVHLDHPTTRNVAIRGREVEVPGLQKRVTCNIDGVTQGLACFTHCFAIGYC NSHCDSNNICRCTCKDETQWWNPIVCSKTSC VE01_08347 MVRHKKDGFGPKGKKYANAPRQRGPRDDDSEVPHARPSFRAACW DLGHCDPKRCSGRKLMKQGLMRELAIGQKHSGVIITPNGKATVSPADREIMEAYGAAV VECSWARTSEVPWARIGGKCERLLPYLVAANSVNYGKPWRLNCVEALAAAFYICGHPE WAEEILAPFSYGSAFLEINSSILKRYAACEDEAGVKKAQEAWMERLEKEYTDSREEGA ADDIWKSGNTNHRAAVSSDEDEDDDEDGEDDDDEGSIDGIYLGSKPPKNSSDPSSSIP QNDRDPFDLSDDSDDEAEMAELRRQVLASKPFSNPVSTSKPSPAHIPCPGPVAEPDVQ ADSDNGSDGDDDEFDSIIDATVVTDRTGIEAKQRARREDVPLASVSYSRTVIGAPKKR VE01_08348 MAARDRFSQAGDSGPTPLQRFIQQACSPEYFEPNLSLNLEIADL INSKKGNAPREAAVAIVNYINHRNPNVALLALSLLDICVKNCGYPFQLQVGTKEFLNE LVRRFPERPPVRATRVQLRILEAIEEWKSTICQTSRYKEDLGFIRDMHRLLSYKGYTF PQVRREDAAVLNPSDNLKSAEEMEEEERSAQSAKLQELIRRSGPEDLQEANRLMKIMA GYDTRSKTDYRAKAAEEVGKIQQKARLLEERLEAFQPGETMTEGDVYEELAASLQSAH PKIQKMCEEESDDHEAVAKLFEINDSINRTVERYKLMKKGDIEAASKIAKGTLGTTTG VAKNAANELSLIDFDADGEGVGEASSSAPPSKPGGLEDDLLGLSMGESTISDAPFGQS GAIGLGFGANTNIPGPSLISSTTQNNSARAPTPAASTPSPALTQPNYAGFQPPAHQRP SDPFAALTQPLRQSTPQLTSNTPSMFDFRSNTSTPNPSATNPPVSTDDDEWEFESALP KNNGLPSSNVITLTDSVINITAEASRKSNSDTAITISLKYSNKTPMPVSDLELKVAVP KKLQGISLKWETLTGTTLQPLQKDGISQVVHLNGVALGQGNNVKLRWQLLYKLGGDSK QEQGEIPTLGVA VE01_08349 MPPKSKGKPSKALFKSSSTPKKPRSSTPPSPFSLPPPQLEPLLS QLSQKHIYILSLDASPQPFKKKVFAVPVLTNLAIIALIAWRISYTLPLYISLATSFAR HDTTAPLTLSSILNRFLGFLIDYFHYFLLTWPREFLVGTNNGSPVLWRTNIGFRNSEV IVRRSKAWDVEAIIPSVDIVLETEEPARKLFDEEVRRATSVTAMHEKTGYMLLNAKWD LDWKLMIYATEMIDAKDAVLPDFKTQALVHSPAYGWVTWDENVQGSNKEEEARKKIVL FKDELTLMGKESLFFRWIELVQYESAREGGFTAERQQETMKKANELFEREGVDFEAFW ERVGGMQGMPGMDLA VE01_08350 MRVGRIWGESPRSSICAFCAHGLGPARAPSHTARRFLQTSNSKL NSSAAAEARQSQGSDDRPQLPTSQSAGAAFSTGGGFGRPAFGSRPAFGNTGGGGGGAV AGSGRPAFGSGQIELTEDEKRLRDALKTQGEAEAQTQAQSKPSKIRRPLKIYGGAGPE ASRAGRPEPLDRVQNATVMAKEARLERARQMSAGRRKRNEALPKDTADGTPRSWNQLN RRVLTQGEGSDNIPKAGLQDGDHHESRGYTSPSARNPYTRQQQQPEFSSHRQISINKS SSDASTPGPAPEPQLTTWRAAPQKVEPELSPAVLRLRQEEIERAAELEQRQKLREQRP LQRSAEHSASSSRESENLGFKGRGKDKKKDRRREEFATEEDDHVNAVVDRKGELKKER KAAKAAKKAAAPTPVLLPEYISVANLATVLKVRLEHFVEKMEELGFEETGTDYVLNGE TAGLIAQEYNFEPLIDRSESEDLKARPAAEDPSIFPQRAPVVTIMGHVDHGKTTILDY LRKSSVAAGEAGGITQHIGAFSVPMPSGKVITFLDTPGHAAFLNMRQRGANVTDIVVL VVAADDSVKPQTIEAINHAKGARVPIIVAINKIDKEDTNIDRVKQDLARHGVDIEDYG GDTQVVCVSGKTGQGMDELEEAALTLSDVLDMRADTKGAAEGWVLEASIKSMGKVATV LVRCGTMRPGDFIVAGKTWARIRCMRNEAGIEITEAGPGTPVEIDGWKEQPLAGDEVL QAPTEQKAKNVVDYRVEKEERDRLAEDMEAINEDRKITHEKRTKEKLELEASKKRTAE ENAEHDALEEVDKEEHGPKQVYFILKGDVSGSVEAVTDSIAAIGSSEIRPVILRSGVG NLSEFDVEHAAVAKGFLISFNNTIDPMIQKKADAAKVKILDHNIIYRLVDDVKGTLSE FLPPIVSHKVLGEAEVAQVFNITIKGRKQKPIAGCKVRNGVIGRNTKVRVFRHGKKVF DGDLESLKNVKKDVAEARKGGECGMGFEGWDEFEVGDQVQCYEVKEEKRFL VE01_08351 MSIGQLQPPEGSSSSSSSASLSSIPLASTSSRCPRCSDTLYLPP SIETLEYVFPSVSPSSVDRSVPRCFQCDKVNAERAAYFAEFPPPTHVNPVAELESRIL QIRDYIASDIEVDGMKIALAVAIDQKSAKERERDAGIREALNEFCGIWGPPRTS VE01_08352 MSVVSLLGVNVLNNPAKFGDSYEFEITFECLEQLQKDLEWKLTY VGSATSDEHDQELDSLLVGPIPVGVNKFIFEADPPDTKRIPDAEILGVTVILLTCAYD GREFVRVGYYVNNEYDSDELNADPPAKPLLDRVRRNVLAEKPRVTRFAIKWDSEESAP AEFPPEQPEADLVADGEEYGAEEAEDEEEEEEAAERAGEANGAAEGDDEAMAGVEGVA PAEEEDDEGSEDLEGESSGSEAEEEEEEEGEGEGEADDGMEVDEPEKAANGTKVAVSD AVMSH VE01_08353 MAAFIRSKQSGMHTDLSASILPGLFTIDDEARYGINSQISTFAY DPVQSLLAVGTNESQYGSGQIYIFGRDRVQVTIKLSRRASVKELRFCADKLLSLDTKN ELTVWDLIGGGKKICSYTAPGIVTCLATDPMLDWAFLGLQQGEIVAFDLDRERAAPLR LPNFFRERSPRSRILSVVSLQLHPRDIGQLLIGYTEGAVIYSFKEAKATKFFQYEVPP GAPGGASDPNVVGDTRRPRLTQCLWHPTGTFVLTAHEDGSLVFWDPKDGRVVMARTLD DLHVDQPGSKPGGSKGGLSIKEPYAKISWCAKTNPDDTGLLIAGGIPMSAQTKGLTFL ELGPTPVYATSSWQTLTDHFKGKQQKLLETPAGAEVIDYCLIPRASPHFDGAQDPIAV IALLSSGELITLSFPSGHPISPTNQLHPSLTFVHPFVVSCSVTTVNRTRWLGMTEKRQ QGPPLLRGGVGGSKNVRKHEDRTIIQTAHGDGTVRIWDAGTSDKLENSGVLQIDVARS LGRYENIEITAINMAGQTGEMAVGTKSGEVAIYRWGGNKLKGFEPSPPVAVRPGTIEN ISDRAEPGLREGLQPFVLYNMAHGPISALKMSDVGFIGVGSEDGTFAIIDLRGPAIIF DGSVNDFAKHEKKGSLFNRSSSHGGPKTDWAVSIAFGVLTLDSDEYSSICCFVGTNLG RVATFKILPQQNGGYTTQFAGVAQLNDRVVSICPIIATSGQPAVADGPTVASLREGRI IPGMLVVVTEAEVRVFKPATAKGAQKTWDDSTVMCHAASVTHFLLHGYAIVGVFGDGT ARAYSIPALKELGVQNLPMLDKSRISSSIVTPSGDIFGWAGPSELAMLNAWGTGQPLA RSDDRLFNIEALIPPRPTISNMQWISGTMYVSPTDLDLLIGGPDRPPSKRMMAAAAEE QRASNQARPGPSAKTEGWGDYMTRQFNERTEQLGVMGDTMERTQENSAGWADDASKFV SKQKRNLLFKSVAGKFF VE01_08354 MAPGGPPGSSSRGRGGKFKKFTRGGGKHFSKNLRPLDADGNELG MWGEGAEAAESSEEEEEDDSEEESEDDANKPEMTREERRAAAKAKKEAAIAKKKNTVA QPGDLPSSSEEEEDSEDDDMPANPNHSKAARNQASAPPISVDEAADGVKGLSVGGKGK PKPVGELSRREREALQAQQAKERYQKMHEAGKTDEAKADLARLKLIKEKREAEAARKQ AEKEEREEADKAKKAEVEAREQKRKEAAMGPTKKKVVKK VE01_08355 MAEDDAEIALLHQMQSGQESVSWGQGADDTEEGVGATNTENSDQ AVKEEEKDFSDDQVLRALSPSDALSDGEEYNPESANPVPSHTTTSLAGTGPPSRASPA RKPKTIGGFLADDSDDEDDVEIATSKSTALLQPPTSGPTRSVSRSPLHLEAVPQDSAA QTPVPTLETPNPLGDGEQTSASVSNQTSTPNASATVTAPIAGTSLPKARLPHDRVGIL EDRIKEDPRGDLDAWLSLITEHRNRNKLDDARVVYDRFFKVFPMAADIWVAYAEMELG IDNFFAAEQIFGKSLLTVPHVQLWSVYLNYIRRRNDLTNDVTGSARATISQAYDFVLA NVGIDRDSGKIWQEYIQFIRSAPGQIGGSSWQDQQKMDQMRKAYQRAVCVPMSNVNAL WKEYDQFEMSLNKITGRKFLQEKSPSYMTARSANTAMENITRGLVRTNLPRLPPALGF EGDKEYLEQVGFWRQWINWEQEDPLVFRDEDIQAYKQRVIYVYKHAVMALRFWPEMWV EAAEWSFNNGMEKEGNDFLSQGIAANPESCLLAFKQADRLETVLPMEEGEEGLVSRGA AVRAPYNTLLDALYDLIKKLKTRENAELARIQEFAMLDSSINPAFDRGDDDEDDTDRL LKEAKESARDNQLKVVKQGYHMQSELTQRTLSFAWIALMRAMRRVQGKGKVGAPVGGS RQILSDARVKGKITSDVYIASALIEHNVYKDPAGTKIFEKGAKLFPEDELFILEYLKH LLSIGDTTNARAVFETSVNRLTQKPENVAKAKPLYVFFHKYESEYGELSQINRLEQRM ADLFPEDQRLARFSSRYSSERFDPTVVRLIISPVAQMRPKSIMQSIEEPISVQPSPRP QYREVSPRPQPRQEVNPIPAYLQPTNSPKRPLGGEESDNESGRPRKLVRGESPLKGAA GRRLDQQKRMQQTHGGVQQWQSNGPAQFMIPRDITFLLSIIPRAETYNATLFNAEAMV RLLDKTPIPDYSTWKASRDQAPRYY VE01_08356 MEPGHLWTYNRESNVKKFEFPPVLPPRPSYNSDPGTKSVTLRVN QFRISEWSDKSVWQYDVKIGSGAENRGLLKVVWNSKPVQEFLAGHAKYWLWDGNKIAW CDKPTKKMNFEKKITVNLDELKGRQPRVINGVPKPDITFVTIKCTTEIPMGVIRAYLF KGMDFDNTVLMAINFLDHCLRQWPSENFIQVKRSFFPRGTQRQVLHGLATLIEAQKGV YSSIRLCESPSTPGALSTGLGVNVDVANGTFWVPQLLSQAARNLTYERNSGLNWDVFS ESLRPVPVNGKKTSSGAQYHIMSREFKELRKLHKLRFHVKHRGKEQNERVYQVKNFVF SPDQYGSWGGHAKAVTFEKKDPQNPNATAKEITIYDYFERTYNVTLQYWKLPLVQTVK GGIFPMELCTIAPDQRYMFKLDPKQTADMINFAVVPPNQRLESIRKGVDLLSWGKDPY LNHYGIKIESTPTITTAKQLQPPDIHFGKTSQKTIVKPGFAGFWTLDRSQLLLVPPKP LKNWGVFMAADRNGRPIVTEPRWDEFIATFKQQYIALGHKGAIPDPRKFLFNSDNIES QIDRLLAPLASTPENIPQILLFIVPDKNSWTYDRIKKHMDCKYALVSQVMVKGHIEKN NPKYCKNVIMKLNAKLGGTTSKACKSNAKEPTFFNTPGQTAKNLVMMIGADVSHPAPG SEQPSFAAVTMSLDSDCCRYAAACEVNGFNKEMIQKHVWDKKVKAMVNWWLETVGTVN GQKKVPSHIYYMRDGVSEGQYLQVLNDEVKWLKDMLETVNPQFNQTKFAVVIASKRHH IRFLPRTEDQCKDKDFNPLPGVLVEHDITHPFQYDFYLNAHKAIKGTARPVHYHVILD EVKHKPGQLQEMIYAHSYQYMRSTTPVSIHPAVYYAHLASNRARSHENVPIVDEPRGG QKAMERRQAAKLESDLRLENASEGGLTAKRSSGKSPSTQYQMVENEVIGKFWREQPQP VRFKALTQAFLYGMWYI VE01_08357 MSRFIRKAATALESKLESKLDPKPTPSAPARHESDLEHRTRKAR NFEETEAKLPNVRNSPAPDDRPSITTNILSFLGAWNPRPHPITSNDTVWLFDNTAYRN PTTNNWEAEVIAAVFDKETGVKVSLVVADIAEKLGLGHGDEAEERIKDRLMPFMQSVL PGRVVDVDFGRRTQLKFGPGGRNGISSDIRQLPRHKDGDVVTSVAMVPKGTNGILEMK TVYAEPDGWSVISDVDDTIKVTQTGDPIGILRSTFAADAAPVPGMPLLYSYIQTVLTK SAPWFYLSASPYNLYAFLHEFIQEFYPQGTLILRDASWRNLAGLLTSLTQATQEYKVD RMEKIHSWLPRRKMICIGDSTQSDPEAYGEMQVNHPPYRKYPGWVKLILIRRVENIAE VGLEEKNDASRFEKAFKDVPKSVWHVYKDPEECHKYIDNGLKAEAEAEAKATQA VE01_08358 MHFKALAVLSYGALVAAWFPGEDRGIISSDGVDLFNRSSSDVSG KRWLPAGKIRGVNVGSLFVVEPWMMSNTWAKMGCGGVKSEFDCVLKLGQAAANTAFKG HWDTWVTQADLQEMISYGINTIRIPVGYWMKEDLVYADSEHFPQGGLTYLTRLCGWAS DLGMYIIMDLHAAPGAQQPHNAFTGQDAPSAGFYVDYQFERALKFLEWMANLIHTNNN YRNVGMLELVNEPIQNSGNVGSMRSTYYPNAFSRIRAAERKLNIGAYDLLHIQMMNQK WGSGDPTQYLSDNWFAAYDDHRYLKWANIGDVSQSNYISTSCRDDRGGNTPTIVGEWS LSVPDNVEWTSGWDPRTQQDFYKKWFAAQIISYEKQQGWTFWSWKTELGDYRWDYQAA VKAGVIPKNLDSVYNMGVC VE01_08359 MSQSIITRLVDKYLGGGTSPQPDMENGISYTGNAAHPQLQVHHV GYDGRHDYATDERLFLFRHLTGITTHPSMVNSRAAPNLGIYTRVVANEAKSKKGHKYY SWLINGCLGVQVIVAASLTALGAAGANHSSITAFGAINTVIAGVLTFLKGSGLPNRLK YYHTEWKQVREFIEQRERDLSRPGCDLDVYGVIGMIEKMYEEVKNDLEASTPDRFAGF GSSKKQVEGATKDSAFNLPRVETGGLSEKLKSIQSEFAGQPNSEGLKQHVAGHGSELK NQASEGMKGKFKEFEAGLAGRAKDMVMSGLGHKPEAVQVTTRGLQTPIANVRAQVGQT ELHHHNIGDTLKDLVSDITHKAKLAHEAVRDLEAQRDTLATAASKEAKEATTRKESAV NNGSGGVVNISLHGDDATKHATQVKPS VE01_08360 MDIPRPEGGDVDIGIGIFKACWVLTGVVALVLLFRFVVKAWVYW ALPKVSSPERVWGLEDLIFLFAYACEILHMCLIQKSANHGLGRHFFYLSNEERNLSMK WDFASQPVAVAASMISRTGMMWFLYQCFGINNTKAKRILIMCAIIQIVVNSFTILQIV LQCGPNPYRLVDRTKYFHYMWDPLPTDGSVICQAPSVQATIGFVQGAFNTIIDFSLAY VSAFELWQILFRSSTHHSSSFFARFRELDRTTKSRRLWQTATLSGPLVLSGVASIVKT YLLKALGDRLDFTYNIPPFVLWVKIENYCILIATCAPVVRLFIRIFIDSRRGVGPYGS NSRSNTAGRGQAGTAGTKGKNESNIASSQTHYGTQDGSFNRLGSDLELASVGSEDRII KKGSREEIKGIQVKSDVVIRVDDSVNLDDGRIPGTATGRNPKGWCSANVRGGR VE01_08361 MTPPPGALLAAKVTAAGALGFIPVVVHFRLFETLAKIGTAASST EILEANNIERTEEEKAASPLCLKLVEDTLFVLSGQGLVDLISDNVFAPNAITHHWASQ PSAPHGALHFTTEVLLASAFLMPKLQATHFAYPLADADTPYQHAFSLLGEKSLAQQHT YSIMEEIGRMDSFNIFMDGKFGSFGTLPERLQRFGYDLDAAIGGTESDVVMVDIGGGT GAALIELKAAYPHLAASSLVVQEFQTDLQTLDSGITLQEWNFKTSPQPIRGALIYSLT HILHNNSDLEALKLLKKLVDAMEPYSRLLIHEFSKNSNYGNMHGTMVGLYAGRLRSAE EWAAMAEVVGLKITFEVYPEAGEGLIEMMKVT VE01_08362 MSETTHHQPAVTGEDSKPQTPEAKEAQILESTTPTEDVVEKIST FSGDFDPNDPKNPKNWSNTRKHLIFAALMSSSLLCDGGMTWGATLLFPQSLQWQIELN KAATSMNYGILLQGFGGIFAVPLIEAYGRLPVWFWTQIIAMGMVIGCVLSPTFEIFTT FRSMQGLFGTVPQVIGLPIIYDMYHHRDWPRMINIWGTTFLVGPFLGPAIAGYILYGT GKWESSFAVLAGLYGASTLAILAVGRETYYQKGMKTQETPRWKSFLGLGNTGTLHKLS AVVEQSKALVIMIFTPPMLLVGLATMVNFTWPIGITVTISSFLLPPPYLLTPVQDASM RWAGIIGALLGFVFGYFFNSWIYFSSSASGVSRRANWRPEYRLHGVWGPIFSMAIGLV VYGLTLNFQKSWVGLAFGWILVNLGMIASTVAITSFALEKYPAYATIVSAIINMWRTC GGFSVGYFQPSWIARSGVGVVFGLQAVVVSVCIVLFITPVIWLGRREAARRIVSVGVA VE01_08363 MPDTCQENGLCLNSFENAPLYWRGSCTDPTWKSPNCLSNLCTTD GDAGVDGSAAAQNVALTECSDGSWCCGGGNDSCCKDGTGTRIAAVVGQAISSSQSTSS ESTSPPSSTPPTTLPESTSPPKSTSTPTGTSPTTASTPGPTDSPTPTNEPTPGGLSSA TKIGLGVGITGCLLALGVLVTCLMCLRRKRRVDKDTEGNASNDARSRASIFPWARHEM PASPNEKPLPPVWHEVNGMKSPVEMDGAQHPVELPS VE01_08364 MPLVDALIIGAGPAGLSAALALARQLHTAIVFDSSLFRNARSAH MHTIPTWDHKDPAAFRGATRAEILERYNTISFEDCEVAKIEKTATGDFAATAADGTAF TGRRVLLATGVTDLALGIKGYDDCWGRSIYHCLFCHGYEDAGKPSSGVLALGENSAPA VAAALARSARQITSKVVIYTSNNPTVKSSVDALLGPKDSAITTDDREVTSFALGAEGN GISITFADGSTVQEAFLAHKPPTKLNGPFAEQLAVELAPGGDIKVAPPFGATSVKGVY AAGDCATPIKSVIQAMHMGTFAGVGIAHDLQAEGPKL VE01_08365 MKLWSVARFAAAACLGGAQLATAIEFDPASPASIKAATGEVAKK LMSFYTGYKPGDTPGYLPDPYYWWEAGAMFGAMIDYWYYTNDTTYNDIVEQALVHQAG DDRNYMPINASKSMGNDDQGFWGMTAMMAAERNFQNPPKDQPQWLALAQAVFSLQSGR WDTETCGGGLKWQVYVFNKGYDYKNSISNGAMFNIASRLAVYTGNATYAEWAEKTWDW VESVGLINEKGDVFDGSSDLLNCTELDHTQWSYNAGIWLHGAANMYKFTNNSELWLER STRIFNKASTQFFWKDTGIMYEPCEASPGEPLCNIDQMSFKAYLSRWMGATSRLIPSL APRIMALLTSSAVAAANQCIPGAVGAACGLRWYEGTTDGREGVGQQMGAMDVMGSLLV TGARDLVTNKTGGTSIGDYGDSVDTAAKVRGSIEVTTAGRAAAGMLTAGMCALVGVAV WFMVGPDGWVA VE01_08366 MSKTFTTADVAAHNSPSDLFIIVDEDVYDLTKFQDDHPGGKKIL TRVAGKDASKQFWKYHNAGILKKYKAKLQVGSLDTKKSEAPPPPPPAPAPAAAPVATS APIAKADKSQFEPLEEFGDMIPYADPSWYQTYHSPYHNETHVALRAEIRAFVEEKIEP FVTEWDEAKEVPEAIYKEMGTRGYLAGLMGSDNFPTEYTNNRVASVAPEQWDLFHELI VTDELSRAASGGFVWNVIGGFGIGCPPIMHFGSEALKKRILPGILNGDKRVCLAITEP DAGSDVASLGCEAKLSEDGKHYIVNGEKKWITNGIWSDYFTVAVRTGKPGMNGLSVLL IEREAGGVTTRRMDCQGVWSSGTTYITFEDVKVPVENLIGKENQGFKVIMTNFNHERI GIIIQCIRFSRVCYSECVSYAHKRRTFGVPLIKHPVIRLKLAHMARQIEASYAWLENL IFQCQRMDQTEAMLKLGGAIASLKAQSTVTFEYCAREASQIFGGLSYSRGGQGGKIER LYRDVRAYAIPGGSEEIMLDLSIRQALKVHEILGMKL VE01_08367 MVAFKAPAKEILAAAPTLPYKQFLLFGDSITQGAFDQNKGFALG AQLAHDYMRRLDVVDRGLSGYNTDQALAIIEYIFPPPTTAKIDYMTLFFGANDSCHLG GVSHQHVPLQTYRENLLAILSHPLLLAHNPRIVIITTPPVDEYQLAEETRSDGRVDRG RSAEKARAYAEAGKAVGEALRAEGREVVVCDLWSALMARTGWSGEGVLPGSLKTDKNP AFAELLSDGLHFNPPAYRVLYDELRQTLEQTWPDSHPERLEKHFPDWNSWF VE01_08368 MTGPAPSPQPIAITLFGAGGSGKSSLTLSLVRNAFTSTYDPTIE DSYSITRRVDGVEYVITITDTAGQEEYRGLWAQEALHADGFVLVYDITSRRSLEALGW FEALVRVEGECREERAETALRRRIEGSEPLTRSTTRVGRSRRGTGTTIVSEASELKPV KIVAGNKVDLASSRRVPAREGLEWARRHGCGFMETSARERVNVEETFGLIVRRVVEAR REEEQQRLEEEYARATQEREIRGDNRRYTVDWTEESLRYQREQARRHEKEKMEQMPRR DAGRSGQSQEQREKKVRRRKSEAGVGVRHAVTAPLSPLEIEGKRMRLPIPQGDIGPPK KGWAWLRCW VE01_08369 MPKENKQRGRRGEGKRKREANANEEPVGKRPALDVGGISFVGDT TDTFVPPPFTEEADGRPFYGLLAEEEQEYFRRADELLELNDFPSDEDRSLFLANVFKE AEGKELKIANSQSCSRLMERLILLSTPTQKKTLFQKFSGQFLHLIQHRFASHCCETLF IHSAPIVTQELTEDEPAPTDEVYVSMENSFLYTLNELEGQIGFLLNDRFASHALRVLL VVLSGKPLAKSSTKTLLQSRKKENITTPGFKSSAEELSLEARAVPSSFQDAVNKFISD TSEILDETSIPVLSCHQTANPALQLLLELELTRPTKTKDLAPEASGSILRKLLPDNIE TEGSKSAAFVSGLIYESIGSRLLETLVTYAPGKLFKQIYRSTFKDRIGALARNEIATY VVIKVLERVSREDLEEAATSITPQIPNLIERSRTTILKTLLERTSARRAEKSTAAITT AIAEAYGNDPATLLLKMTNTTPQTAPEAETDEKVEPRQDLTTLHGSLLAQAMLAIPGL PATLIQDSLLCLDLPTLIHLACTTTTSHILQVALIPTDPSSPSNPGSANNAPFRRKLV NIFLADPASIITLATSKSGSHALDAFWDGTQGLMMLKERICSILASSMAELREDWVGR VVLRNWMVELFVRRKVEWIGKVKEGEGGTTVAVAPKEDEGDAAEAKKPFPAKKGPVKG KGGDGKSAIQLAREKFAAGKTKGDMKVVRGKGTGANAGMIKSR VE01_08370 MSDPEQPRGSNKQYRDININDGARAHLGDIYHITREDPLSLLPF ATDAPFNSYVRQHEPACLPNTRVDLLKDIYGWADGKDGQGERCIFWLNGLAGTGKSTI TRTVAHRYNQQKRLGASFFFSKGDGDVSHAGKFFTSLAVQLAFNVPSLRQYICEAVTK RSDIANLSLLDQWRQLVLGPLSRLEKSHQPYVLVVDALDECEGDINVQNILGLLAEAR SLTTVRLQVFLTSRPEIPIRHSIYRIPQAKHQDFILHDIPLETINHDISLFLEYNLET IRQQWTLGADWPGEAILRQLVLHACGLFIWAATACQFIHQGRRFARKRLDTILKVSSS AITALEKHLNEIYLAVLKNSISSEYSDEEKEETLKKDICKMHTPGSQASQVKSSWIEE CLPPEVQYACLYWVQHIQRGDSQVHSGREAHRFLQDHLLHWLEALGWMGKTSEGIQAI LSLEAYVLVNESPDLHAFIYDAMRFALYNRLVIEKAPLQLYCSALVFAPKKSIVRRQF EKFIPPWIQLKTRAQENWNAALQTLEGHSDSVESVAFSRDGKHMASGSHDKTVRLWDA VTGAALQVLEGSSPVTSVDFSPDSKRIVSGSLNGSIQLWDAMTGVALQKLEDHSSLVE SVAFSPDGKRIASGSHDLTVRLWDAETGAVLQTLEGHSKFITSVAFSPDSKCIASGSH DQTVQLWDAETGAVLQTIEGQSFLTPVAFALDGKRWASGSDGQSVQLWDAMTGEALQT LKGHWGPITSLAFSPDSKRLASGSHDLTVWLWDAMTGAVLQILEGHSRKISSVAFSLD GKRVASGSGDYTVRLWDVVTGTALPPLEGPYRSRSVASVAFSPDGKGVVFGSGDMVHL WNTVTGTALQTLEGHLSSVTSVVFSPDGKHVASGSQDNTVRIWDCLTGAPLQTLEWYS ETVSSVAFSPDGKRVAARSGDKTRLWDAVTGTVLETLKGHSKFLTPEAFLHDSKVEPG LFVLDDWVIEGKEKILWLPREYRPEEMAVWNGTIE VE01_08371 MAEPFGIVSGAIRIASAFTACVDCFEYVQFGRHFGRDFQTSQLT LNCLRLRLTQWGESVNIYDDPKLGRQDAAATDFELAKDALSTAKAGEDLLAYSIGDMD PKMVVLDTKMKGLATQRQKKGRFLKLTS VE01_08638 MSGVDGPSNNGISRYSSSPELSSPPPCSPSDPGSPAARQLLRDQ RDAQDSNIVGSGGGVVDERASLPSAPPARKKPGRKPKVPIVADGASATSTDTKPKRTR KPREAKDPNAAPVPRKKRVTASNNTTATQDADEDNNNTTTTAARPAHAATRQSKLTDM NMRPAANEPGYRILNEPFQPQAQQQQQYNAAAAAATAAAAGPQNGKFEDIQKSTPVSF FNSAPPPQPQPQPSQQPQQSQPMRSSGQNYDPIRSNYDPVRENVPSHNPYHAQMSPKP APSNRASASPSIASLVDPPHQASTSPSMAAQSFFQHQMKMHTSVPPSPTSNRVVPSPT LNPSTGPSPSSGVQSATRSRQEEAKPYVRAPAPPPSFTPNPAQITSISKPVSTITAAP KPSKPIDATPPPLPGQGNSFFNAPKDGTESRAPTIVLHIPLNGGSNKYINFTRLAEER YGWDALHPRLAAQRERLARVAAAGAALEKSGHKESGDEMSLDMSDNEGDNSNVEMGGV SDGRTGTDAGKKVVKKRKMKEDDYDKEDDFVDDSDLLWEEQAAASVDGFFVYSGPLVP DGEKPTLERGEGGKRGRGGSRGRGGARVASGTRGGHAGAAGKEGGKDGGGKEGKETRG GGATRKPRVTKADRARRDAEKVERERMGVLAARGGYGGVGGGHHLGGTPMVFETGAA VE01_08639 MSARAERFILDLSDDDEGEDSPTTHPSNNQPSTNTSNPLNPTTA FQTIATPDSFIKDIIERTPSAPKPPSAPVFKQTTTGFPEHRKRGSRFKSSRGGGAVST PAAAAVEGKDGISPASSRGGGGLGGRGGEDVVDRDEEERRAIDLENQKKIAAMSPEEI ETERAELLSALDPNLVRMLMNRGAKKTAPEKVSDAALVHKMKGDLTGDKGEKREMGLE DYLKRANIDDGRGDTWVETPEPRVVDVTEDDTSAVVAAGKGVDSAATAAASIPAGKQA TDGKKEEGTRIPKPKGRRIEPTKAVHWLENDEVEPKEIPELQPVSEFKPRGHTHASTS STTSDQPTDTTTDTTSADRPTTDSTENIDSLHFPRPPTLPDLDPSSPNFLQDLHTAYF PSLPSSSASLAWLAPLPTPGSAADLESTYHPSQTSIPASSLRFDFKGQLLPPRISRAM PVDRGLHHHADAPEAGGYTISELSGLLRSSVPQQRGLAAMVLGRILYRLGKGEWGAGS EVTMGLWRCVEEGGVVGGLVGMAGGENGDEGEGGQGGERHRSVKAYATEAVWLWRMGG GKVMGAI VE01_08640 MANPPEKEGPRIQWTADDVESASRPRALYRSNSQFSIHSSHSRR GSIDPSHALPIQYRAVSFQIDESIDQELLKAKKHKNSATKELENLDWHIVSPEEIFER LSTSKTRGLSAEQAKKNISKYGKNVPSHPPTHYTKQIFGYFFKGFGSILLVGGILVFV SWKPLGEPAPAIANLALAIVLLAVFVIQAVFNAWQDWSSSRVMASITTMLPDNCIILR DGVQATVLASDIVPGDILYIKAGNKLPADVRLIEISSDARFDRSILTGESAPLPGTVD STDPNFLETRCIGLQGTHCIAGSGLGVVVSTGDETVFGRIAKLTNEPSTGMTPLEKEV LNFVFVIISIMVTMIVLFIIVWAAYLRKNHPGWISVPALIVSCVSVAIAFIPEGLPIA VTASLTITANIMRKNKILCKSLKTVETLGSVSVICSDKTGTLTKNKMYVTKAAIGAFD MSVEGSRDEMIRQKNADDGQNSVSQLRTVAGLCNSGNFDAATYHLPLHDRKIHGDATD QAILRFSESLGPVTEVRESWVKTFELAFNSKNKYMIRTFTLADKVGLDLALSLTEANE FRQNATLLTIKGAPDVLISRCSMFVDRDGVSKPLNEATRFSISCMKDRWSSQGKRVIL LARKTLGHEQIQSTPADAEFEDEIMEHSRTGLTFVGIVGIVDPPRDEIPSVVSILRRA GIRIFMVTGDFALTAQAIAAECGVITNPPGAVKTVTALTRDEVSAPSSINKSSDLTPQ PVTSIVVSGPELITLNECQWDQLCRYDEIVFARTTPEQKLRIVREFQSRDEIVGMTGD GVNDAPSLKAADIGIALGSGSDIAIEAADMVLLESFSAIVHAVQYGRVVFDNLKKTIA YLLPAGSFSEFWPVFGNVIFGLPQILSSFLMIIICCFTDCAAAIVLAYEAPEADVLLR RPRKPKLDRLVNWQLMVQTYAFIGVLESIASFAMAFWYLERQGIPFSVFWFQYGALPD NLDADFVASKLAVASSIYFVNLVVMQWFNLLGVRTRRLSIFQHPPLFNKETKNWLLFP AMGFALVMVFFWLYVPEFQRVLGTAEVPVEYFFLPGAFGLAILLLEEGRKYYIRAWPN SLLGRCAW VE01_08641 MAHESSDHGDGSVCDNILPSTLAHFADEPTSVKTMMSEVEDRAT TSGQHPSPLERAPVHSRIIYDTTALEPLSDRVEDFQVADNKFAFVPGQMSKLIDPKSL SAFYAVGGIDGLERGLRTDRLGGLSPDEAFLYGTISLEEAKITRPPSKHPPMTHRTEY ESPRPLIKAQGPDQSFSDRRRTFGDNRVPEKKPKNILQLAWMAYNDRVLILLTIAAVI SLAVGLYQTFGQPHKEGEAAVEWIEGVAITVAILIVVVVGALNDWQKERQFGKLNKKK QDRTVKLIRSGKIQEISVFDVLVGDVMHLEPGDVIPVDGIFIDGYNVRCDESSATGES SLITKHAAADVFQSILAGEDLNKMDPFIISGSKVSEGVGTFLVTAVGIHSSYGKTLIS LHEGPQVTPLQYKLYRLANQIAKLGIGSALLLFVTLLIKFFVQLPGSTQNASEKAQEF MQILIVTITIIVVAVPEGLPLAVTLALAFATRKMLKDNNLVRYLKACETMGNATTICS DKTGTLTQNTMTVVAICMGQNSSAGGEDNTPLAERQLEPSLTASVHAISKPVKCLLKD SIAINSTAFEVQDKGRLAFTGSKTESALLDFAHTSLGMGPVSLERSNSRTVYLVSFDS ARKYMATIVQTEHGFRIYAKGAPEVMLGRCAQILQNVASDASSTAITSEHMIHLNRNI KNFANRSLRTIALLYRDLQSLPCHAAGTMNEFLKTGFDDLFKDMTLLAIVGIRDPLRE GVKASVARCQAAGITVRMVTGDNLLTAKAIARECGILTDDDLVMDGQDFRKLGVVEMN RIIPRLQVLARSSPEDKKILVKALQELGEVVAVTGDGTNDAPALTTADVGFSMGLTGT EVAKEASDIVLMDDNFSSIVKAVMWGRAVNDAVRKFLQFQITVNIAAVVLTFVSAVAS DTETSVLTAVQLLWVNLIMDTMGALALATDPPAESILDRKPDPKSSPLITVTMWKMIL AQSIYKLVVLLIIHFGGDAIFSYHSEGERAQLRTMVFNTFVWMQIFNQYNNRRIDNKL NIFEGITRNWLFIGINLSMIVGQVIIILIGGRALQVVRLNGAQWGYSIVLGFLSIPVA VITRFIPDDVFQKIIPYRWQPQVTTSALVVSDESQLKDWNSVLLEIREELEILSWIHG GRFHAYNRRNKSHRKSSLKPVAVMAGLVAGSIGAQ VE01_08642 MSQEDMDWSPTFCLACDRQTSGNAYCGEDCRLAEYGGANTGSEA SSPASHQASISWPSKPSNNFYVPSAYDVTKRPSTSSSHPRPQTQPIYTRPVLTPSSSQ SSLFSMQSSSSTSSEQVQLSDKARRELRGYASSFDQFRNHRRQSTS VE01_08643 MESAFDDSDALLECAPGEHFTPDPHADLPVYITIHRIRKLIISS IDDPYSLEQLKEPRMNILIVRALVDRLYNPSDVSVVYCLLVNRMQFLREQSYQGHLQT VNITRATLCELVATRVLRRFDDDHPGREGLLLLANILVAGFEPFQNAPIEVLRENPSA LQWPVQRRGGYERKLPALEVAIISESKTFLSSSACQKVVDAIYRGQVIYTPLSFVDIL PDHYKHNPISLYNPRKAPLLNQYRLIVPRLRGMIEICQFAILLALYALTMVSREGLKI TFYEVIFCAYATGWILEEFAAIIEHGWKVHTQNLWSFLDITFFVIYGTYFTTRMYALS SGQTYLGETALNILAIAAPVLLPRLAFNLMPDNMLFISLRAMMRDFSVLTLLAIWCFA GFFLSMKWLLDVSNHDGSRDGPDPATISKWMLWIWFGLDGTGIERSVDFHLVLGPSLM IAFAFLGNTLFLTILVSMLTNTFSKIVANATAEIHFRRAVLTFEGVKSDSIFAYRPPF NVVALLILLPLKLLLSPRWFHSVNVAMIRIHNSPILLLIGFYERHQLWRAPNGQPRGP SKRSKLFPWTFSGFSPHGDIQAVFETDPPEAILGKTVEVDLLTVRLEPVSQPQSMASG S VE01_08644 MVDISTTPGSQSGEDVNLAQKSGDVAEHKDASLPEKADGYSLDE EAARIADEDLNRKKKQTYKGRMLIWLALQSTGVIYGDIGTSPLYVYSSTFSTQPAYDD LVGALSIIIWTLTLMVTIKYMFIVLSADDDGEGGTFALYSLLARYAHIAQRDPNTQGS LKLERYNTGDMKVANKGIRTMIENSQVARVFLKILGVLGVAMVMSDGVLTPAQSILGA IQGLRVAQPNISSATIVGVSCAIIVVLFSVQPFGTSKIASSFAPIVMIWLLFNACCGI YNLAKFDHSVLKAFSPFFAGSFLVRNGTDGWRTLSGLLLAFTGVEALFADLGAFTKRA IQLSWLCLAFPCLLLAYIGQAAYIAQDATETAFTNPFFYTVIPGTFYFSLVIAVMATI VASQAMITGAFQLLSQIMKMSYFPHIKTVHTSKLFHGQIYMPLANWLLMIGCVIVTAA YSNTTRIGNAYGVCVIFVTFITTCLVSLVAILVWRFNILIVIAFFLVFGALDGAYLSA ALMKVPNGAWFTIMLASILSFVFVLWRFGKEQQWSAEREDRFQPSHLLSSTDNGDVKL TAAYGGSIVSKTPGIGIFFDKTGDMVPIVFAQFVRKFSARPEIIIFFHMRPLSMPSIP ESERFVIQRTLIPGCYRITVRHGYMDSIVSPDLGQLLVGQLTSFITRGNSSNTSLTEY PPAVQRELDALSSASQSQLVYVMGKEEMKIKHGTNIFRRVLLAMFLWLRENSRTKMAD VNIPTDSLVEMGFVKEI VE01_08645 MPVATTNTQGTDTQGSTTENKSKVGISIAIVGAMVVIIIVVVRY MNRVRPIVTAPASVSNHRRREEESIQKEIRTSLLDSLPVMRYNTGLLPKQQRHGHANE SAWNTYSPRRQLQPAIKGEKKRTIQTEAAVMGSTNECKSKGSALQTGGQSGSSIDPAT CSICIESFAENENRFLDQLDFFVKA VE01_08646 MAGPDYYAPKDADADQDLESDEKQACIRFHDRSDDVATQTSAGH RKRRMSRDSIRRRSMSAANTGIPLEFQTLSIGLSESKQISHDDDKKEKLKSEELDYFA GLNFHSLAPDQVL VE01_08647 MDSAAWLTAPLQLTGSRDFTCDGSGFTPEQCEFYQQRWHFWYIA DYVYALPTIAFFMTALGISIIGHVISSQVLGYRKFRGPPIWQKLIAAVRYLSYRGFYV KALRWNSAPIGLLLLGAVGAVYFFCMDLIPQPYYWSSLDFGGSPPLGTRSGWMALACM PFVFATATKTNWITLLTGVSHEKLQVFHRWTSYAFFLLALMHTFPFIVYHIRFHDMVM QVEMGTLFYWTGIVALVFQAWLTFASHSVIRNLGYELFKATHLFAVVIFMIVFFWHCD YTLTSWHYFIATAAVYVPCLVYPWLRTCFEYGFTQKAYVHVEDNGFTRITIPSVNMRW KPGQHCFLRFTSFGFQAFSSHPFTICSLPSARPNEKSELVFYIRHQRGLTEKLYSHAL KQPGASVPVHVDGPYGGINMQRYIQGDRLLVVAGGSGAGWILPFIELFHRWNSTAADE EQGKDTETEDSETQPDGGHRERSLSGPLSLRVILATRDASTRTWFLRAVGELLSKHST PHSSFDIDVQMYLTGEAERDVHMPKTVDNATILSESASSSKNINVKAEGQQVTVPGNE LYGRPELPLIVHEEGTRAAEAGQSLSVFVCGPATMQNDVRNAVAEENLNILKGSKSGG VYLHSEHFSWA VE01_08648 MDMSSMDMIMASSTGSSSLSMSTSPSDTTTMADMDPMTMVFFTS TGTSLWSSTFTPSTTGQYAGICIFLIAFATIFRLLLAFRANFFEIMAAAEQRRNGGLL HPYATDAKSKARPWRASEAVMMASMDVMIAGVGYLLMLAVMTLNVGYFLSILAGVFLG SMKCLIKSRILEFSSGTKDATGGLPY VE01_08649 MQSSAIVTDVDVCLDIFGQQPRLNIHTQICLCFPLPDAFAQSRV ISTLRSGLERLTASFPWVAGQVIGEGSGDGNTGVFKIKALGNIPRLVVKDLRNDPLAP TMQALRQANFPMKKLDESVIAPRNTFPGTDVAEETESNSPVFLLQANFIVGGLVLTFL GPELASHLVKPIPGTGGSTQTPVAPPPRCVWASFVLSPASLAALKSIALETRSPGSSY VSTDDALSAFVWQSIMRARLARLPPTTEATFARAVDVRRYVDVPATYTGIVQNMTYTT YQLRKLVALPLGEIASALRVALDLKTTILPFHTRALATYLHSQADKSMVSPASSIDLS VDVMLSSWAKTDCYSLNFNLGLGFPEAVRRPRFAPLQSFVYFMPRACDGEIAVAMCLR EEDMEQLRVDEEFVKYARHDG VE01_08650 MATDLEADHHDTKKDDGVHPTVRDTLHDSDESMRHPNDTPSSDG SVDANYQRGIQDIGAVTLSWSRTSLIIAFVLIWLVYFVQGLVAGISAALLPFVTSAFA EHSLTPTTGVMSAVIGGVTNLSIAKTLDIFGRPQGFLLCVLFASAGLIMSAACNNVEA YAASQVFYTVGINGIGYSLSVFLADTTSLRNRGLIQSLCSSPYLITAWLGGPVSTAFL NGPGWRWAFGMESIIVPCATLPLFGLFMFHFSKAKKQGLVPKRVSGRTPWESVAYYLR EFDAVGLFLLSVGVAFVLLPFNLYTMQAKGWGSALVICFLVFGIVLLAAFAVWEKSFA EVSIFPWRFLQDRTVAGACLLSFTLFLSYASWAMYFTSILMVVHDLSVTHASYVSQIN TVGGFTFAVIAGGVISYTGRYKPVALYFALPLTILGLGLMIHFHQPDQAIGYIIMCQI FISFASGVVMITDEIAVLAATAEQQYFAISIAMVSMFGSIGSAIGLTISAAIWQNVMP NRLALYLPVEELPNLVTIYTDIVTQLSFQVGTPTRSAIQHAYGDAQMYLFTAGTAVWA LGFIAIIMWRDINVIGIKQTKGLVF VE01_08651 MALIEIIQYNAKPSLDSHALRTTINDTIQNAAIALKGVKIPQYF ALGTQVQDKRSVQITSEWDGIQNYANLEATLEYSSFTDSVCNSCGKPDDIFHVVLSRP AFGSDGPVAAPVVEFVQSYFPASRVTPEFQRRIEEDFARFDKIYKKGVKGDLGLSSGW VLEEQEHENIEDEKAKCFIIARGWESMDCFEQSVQNDAYKEAIPILFAWNAPWKMWHV ERKLLAGIEVAA VE01_08652 MDADDHGSLESEAKRRRLRKGTHSCWACKRRKVRCTFASSTEAT CIICRRRGTKCISQGLPEDLSQIEDTSSRIVRVEALLNQLVKEVDHSSAAKEQYGAQS RSKDRGGPQSAGSTPAVSTPGSRSEQTTFIPLHHGPTQERFQRGSENGDTSAYHLTPE FHTPYTLSPAKVVPPNAGKYEKFSRALLAGFPSQEDLDILVKAGSGITVFCHQVNVKL RSQLVREGLQDETKIIEVQNLQTHPVLLAKQMLMFSSMLLHLPPNENIPGLSEHHRVI MERLADTAISEVTTCEELLGTMESLECIVLEAFYHLNCGNMRRSLLAFRRAVVAGQFM GMNRPNNLPVEFLDPSTNIDPQVIWFRIVYMDRFLSLMLGLPQGHSDTSISSETALER GEPSERLERLHTMIFSRMLEHNNLGLSQSAIEMTHEINADLLKAAEGMPARFWGPPNF AGLEKDSQEALWESMRVKDQIFHYTMLNQLHLPFLLSSGAERKNEYAKITCVNSSREI LTQFVAFRAFNRISASCRLADFLALIAGMTLIIAHLYSHREKETDNLLAHQRLGDRAT MEQALESMEESSNINEDKLAASCARLLQHLLHIEADAAQGHNYSVKKAQLSDGGHGDG PNVLLITVPYFGTIRFTRDGIRVVEVAKVSARHMQEHREHVTIGGIGSVQVANQIPTN DYGRLSGNSREYLVDYVDSGSLSSPSQERVAQPPAGELYTDLDAAQANSVIIGDDFMQ EQELYPGVAAGQADWVFQGFDTTFFDNLMGGESLQFGDGGLSSN VE01_08653 MKSRHFWSSVKEYGGADYIANVKAFARPLSAKTIQNCRDVAKVI VPKHISYPAFRAKMRSKNENQRVAYFGNLATPSMKHFIFRHHFKALNFAYIFHKASRP VRLKETTRQNLRLRIDFITATMLYTTLLPVTREILSKKNLTTPKNDEAEAFPELDDKF NWKMAFDA VE01_08654 MESTDQEPSAPQLAAPHPGQDHTELEVDSEENDSAYAESTRSSF MTSIASSVTRGIFENGRRYHSYGESQYAFPNDERELERLDMQHTMQTMLLNGKLFWSP IGPSPQRILDLGTGTGIWALEVADLYPSAEVIGTDVSAIQPDWVGPNCSFEIDDAEQD WLYEPNSFDFIHNRNFVCAIRNWERLVGQAFRHVKPGGWVEWHEKHPLFLSDDGSLKE DCAIAKWGTTFFEAAEIFGTSATSPRNLKRLMIDAGFVDVEEHILKLPVGPWPKDKRL KNVGLFEMVNMDEGLESLSLMLFTRALKWTREEVLMFLMEVRKATKDKSIHSYYHFYV VFGRKPETTHSV VE01_08655 MSEDIPFRVLVIGAGITGLLIAQGLKKEGIACTVFESEPSASHY RAAEWGMSIQWGIPLLQQCLPEALFDRLQSVATDPYFTPPDPGLLPTLNGKTGELLKD VPLLRMFRVSRRRFRSLCAEGISVEYDKTLKDVVYDDDKNTVTAIFADSSRAVGSLLV GADGAHSAVRTCIFGPEKGRASSVPYSAVNICVKYNDAEKARFVRQLHPIMAMGIHPD GHWLWISIQSVPDPNDPATWSFQLQTTSHKGKDDVMSLENLKKKAATFAEPFRSANLW IPEGTPIHENKISYWMPIPWDDRNGRITLAGDAAHPMTFQRGQGMNHGIADAASLVTK LKSALDGHSSVKDAVKAYNTEMIERAGDEVATSKENTEMLHDWSRMMDSPIMQKGGHP RSQQPPAVVKR VE01_08656 MSKSVAYKHLVRAVSLWPKDRLRPDCQLQDILLARAKKTFVPAS SAIGAPAPTINERAELENANALYSLLDNRYALRYQPRKNLLMRPASNPDHYNDIIEEI DAAPSRGMFGRIKNRLSGLLRFS VE01_08657 MSGYVRTLFMVSTMRIPFTSQFIHDVAAAVAAADDDYLPTPTTP NSPPPSFRSHDSSPNRTRSSSHPALADQNLADAFDADGSDSDDDNDGDDRQRLMRGTP TDSSSAHETPGESESDAPPRTDGAIQLADTRAAHTPVFAPTIQGRVYGGGSGSDGVFA NLSAKPERGEKVEEHPPTYEQAAADAAPPYWETTIVAPGSYDPNEVYIDGLAVGSFFS FAWNGMISMSFQLVGFLLTYLLHTTHAAKNGSRAGLGVTLIQYGFYMKGADDGDSAVP GDSSGNAPQNPDNHDFEAGEGGLNGYDWAAYILMVVGWFILIRAISDFLRARRHEQLV LQSPDRGLGTAVVAEGEAPATAV VE01_08658 MAPAAYSPIAVDENEDDDEDGEHGHITPQLSFTPPSPGGSDDSE QDDDPSPSPESPVEMSDSFLEDDSLVELIDTALDLQPKPQKKVLRHLRQSEFIYPLSR YQGNAPPLPLSKEWQVVKEFQELVKQENSTGLNASYGDDKFLQIELSQFFIYLPGTNG HHAYEMTGLQDLMTRTGNPSYCFDGILSVGGQKRYVQGVPFKLVSVAGYGIEFDEVEH VWIKSCANSRSNVYYRLKDPHPHYKRYHDGYLWLANLSKHFVDYALEVAESGGKVSIH NFRHDFADWMDKEHDESVSFQEWLKKHSGRDFRSALTSNIDFVAKDAIGTYMLDDLDI WAEVKYKTAIPFHQPKETMTVVTPYVYDCFEHMKFASHLKKVHPSTQSQIRRESQGNA LHLTLDAEFTEENLDLQAQTSITPTAKSLRVKRSLQQFCSRPFSIRSISVGDVLGVKT DGVGSSWKDEESQWKEPDECWYVYVQGVHTTQSGNRSFHVLWLYRPADTACALMKYPH DDELFLSDNCSCSTSRISEDEVLCKVSVAWNGSPGQTEADFFIRQTYQGDNSFVTFKE EHKQCEHLAVDVTTPIEKLAEQYTIGDTVLVNPKGCFKSNFGLEPCEIVGFVQGRISF VRVRRLLRRHEIEDDTLARPNELVYSNDMASIDANEVDRRCFVRFYNQSQVINKEVPA PYCRDGTGDMFYIATRLVRLDDKNHLREIDSTSRPQSLIQGFDPTQPPPFRRLRGLDM FCGGGNFARGLEEGGAIKFTHAVDMAPNAIATYYANAEDPKTTQFFCGSVDDMLIKAL SGNAEGAPEIPLPGDVDFIAAGSPCVGYSILNPNKDSPQGLKNQSLIADVASMIDFYR PKYALLENVLTMAQKGKGRSRDSLSQLICCIVGMGYQLQVYVLDAWSFGSPQGRSRLF VSVAAPGFKLPPRPECSHSHPNGTSDRGLGTMANGKAFGERLFVETPFKFVSAAEATE HLPDIGDGHPGHCSQYPDHRPSRSPRETLRQHMNIIPFSPPGMNFIKTWKNRHITGMT QHDYENFNTVTKKGKQRHSAMDFSRAYGRIVPTSLFSTIVTSCSPENARSGRVLHWSQ PRLLTTEEAKIAQGFVQGDALTGTRGEQYKITGNSVARTVALAQGLSYREVWLKNCPE EDDTGELPVAEQIQKQIFADMMIYDDRAGLTVMPDPHSSSGSEDRYCQALDKLKLTSP AKTDEDSSSRTSSTSGVSQNPRTPSKKSSKATMRGPGNLLTPQTTHKRHIIQDDTPIS PTTPPPSLPKSRFPPTVAARLGVDVAAVRAEHAMEQAAKRKAEQVADASRFSIWKAIE EAFGTEEETSRAGNVSKAKRTPLPVRAPARAAVADESKPLSARRRWKIAFLKNRMAST GEGIRRPDEGFNLWPTPRATRSGNIRGQMQSTARSTFQPAKAPDGASEDQTSKKRKTT AGDSDLASTAQTRIQTAKTPDVTSDEQRPKRRKTTGGNISTPRTQPSAAHAPRPRQPR AKTQFVIDLTGDSDVERRGNRQRQVYVPVDNSMFGAYEMTYNSSMVGMRDPAVVVDGV NGPFAY VE01_08659 MPPPPKPIHLAICGGGLGGLALAIGLLSSPHITFTIYESASAFG EIGAGVGFGANSHKAIQLISPQLWERYRTRATFNGWASKSRTWFDFNVGAGPEEGKRI IEVLMDSEETQSTVHRAHFLEELVKFLPEGVAEMSKRVVSIEQPAGEQVRILFADGTD ARADAVIGADGIRSACRAFVVGDDAALQPRFTGAYAYRGLIPMDEAVAELGEEKARNR QMYLGDNGHILTFPVAKGNIMNIVAFHGAEKEWDGPWVKQDQKEAMEKDFAGWGAAPS GIIKLLKSPDVWALYEHPPTTTYHNQHLALLGDAAHASTPHFGAGAGMALEDALVLSS LLPLCDGPSDIQRAFRAYDAVRVPRTQAVVRESRAQGKILDMRGEGVGGDLEKLREAL DGRVRWIWGVDLEEHCREAVRGFWKGAGGEGAVEAA VE01_08660 MSDLEKSPVVAADSAKPSSPTSSETLSVNSDVPVLTPFQYRKLI WKLDLHLLPPLWALWFCSLIDRVNIGNAKIQGLEKDLHMNPKSNQFNVALVVIFIGLV GFEVPSNYLMKRVSPRAVLCAETLLLGIFTIGQGLITNWGGLVAMRFFVGIFEAGLIP GSVLLLSQYYPRYELQWRLNMLMVGNAISSAFGGLLALAIADIHSSNGYKSWRWIFII EGSMTAGCTLVAYFLMSDWPETAKWLSDTERAILKAKIRGDGTVGSMDRLDGKAMRRI LLDWKIWICTLMFICSIVAVYSINLFAPTIVKQFDPTASVRHIQALVIPIFIASGAAT LAVSYASDKLRHRYAFAMFGWMLTLIGLVIMINQRHVSVNARYAALYLISIGGYISVP QLWTLLVNNCSGSYKIAFAVGFEMGLGNMGGIISSLTFQGSQAPFYDMGYRVNLGLVC AAMVMATGYVGGMWWENKQRDAGKRDHLLEGDEKDNLGDDHPSFRYGF VE01_08661 MSSAHAPNFSDNAESTGMLWIHVAFPLTFVAGILVGIRFWWRYS QTGSVGKSDWCVLAALVNAFIQLAVGAVAMLQWGFGHHVQYLIKHNGIKYVQMSGMYF YIYQIFYKMLVSFTKLSFLYLYLDIFTGHPRFRTICQLTIYSVWAALIAFTLATTFQC EPIKFNWNKTIKGGHCFKAPPFWYAHAAWNTAFDIFVFLLPIPVIRSLQMGRNQKAAL MGVFILGAFVCITSVMRVIFLDIAAKTVTDDITFSTNRAFLWTHIESCVGIICACLPT LKAPISRALPKLFPSSWPSGDRYNLEDVTKGSVAAAAGKGTWKDGSVFAGSKARDSDG DTQGSQEQIMGIKKTVDVRVVRGDGGVGEEEERRQKGGRRDQFGEPV VE01_08662 MASTSNNQAEASDPSYIDYELFLDPSFSAPAFANTLVLTTNNAT DSPLDLSTPLSRVLFDIQEVDSHIHTLTSASALPLLSHTETQAHASEHIVSELSSQAA SLNDSYKRLEQEVISRHEAAEEVQRVSERLWHTVRLGRSVGRALQLGRQLEVQMSEQQ PRNAQSRDDHRSTVRASNTILSCRALLAANGPGEEGENLEKVHAIAALQRELIAPAER SLHAKAQQVVRDFSMSTLAGSGSTYAQAEDAKSRATSALQTLYLLSPQPPKRGKSTRF EAEWMVQSIQEYLRVALTTSTTSIIRALGVLRTLDDALLLVSSRCQNLVALELLLSSL NAPTLPSLSTPPNFLVPVLAALETSSLTSYFWRSLASALSPRVQELVKAGGVQARTLK SNRSAVRDMVAEAVARGCQVPSGAGKMRDERRMAEWEREVAVMVSAVVGGLGR VE01_08663 MPLFDARDILSFPGGNNASDTVIAGINFNLTTLQHWNYTLYSNG TLSNNSNCFLTFEPYTPHLLANGTFLNTTSCYSPLNGLGNRAKPGIALGVFFGLSLVF TMINLRKHGRLFLPSEKRFHAIGRRWQWYWMLWVAGCGMASGFTSVDVDRYYLPEWPL ILNSIFWYLMIPSTLAVVWESVRHWGSWQERQVIDPDPFILSQNDKRGRREFYMPLVF YGFGFLHFFMAVPRNWTPISYQRSPDQTLQVAAPQATDGRFKAGAVFLFFAWCTILFS LAHSMHYYTPRPSLFRTILSTPPAFLLTLPLSLTIVAYQFLSAFSFAHSPLNLHASPA IIYPLGWAPIVFIFIIHEIAGYIHPNEDRELIRQRRLRGQELDREMGYTRKPPWWRRL NGNQNMTVQQALARNAQEVSGTAPRTADGSVAKDDVEMSPMLPSKRNLDREVRIDNTP AGRALARREGRKEAELDEALREAARALFPAPAERKKTDPAYLMSDDLEDRGRPGVGTG AGVGGDESGRSGSTGSVESGTTMVGAKPQVVKSMLDI VE01_08664 MFSGILQAASAGAGAAQGPPGASPSEPSQPHEIPPPTPVRFPSL LPRAPGTATPPPHDIPLRTYIRSIQRPADIKLSHFEALGLHIIPDAPLTSLLPDPSFL PPSSWSTPLPDPSDTDPDDLDDLPPTPPLPLNNGRPAPGRSTYTERMKELSTPNPAAF RTVRRLPSTPSHPTARLGNAYEFFKNLELISGFWVDTSLSGPEPLATDDAPEPVHQRI HIRSGTGSQTPPDFRAALLAAFVKLVAYDFSCNVSLPRVEPRLHIGPSLSPPPNSSFP NSSPPSSFPTNISFIYRTPSDRLSARGGIVEGPLAALSARHATSFDKPLDELLDLARE TAALFVAAQQRNREGREERKYDPADPEKWWCFKPRWGGGPGGPIGKEEGMAVAPTLAA AAAAAPSASSSDLPDTSSSPTPTTAAPRPPPKRRTTRKTTSLYDAYRQLRPPSTTWDR KARYQCIGREPGAEWDDVFLVSCLNHHVAISRLRVGRGVLVGLEGLDGEGEGPGCAGA GGGGVNGHGNGGEEGEVGVTVWRSRWWDLFKAEERVEAMGALWGMMGWLMRDVEGERG ERMEGVEGGA VE01_08665 MSLPAGGFITTRDGKRCTAVPKTIKSSSKTSTQAATTTQSSDNN NINDGNNNANNDSDDVATTTVNPAANVVLGSPTTIQDAPATTTVDPPATTEDPVIETP SDTVVPPTTTPIAEIDPAAVAAQFGVTSSTPISTPTPTETPAQSALAQAVQPDPESVT DAPIIASDTATSDSTTSDIAVVPIATTPPAADSAESDIAFPTDPVVPSSTNNQQLIAV IPTVLADTPTPTGPGSAAESATLESSSQTGKVAVGHLVGGIVGGISLIALLGCLWLYF MRRRRRRDTLTPLWVQSEKGPPVAYYEIDNASVGPTSLGSKWKAKATSRYHGVVGGFT ALSSKMGHKPSPSVNLNRGNSQFLAPSFSTHSRSSSSISHPPTALTRRERARDWWDRL TADAVFNWALSRHTKPTTADPPSHFTRSRSASPSSRHQSQDLTQYLASKDREMRDAGA AAGLPADHRRSISLPPPTRSREDLGDGSLGIDFSDLGNPFSDPAPTAATRTLAPNPFA DPSPAAAGVSRPQPSVGTTYVADVRRSRGQSFSTPRRASIRTSRERSRDRDTMLSSAT TNTRRGKGRSDPFDLERPELLRGVSAGTRGSVLSDVQELPSSAGSSLKGGVAGGGGGM MMGAVGDGGEKVLGVGLSHESWTSRYSRGSSAGFSDWGDPGPDLGPRGGYGGVGTAM VE01_08666 MLVARLHQFCKRENNNNRCQTNRDLKGHIEELGSHSRFKPNTTF LKRDSTRRRGKGRMQLETPLLDFQTALSNIDAAATRIAGKAGTAGPDDEWIAMTSSRQ RSSSTRSNYSDINRSASPGTRTTNEGGAFPNDLILNDVPTYYTAASSPSSLLIPSHGS GSPYPSLSASSSIYPPGTGRRPRALTAETAPPPHTIENNSNSYRPATRAGPNMPMYQP GQRPGGYVPPPPPPLQSPPIQQTHMMALPPPPPRPGPGQPQQHSNGMMLPPPPPPPGS AHPMQGGWQNSWGRAYDARQYPGPPPLQASANNQYQTYNPSQQYQMNMAAPLTRLQTQ QANETQMSATYIPHAGELSFGVGVGIPGFGSADGSEFSAVSETSRATSRSATESGLTT PMDEGPSSFYNDRERLYQTQTSTIRQNNTHVPGQEYGPRPTTATPSNTITQSGDLVAE PGNMNNTNAAPALTQAQIELAAQWPLERVLLWLAKNHFSADWQETFKALEVHGSTFLE LGTGHGGRANFGMMHQQVYPRLAKQCSSSGTGWDQSREREEGKRMRKLIREITTGKPA GRSSHGRRESNSANSFNAGADHTQGSSPNMTRETYVTTPSTANPDDESPDKQSMFNVP SPGVEKRITSQNRSTTLPNYTGTFYHSNDSPVDSRGHRNMLKSLDGSDPSRRHSPNAS ADMSESGHFMGPALRTEGSPKSGSPGQSFATHVPTFQGKLSASPHSATFGHRASNSTD SVSSSTAIYGSGVSPAISQAFRSGMAGAVGEAGFAAAQRRHGQEGTRPSPLESEFGSY RSEAPSSAKESKSFLKYLRKKPKPKEEGLLPSPDDHHFDSPTSPSLSFRAPQYGHNAK AAASETSLDRQASSYSALENDKFPHTTYRGKRPNPASRLFVLVTLDGLNYRLCDITDA DSAYDIRLIFCHTLGIQDVAHTLMYMTELGKIDHEEALDDSKLIVNKKMRADAYGGLK ILVRAPPASVTHLRPPDSAGLSSNFPQEAGHHGRSYNDDEVNQLNGERRRSNSSPPHN RKAETEKKNHAFQSKKKTTKEFSFEEDPTQERSPGIVGTRNVDFDKPRGSPFEDKKVD NLYPQRKPPPPPGESATLIKANSLSKKTAQSAGFIGPVNVEVRPATSAGRTQTFPQDT GRNRKASPGGGIGAALVGMGNHLLHVSQPSPGANTTDDKSKSAMSTVEFGPSASGRNS PRSSSGTPGSLTWGKGDTPFMVPDYKLEENGGAPLDGQSAAIAKFRQEELRRGVSPVD VSPSSAGTPGGGLSLAGERKSYGPNVDFTEAHVSFERPQQAQQDDDSDDDSDDGLFQI LPNRATGGDANDATSGAAEAEQRNTDKRPALKVVTRTKSKVSFGENTYEPPPKRMGDD DGNTASARSGRRDKDAWLTAEQLQNPELQNEASSSKSGKRPQRRTPGSALSENWSAAS GGFSAGSEDMSRILRRGSFAREDVWASRPPAEALFNHLDDFFPNLDLDQPVLEEGHGP SPPSSPTSSGAPQMTMPTNAPPPIPEDRPESYYSNGDTLGSDESTLKTLDSRRPPSIQ SVAQRNVGRSGGLGRMKSIRDVARGAHEANKRFTQPSQQGGQAPSTILRRKSTKMFGA NIVQIKPQRGSMVLPSIPQDTIPKRQATFRWFKGQLIGKGTYGRVYLGMNATTGDFLA VKQVEVSAKAAGNDKNKMKELVAALDLEIDTMKDLDHVNIVQYLGCERKETSISIFLE YISGGSVGSCLRKHGKFEEPVVSSLTRQTLSGLAYLHREGILHRDLKADNILLDLDGT CKISDFGISKKTDDIYGNDATNNMQGSVFWMAPEVVRSQGDGYSAKVDIWSLGCVVLE MFAGRRPWSKEEAVGAMYKLGSLNEAPPIPDDVGDAISPYALSLMLDCFQISPGERPT ADTLLNQHPFCELDPNYNFLDTTLFSKIRGAYP VE01_08667 MSSKPEDIRTADLHKPVDIAEYLFTRLKQIGCDSIHGVPGDFNL VALDYVPKAGLKWAGNCNELNAAYAADGYARVKGIAAIVTTFGVGELSAMNGVAGSYS EQVPVVHIVGTPSTASQKNGMLLHHTLGNGDFNVFSNMSKEISCAMAKLTHADDAASL IDNALRECWVQSRPVYIALPTDMVQKKVEGKRLQTPIDLSFPENDLEKEKYVLDVIFK YLYAAKDPIILVDSCAIRHRVLKETHDFIEKSGLPVFVAPMGKSAINESHPNYGGVYA GDGSLPEVKKRVEAADLVLNIGAIKSDFNTGGFSYKTSQLNTIDLHSTFTKVRYSEYP GVSMRGLLRNLAKSIDTTKLTRSAIPVPVPKNRVAENSGRSEIITQAMLWPTVGEFFE ENDIVVTETGTSNFGIWETKFPPGVTALSQVLWGSIGWSVGACQGAALAAVDAGGKRR TILFVGDGSFQLTAQEVSTMLKLDLKPIIFILCNDGYTIERFIHGMDAEYNDIATWKH KSLIDAFGGAAKGARTYQVKTQDQVRELFNAKEFKSANVLQLVEVFIPKEDAPGALKM IAEASAKTNAS VE01_08668 MADTNKRKSGPGDSRDDKQGKRSKGGSGGKWQTPHQKSKASTPR GGGKIEPGDAGIWATCMKSKEGKATEELKSLFEHSAEKFYNISPVKEDEDEDEDIEAS IRKEISDMAATKDAPKLFQPVFLDVQCVLFFKLQPAIEPVDFVHRICEEAASNPTGRK HRFLNRLTPMTRMGRATQADLEGLAREVLQKHFRLVDGDGTEGKAGESDAQDFCSYAI RPTIRNHSLLKRDVVINTIANLIDKSHKVSLTNPDKVILVELYQNVCGISVVGSDWET LKRYNLNEIYLPTPKPGPAAPVTEGVPAAALSAPDLATKDETHVADENTVAEPMAAAP VE01_08669 MYTLPILRLKGVVGQIVPDATLESVHEIPSTHITRLYTLNISGS RQLLLSLQPSLAVRLLRNEQSLMLCEANLIQYLSKTPTGSTIKSPPKEEGPNGSLLSS LIPKMLKHSSNTKEMGYPYTIFEQVSGSSLSSQSIYLTVSERRHVDNQIGKLVRDLAL ITSPTGTFGPVLKVCGDPSAQGASPGAGAGGAETWPLAFDALAESILRDGEDMSVLLP YEIIRRQFSRLSWRLKAVTIPRLTLPDAGDDAVVLVARRLEEGLSPEDSVRVTGLRHW SRGVFGDPLMSSCFENPSEGFSTGWKGGADEDLIEDPEGANTRMMLYRCYRAIIDIVI EYYRPRNESSRFEMDARKRLTGVLTELETVDMEGNQTPKRNRTESMAAADMTMVKKLK MESDEE VE01_08670 MDGQKDDLKWFGEGFDGFPKRLPDDSVEYTLFIIDKSLAQKEVL ARLELVRKEATKLTGALLKDYIWQRDDFNLKLEQSSGLMHLRGLTNYGDSVEDEWLIV HILRELTKQFPDLWVKVVDTDGEFLLIEAANALPRWLNPEIADNRVWINNQKLRIIPL AAATAASANAKTNPVSRPLELQEALDVIKDSPNVIINSPLVETEAFFRLRNYPAQISN SIHSALTRIPRKLAFIIHNCPAAIAPAVEAFYLRDPIGLKPLDGPSSGLVFPPEDLVT VSVKFTKVLYAQIKSQQFEVPRAWKPIFSENETKAKSDPTKLADLLRLETGMKITSGF EMLVTDSIKRDHRSVREMQILIEDLSQGEDLPTDAEIKVWANSMKEDDESWLDINFED FEKELQGNKGERSGTVPEGSAGPSAPSGFGDAKTQEDLKKMVQRFESFLNDDNAGVDG AEMDDMDFDDDDDDEDDSDEDENEDGDENVSFDPKEFARMMREMMGVPAPDLGDNVNA AKGKSHDFDSDDEEEEDEAEEITKVMERIGAELREAGALELDYKPREAAALQGEASGG SKGKDLLGKQDWEDESDEEVDIDFNLAKNLLESFKSQAGMAGPGGNIMGMMGMKLPRD EDDSLASRDK VE01_08671 MVQPGIGLDTPRTAIGDATYLNNPDFDISEEQSFQSPSKDNNNL VQQLQNGRRGINLKTPRSRAPFGDRRNTNNNGFGGEFTPLLKSATRNNALKLGKENGV PRTPAFLRAGGLDNIAEDLSPVPNMDSTYADSYASGTPIPQIDSSSLASTPLAMLPRR AEGANVLQDGNQLSLREQENVIDKIEKENFGLKLKIHFLEEALRKAGPGFSEMALKEN TDLKVDKVTMQKELHRYKKTLTTAERDLESYRQQILDMQEKMKRRHADEGAQEELDLL RQALHDKEIEVQDLQQKLENSADQNEDAQKLRDSVTDLEHDVREKERMIDERDDQIDD LKAQVNERDDEVVELEEKVKAAKRREIELEEKGQWNDELEEAKETISDLEQDIKRLQI ELDDVKDNREELASEKERAIADLEELQDELANKSITTKGLSRQIEEKANRLQDDLEDL REKHESLEQEHQSKIQEADRLQQRITDLDQSGSAREIKLREELDSAVKDRDHAESQQH ALEAQLKSIQTELQHTTDQKDLLQVRHDALTSESAQLQTELSRAKARIENLEEDLQSE KSLSLQNEREVREQYKSEIDRLHDEIEDLRADVREKERLYDDDNDKWEGERRTLESQR DLAEEQADGLKLTIEKLQKVEGTLSGKESKLQEAVSLEKERHLREEALLTKQIDELTS DLQLRQNSLDEARSELSNVREELRLSQREHKSLSEKIEALEDEIEVLQTSLDEENDRA QVNLETAKHESDALQQQLEQLKQDVAKVESKSNSASIQEQSSSLKALETKLAQTTKSE QALQDQLANINLEMHSLRNHSNEVEAERDEMKSQLRDLKSQDDTFRADQEKIDLRTAK MKLDVEVRRLRDETRVLSEQKTMIEIELQSERDHADADHANFQQRETEYIQRESATKE TIRTLKRQISSLERQSHNLELSILQTSSPHSSPNGGSAHKSEILEVRSQLATAHQTLK DLRAQLKETERDAAKKLTSAHLDHQSQAAEWDSARFTLERDLSAALSAKSALEAQATA AESTAARLKAKIARLEQELHAERATAEGDRTMALERHDLHEMLRETQIQADKLEIAVQ TRDASLAALTTTESSLRAQLKRIREERTAQKERASRAMGELEALEREFRGAKKGWEAE RQGLTRGVRFANSSSVLEGGQEAEVRHTKELRGLAMQIEWLRARCRREEGLRADAAYA KRFMLLQVELFGACNQADLQILAQMGITPDRTVLPPRPSLRRVGWMVIACVRMKRGAE AWAKSRKVHERIVGKFEGMRRASSGSVVTGTGKVEKGAEKERRRRSDGPSSPAVGVKK ESRRVSELRREW VE01_08672 MSGYPGAGYSGGGGGGGYGPPQQQYPPQQHYQSQPQYGGYGAPQ QQQQYGGGYQQGPPPQQHYGGGYQQQQQPPPQQYGGYNGMPQNRADYGRPGIPTVNSN AYVHGNHQAPAPPPSAPQGFGSGAPQDYNFQYSNCTGRRKALLIGINYFGQRGQLRGC INDVKNMSSYLFENFGYKREDMVILTDDLQNPMSQPTKQNILRAMHWLVKDARPNDAL FFHYSGHGGQTKDLDGDEPDGYDEVIYPVDFRQVGHIVDDEMHRIMVTPLSPGVRLTA IFDSCHSGTALDLPYIYSTQGILKEPNLAKEAGQGLLSVISSYSRGDMGGVASNVMGF LKKATSGDEAYNRTVATKTSPADVVMWSGSKDDQTSADASIASQATGAMSWAFITAMK KNPQQSYVQLLNSIRDELATKYTQKPQLSCSHPLNTNLLFVM VE01_08674 MLAVRLVNRRRNRLRPIAAFAYPCCRPATGLIRAYSDGGQVIAT NVTGGSKAGQKNPKTAESTAEATKSTKKPRKQRSDAGTSRGGNNSGNPPKPRTPSTKP RKKRSDAGVLKGPLLKKAFEDVLHGARTAIPEGEAETDSVPSKRKSVKSLSRIAFGKR GDKARQNIIGEELCDDIIQRLAPSLEKHKGCDIIDINPGVGLWSSKLHDIVKPRTHIL MEPDSSTYKPYLEPLLNQKDSTYKLLPMSGIIWNNLNKLERDGHLPHQTLLSPSDPSL EKPNTTLLVTANLGYWPRRPYIGFPSITTLVVHQLLTATRAHSVFQGYGQVRMLIWMH DLEKRIVLPRLIINRRKSSIEAEISCEYIHEVAGGDLETENFRREQAIDMLSGQRVAP AMAAAGLHTPPHREGIMEREARTSQLAASTPNIGVRRPFEDELTALEERYAAGEFPEF YDEVEDHHVATPEVSLDSTRRRTEEFRRLQALRWRLKAVGVVNDLVESRLDSYEALEN EWVALRASNDPKREEGMARVEEGIREWRRALESGARTTVTAVTQRLEERRGFLAEPAL LVWDRRAMEPLIVKPSEFAPAQPMALLDFQPRSIWPSLRAEGLGCYDYFEFMLAALFI MPKQSVVAGLRALAPGAEEWILPRCPALRDVGKGGVVDLEMLTVRSLTEGMLREMFEE FMRWPFRPSKAEMVARGGSQDLHGDGEGEMDKHGGGG VE01_08675 MASSSAEAAMPMSIAEIAARAQDFEYNPYIKLKVWLRTAELLLR EAQIYQDEGNIQQAYMLLMRFVTLVAEKLSAHPDSKDPANRRALKLMIKSIPDAVDRL EMLKPKIVARYDAWQTAHEGRNKPAADLRDGQGPGSMRRLSKYAEADPAIAGRAKTLE ASEHSGLAVKLAHKEIRRRDDIRRTTRQGDDRDQMGYEGGDDGVDLQRRMEDTRRRMD SRDRDTDGTRKKLTKLHPSASEPSSSAPRSSEYRYPSIAKSRPFKYEDNKPAEIERNF GRESAPPIPSKQVIKTTISYESPAPLRPAKLLKEAPPSSTPSPVDDPSAYTFKPSAYL ENGSPLRTLFLPTTLRESFLSIARPNTQANLETCGILCGTLISNALFISRLVIPEQES TSDTCETTNEGALFDYCDKEDLMVLGWIHTHPSQTCFMSSRDLHTHCGYQVMMPESIA IVCAPSKSPSWGVFRMTDPPGMKSVLNCRQTGLFHPHPEPNIYTDAMRPGHVFETPGL EFKVVDLRP VE01_08676 MTTPTTENPGFKFDPNDPPLDFPAYETSGHKTYLDYQNHKNDHG LTGHRSEKSGAHTTPKDALDVIASKSWLENPWG VE01_08677 MVLLTMTPVIVEGLRNLETSTITTGAVASQGIHEDAALAPGSNE TGAIENEIQDSRKGEKMQRAQIPEPGNTSLNNPSSEPSLQDPKPGNPISHLQIVALSR QLKSINAIPCHLDALLRGSHVYIPPPSPKPAQTAEYKALMARLRRDAEERDYVRLTKP APTSETYSRNLPATSPAFAFASTEAYIEASPDDDITYDDVTRQLTLILNVLVSIIACS AALWMVSKWWSTPARLALSFAGSILVAVAEVGVYFGYIRKVKESVMEERAVKEVREVV DTWVANPNDNNTSQAEERKETTLLKGKDNEAVRRRTKNSKESQS VE01_08678 MKFLSGNIRQLLGSGHPSLDKVAKYYTQAEGKHFRPLLVLLMSR ATALAPKCSTYDPSKPSANINSGISRPDILLDHNPTSPGTNPLTDPAYAYSPTESDIL PSQRRLAEITELIHTASLLHDDVIDHSDSRRGSPSANLEFGNKMAVLAGDFLLGRASI ALARLRDAEVVELLATVIANLVEGEFMQLKNTSRDEKNPQWTEEALTYYLQKTYLKTA SLISKSCRAAALLGGSDNVTVEAAYSYGKNLGLAFQLVDDMLDYTITEKELGKPAGAD LELGLATAPLLFAWKNNPELGALVGRKFAEEGDVARARYIVSQSEGIEQTRALARDYA DQALAAIEPFPESEAKDGLREMVAKTINRRK VE01_08679 MVSSVLGKRSRMRTYANRVRKDTADSPGKRVCIEVVKPLQDITN TLPVVPLPVPKSKRSITDYFAKPPASANPSSSDTNPSSDQPQEHIHSSPSSSSKTPPS SPPPLEPYHTGIKPASRKRRRLTTRPRAIQATRPQTTKPDKMMSPEYFDRPVSSSDSD SFYSSSWEGSIIDGYTATQDTAAQGATLQDKLAKHPMATAIFRSNSFTSSPAKGPKET GRARSKTRAGGEGMVGEFSDMVYPIPANYSYIIMGPTWGTTTPTEGSKGRDAEKAYPI PAYSDSSSKVEVNPARSSNGGNEGGQKENTKPAKLVQTQINLGQNPITTCNVCKFTLN RTVVEDVKAHDKFHQAFVNLASKLDMDEF VE01_08680 MADFHGSSNPFRRRTPAIQTNIENGTKAEESTGTRQLPIDQPMR HGDAPIKNNDKPMKHVRVQSPPPSSPEDTIQDKPHTPLPQGDEGHALGNDPFESVLSD ESSDDNDDEIPPIIGAGNNGRVPIAPISVAGNDERTPIPPISAAGNDGRTPIPPTSGA GNDGRAPMNPFSKTLATLERTEGKESTSETPPVVSGKAAMDVESFKRLLMTGSSGIAA PESLPSPPAQSAHAGPADGSSTEASSVSRHSIFENIQENLPESPRTSHEVSDADDERR RIPTALQRSASERKKPPPPSSRHGKLINVQLRDDARPHSLYVTSTSAPSPLSSTIPTL TAAQRSATDLNKPLPPNPSRASHESDRESIFDRESVGKAPEPPSPSNSLRRKTAPAPP SARRHGVPVADPNSPHLPPKRLTNMGDDSRNSSIQDLSSLHPSTNQQATIKAPPPPPL RRPGSIRNSVISPTVTMSDLENAPSIPRAPSTPSKRLSVVPPPPPRPRGASGASIDAN PSNPAASTTERPDILADLSALQKEIDALRGRAA VE01_08681 MEDVSVYRPHNLLYTQEPLSRYRQGGYHPVTLGDTFNDTRYTVL HKLGWGGYSTVWLARDKKDNQWVSIKILTAESGDKSRELVNLHTLSSSSQEGLAARYI VRLLDEFTHNGPNGTHKCLVFELLGPTVVRIVEDFYGNDEKLEPETILRISEQLLQAT AFIHRAGLAHGDISSRNIAFTCSNLSYCADEESLLKVVGTPEVEELARIDGAPLQQGL PNQLVKAADWTEWIDEDEEDIRLIDFGETFTQGAEPERIAQPGVLRVPETIFTDRFDY RIDLWRVGFAVRIHECSLEDLYSGGTNSVM VE01_08682 MLTRSWLPRKRLLVITIAIAIIIVFTTLSDLHNRSSELVASLHL PSYGGSNTAEQETGSQVAITSLLDAQAPKYNYVKAILDPKDEEFERLLCPAPATKRYD YLRVGQGNAEAGDKQIKYFFALNLRQCIGILPRLLGSVVETVRFLGPENCALSIVEGH SDDGTREVLDALRVDMENLGLEFHLQTSDIDPKQGDRIEGLSGLRNLALEPLLNNSTR YSPDAAVVFVNDVAICMEDMLELVHQRVFQNADMTCAMDWSDIDPAPIFYDIWIARAI NGDTFWEIPPDGGWRFSSNLFWNHPVSRQRFDEHKAFQVFACWNGAVVFSAKPLIEKN IRFRKSKAGECYSGEPRLFCKDLWIKGYSKIAVVPSVNLDYSDKSAKKAKTLHGYVSD AVKAEGNDKSLKIVWDDKPPEQVKCMSDFSDQSWVPWNQGFESES VE01_08683 MRRLVVFWVGVLLFDIRYAGVQAQKAVWKTPVKGENLEANTIDS VLLDWTSTLPTTILRMWCQNKTDVNNLVLASSFFVDSNGPFEYVMEEYLQDKTEFPLA CHAELAENPVGGGTDCPAAIVWSSNAGEAAKTVSQTKAASTVTVTPTPSSTPPSTTPT PTPSSTPPSTTDSTSTDSLSKPTTSASSTGPERTTKSSTNTSEEPATLPTKIAESPSG ATIAPVAPASASPSNIGAIIGGAIGGVALIAFIILAVLFLRKFQRNRAASPIPTDSTR RSFYLFNAYNKRGPKARTTGVFEKDGEYGLGIQEKEANDVSRGVRVYELPESERERRY SPVELPGGSVYYP VE01_08684 MLQRVVFCGGLLLNVQYASAAATWKTPTTGADLKANTQDSVWLD WTSTYTAPILRMLCKDEANGGNLVTVSHFEVDTNGPFEYIMDSFLEPNKMKYPLACHA QLAKDEADTAGLDCPVGIVWSYDASKAMKTVSAIQAAAAPTTAKSEVASTPTPTPDPV GSASTTGSPSKVIAPPSVAEKSTTESPSQVTTVPPSTTEKPTTEKSTTDSPSQSTTPP SSTDQSTDSSSSQSTTNPTSSSTDQPSTPSKGSSASDSNTSTAPVATTSSSSNNTGAI VGGVVGGIAVLAFIVFGLLFLRRQKRNRTSFTPVTNNESSIWNFYFFNAQKRREQKMA AGPVYEKEGGGMARELEANAAGGKGNHAVPYDPVELPVGSNQYP VE01_08685 MEKDSPPTPPHGDTSTPPPAFLPEKEKDLDSNLESPSRSTSHEA SRLDPASLPTNALQPIQSSHSAQLSLSSVVPVDIRVRALGVSVDTNPRNLHSADGLTN LFRPKAPAESRWRPLLDNVSADMERGTLTAIIGGSGSGKTTMLNLMSGRMGDGGGRLR ARGSATFNGGLLGGVRSAYVMQQDVLLPTLTVRETLQYSADLRLPPPTTAEERRRVVE EVILELGLKECADTRIGTHVHKGCSGGEKRRTSIGVQLLSNPSVMFLDEPTTGLDATS AYQLVRTLRGLAAKGRTIVMTIHQPRSEIYGVFDNLAILTKGSPVYSGPADGSLPYFK EKGYSLPPFVNPAEFLIDLAAVDNRSPELEEASLEKVRHLKEGWNQESLRKWGDEHSS GGAVIAPGNSDSRRSAFYRQLFVLTARTTKVTLRDPMGMASCIIEAVLMGIITGWIFL SLGEDQPGIRSREGALYTAAGLQGYLILMYETYRLSIDIELFDRERGEGVVDVIPFLL SRRLARFLIEDLPVPFIFSVIFYFMAGFRAEAAQFFIFFSIVLLNHYIAIAFASACIS ADRSFAGASLIGNLNYTIQSLACGFFIQSNTIPVYVRWLKWASYVFYAFGALCANEFV GHFYDCPLEGGEANPECKQYTGAYVMDSLGFPHDWVSTPILALLGFAILFYILAGLGL RYIPVEMAIGRARNSDADFSSGKEKMSVRSAEEVRAIEIGLSGFALDLDKRTALGNKL PRKTILNPVDATFKAGVLNVIMGPSGSGKTSLLNAMALRLFDRMGTTYLRSGTMTFNA AVPSNAVIRSVCSYVCQDDDALLPSLTVRETLRYAAVLRLPSHMTTAEKHDRAEAILL KMGLKDCADNIVGSDLIKGISGGEKRRVTIAVQILTDPRVLLLDEPTSGLDAFTAASI MDVLRGLANEGRTLILTIHQARSDLYAHFGTVLLLARGGAPCYAGPGSGMLPHFSALG HPCPARTNPADFALDLITVDLQAADREAASRDRVVGLIAAWGREKPAVVAAPGAIATP AELGALMRAPAPFRTAYPLLVRRSLVNLRRQPELLIARIMQVVGVAIIFTLFFAPLKF DYFSVQNRVGFIQEFCALYFVGMLQNVAVYPAEKAVFYREHDDGVYSVEAFLAQYTTV EIPFGIVTCIIFAVLADLAGGLPRTATVFWVVVFNCFCIVTCGESLGIVFNTFFSHSG FALNLTSIILSISLIMSGILSISMPAFLRGVNYLSPLRYVIRNLAPYTLRPITLTCTA AQALPDGTCTVPDGEAVLALFRLDGNERLELLGVAIATVVYRLLAWALLRGARTHWGD VWGRLVGKG VE01_08686 MRTTTLLAVALTASIATATLPASITHAPRAQPTISEDPWQCVTE NLTQYLDVPKPTGLLFSALQSYASDLYEPCLAAMSTATYLDYCFPPQSEWCAFTTAAP KDVLPAYSSYASQAYSWWSARSSTIAFLKQDCPNNWDLSLWRVPGGEEWLNDTIAFAG CYAEALTATGPAPTGLTTGGAATTETTATSREGAAVGSATPTATDVPNGAGWVGVDMW MVAMAGFAAVVV VE01_08687 MAQQTITGIIPKWSQIDSKVCSNIIQCQDPCVVQADPDIAGIGV RVATYTQTICNVLYLGFVSSADRTTATYGSLILTSLATIFSSQTQLSLYHATLAISLL NITMLPTHVLESWRVRSPGIFLAQQLRLIMYFGIWLWIVFQMPCLGSESQCNLCTRTS FLGFRGHITRSLNRSWILALVLVAGYFALRTHVWFYGPAHAWGSVPALISTRRKQAWT AYIDTTQESIQNYRVADTQRIRARNPRAIPAINSRAGIKAPTSAETSSWASRVYHDAL LAIRVPRYQRAIISLFLACILILDTEKTVALNLAASRNQWG VE01_08688 MRGLLFLTLAANLGSAFAQVVDLGLAASYGVLAHASISNSGPTT VNGDIGTTGTSIVGFPPGVYTGNRNVGLLATTAFNNAEAAYTTLGQLPGIILVGNLGG RLLPPGVYRFPTAAVLSGTLILAGQGSPCDSWVFLIGSTLSTSVGSSVIVTGGGNPGN VFWRVGASATIQIGSQFSGNILAGVAVTLNPGASIQGSVYALGSSVVLNSNEVAAQAN SCPLGASTSTSVSSTSTTASSDSSTSTDVSTTTDSSTSTTTDVTTTTSSDSSTSTDVS TTSTSTDVPTNTSTSTDIPTTTDSSTSTDVTTTTSTSTDVSTTDVSTTTDITTATSTT TDVTTATSTTTDVTTATSTSTDIPTATDVTTATDTTTATDITTATSTSTDVTTTTSTS TDVPTTTDSSSSSSSVTSAFASLTSTSTASLPSLTSSTIISVTNSSAISSPPASTTSI VYATTVYTITKCPATVTNCPIGSATTELISLYTTVCPVAASATKQPPSGYTVSTVFTT IVYTITKCPKTVTNCPVGSVTTEIRSLYTTTCPVTQSFVNSVLTPQSSIPARPASSQL PAAVLPSRISSIAIASPVSTVSLALGLSGAAPVSSVSPASGPSSVQGSVLVIGAPPSS NLVISTVTASKGTVNVSQTSQPSAAYTGPISSSGVMNKGTSASLLVLAIGALLFL VE01_08689 MANAAFKNLKVNGARLMKDIHHTAQWGKGAVWGSGVNDTGMSRL SLSSEDKLVRDWFVKQTKSLGCKVHIDAMGNIFAIRAGRNEGPATFMGSHLDTQPSGG RYDGVLGVLAGLEALRVLEDNKVSTAFPIGLVNWTNEEGARFPTSMVSSGVWAGSIPL ERAHNLKEVGGGNATMKSELQRIGYLGDTPASYESTPLAAHFELHIEQGPILEAENKR IGIVKGAQVYRWHTITVGGRECHTGATTFPHRSDALLTAARMISHSHVLASEFLSLAS TGVLTLKPGSTNTVPGEVTFSLDIRSGADSQLMLLEEKLKQDFETIAKDELVPGTTRA GIKGRGCSIEWQLDSTSTATTFDADCIRCISESAEAVATEAGIAADDFMKEGMISGAG HDRKAASCVFDYDSGFLETGDGCLASVPKKRESS VE01_08690 MFVNSEARAWTCSSAIDAKIDTFHCNLPGYSQTPLLDCSSISAE LSLKNVFLKDESARMGLPAFKILGASWATYKAITKLLQLPTTVNVQTVIKGIAEKHQG LTLFAATEGNHGRAVAKMATLLGIASQIFVPQYLNKSTRDLIEKEGACVVEVLGDYNE AVVRAKDTAHELGIDGLLIQDTAFDGYEDISQVQCSLTLLKPKPTKISQWIVDGYSTM MKEADSQIYNITQTRPDVIVVPVGVGSLAQSVVTHYKSQGHPCTIIAVEPEPANCLQM SLKSDTLTSVSTEDTIMCGLNCGTVSSIAWPILQKGVDVSVYVTDAEAHESLVQLKEC QAVIGPCAAATLAALKKVVRGPGLDKNAVVLLLGTEGIRDYEVPTY VE01_08691 MDARARYAHINSGTFEHAGPLEVADDEGASGQVLQRGEIRRVGD RKDLAHISRIQRTIGQRKRMLGMVKAGVITTSAGTVERVVDNRQEEDGGSATPTPSGS WEEQRGVKRKVDACGHAIPEEEFDELIEPKVESPGDDAALALIESHRRSMELMSPVAA KRPRGRPRKHPVVPESLNKITKGRSKTGCITCRKRKKKCDEAKPRCNNCEKNAVVCEG YPERAIWKSGKEKAEEGTAVWSKDISTSMLAQSTAQLSRVCIPNIQLQPVIHGVDTAG DRIFFEHYVFRLSAVLTVEGPQKNAFKDMLLPMAVKHLGLMHSILALSSSNLDYQSEY GRAILAKHPDVTENSLCERALFHQEEAVKEFVLDIERQNSGTTENVVLSVRYGQMLCF VVKSLTEGKTTGEHRVHLQAYQKLIRETPPEDSPFMDFIKEYFQFHISVDELVHRPAS PPLSSTSSPAPDDDSSLSLPPALFQPEAECLMGVQDNLFYFMSSITRLRNKIRINIES DIDPIVDYNALYRASQIDASIRAWQSAWPVGEVRHVAGLLYKQMLWVYLWRSIYPPKA TRWAPDTKITSAVNGALELLKLIPANDPCQTVVLTPTFIIGCAAFEPEQRIPIRESIR RIRAYTTLRNADRALEVLEEVWRYMDKRDERSWDWQGIASDMGMDFLAT VE01_08692 MISIGQKLGVLLAFSASSTLGQQVYISADGPSHRPNCESCNYTT TPTYHFTQFSFTQTETYRTATSRPVPSTTASYAPHFSDLSTLVPDISTTTWGNWDPNA TAQATDSANPYGNAAWTAMWHAANVSNFTEVPLYTTTVSPTALPTSELILPPADYFGP TDCYTFPEDFIFGVAGSASQIEGAVAMEGKSPSLMEILPGAQGKSKDYVTNENYYLYK QDIERLASMGVKYYSFSIAWTRILPFALPGTPVNQQGLDHYSDLIDFILEKGMIPTVT MFHFDTPLQFFGGNNSQAADDALIGYVNGGYQNETFTDAFVNYGKILLTNYADRVPIW FTFNEPLLYCDNGKSVNNVIKAHAQLYHFYHEDVQGTGKLGIKFNDNFGVPKDPKNQS DLDATNHFNDFQLATFCNPIFLGIDYPEAFKITIPDYVPLTPEDLAYVGNTSDFLGID PYTATVVSQPPEGVEACAKNSSSPNFPYCVTQETQNVFGWNIGYRSQSYVYITPTYLR TYLSYLYNTFKKPVLVSEFGFPVFAESESAAVEDQLFDSPRSQYYLSFMSEILKSIHE DGVNVMGALAWSFADNWEFGDYTQQFGLQYVNRTSQERRFKKSFFDLVDFVATRMGSS VE01_08693 MTNASDAAGNEKMKAGNEKAMAGSETDTTSPASPTVRAEHEDDL DDAFKYLHDHANAESTSIDLVALRRKIDWRIVPIMFVCYVLQFVDKVVINYAAVMGIN KDLALTKNNFTNVGSAFFIAYLIAEVPTGYILQKFPPGKWLGINVCLWGISCAASAGA TNYHSLLAARIFLGMFEAAIAPCLMLISSQYYTKSEQAPRFAIWYSGLGFGQIIGGLI SFAFQHVTNKHFKSWQAMFVFVGIATSLVGIATFFILPDSPMKAKWLSEPEKAALLKH VSINQTGIQNKNFKMRQALEVLADPQLWLLTICTICISVSSGVITTYSATLIQSFGFT PKRTALLNMPSGLVSIAAALIVGFGVRKSESRWAWLVLCCIPGMIGGGLMSFMPASNR AGLLAGIYLVNAITGTLTVIYQWTMANVSGHTKRSVAAALIAGSFSIGNIIGPQTFQA RDAPRYYPAKIAVMATQAGGAVVAIVLFGYYVWANKQKDKRQAVEEGLSLSAGNEKIL WENLTDKENPNFRYVY VE01_08694 MQHSPEGQLPRSLNERPSAPAAGADSHILIIGAGVTGLLLAQAI RKRNQNNPPITFSIYERDADPLARGAGWGLTLHWALGQFKSLLPGYLVERLHEACVDI DSAARGELGNFKLFNLQTGQDDFMTPSGDSPRHRFGREDLRRLLMDGLDIEWSKNVVD IQELGSDEVIAKFSDGTSAKGNILVGCDGSRSRVRRFLCPTNYTNNVVPVRLLGTTVQ YPEEKYKKIQALDPYFFQCCDPASDTFMFYGFLHVPTTKEQEAANGSLPATCQVLTSW PYKAGFLGNPAPNEVPKTNAERVAWIKSITSGWVEPFRGLVQDIPEDADPKVISLEDW PPRKGSWDNRGGRVTLIGDAAHAMTMYRGEAANHGVADVRVFLEQFLPENHQDPSPLS LKDKIDAYELEMIERTCPAVLRSRKACMHAHDYKSVTTESPLIARRAVVVDDEE VE01_08695 MTLSVKAASRRQQNESNKHVDGLHLRSPGPYATTITSMCSRNPT LRYGDAKWMGSDPDYGALTVLEFYENKRISRIDLKGSEMLKDYLISTSGENPQRRLFL LEGVARNFVQVFGSHFGMDPDFFARQKRTRSWEVAHNGGKTPSLPSLKNPKRSFMIKY LELRYFPLVPDETSCQEPKPLIPQVDYSYLEDVTGKRNINVSRKKRPVDSEKDIIGEF DNVGKVSRCASYWGKSYANGGWDAILLLDPPLNEIVKIDRNGHKIDRKPLHHVPFQGG YLDFIEYPEEGTSTAAKFFKKHGPPRTSVLEDICFYWMNHADVVSVGSDPSISTIFLK KIIASHWMHYAEYVTNSAHSSIYHMSRGEAFEKYTVATTEKWWTDLHDAHRVCMLACE DVAAILESLRIPMDQPIREFDPENYLNSSGDFVAIYEKLLWRKDHLELLISSATGLNA IAGNKEAAVKNAIDADRSHKEQIKSLGEAKKASILTFLALIFVPLAYTASLFSMADDW QPGRSKFPYYWAISLPLAAMVAVTFYIISLGSSSPPKKILDKRQIYNGSDRMDVERGQ PNLSS VE01_08696 MRPSKDESESWYSGIDARMDVVSLLVAQSSTYPFQLFTEETRDE PYGSPWKEWKRKAIFTIGNHKITTIYDSELRLRVHEILSSITWKTIDVVRLGYEDEPK LFPVPKIGASIGRANSGSVGSIFVFLKIDGSNYALTCEHVVTTSSEASTPRNDPDTIL QPAKKDLRDYETDRDYGIEEEKWVLEELDAKKLKSNQEGASPVLEGMSEEAKLSLNKL NRCIADKLKMQNVRLPFGILAHAPGISTHPLTNHKRDWALVKLNDEMFQTLPPNVLPP PHFTLVERSLNYFSYQGDSGACVIDIEGRIVSMLHSGNGENIPFGAEITYLTPMEWII QDIKDTLKTEDVVIEKHIEEQD VE01_08697 MPDITAPELKSSLGIYRLLAPSAAVRVSPLCLGGMNFGEEWKDF MGECTKETSEKILDYYYENNGNFIDTANHYQNSDSEKWIGDWMHSRQNRDQLVLATKY TTAIRIPHPHEIRANGMGNGAKSLHTSFAASLRNLRTSYIDILYVHWWDFSTGVEEIM QSLNALVVSGKVLYLGISNTPAWIVAKANAYARAHGFRQFSVYQGRWSAEHRDVEREI IPMCQDEGMAFVAWGALGGGNFRTEAQFAEMRGKAKEGRGGEPSEDAVNVSRVLETVA QRKGTTIAAVALAYLLVKAPYIFPIIGCRTLAHLEGNIGALGVELGEEDVKEIEAAKA FDVGYPGTVFGKDLESQWLPGMAGRFEYVKKAGAIRLGESE VE01_08698 MKIILTGCTGVIGSAVLQQCLHNLSITSIVVLSRRPLSSHFSSP KLKVIILNDFLTYPDEVLAQLDDAQACIWALGGMASKYPNVETAREVNIEYPLAAAAA IIVHLRTQKGDGRRFRFLSVSGMSAVRDMNIKLCMFKDSRQIKGELENRMIDLAERNP DSFEVFLARVGTVIPKDNCTLSIIGSLTAGIQPIIGADELAVAMVDIALNGAETELVL HEELVARGKVSASDSG VE01_08699 MASFRQIGTQFFPPKPTFTEKNVPLQRGKERASQAIKSITDASP APETPGAMKFLLLDLNDLSSVKSAAVTFAQTEDKLDILWNNAGTGANVVQPGARTAQE FEAMVGMHCIATLLFTQLLLPQLRAAVSASSTTPGSVRVVWTSSLLVETGAPPNGIEF ENLTTGTADRVRNYTVSKAGSWMLGREMARRWGEMGIVSVTQNPGNLRAHSYDGTPAY KMFFIKPMLYEPQFGGYTELFAGLSPEVTLELNGAYIIPWGRIREDQDCSRKDIVKAI TPKEEGGLGYDCRFWEWCEEQWRPFV VE01_08700 MTLLIITLLIANLFGVALGQGPLTQTIELPIDHFNTSDTRTFLN RFWINDTFYEPGGPVFFFDQGEAGVGDTQPETYLGPQGELIQFAPLLLAEKYNGIAII WEHRFYGSSLPFEVDNETGLALEGYGAYKYLTNEQALEDAVYFARHFSPAGYDEKAAK SLRADKTPWIWLGGSYPGIRAAMIRQRNPETFFASWSSSAPVQAVVDGNAYYNTIQQT MSPNCSADMHAAVTYADQILKSGTADEIALFKRAIFLTYTANPGKNNTFNTFPVPESP EDLTEWAIASIITHTLQGSLVAFQTFGYARALQPFCNDFETWNPANFTGFTLSSPITS LTENSGGGIPTPDGIAASSSPELAFYAYLYATTRKMASDYIFLPNAYFSPSDRMAWTW QLCSEFGEFQTTQAHSSPATNIVTEHYDVDGTIASFCHGPFPYAPAYPNVSAAVGKYG SWGMRPSNVMFTNGELDPLRSIGVQADKGINPEALDRKSTETVPPCGVPPPGNDVFGV VYEGAVHVSDLAFAASGPAPVEKGRALFESALDVWLDCFGKEKDECHKQ VE01_08701 MAEQPHLLSATDALHLLQTGALTVEAYATALLTHIRSNEPTIKA WAHLNPDLVLTQARRLDQLPSSKRGPLFGLPFGVKDIIHTKDMPTSHSSPLFPSPPNT QDAPCLVVLSNHGALPLGKTTTTEFAISTRGPPTTNPHNQAHTPGGSSSGSAAAVAAF HVPLAIGTQTGGSIVRPAAFNGVYGYKPTWGAVSLAGVSSVSPTCDTIGFFARNVADL QLLATAYRIEDLEPVLETPLELKGARIAFCKTPVWEKAEKGTIDAFTEAKELLRARGA EVVDLDLPAAFAEVGEWARTIHAGEGRSSFLGYYLQDKEKLDSVIQGQVENVKGVSRA GLMAAYDGCAALRPVWDGLAGEFDVVITPSVPGEAPEGLGYTGDASFCAMWTVLHAPA LNVPGFVGEKGLPVGLTVITTANYFPITTSTSLQLQVAFVLKYKMEESNSNQLRRSCN RASTRERQNVLSENQTHNREHDRHRRRRDLLRAQVGQSIQPIPTSPVYTPVSSTPGRL GDRFEDEPMEEVIPVHSPEHSSRSDTIENQDVPLGTFQHAAESLVEQPLPTTTTDVRN PDIIRLENIFRAANSTLSKEAFGKDQTSQKYMEWGSQLVGLFDYGTTIHRPETVLSIF SSPRIVDTNEQIRDTAISPDIYRLLLKIKHDFGRNLGSKIITEAFGLLEISRYFATEI SRRLPILPSSCETCRTRIIEDIKLDFASANAGLNRQDLLIWRETNQQAYTTAMAPINK LYQNSNAIQSFVEEMGTPAALLIWPFSKAQRVTKLALHEQPLVFVSGMIQFIKGTDRF PSIQNLINHMSVWVDGWVTGTIQPHVACGYLHRFRYSGVSPDEDFSPLSMYDVLTSFM HLWDLRGLPSPTVGRLGTQFTFNATSDLKGFSVASDFRAFKPTYRLNERVVASVLATT DLPTNVIYVRASQYGSCHDNRTFMINKAMAIHPEATTILCPVHTGMSDHWVGVVVRLV RRGVKLPTMTLELLDSHVHQASTSLYDENIGMGIILTWLRFRLQDYDRFVIPHLMIQG RVAQETARNSCGVHTLANLIAAGRSSDYPTDSSEEWVDAQRYQYLAQTLRSAADNLIL DPKRQFIKTTLINFVDNHTKPGQWEL VE01_08702 MHDISQGAPGDRKAIDKEKGETLSIHSSDTSPDNESSSLGKQDG EEYTGSAPISKTESNVVRRVLTHLSARTSNVSIGPPPDGGFHAWLQCAMACMTVTTTW GFVNSFGMFQSFYVGFLNRPPSDIAWIGGFQVFFLFFVGTFSGRLADAGYFKQVIFVG SFLQLLGIYMASISTQYWQLILSHGICVGLGNGCIFAPSVALVSTYFSTKKSLAIGIS ASGGAIGGLIFPAMVQNLLPKIGFAWTMRSLGLVDAFFLLCVNLFAKQRVPPRRSGQF LDLQSFRDMTYTLYGIGMFFTFWGLYFPFFYLSAFARDKIGFEQTKSINLVLLLNGVG IPARIFANYVADTWTGPLNLMLFAALGGSIVMYTMAAIHDTAGLYTWTVIYGIPGAGV QSLFPAVLGSLTAKDLSKAGVRIGMIFTMVSFAVLSGPPICGALITAGNGSYLGPQLF TGSSILLGWGLMCGARFATAGTNVKIRI VE01_08703 MSSYPPPPASYSASSLSHPDQSADNNKHDLLNQLHTASAQSYSY DANTRPLQFPGINSIPNASTQQQHVGNGQHGGTPTAAQIEAQKGNRLRKACDSCSIRK VKCDEAGPPCRACAALEIPCTFERPSRRRGPPNRHAESIKRRRLEEGSPSRQFSTPSP SSPSNVAATLASFSSHAVLSAESICPFSILELLVDDFFTYIHPLAPFPHEPSFRAAFK QREDLSNPSFLALLASMIGALVASFPRKPIMHLKAQHQEKLFPNSISLVERCHKVAVE ARGAGYLDRDLTVYDAVTSYFLGLAGAYTINWHQCRLYFGETFTISRAVGVQRANENA KRGDNKIDFIKQEIGRRMFWVMVVGVRSMQQLGASFAELTIPPPTPSNPYPPLPLPID DEYIFATHIVAQPPGSISKLAGFNLGIQIYMTCTPLATMELAYGIDELFDWPRQKRVL EECVENVKRTLENIPSELRLEAGNEPGRFGHEPPHGNNSTGDSHHSTIHSPPLRENTS KPLYYPHAQPQGFGQIYPPPPPPQRHTANEPPVDEKRQLQYEIQKTNIYTSQLGTRSY LVEKYFNLLDAHEASLAAAASGQQPPLQQRESTSHDNGRMISPTGTFLQPVPAPMDIA AESTSDAIATRMAAERETIVQDLLRVLGSISQVNMEPNGGSFLNKIRQIAATLLDTPR NHKGPLARRAEEYLGKFLDVLMRFEGGGRGEEDEGESELRNWADLREHQSRFARGGGF MNEVI VE01_08704 MATITAPPPQHHTTWPTPNQPPNPTPSWPPPALFMKMEFGISLA PTSTHNVSLSYASRPITTSRLAKTIPTFLLPSARRGRGTKKDARPSPRSRSEILMRPA STVTPSYQQYTMLQPSYPHPERPAPQRTSSLNPNYAPPLRWGPTRHFPEHKPLPELPS RFRLGEPDLPWSSPAPSIRSTTTAQTTSTPSVAGPSSDPVWSAVSPPSTTWAAPRSIS NPSSPVVSPDVSFAYPPQPQPHHHQHSGSVSSPASPADAVPGPKPWRDTSFYTSIPGP RSITPPHNQRARAVSASDRGTDSMSGGGERESVRDYEAELRARDETRMAIDPLKEREM HNLQAAMMSFDALGEWDETTASVGMNAAPASNYPHHTYMDQWNANNNGRSRNVGWAEG RTNSPRDLGWAVGVGEDGHMGVVRDDEAGWQRYNFEEWDRAGKGWSYRDVNGFTSYWP DGMRRRSWNGGETPVWAARRR VE01_08705 MEDGKYVDGSLWYYAPNKIAPIVFTVLFTLSGALHFYQSSHHSS WRITWIYSWSSLLFIAGYALRTAGAYHYSNINLFISSLVLLYAAPPLYELGNYLVLSR CLHYMPHLSPLHPHRTLTLFLGLSVGVEVLTANGAAQSITGSTVAKQDTGKALLKAAL VLQLGVMGLLLAVAGTYHRRAARAGILARHEGKADIRNVLITLYCSCGLITVRTIYRT VEYFFAAALHPPYDAGEVISPLIRYEWFFWVFEGMLMIANSALLNWRHPGKYLPKRNN IFLRPDGTERVGEEFKDQRPALLVVFDPLDLIGLVLRRDKKWWEDEGLPTVAEEEARR AGEGREGGERGKESSSTRS VE01_08706 MTTTSMVKPKFLTRGNELGVVAVGFSGGQTKAGVDAGPSELIKN GLLTQLHEDLGYDIHHDGKVHNYSDVIPDPSADPDHRGMKQPRAVSAVTRALCDQVYA QAITGRCVLTLGGDHSIAIGSVAGTAKAIRERLGREIALIWIDAHADINTPEMSDSGN IHGMPVAFLTGLAKDDDESMFGWLKDEMKVSLKKLVYIGLRDVDRAEKVLLREHGVKA FSMHDIDKYGIGKVMEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLREG DYIAECVHETGSLVAMDIVEVNPSLEPGLDGVGAFETVRAGCSVVRCGLGESLL VE01_08707 MSGKSNSSGGGSSGSSSNYTPYTTTSTGTNSQGNSYDTRSQPSG SAYHYSNTNGSYYYSNGDQSTYYNDGKGSSSYTAPSGKVYKK VE01_08708 MDCGDAGYKYGDHDGLMSKLCRACFYPEAFTRYVHLLEPFYNLP TNVLSPADSQRAKITGLFLLLLLVKGDYAGFHTELEALEIQGADVEGDPFLGYPVRLE RWLMEGSYDQVWKAMRSREVPSEEFGIFSEILIPRIRAEIASCSERAYPSLPVSSTKD LLFLDSEGAVVQFAQSRGWVVRDGRIYFPAQAVVKAEGEEREISHRAIENTLGYAREL ETIV VE01_08709 MEKIPTTLPFVGGAKEEVAQHPIGPLTASEITRATSLLKASWPA NTDFNFKAVTLLEPLKAELLPYLQAERSGSTPAKIDRRAFVLYYIRNTDKLHEAVINL SQGGKIESNVRLGANVHSNADGDEILMVEKIALEDEGMKAAIAKLQLPEGSVVIVDPW IYGSDGVHDDKRMFQCFIYMKDPKHANEPDANHYAMPLPISPVICAETMKVIRIDVLP TGADATIKELGPYKVHPANEYIPEAQTLRTDLKPLNVVQPEGASFTVSNFSDLGRTVD WQKWNFKIGFNLREGMVLYDVHYDNRPLFYRLSLSDMNIPYADPRHPYHKKAAFDLGD AGAGIMANNLKLGCDCLGSIHYVSAILNNSAGDPLPMDNVVCIHEQDNGIGWKHTNYR TGRAAIVRNRELVIQSIITVANYEYILAFVFNQAGELAYEVRATGILSTSPIDEGITV PYGTVVHPGVLAAHHQHIMSLRIDPMLDGPLNRLVYDEAHPMPVSDSNPHGVGYTVDE TLVPTSAGLDLDSNTNRTFRIANAAVRNPINGAAVSYKIHAPNFQKMLASPASFHHRR AEFADHNVYVTAHRDDELYAGGRYTNQSRGGTGVRSWAERGDSVEDKDIVVWVQFGIN HVPRVEDFPVMPCEIMRVALKPVNFFSRNPAIDVPPSTQATNKSVLVAAEGTKAEALV GEEGKVCCVKEVN VE01_08710 MAPTTRSSTQEVTQTVLVSTRAVIPDAQGNLVVSPATITISPAT GKILSVRPEVVPQSEFPVDTVYIDHSPNIILPGLVDAHVHLNEPGRTEWEGFWTGTRA AASGGVTTVIDMPLNAIPPTTTVAGLKEKIKAAEGQCWVDVGFYGGIIPGNADELVPL VEAGVRGFKGFLIESGVDEFPAVSSTDVALVLKTLATQPTTVLFHAEMIPPITDSVGD DVQASLPPAQPHGPLDAYSSFLASRPPAFETYAIEEVISLAHLAPSLPLHIVHLSAIQ AIPLLREARQKGIKITAETCFHYLSLASEDVATGDTRHKCCPPIREASNRDGLWAELN EPNSVIQTIVSDHSPCTPELKLLPDHLSVAEPGTADDGKAKGDFFASWGGISSVGLGL PILWTSAHNQTAPAKPALSIIDVVRLCCVNTTKQVGLEHLKGALKEGLDADICVFDDE GSFVVEKTDMLFRNKVTPYQGKRLKGVVRETYLRGTKIYGREEGVVGKSQKPSGILLL EKRQA VE01_08711 MPRRNPPSSGPSQSSSDRSSSDPDQTVPRRRTAGNRHYKSRQGC FECKRRKVKCQETQPACENCVRLSLSCRYLPYGANPSPGQAVTLHEKQIFNLTDMRLF HHYFVAAYPHYPVRNDNIWISYVTPISHQCDFLMHAILALSASHLEKLSNSGLTKVAQ AHRLAAITGLNSHLDKPLKTAADGDAVIATCFALLMQSWYMDDGLESFLVMTRSCELL TKHVRSQKVDAILARDDCSRVENMRPRLHGAPSYDLDFLSLASQSVNDVGLLCEESYQ WKLLTSLQQCIASLAKSQMEGYMSHLNIESILVSMDHEELVQLIDPQNVISQLLLAHM VAIQLILRPIVCRERKQYTVTMFSIRMSRWVETICDNVGAGYDGFLTWPLLVSQYHNT KTLEKHILASPATSTGIVGYNGGMNLVAT VE01_08712 MVRSHPILERKDSTASSGSSNSTLSDTDCFERFTATGRPMPTDY RSAWSSACVFGPTAPHFLPFRFTDDSIIPVVVRVCAESFKAGVESTSQKRRRSLRDRF RFKKTKVVVAMMPRRDYLRYFAHDESGRYVGTEKEESWSEGDLEDEFGHYRLMEPRQW VVRNSGGVAYMEEE VE01_08713 MAGTNPQPNNARPVETRETSSDDKNMTLLDLREKLDLWYMIHVL LYDLCNISKDHAAEARTLCTTDELYISAPYFTPEEALLIKNTVVSRPILTDIPEFEAL EFDEDINSGTNEPPKEVAPEKTLTIEQAITSCLQNFFAKRRASGDARPCGPHDMGPIY KAVFGIRTEELNDEKFLGRLRRTALGQSNDKTANYEVKGKRKKKASKVD VE01_08714 MADRSVMSRNAGPRLPTDPVAVADISHVETHGYVILPSILPLEE VQAAKAEIRRLSGSTPLAGRNPFEGLDTTRIYSLLNKTRVFDKLVVLPRVLALNDYFL DPGYQLTAFHTIQINPGEQPQDLHHDDGFCHFPRPRGPLGTAIMVAFDEFTAENGATR VIPGSHLWGSEKPRKEQAIPMVCPAGSVIYFIGTTWHGGGPNTSTSSRMSATVQYCQP YIRSLENQFLAVDPRRLPEIDTRIVEMMGYKIHQPFVGYADGLSPVRGARRMVEWLQK PLDKNPPAFPDHGTGKSKL VE01_08715 MPSQVFLISLTLFMSTFTSALLSFPPVKFNQKVIGPSTNPAATA VSYRLKDKYDSSNFFSSFSFFTGSDPTHGFVNYLSHSAAANANIISTGNSQVKLHVDH TNVAPTGRASVRLTSQKQYTHGLFIADIAHMPGGACGTWPAFWLFGPNWPASGEIDII EGVNLQTTDEMTLHTSAGCVVDNLGSLPGTTTLERNCNANSGFNGCSVSTSNPRGFGT GFNSIGGGVYAMQWESSGVYVWFFPRGSVPADISSGAPRTQNWGLPVAAFNGGNGCNI DQHFKNMNIVFDTTFCGDWAGGVWGQGACASLGSCESYVANNPRAFLESYWLINSVKV YQLG VE01_08716 MLTVRDSPRLWRIAIDDFLSAEILSEYSKHGKTCLLPGLGFRND IIIPGASLRWYTSQPDHVLNSYETFLELNQAVYTTGDVNVIRNPWQGDLVRTQMLSLI EPIVADLDDELKVSIDEKFGMDEKDWREIDLYEMVRIVIARGSSRFTVGIPLCRDESY LRRTIDYIDNTILGGLLIGILPRPIRPLFGAFFRILTTLHLRSIRNSLIPLFHSHLSL LQTSPGPEEPCDHLQMMLRYAAANFPSEINLTNFTARISVSNTGSLYQASIAITNALF NILDSDAEYSTIDALREEAATTLVDHNDIWTKATAAQMIKMDSVCRETLRLHAFANRS VFKKVVANGLVTEDGITLPKGAMLSLLAQPAQTDPDVFEAPLKFDPFRFSLAREAIAV AASQNSQSLIFSTGLDSNKGLSNGPPTHPLGKLPLVSTSAINLPFAHGQHACPGRFIV DFEMKIVLAYLLINYDIEVVKREKPWREWATEASMLVKGGKVRVRRRGVVG VE01_08717 MPIIRKALYTAVLGTTGVTGLAFFTTRKSIIVPVPATDPIYSSS AYLAQNPNNNPVTQDIVIRRLPLSSIRPELLEKEGALVEAVTAGVFGGLAYEFQRRYL ERKYRNPSTETDLWTRAAINSSDFAKGTIITDHFEVVDRSPTQVTVRCGGSPREQGVR GGDGLFKVAARVEKEKGEVELSLTSVFFQGKGVAAAEPMSSWIKWLHGVYGKLWIEDA ARRVQV VE01_08718 MATEPPHPLWLKIVLGFQLFFALVTLALSAYALSVVGSYSGYGL NIFTSIATFAYIGYIVAYTFYIPTIYNIYISLGSQAFLAIFWLSTFGTIAALAAAFGA VESYSSYSGGYYYRYSSGASDSWTTVGDVTKALAALTAFIWVSFVATLVYTTLVAIRQ NRDTSAAAAPAAIEEHKLGPVGGPTPTSYQPVEQYAQQPQYAAPYATPGAEYPPQQQQ FQEQPTGYPQQTVSPGPYQQAPVQYPQQTGSPAPYQQSVSPAPYQQSPVQHPHQTGSP AAAGNIQEAP VE01_08719 MSSFFKSKKDKDAKALAGRGHARASSRSPIATQAVPPQGNSPPQ TNVPKDLWSEAFATLSLEDRKLLRPAGGDEKPSDSISQRAAVENVMERVEVKYEEYCQ RGWHMRNDDTTKATNIRVKAKEIMCSALQFKDIVDAGLKFDPTGYGTIVWGVVSGVLT LVQNDKEGVEAVFNSAAMMARFLPKYAIIEKHYRDKPTQEQNAFEDQIEQVYVAILKY AACVQKELSRSVAVRVLDSFWTLDNQNVKVLEVDLEGKDKIVTDHSQFVAHQYRKQEF QDLDGKASEALNKIDITIEKLLKAERLSTLKWLSDTKLTLTDRQQQLRSQVDKANKNS GKWLLELSRYTDWLKESHSFLWLHGASGCGKSSLCSTVVKSLVESAEKNSNMIVAYWY FDNADPPTQNFQRLLRLILRRISAKATPFPEAVRNLANNHELLDSSPSTVALIETLEK TVTALQEDVFLIIDAIDEYQAGNDTLRGEFLDFLGRLGDAQIQRLHLLVTSIPDTDIK NAFKGHQTPPIEIDIEKSVSVDVDAYLDATIGKYAETKHWSPETTDKIHRALKDDGRF RIISLQLDDLRKCFDDDEIDVALKSIPRDIEDAYLRKLQRVAPKDSLRLSYIFYWISV AARQLTTFELAAAPGVNLFSPEELLNICPSSMIRIEKQKPSYENETDLQQQEMQKSLS TETGIITFDHPSVKRFLYSHALQQSRDNRVSRFFVSEEAVNATFMGLMVDYLLAMKQP KIEPSIFMENPFLPYVAQHWHEHFKGYRNSPTEDGVLKDKMLTLFAEPMNPAFLNWIR VWNLDGKKQDFGLSQESCPSPLYVAIFLRFEDVSRHLIKNRSYINCSGGLTHTALQLA SQQGCTEIVQGLIAAGEDVNNTIGDQPTALYTAVENGDAQLVQTLLTAGASPDAEHAL LGPALQLASFRGFTRIVELLVASGADVNLQSIRFGTALQAAAAAGHDEIVAILLAKGS KPDAVGGLLGNAIQAATTGGHSFIVQMLANKGIAWDAKRDSIWHEAFDLFVSRSPRTR AKFEQAYISKSLLSTEQPVGSDTQRMLAGILKMFSSPSTTNIAKAAKIDDEPSWFNNA QVIRSQKLQEHARRQGQEGMESKHYVYRALFWAMLSNCRTIDIPEMEILGMLSHTIAI RAIDQKAIEHSTSDDVAAARHELVACYALAFGIVAETGWTKTNPMRTIAISRIRSDIK TMVERIQQQNERVEDLMKIVRLQEPSAEVVLLGQMHSELLTKMQKEMRGCFQTLEESM QKNLEEVEKRVVASVQDILPSIIREEMRKLLAEQKGLAGVDNRS VE01_08720 MTPEQIKEFGQERWVAGYLRQLRNLEPPEEGFIGSVSLGSSMDD RLGHNRFGPFHKVADFHDYLRFGGPLETWNYDPVYWEYTKMYFAEFSFYQTFFAAVVG EPGIEKYPKELRAERDIWRVVNPWQYDDFYGKPLIHGGRLQGGGSLCNDEGTWAGGN VE01_08721 MAAFQSVNAALTPPRSFLKQEDGPSAATEHNAGPSSAGPSTSKL DDAKTPTKSSFAALPSHNDSKAGEPSSAASTSEFKKAAKRESAQYSMKSRDSEDVDMD DSDGDDDGSDEDSVAADGTRSTKKKKSQRFFCTEYPPCNLSFTRSEHLARHIRKHTGE RPFQCHCSRRFSRLDNLRQHAQTVHVNEDIPGDSLAASSTRFQRQIRSDRVRPAANRS RASTSSTQPGQPRGHTRNSLSASSIGSIYAGREDPRRRPAPLIMAGDPRSRVSFDSYA STEGPYVSSSGFVNSGFNTPTSSRYSGGYDSSQWSSAMGSPVRGHSRTASMYSERRMA GRRLSVPSSENPFGPQGFGPSSFGQSTLAPLSSGSQESFSPSGSIMASPTSSVGSSWS RRESLASAADDYRRRTWHHPESQPGFTSRLQNVMSSTSHRPTPPASVASAASGQITLP GIESFLSRPVTPPRRNPSPMELDTPTRPEYAWSDDRSIAAARQWDSSLPHNFTRLEIA QQTSRPDDASAWATDATRAVQERAAQQSQVSPRPQPRVSFSTTTYPAQEPPPRHPNHH ISAPPLTPRDSKRQGWYAGPLPQRQRPSPEGGSSSSDNGIPGTPRMAGHGDYGPVIVQ QPSGGWDERDREGQRAAGEQGGQMRQEQGRGGNGPGQGQGQGQEFKGGIPAAIGQAYA AYGAVTLPPPVEAVKADDGMLRLEALVAVATGESGNNAAAAY VE01_08722 MNGFQNPQGQQPPQQSPQQSLQQPPQQQQPQQQAQPRMLFKPEH MRALPDKFSIEEKKKWENGLAMLYRQIEANPPDTQAHRDAAKKIRDFSVTLHNKMQAT ANRPQVNPNQQRPPSQAPSQQSQADGSAPPSQPQPTAQTAPARPPVSENMRNHVNSFP YVAPSTLGPAEAAQWIQEIKGKYLKALLTMENTASGVKRLEAQVAVRTQGGKPLTPEE QKELQIKKEAFQKNHGDAKRFVDQFRRQQSDAAAQAAGGSQAQQQPSHPPQGIAIPPR PTMNTQQPAQSQAMAQTEAVQAAMQAGRNQQVNGGRPSAPPPNQQNELQQQQQQQNQS QPIGAANAASMPAAQNVQGGGAAIKTESATAPPGGLPHVNTALATAPHIQQMQNRQQP AQNSPQSAVPPQATSVGPPKPLTHSDAVAHAARTYSSSQASTGQASVMASHSHPPSLP RDAPNIKTNLMPIPKILPPRATESPHPMQMPPTRPTYSGGPSGSGSGLMQQPVMQKAP GFNVEGDAERVLNKRKLDELIRQVTGGGEGLDNSEGLTPEVEDCVLSVADEFVDQVIT AACKCAKARGSKTLEIRDIQLILERNYNIRIPGYASDEIRTVRKFLPAQGWIAKMSAV QASKVTGGKADLIDPSLRLRRAIHTHSLPITRRILRTHPHLLQNPDLTPSPPGLSNTS LHLAASLPSLPITQLLLSLGHEAGGISLNTLHQTPLMLAAKNGHAEIVHALLESKDAG GIGKRDSRGRDALMLAAANGFDTCVQLILTHAPSPSAAELAAEPNLTPARALLRAQDA DGNTALHFASANGQLLVLRTLVAAGAEHERRNAWSWTAVSYSATVAAEVYFKALVGER EEREGREREREREGEGLGIKGVGGMRGGVRLVGDE VE01_08723 MSFLSNHLEQISLSAQSIASLPFPPPKIFTNALLASHDITALIR DTEPHERALFSVPPPPPRDSSIYPDPTAASTASRRQTVFNVAGGEVVSSASTTSRAPR RNTAVAAVLGADLNSEIRKSENVQKGDLDVEVLLRGAEKLGEVYVMEGARERIAQLRS RYARIQTSLQHYEQKVVKQTRELERMNRQGDWDGDGLDEDVAEEEEEGDDADDAVITD ELIRLEEEEILELERKKRELEERVSGMERDLGGLLR VE01_08724 MSSSSDSSDWDSDSDSSDSQPSTPNVRFARRPTVPSSPPETPTT SSFPLGEPPHPASRPGIRNFSRPLPPTHDEIRPVGQGHNFTYIPQVRLEDLSPRSSTS SSSRSSRSNSPARSIDSDSDNEHPTITQHASIQSPLHHSTRSLIPANFTLSSLHDTDS DDSDDDDLSVILPDHYSDAASSRGAPSEHSSSSSTSSQHTPPTPFPADSKATRLADEF ELLACAPRDDHDAWMSKQRLLKRMKRLSSGSIHKRTLSQSIGSETDDEDIKPYDANEI GASASGLRRLRRKTGERLSLIFDDPPQRIVECEEPESGEDEVVQERIPDMEEMLMLAL PYYEMDTDMGY VE01_08725 MTGLFSKFKTGTGPSSPGQNANASKKQQQEPVVELTPLEKMLLN AGPIRPDGSDKFFGMENFGNTCYCNSIVQALYYSIPFREHVLQYPPHSPHDTPNANPK PVISSSMNGGAVHPQTAKGLSAAEAAKRRAAVAATPPPQAPPGQVRPEDKPDSPEYKK KQAMLAGPIVDLTYENARQYGMEESTFTALKDIFTTLIQSQTRMGILSPQRFLEIFKR DNEMFRTTMHQDAHEFYGILLNAVITNVEENARRLKELEPPKNEEALGDGMMSAFANA ASAMGLSTGAQSPGTGWVHDIFEGVLTSETKCLTCESASQRDETFIDLSIDLEQHSSV TSCLRKFSAEEMLCERNKFHCDNCGGLQEAEKRMKIKRLPKILTLHLKRFKYTEDMTR LQKLFHRVVYPYHLRMFNTTDDAEDPDRLYELYGVVVHIGGNAYHGHYISIIKTKDRG WLLFDDEMVEPVDKHYVRNFFGDKPGMACAYVLFYQETTLEAVRKEQEAEGVDEVAIA TEEAGIPTTQPQTPGANGSLISRQMTLPPTPAKDEVLPPLAHAQTNPTLSTSPEMHPA VPDTIAQSLPSQFEAPKKADRAAAKKESKEAEKARKQAEKEKAAADKEKAKADERQRK ELDQRRKEAQRTQAEALKNAMNASKISAAEDEEKRRREAASSSSSLATGPADSSSSNI NINNSKKENGFISGLTVNRNRPGAKSMSRRSLGWLKNDSSKGEVAVDGDQAIPPIPDR LAKPAKERFSFSLGRKKSNLSPQ VE01_08726 MIVLKDNSSDDANGYSVPRYPTGGWRRPEEHEDLMEDKLGDGKD MASWAGQPSIKGSTESMRMALLTMSLIGIQFTWGVEMTYCTPYLLALGLTKSRTSLVW IAGPLSGLIMQPIVGVIADQSKSKFGRRRPFMVIASIIVSISFIAMAWAKELVGVFVS DEEKAKTWTIVVAVFSIYAVDFAINAIQSCGRSLIVDTLPIPKQQLGSAWASRMVAVG HLVGYAAGTIDLVSIFGKGMGDTQLKKLVLIACFILMFTVGVSSWAVTERVLISGKSS DATTSGVKVVRKIIKTIMHLPPRIQAICWAQFWAWIGWFPFLFYGSTWVGETYFRYDA PVDVKQSEDALGDVGRIGSMALVVFSMVTFAGAFLMPFFVRSPEEDNFTPRPPSSIAK LVKLVTKHKPDLLTAWFIGHFMFAGAMILAPLASSFRFATALVAFCGLPWVLGSWAPF AFLGIEVNRLSSGAPPTSSYRRRSDARRSDSFELLRPSSASLLHRGGDEDIASTGELS GIYFGILNIYTTLPQFVGTFISLIVFSVLEPGKSPELAGGEGGEVKKEGVNGIAVCLF IGALSTLGAAYATRRLRYL VE01_08728 MRETIVMLGSLPGSPLDGELSPTFDDDNHNGVSLFGEPSDMLES GETQPAGFEIQELPSTPDESTPYPMSDDEGGMLSDGGVQLDISQAHTESVDLDTDMAP PEAEENSSLLSIPQIHAISEHESSNIAVGLESDTMQPSWPQEPGPGASAVAYQISNLL DEISGLTQQIQHTQHEHPELQHIEQQLHDLQQLQTLQHIQGMQEQGEQPTVPHGAAYD QGSMPAVSMPLNAEDLGAVSSLLEPGGQALTHISHVSPPVNTSTQSGIAGIFEVMNGV DIPFNVPPANVAQVEVSHDNMTLSDFLFRWSLTIRSESRKANRGPRLERLREFGTSMP TEIRRTDLRGERCDIQGINWKKLEVKRRDARRMRIASYKNFHNLTPPPWLPHVLSDSC IQDDSEDFFKFRRMNFKHKMDLPHFQLRNLVACTSRNNVFLTGSYRVIQTNPNYGQRC IAMNLSEPEVQRTHGPAWGGVQISTLAANHGVLIAGGFHGEYGMKASSRPESKHIEGL VVDHPNCITNHIQINLNRQSGLPQAVIVSNDNGIRTLDCTTNKFTRYHHYEHPINCSA QSPDHRLRVLVGDSCDVMICNAETGEILQKLEGHCDYGFACDWADNGWHVATGHQDKL VKIWDARMWKTSHGEANPLATISSTMAGVRSLKYSPLGSGKRVLLASEPADIISVIDA ETYESKQTLDLFGEIAGTAFSPDGQSIFVGVHDNSRGGLMEFEKCGFGRSYEHESIFR KRDREVDMYDSEEVDEDMEDMAAGLDWKRSMADVVANPKSKRTATHRRRRAARLGDLE AY VE01_08729 MCKLHGEAAVGSVVDVTQGREILPGNVIPRHYHLTLEPNLKDFT YEGHVIIDLDVVEDTTSVSLNTLELNIKSTKVISGDQTITSSPELSYNESTQTTKVAF KEVIPAGSKAQLEMTFTGELNDKMAGFYRSSYKNKDGSTGILATSQMEPTDARRAFPC FDEPALKAAFTITLIADKNLTCLSNMDVASEKDITSEVTKTTKKAVTFNKSPLMSTYL LAFIVGELNYIETDKFRLPVRVYAPPNQDIEHGRFSLELAARTLEFYEKTFDSEFPLP KMDMVAIPDFAAGAMENWGLITYRVVDVMFDEKTSGAATKERVAEVVQHELAHQWFGN LVTMDFWDGLWLNEGFATWMSWYSCNIFFPEWKVWQGYVTDNLQSALGLDSLRSSHPI EVPVKRADEVNQIFDAISYSKGSCVLRMISTYLGEDVFMAGIRLYLKEHAYGNTQTGD LWAALSTASGKDVRKVMDIWTKNVGYPVITVTENDDKSIHVKQNRFLRTADVKPEEDQ ILYPVFLSLRTKDGIDETLTLTEREKSFPLANNDFFKLNADHTNIYRTSYTPERLKKL GEAAKGGLLTVEDRAGMIADAGALATSGYQKTSGVLNLLKGFNSETEFVVWNEIITRL AAIQGAWVFEDPKVKESIEIFTRELVSEKAHKAGWEFKESDGHIEQQFKAMLFGSAGL AGDKVIIDAAKDMFAKFSKGDHSAIHPNIRGSVFAMVLKYGGVAEYDTILGVYRNSVN TDERNTALRSLGRAKNPELIQRSLALSISDEVKEQDIYMPISALRTHPDGINALFEWM TVNWDELARRLPAGLSMLGSMVSICTSSFTSLEQKAKVEAFFEERSTKGFAMGLAQSL DSIHAKSSWLERDREDVAKWVKDNGYTAPTVKSEL VE01_08730 MGLWILEDKVMDHVPGTTRYFDKPHPQEAGREDVSHLKCDTSGA DPIILVPQPSDDPNDPLNWPLWKRDVIMLVLSLTAIFATALGPILAANTLSISEKYGR TVTDGALLTGYFLLGVGFAGTFFVPSARKWGKRHLLIFGTILLVASSAWAGAAGLNYT SMLWARIFQGVANAPFEALINAIVGDLYFVHERGKRMALMNFALFGGAFFTPILAGKI SNNMGVPWIFNFVAIFCALCLPGIIFFVPETAYRRDPRLNTDMLAASESTQDVTLNSG LERTTTNGDGSGSGTNGNENEKSDDTPASTEAAIPPYLYPGADTPKRTYIQSLKPFNG TYTDENFFKILLRPFPLFFHPAILWACLIQGTLIGWTIFIGILVASIFLGAPNYWGEV ESGYAYTGPFIGALIGFLIAGALADWSAKFMTRRNGGIYEPEFRIVLVIPQLVFGCAG LYGFGIVATDLTRFHWAWAVFFYCLEVMGMVVGAVASSLYIIDAHRNIAIEAFTCLLI FKNFFSFGLTFMAFKWVSTNDTRYVFMVISTVQVVVCLLSVPMYIFGKRNRSFFHRHD ILKITRLD VE01_08731 MRASAVIALAALISVTAAAGGVPDCAKPCVTQFTSGSSIAGCNN LNTNCICSNKDFLSNIACCLIDKCDEAGRNAAIAYAKSICATADPPVEVPSEVVCNSS SSSSKGTAATTGASTTGGSTTGGTTQAPTTGTTSTPTSAAQTSSSSGAAMVNMGSSGM GLAGGFAAALVLL VE01_08732 MAKVFDAAEVAKHNTAESCWVILYGDVYDVTGFLPEHPGGSNVI LKLGGRDATEEFDPIHPSGTLAELDPSCKLGRVDPATLVAPAVEEASAKREQEGPIDV QTLLNLDEFEEVATKKISQKAWAYYYSASDDLFSKSFNNQVYRQILLRPRVFVDCTNC DTSTTILGHKVGLPLFVAPAAMARLAHPDGEHGIAQGVSSFGALQIISNNASQKPEEI VANSAPGQIFGWQIYIQNDRKKSIAMLKRINALPSIKFIVLTLDAPVPGKREHDERVG SLGKGLDAISDGTGEKRESKGGVGKTLFFGTATDLTWKTTLGWLKEHTDLPIVLKGVQ THEDAYLAMQFAPQLKAIILSNHGGRALDTAPPAVHTLLEIRKYCPEVFGRIEVWVDG GIKRGTDVVKALCLGAKAVGVGRAALFGLGAGGKEGVERTFEILKGEIETCMKLLGAD KVSDLGPKYINSRAVERDIYDGHAGLEKVGLWVSAKL VE01_08733 MPLPKSEYVSNVWRDGIFAGKVVFCTGGAGTICSAQVRALVHLG ANAAIIGRNVEKTAAMAKDIETARKGSKVIGLGGVDVRSFESLTKAAEQTVKELGGID YVIAGAAGNFLAPITGLSTNAFRTVMEIDVVGSFNTLKATLPYLLESAAANKNDGKNP NTGGRIIFVSATLHYAGTPFQTHVAAAKAAIDALSANAAIEFGPRGITSNIIAPGPIG GTEGMERLVGAEGMEASKASVPLGRYGLVKEIADATVYLFSDAGNFVNGDLLVVDGGS WRMPMNGLGGDMSYPRNVLTGEVPKGVKSGRKTKL VE01_08734 MYTRPGVPPFKLIGSISLGLLTGVSYTLNAIAVPALLTLPSSAT ASTAFTLLNTRAVQTLRVFTGLSTVCFLSAYALSPRGFRHPYLLWSTLIVAASGSVDL FLQPARASSSPALKERVRKDKGKAKLDASYELLGDSNSDAGISDEGSEEDVNGEDVRA EMLGFKSLQALRFYLSGAGFVVSLIGLWGDGAW VE01_08735 MASSTLATVGVLSIGEMGLGVAKLLIAHNYRVVTNITGRSKYTQ ERAEEGKIETLSTDEDVVREADYILSIVPPRDALATAQRVADVLQFHKGIRSTPLYYL DLNAVSPRSARTILNLFRDPNVAIPVDGGIFGGPPSLKDAPTDGSGNSSWYKPKIPTS GPYKVAESPKSGQHLAETLNIRHISDDIGQASGLKMCFASTMKGFSGILTQSFTTAQQ LGVLDELKTLLGEISPATLHAAENSVPRVPPKAYRWVAEMEEIAATFSEEAGFEKDLF LGVAGVYKTMSNDTVLGQEKTGYRKRGRTVEDVASAMNEGLQAKKKKSE VE01_08736 MAGKKRPATPLPPNLGFKASASFLMARGNGPSQATRGKPVAMNE EAHMEFVPVYIHTAKCDICEKRNDSVLQRCVTCTSQFCYGCMLGGDGVHVKSNDMDWT DYGAQANSTFKAQNKAQEQIANLVANHVANHKPGSAAQPRLTSASDIPSCRTHHRELK DAHKGTKEAFVADEIPAKAAPAPKRQKTAATYQQPNQGKPAPAAKKNTRVENAKKNRT EAQFPLIIPSHKDDYGPRFQRFISNLQEANDNDATEAEWTSSDNEDHDPRRISDFFPG PYGIIGKKFNSKRPLPNPWANEIKENKKDITAEISLIDRHLDLLNDKKRLALVRKTNI DEVLDAACILMTMRTDARGFPDENETSAPVSKSLAKELKNGEALNNAHTFMSMRADVR GIPADTEYASKGTQADTRLPFRDSLARVFESDEAVGASTSTGKQTYDRPYLAERTTQL TETQRSALEKERKVDAVVEAAYYSLMREGRSSK VE01_08737 MPDAQDDTPQNAREHTSSKLRVDNVHYDLTESDLDDLFNRIGPV AKLELVYDRAGRSEGIAYVTYESARDASAAVREYDGANANGQPIRLVAVPSGPGGGGR RNPFDSAVLPPRPLADRITRAAGSRDRSYSPVRHSDVSGPPPPNVDRYVPGRDRSSRS PMPRRRDGRPPGARRERGDRSERGDSRREAGGRSARRPKKTQEELDAEMEDYWGGGAK ENGADKPSGAAAPAASNDAVGDIEMAE VE01_08738 MPLQLDPAAIAAEEMRKRFWRREELYKPGSAALANLGPLRVSRW MLSNLSYAIPRVFSSIVATATASWSSRVFVLLSGTVSANKPNDILSKIKEVQSSFDSE QYTDLLANTEKTVVILSTPSFSTWLENGPFIPNLLQTIFPAAPDATEVETILGVVDGL APPNISDLESDRITPTEGFGISIILSKPGEYQGERRLKQSSVDSRSNPTDSSSITVRI HDPTVVEPTKTAQTQKHSDITMPLANTTFRNGRTSTLLEVRWKRSSPESQYEQTGSSE LESLTISDTFSGKLFENSTSIPLEPITETRHVASGLGNIVRTLTDASGKEIPASSELE ASVVSYLSSRGLPEQTVGVWALVFPGEVYESDLGKWAKDYPTRVWDAIRNGASLHRVV SGGGGWGIKAGLLSLDPETQFGSGEARYDFSQPGSALDSDAEQTRALGQIAKAGTYIQ FLIAHQQLDQIAGAIPPLNKAEQLEPRKVDSTTPSILLGCIPSTIDDIPLPPLEMSGD EARKGLAVAYNQLSILSEKGLYLRREDAEQTNIPAHFTRVDIPGGAIRGQMGQEAES VE01_08739 MVSKYTLFAVVAAVVSYLVGNGVITPPWYLAFGYVGIFIEGFLV QFTVWAFYSVLLKHRLSPLRHLPGPKDNGLFMGQWDNMVGEQLGGPQRTWARTIPNDG IIRFLGIFNKERLLITSPKALSEVLTTKSYDFIKPYEFSVGIGRLLGIGVLLAEGDEH KFQRKNLMPAFAFRHVKSLFPIFWSKSVEVVFAIDNEIKNTAGAPPSEAAIDAGISRD VKVNSDQAIVSVGQWFNRATLDIIGLAGMGQDFNAIADPTGDLYQAYVRTFRPSREAF ALQILSLFISHAIIRHLPFKHNREVNKSVKIIRDISRDLIIKKKERLAKGLEADKDIL SVALESGAFTDDQLVDQVMTFLAAGHETTATAMIWAIYLLGLHPAIQTRLRAEVRASL PSPDSGDSITAADIERLPYLNAVCAEVLRHHSPVPMTLREANVNTSIQGVPVPKGTML YLVPWATNRDPKLWGPDVDEFEPERWLRDASGGAETNYAFLTFIHGPRSCIGEKFARG EFACLVAAFVGRFEFELADPRMEDERTIPIKRGATSKPENGLWIKTRKVEGW VE01_08740 MLLPALCPPPRRAPLPPSLFASPPASPSQQTTSSHSALLATCRA LQSMLASPQPQTQLPTPPMRHVPLPSPMKLRLRSSTSRPSSSDASAAAVAEAPLPVKP IPRKRIVKRASPRGAGKRRRGVVEEDEEEEGPRTPKRSRGVPEMMPLGLVRRDFERLH DAHQYRSEEADALGIVGGPVGETSEVVDEGEGDEGEWTDEEDRVLVELVLQKLKLSRR EWMDCAKMVGRDRRSVGRRWSSLVGGGEVGLKRRGRERGELPGTWR VE01_08741 MSNKTMVTCHGAVDGHELLEAGCFLYGKPGSRVAGALKSCCPEI RSFAPQGGNGSGTNCKVFCNAPTAQQVDVANLCMNKFYDEHPGIDTDDEYNNLWTCDT PDTRSAAVQGRTSWGGLVVLCLGLSTAMAML VE01_08742 MALSWLDQELWKRRADTLLCHNCGWNMKLQVRTGYASTVKFTFI DSFGNDYIGTDFATTKWVRENTKIPVVEEMKYWKDDNSHFFLMKRVPGESLEDAWPRL SGAEKKNYAREVIEYIAELRKHKAPSPQTVEGAPVRDQMLGSTHTSVVVIEDKETWWA RVAKGNIMVHDGHVSGIIDWEHAGYLPDWWEHACAYNWIQEPLWQSLICKEMEKQLET EFGNYRILSDANLKTEGTFATPYASQRAPEHLPPTNVLLNVRLNMLLNVLQLISHNPM PLNVLPDIPPNVPPKMTPNVPLNVLLNVRLNALHLISHNRTPIIPR VE01_08743 MKRMLVARQVATDAKPSCGRAVNGEDYNLNLHIAAVFIILFVSS TACAFPLIVVKAPRLRIPPTFLFVVRHFGTGVLIATAFVHLLPTAFISLTDPCLPDFW NKDYPAMAGALALAAVFMIAVVEMVFSPGKNGCAMPAGMMEESIGGGHRNENTKEGSS VGNQEGERRPEQGLIHGRNNSTGRELQRITKSSAAFDAGERNTLPQAKEESEKYVASS SANGTAITPIEIQNHKKALMQCVLLEMGILFHSVFIGMALSVAVGNDFIVLLIAITFH QTFEGLALGSRIAVLSWKRHAFQPWLMALAYGCTTPIGQAIGLATRTLYAPGSQVGLL MVGIMNAISSGLLTFTSLVDLMSEDFLSDESWTVLRGRRRVWACLLVFAGAFGMSLIG AWA VE01_08744 MAALQSPAPRMKAKAKFLRKDGRAKADKHRSASTSRLRTICTSF RYLYNLTPEQVSNYLASYVIYSLDWADEGQMIQALGPDYVEKVGSCLRSYYGVLNHLC ALGNVEKMYIPPLIDIHVSVLDNQLLYEESVARDINLKPGDKALDIGCGRGRVAAHMA TFSGAKLTGVNIDPNQIAQARTYNEERRLENTFVEADFNNLPLPFADDTFDACYEIQA LSLCKDLPALFSELHRVLKPGAKFSILDWVSLPDYDPTNPHHEELMRRVKPLIGAVGT PTPETLATALMEVGFAVRKSDNASVGGLQAPLIAKEDGYFRTARALIKGLVGVKVLPA HLRTLMDRLCLDGEAFVEMDTQRLVSTSYRIIAEKKGQ VE01_08745 MAAIVLIRASILVTRALDNFHKSSSMQKLTSDLHIGYFIAIALV ECVSSVFLLRVFAAAKESAVAFTSATSLFRHLTRSTEIRLASLSLIGLTRAVTYSFQN SAQKATSVASQVDRFVYTLECLFPVLLMIDILGSRLVAAEQTFETFPTQDSRQIARRQ HGSAEDNTSVPNSDNTKALTSQSFFERRKLSSSSNSSKVPLHILSSVKRVRTANSQAS GSQEQCPDILQRPKNIGIAVSVNRPAEFEDIEIHEWK VE01_08746 MSESTLLPSSLHPTLTQSDNVPPHSNEYTPAENLTTSLPGPQAV KDSAPFSDSAFAGESVFQAPSKPLLPLNTTNNPPQNHNEELTPPATALEEKAPPVEPT ATDGNLSPFLQPPKSFKNHRNSALSVDSIPRQTIMKALASSGRSTNPDAPMVSSLSGI LAAQNAGDSRPGTSSSQKLSAALSELASNAAKSGSTPATARFPALQSPCFYHQRFDDA VNIDRVLEEISGDEYMTHSRLMQTATGVREVSKQLQRRPIKRAVRNVMIVTKARDNQL VTLTRNLAEWLLSTPRYGSDLGVNVYVDAKLRDSKRFNGAGLIAGESRFQHMLKYWSP DLCWSQPEKFDLVLTLGGDGTVLFTSWLFQRIVPPILSFSLGSLGFLTNFEFDQYKSH LNKVMGDEGMRVNLRMRFTCTVYRDGANGQDMEEGEQFEVLNELVIDRGPSPYVSNLE LYGDNELLTVVQADGCIFSTPTGSTAYSLSAGGSLVHPDIPAILLTPICPHTLSFRPM VLSDSLLLRVSIPKNSRATAYCSFDGKGRVELKQGDHVTIAASQYPFPTVTRTGAEWF DSVSRTLRWNTRGAMQKGWSGEEGKEDEEDQGWDIDTDSGFYGSEGDSSTASPLRRQM SLLGM VE01_08747 MSSSQEPSAILSHLHSTDGSATFSQNGYTIIGAVNGPIEVQRRD ELPEEAAIDVIVRPAAGVGSTRERHLESIIERTLRQIVLISNFPRTLIQVTLQVTSTP PDETATSKSIQTSSTLPILPALLQTAILALLSAAIPLSTSLTATFLAISEKGKILQNP SLLETQTAQSIHVLAFTSNAEPLVIESQGSFTPAQWDEVCIQAEKICCGSADPDAMVD EGEEGGMMQFVQTVVEGKVDKDMAWRT VE01_08748 MPATTDTPKEAQAASIPNLRDRIPKLQSKRRQAPPSNSLPVPET PPLPPAPDTSAFEFIKPSRRILSKKDHDLFLASPTHDLLVAFVFGLAGAVVDTPISAV SKKTPSPIVQSILDILNNVEDVIARSPADEQGDSRFGNRGFQDFIDLTAKEHTAWHKK LGISDEKAITEVSTYFLQSFGNRTRIDYGSGHELNFMVWLLCLYQLSIITPDDFQHLV LKVFTKYLTLMRHIQSTYYLEPAGSHGVWGLDDYQFLPFLFGASQLLHHPYIRPLSIH QSIILEEYGADYLYLNQVAFVNSVKNVEGLRWHSPMLDDISAAKSWEKVLDGMKRMFV AEVLKKLPVMQHFMFGSLVPAVEEMNREEDLGLPEEGADAEGGEVVVMVDGMKHVHQM NSWGDCCGIKVPSSVAAAQEMKRRGGGEGLRRIPFD VE01_08749 MLDFSWLKLRKQENRKPAMSSSFTRLVRFLATDGRTYYGDAILP SGVTDLAKTKQARIIEGSIFGNYKITNKIVDIKRLLTPLALEDVRTVRCLGLNYEKHA RESNLPLPEYPVLFYKPVTSLTGPFDPIPVHPQAQVGEGLDYEGEMVIVIGKPCSDVS EAEALDYILGYAVGNDVSHRDWQLKYGGGQWGIGKGFDGWGPFGPGIVSNKVIGNPQS LRISTKVNGKTVQDDSTDDMIFGIAKSIAFLSMGTTLLPGDVIFTGTPSGVGMGMSPQ CWLKDGDVVEVSLENVGTVSNTVRFDKPTAKL VE01_08750 MHFQKFARHEFEKNIGLNKKDFSAIEYLLRKGNRQLEIYASPVA DQASPSILLHTQSQEKILAGPSPGPAGVPFLLPLLREPSICVNASNKLLAGLPAAHIF EHPAPLDSGLKTGDPVKRDLTPKHRRTNPSAASPSPHLLFAVPAPPPPSGLPVIDSAE VTYQDILDGLQLGLSAILDSDVDFWVKEVVGTSVRRVLADIAALGELRG VE01_08751 MSQHLQFRDGPSDTYNFPVQDSPTVGRTIPQSSVGNPQGSPSSL SDTGYRTHHKATPSPLQTSTSANPNHATTTPTKPGFSRPSVEEQSRTVETVRYMSRRG DEPRSPKERLDDLLASEKSFYDSEDAPASPTQAGGRPRPVHAPTSSNASSRSVSDPTS TAKLGGRSTAATPPHMAAVPEHRATMARGVPRTSSIDSAISSISGAHSHKASQDAQAR GESPDIANLIQTAGSPEAVIQYLLKEKQSQTAQNTQLWRLVDKQRAMILGLNKDLERA LKDKERYRKKMKESLQAITAGEPSKGSVAESSAGKSSPSPRSPEGPKGGNVGALVSAG LRESHESHDEPEHSPIDVALAPYPVTPPANLPSALNPTLPNMVDAEHKMPDPSSHALN NYNADAQPQGFESGQQQRKSPEMGREMPYNASVPPSRSLPARPPPKTDTKAGPISMQA PMLNVVSASPAKDQGRANMPPPRKPPPAPLNLGKQPNTSSHLHQADSGDELDSDYDDL LEVDEIPIFNDRGRRKTREEDDREREIAAMKDAEARSLSKKSKSRPSTSTNKDQPPQD PLPAIRQAVQISPPDMRERHLSPPDSNAGSLAGILNSSSSSEMQPETITRTFISPPPM SPGLPSSPRPMGGVHMALPRNPRDGDSQSSSNMASPPLSPRGIGAFPAMPLSPRAPRQ PIPLPPNTPMSMASPGMLSKFGQMELVSPKPLQITKLAVEEQDPPMNETRYNSISESS DSVEIYRGFVTDEYPDLLLPPNALPSIDVRVASSRLKPSRVSMMFPSKGDEDPVFTLA VFGRSNGQELWRVEKDSTSLHQLDQELKKSNAFSSVKLPEKSLFNGHAPAKIDARRTA LDKYVDDILNTPMDTARALHICQYLSTNTLEPNSTDSLVHTDAKSESPTKMGPGGRPV KNGYLTKRGKNFGGWKARFFVLDGPILKYYEAPGGAHLGAIKLQASKIGKQQQHQQDS QTDDADNQYRHAFLILEPKRKDSSSLVRHVLCAESDQERDEWVEALVQYVEYQDSEDE GPETVHHARNDSGTSSHTTGSTSKKRHVQGKGQTIAEADDELLRAMPYEATVAGQVPR GVHPRRKTNETPPPAYDEKDDPRHKSNDTPSPPFQGHEKDFSGQMPHPQPSVSISAPK NLTVIQDASHWGNKQTTLAPVDDRKTQKKRSFFGFGSKPRPSSESQDHIETSNLSQLS YEQHGPIRAVFGAPLAEAVKYSHPADVSVELPAVVYRCVEYLDNKNASAEEGIFRLSG SNVVIKALRERFNTEGDVNLITDEQYYDIHAVASLLKLYLRELPTTILTRELHLDFLS VTEIPDVSDKVLALNGLVHKLPKANNTLLRYLSAFLINIINNSDVNKMTVRNVGIVFS PTLNIPAPVLALFLQKYDGIFESEPQQFDQNQIEVTLTAPPLTPEDIRSPRKQHFQDL PTPSYNQASFPRGPPPSFPLPAQPNKTTYDTGFTPLQPSYESQYSQPTLGGPEYGSTG QTVGGPSYDQYSNGNAGYSRQYGNESNLNPNGQTSKQKRRESSLLGMNMGGQKKPNQD RLRNNRLVDEESFFD VE01_08752 MSRPMCRLFTQRLGASSARLSPTPPRTFFRSPAGRRFASGESGP NPNPGQNPFKVWPFVAITLVGTGSYILMARSRDGSYVTPDITTPQTPRLPAPKQDTPR FSPTNVTVVFVLGGPGAGKGTQCAKLVDEYNFTHLSAGDLLRAEQERPGSEFGELIKD YIRDGKIVPMEVTVQLLENAMAEVVDKSGGKGKFLIDGFPRKMDQAEKFEESVVKAAF VLFFDAPEDVMMERLVKRGETSGRADDNVESIRKRFRVFVETSMPVVERFEKEGRVVR VKATQKPEKVYEDVKRGIQPWIEGKR VE01_08753 MAATQQVFIDGTFEDLADELAGYIDNVKKVNEAEGVRAEIKPLL AANKKDDVLKKLVTAAPALNGAPEKEFTAAYNLLVYLIVQSPNVNMFLPKVCENLSRP IVSSPLNSSGLALSVLTTIFNLLDPENEVRFNVFQAILQLVKKSGLYEMLRPQLKKLD TWIEEWDIDEEDQRKLFVQVADVAAEVGETEQSFQYLLRALRTFDAKDTASLSTPEAT DLTLRALKSALISSTHFDFHDLSALPTIQALADTHPVWSELLEIVSEKELEDYTDFCD EHDTFVDDNALDAEALHRKMRLLTLASLAASTSSRELEYKRIAKTLQIPAEDVEMWVI DVIRAGLVEGKLSQEKQVFLVHRTTYRVFGEKQWREVATRLDTWKDSLRNVLEVVRRE RQAAEAQKERELHEVERKAQGASGMGAGRRVGGRDMIEMGTD VE01_08754 MGSSNKPTPLQLRGRTASESSSSSSQSALQVPRTPRFAEATAVH SPIDGKGRSPFDDPPEAIMSGAKPSDIGFGYIADNTATRHVEIQVGPASPGLKSAMKV PGTPGRKLENPLSPTFREEQVLEKQEEVTEKQQAKDLKVKVRVRAVKLLLRGVNFGCS LIVLALVGTTVHVFLATKNLPKRSDLPPWASGTQTWPQYVVLATACVSLFFCVMVFWA YFRGGHRRAEKTAVYYTIFAVGFFLLSIVMWAVAAGILQGTRNNGNGKDIWGWSCVDN KRRQAFNDDIDYQLVCRIQSWAVICCVIEVVVETITIAIYGVIFYRYYSKRQLRKTMD NRDKARSDLYLAQLRTQSAPNTPGFGPLSPSYSTHMKSPRFPSSFAATASDAEEGQGA SAPGTRFVDASRPGFAAPAKPFALQAPPIKIHAASPKTPSIRAFTPPQPLAETNAGHA PVAPGEQQYASVPIPGAYQPASPGPGQATFGFSEGAPGMAVTSEHRIESPPSSPRLPR QVPRFA VE01_08755 MGKTAIISVYDKTGLLDLAKGLIKQNVRLLASGGTAKMIRESGF AVEDVSAITHAPEMLAGRVKTLHPAVHAGILARDLASDEKDLADQNINKVDYVICNLY PFKDTVAKINVTVPEAVEEIDIGGVTLIRAAAKNHTRVTILSDPSDYPSFLEELEKGE IKEQSRQMYALKAFGHTADYDAAISDFFRKQYAANGVQQLALRYGANPHQKPAAAYVK EGQLPFKVLCGAPGYINLLDALNAWPLVKELKEALGHPAAASFKHVSPAGAAIAVPLT AEERKVYFVDDIEGLENSPLAQAYARARGADRMSSFGDMIALSDIVDVPTAKIISREV SDGVIAPGYEDAALEILKKKKGGRYLVLQIDPEYVPNPQETRTVYGITMAQHRNDVVI SPASFSSIITPKEGAALSDSALRDLTVATIALKYTQSNSVCYALNGQVVGLGAGQQSR IHCTRLAGDKADNWWLRFSPRVLEIKWKKGSKRADKSNAIDLLVSGELPKEGAERELY EAMFEEVPKEFTDEERREWLGKLKGVACSSDAFFPFIDNVFRASRSGVSYIAAPTGSQ NDSAVMETAEKLGITFVEQSIRLFHH VE01_08756 MQFSAAAIFAVLASVAAAQQVTVTVYECETSAAPVVPVPTAPTY VSQLPPSPTAPAGTAVGSTGVSTGPQPTGSPIYEGAASINGASALGMIIAGGVALLI VE01_08757 MAALPIKFTELLQLTSVGVEPASIGFNTCTLESDSFICVREKKN EAASPEVVIIDLKQNNNVTRRPIKADSAIMHWNKQIIALKAQSRTLQIFDLERKAKLK SATMNEDVVFWKWFSETTLGLVTDTAVYHWDVFDQNQAQPVEVFKRHENLQGSQIINY RVSADGKWMVVIGISQQQGRVVGAMQLYSKDRGISQALEGHAAAFGTLRLEGAPADTK VFAFAVRTATGAKLHVVEVDHQASNPAFPKKAVDVYFPAEAVNDFPVAMQVSEKYSII YLVTKYGFIHLYDLETGTCIFMNRISSETIFITASDSASEGLVGVNRKGQVLSVAVDE ATVIPYLLQNPANSGLAVKLASRAGLPGADNLYAQQFDQLINAGNYAEAAKIAANSPR GFLRTPQTIERFKNIPAQPGQLSIILQYFGSLLDKGDLNKHETLELVKPVLAQNRKHL IEKWMKESKLDCSEELGDLVRPHDLALALSIYLRANIPQKVVAAFAELGQFDKIVPYS SQVGYQPDYIVLLQHIVRVNPEKGTEFATQLANNEGGSLVDVERVVDIFQSQNMVQAA TAFLLDALKDNKPEQGHLQTRLLEMNLINAPQVADAILGNEMFSHYDKARIAQLCEQA GLSQRALEHYEDPAAIKRVIVNIVATPTFNQDWLTNFFGRFSVEQSLDCLDAMMKSNI RQNLQAVVHIATKYSDLLGATKLIDLFEKYKTAEGLFYYLGSIVNVSEDQDVNFKYIE AATKMQQFNEVERICRDSNHYNPEKVKNFLKEARLTEQLPLIIVCDRFNFIHDLVLYL YQNQHFKSIEVYVQRVNPARTPAVIGGLLDVDCDESIIKNLLQTVNPTSIPIDELVQE VETRNRLKILLPFLEATLASGNEQQAVYNALAKIYIDSNNNPEKFLKDNNQYDTLVVG KYCEKRDPNLAFIAYSKGQNDLELVNITNENSMFKAQARYLLERADEELWNFVLSGNN NHRRSLVDQVISTAVPESKEPEKVSVAVSSFLKNDLPVELIELLEKIVLEPSPFSDNG SLQNLLIFTATKADKSKVMDYIHQLNEYTTTEVADICIEVGLYEEAFEVYKKIDDHPK AVNVLVEHIVSIDRAQEYGERVELPEVWSRVAKAQLDGLRISDAVESYIRAQDPSNYK EVIEYAVPAGKDEDLIKYLKMSRKTLREPEIDTALAFAYARTDQLSELEDFLKGTNVA DIEESGDKAYAEGYHQAAKIFFSSISNWAKLATTLVHLSDYQAAVECARKANNIKVWK QVNAACVEKKEFRLAQICGLNLIVDAEELQDLVKQYERNGYFDELIAVLEQGLGLERA HMGMFTELGIALSKYHPERVMEHLKLFWSRINIPKMIRACEEANLWPELVFLYCHYDE WDNAALAMMERAADAWEHHSFKDIVVKVANLEIYYRALNFYLQEQPSLITDLLQALTP RIDVNRVVKMFEKSDNIPLIKPFLLNVQTQNKKAVNNAINDLLIEEEDYKTLRDSVEN YDNYDPVELAQRLERHDLVFFRQIASNIYRKNKRWEKSIALSKQDKLYKDAIETAAIS GKSDVVEELIRYFVDIGSKECYVGMLYSCYDLIPLHIVMELSWRHGLTDFTMPFMINF LAQQSATIEILKKDNDERNAREQSQVKEENNTPILGGSRLMLTQGPAGQPTSPAPYAQ PNGFAPQPTGFGGF VE01_08758 MSAGDSNGAANEVFEESGDERQSQSIQHIRANSSIMQLKKILVA NRGEIPIRIFRTAHELSLQTVAVFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYLAG DEIIKIALQHGVNMIHPGYGFLSENAEFARNVEKAGLVFVGPSPEVIDALGDKVSART LAIKAGVPVVPGTEGAVEKFEDVKKFTDEYGFPIIIKAAYGGGGRGMRVVREQASLKE SFDRATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLYERDCSVQRRHQK VVELAPAKDLPQDVREALLNDAVKLAKSVNYRNAGTAEFLVDQQNRYYFIEINPRIQV EHTITEEITGIDIIAAQIQIAAGATLPQLGLTQDRISTRGFAIQCRITTEDPSKQFSP DTGKIEVYRSAGGNGVRLDGGNGFAGAVITPHYDSMLVKCTCHGSTYEIVRRKMLRAL VEFRIRGVKTNIPFLASLLTHPTFIDGNCWTTFIDDTPELFDLVGSQNRAQKLLAYLG DIAVNGSSIKGQVGEPKFKGEIIMPELFDDAGEKIDVTEPSTQGWRQILIEQGPEAFA KSVRANKGCLLMDTTWRDAHQSLLATRVRTVDLLNIAKETSHAYANLYSLECWGGATF DVAMRFLYEDPWDRLRKMRKLIPNIPFQMLLRGANGVAYSSLPDNAIYHFVEQAKKNG VDIFRVFDALNDIDQLELGIKAVHKAGGVVEGTVCYSGDMLNPKKKYNLEYYLDVVAK LVALNIHVLGIKDMAGVLKPKAATLLIGAIRAKYPDLPIHVHTHDSAGTGVASMAACA AAGADVVDTATDSLSGMTSQPSVGAVLSSLEGSDFETGLNVHHVRAIDTYWAQLRLLY SPFEAHLTGPDPEVYEHEIPGGQLTNMMFQAQQLGLGAQWAQTKKAYEQANDVLGDVI KVTPVSKTVGDLAQFMVSNNLTPEALIAKASELDFPGSVLDFFEGLMGQPYGGFPEPL RSNALRGRRKLDKRPGLFLPPIDFAKVKKEIRQKWGSVTECDIASSVMYPAVFNDYKK FTEKYGDLSVLPTKYFLSKPEIGEEFSVELEKGKVLILKLLAIGPLSDITGQRDVFYE MNGEVRSITVDDKLAAVENVSRPKADPTDSSQCGSPMAGVVVEIRVKEGSEVKKGDVI AVISAMKMEMVVTAAHNGVIGQLVVKEGDSLSGQDLICRVVKP VE01_08759 MPSPLDDATFSHTLPGVPRRESDASDSGRRRSSASSSGRFSSLN YQKRQGSGDPEAIARRESYNDQRRESGWFGGLWNNWMRGPSQTVAERRQSESAQPAAG ETKAAGVTEQAGEQARKQSWGSKHIHGTGAGAAAWRAQYPRRGSGSGSGTQS VE01_08760 MAEKTENPMKDLRIQKLVLNISVGESGDRLTRAAKVLEQLSGQT PVYSKARYTVRTFGIRRNEKISVHVTIRGPKAEEILERGLKVKEYELRKRNFSETGNF GFGISEHIDLGIKYDPGIGIYGMDFYVCMSRRGERVAKRRRARSSVGASHKIRKDEIV KWYKQRFEGIVR VE01_08761 MANKQGKMAGLINYRMRVTMNDGRQMIGQMLAFDKHMNLVLADT EEFRRVKRKATKPSAAPGAAGSSAPLVENEEKRTLGLTIVRGAHIISLSVESPPPADP SARLGTSAPGGASAAMAAGPGIARPAGRGLPVGLTGPAAGVGGPPPGLGGFGGAPPFA GRGAPPGFPGGFPPPQGFGGAPPAGFQPPPGFAPPGAPPGFGRGR VE01_08762 MAAFVKAINAKIRAHPMLNYVCSTHFWGPVSNFGIPIAAVMDTQ KSPELISGQMTAALCIYSATFMRYSLAVSPANYLLFACHFINEGSQLTQGYRYLNYHH WGGKEEMAKKGELSKIDAPAESKKLGELVEKAKTELKK VE01_08763 MLSPGLMATSTQHRRARAKFSFRSFYISTLLLCAFALISLVATQ VARYKYGDQYGSVQRRAVAELDVNRLLKRDEECRLVHFADDKCAFIKANCPDEEAGLL SYLSLYYCALPNAQPVAFTILVLWIGLLFTTIGIAASDFFCINLSTIATILGMSQSMA GVTFLAFGNGSPDVFSTFAAMSTHSGSMAVGELIGAAGFISGVVAGSMALVREFKVGK KSFVRDVGFFIVAASFSMVMLADGVLYLWECCVMIGFYVFYVAVVVTWHWYLQRQRRK RERIALSRGHYQGPAFAEIEVLIEDHEDEDGEEGGGALGRSTEDFADLERSLHDRSPN TERDSDDDSDGEGERGRMFATEVSNSMRVLRPRGGRRNTMTPIRPSLIGAMEFRSVLS SLQKARGTSEHQIRLRQYSDDRVPSSNPSFDRGTVQSNPSEQGTVIVTDADHPSGSAD LIEPYSPTVASSADDVNKNPRNPSHYFAAEAPGGGIDGSGPSDPRYLAPINTAGGPDR NQQFPKTGDAIPPSPVISVSSPPIPREPEDTSPPLQAGQQNEHGLAPPAPDFFGGHQL KPDFFQAAATVNPSPVYSPRTKGPSRPRIRVPDSRDSSRTRSLSPVYAFPAYTDSPRP MSPPQLISPPQARPESGTLSPPGTPGGRSFYGQVPGRLVRWWPYRYLPAPQVIRASLF PTFENWEGKSFWDKILSVVSAPSIFLLAVTLPVVESELKEDDEELELENARERQSRSR SATVQGPPGEAPYTDNEVAPEWANYRRSSIRNSVLLTPGVEQNVRGLAGHGNAASIAI ATEDIYHGNPHSEPLPPKNVNPAQDYFAMQAESALPEPADWNRWLVVVQIFTAPVFVS LVLWGNLYHDDLQPKPLFMMILYGLIGSLVTLAILTVTTRHDRMPKYRHLFCFLGFIV SIAWISTIANEVVGVLKAFGVIVGISDAILGLTIFAVGNSLGDLVADITVARLGYPVM ALSACFGGPMLNILLGIGGSGLYMTLTEANHKHEKHPGRPMKYKPYVIDISPTLLISA VSLLITLVALLILVPLNKWMMTRKIGYGLITLWAVSTVANLIVEITGVWGDHTSL VE01_08764 MPRVAQQPRTAGSRNVSSGAPATPFKSQVKIPLNDDAQEKAKRL QSRHALHDIQMNQIKAAASPMRKLSTYERANSASPSSNPRTPARRTANRGKENDMDED LITVGGTAVTPMKRVPILANFEEWMKMATDNKINATNSWNFALIDYFHDMTLLKEGNG VNFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLADSGNNKKKRDGAEGEEGEESEE EVEDEDGTVRRKPKKKAQRSSEATLAPSFAPLQLKKFELEFSVDPLFKKASADFDEGG AKGLLLNHLSIDSQGRIVFDSSDDAGDASAEGRGRQRKGDTIEEEEEEETPEPSSLLD DTAKLEDDEEDAEIDIASLGARFFPDLDRLEEQDICPSLKNFDLGDPSGSMDIPFLKA PDDWRQDREKTAEAGEIGDTSGVFLDDDNPAGFDDDDDGLLGNFDMPDAGFGEGGEAW ARDAAIEPQLRVHDNGINGEGEGADGEDGAGTFDPESGEFIVSLNRSKGGMEGGHEDI LSYFDQALQKNWAGPEHWRIRKIKDSAKAVTAPKARKEKEPFEIDFVSTLDQSLAESI YTPASSNTVISMPKKDWKSKTRNLLPDDKHFSSKQLLRLFLKPKAQMGSRRPGIGNKL GSFGQAIKKEEDVPEGEMDEAFWAQKEDPIIAGADEEAPKGDYDANFFQDDGMPMGGM DDDDEFADARDHFSPGPDGNGNEGGMAGINNLLGGGMMGSQGTEGEGAFGTQLVTQSR RLRPEYVQYARVAKKVDVRRLKEELWKGMGVKGLHSEAPPDASGRLPTPGPETEEKPD EVLQFTNVMQNLQTVYPKQAMSDISTSYCFICLLHLANEKGLVIEKQEGLEELTIRRD YTAEITVGGG VE01_08765 MATETFLARLCEQAERYDEMVTYMKEVAKLGGELSVDERNLLSV AYKNVVGTRRASWRIISSIEQKEESKGTEKHVSTIRDYRQKIETELEKVCQDVLDVLD ESLIPKAESGESKVFYHKMKGDYHRYLAEFASGEKRKGAATAAHEAYKNATDVAQTEL TPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDSTLIM QLLRDNLTLWTSSDSGEAEAAPAAEAKEAEKAPEAAAEAPKEAEAVATETAPAAES VE01_08766 MENAFAQSTGQVLKHFSVQEHKGLDDAQVIASRAKYGSNAIPEE PPTPVWELILEQFKDQLVIILLGSAAVSFILALLEDGGGWTAFVDPAVILTILILNAV VGVSQESSAEKAIAALQEYSANEAKVVRNGHVARVKADELVPGDIITIAVGDRIPADC RVIAIQSNSFSVDQAILTGESESVGKDVTAVKVENAVKQDQINMLFSGTTVVTGHATA VVVLTGLSTAIGDIHESITAQISAPTPLKEKLNDFGDSLAKVITVICILVWAINIRHF NDPSHGGWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVNKLVYLNESGSDLEEFDVEGTTFSPEGKVRFQG TQVTDLAATSYTVEQIAEISALCNDAQLALDDKTGIYSSVGEPTEGALRVLVEKIGTP ESSKKAQNGNSALADPLSKSSSWYERRAPRLATYEFSRDRKSMSVLVGDKNSQRLLVK GAPEAIIERCTHAVLGANGKKVKLTKKLSELLLKEVVDYGNRGLRVIALASVEDVESN PLLKKAKTTEDYLKLEQNLTLVGLVGMLDPPRPEVAGSIKKCKEAGIRVIVITGDNRN TAETICRQIGVFGPHEDLTGKSYSGHEFEKLSESEQLEAVNRASLFSRVEPTHKLKLV ELLQAHGEVVAMTGDGVNDAPALKKSDIGVAMGSGTDVAKLASDMVLADDNFATIEVA IEEGRSIYNNTQQFIRYLISSNIGEVVSIFLTAAIGMPEALIPVQLLWVNLVTDGLPA TALSFNPPDHDIMKRKPRRRNEPLISGWLFFRYMVIGIYVGLATVAGYAWWFMYNPEG PQISFYQLTHFHQCSEKFADLGCQMFSNDMAKSASTVSLSILVVIEMLNAMNALSSSE SLLTLPLWENMMLVYAIALSMALHFALLYTPFLQTLFSILPLNMNEWYAVLAISAPVI LIDEVLKFAERQIYIETSYAEPKPKKE VE01_08767 MSSPFSINGGACVAMVGKDCVAIACDLRLGLQSLTVSNNFPKVF NYGDVYLGLTGLATDVATVSDLFRYKVNMYRLREERNIAPSTFANLVSSSLYEKRFGP FFVSPVVAGLDPKTGKPFICGFDSIGCIDFAKDFIVAGTASDQLFGTCEGLWEPDLEP EDLFETISQALLNAVDRDALSGWGAHVYIIEKDKVTKRLLKGRQD VE01_08768 MVAHVVVTDTGLQRYQIKVAPETHMSDVRNQACTRSKFDPSNYG LKHNNKAVDLSRTFRQSGLSSGAKLELVVASKSPSAVAVALQLPDGTRLTDRFPSNTT LWLILRKFEASDTKNLNFTARSIADTEARSSGKIFYEMPVLNVMNREFGTFVDLQKTL SQIGISSGSILLRLSYRQTETPLEVATAEIGQYFKEVEEAGQGTGATPSTETATPVED GTITDQIAKVQEPGLQSPSSQTSSSMSGVVKPTETPASDEGIAGPGERMISVFSAPTS AVPRAAQQEYNDSDYEPSVADAKLHQSRLLNRTQNKRLLSDAEQEALQREREAKKSSV VETRVKVRFPDQTTVQFPVNASETGAKMLEFVRGLIVAGDQPFSLIYKDSKGQIHNVP DSSTARLIKDLGFEGPTLVTFTWKDEASEDARKWPILQQKYATQAKPVQVPEIPKAQG GGGGVAPKAKEEKKAGSGKSTEDKLKGFLKGLSKK VE01_08769 MARETPRSGIAVGPNKGHKTERRAVRAKPSKTKGHLSKRTAFVR EIVKEVSGLAPYERRVIELLRNSKDKRARKLAKKRLGTFGRAKAKVDELQGVIAESRR TAH VE01_08770 MSALQAIKYTRGKLEVLDQLRLPHESVYDDVSTCEQAFDCIKSM RVRGAPAIAIVAALALAVELANADLNQSSVDGQLKYVHDKLDYLMGSRPTAVDLSNAI RLLKMTVNAAAIAEADRTSNRTAQPDAAVIREAYIKAAEKILEDDLQTNLAIGRYGAE YLRRQQLPVLSPENDADDLRYFTTSPPGTQGAPDRTYRKLSVLTHCNTGSLATSGHGT ALGIIRSLHKMNYLDHAYCTETRPYNQGARLTAFELVYEKIPSTLITDSMAGALFARL KTEKNISAVIVGADRVARNGDTANKIGTYSLAVLAKFHGIKFIVAAPTTSIDLETVTG SDIVIENRPQKELTQISGAVVDKEGNVDVSDTRRVAVAHQGIDVWNPSFDVTPHALID AIITEKGEVVRSANGTFSFQHIMPARWKQQVEKTESVEVAALSGKASVADSEEGTQFK LELV VE01_08771 MVSSVLGKRTRSSVEAALPATLPRPKRQAATVIYSDENDDPFAP RQTRAKARSVSSDVSLKSSPGKHNGAATRAVLQPTQIKKHFNTVKSVEADENAKIIQV KTPQTPRHRDALSKQVPTTPRHRVTVTGTAGTPRTPRTPSTPSGSSSTIYNVARQLFT RSSDPGRLIGREEERLELSSFINTSISTTTGGCTYVSGPPGTGKSAMVNEVIAETPAN EVVKKAYVNCMSMKSSKDLYCVLLESLCGPIEVIEGEELQTLQGMFAPRKPTNAMYLI TLDEIDHVVTLDLECMYKLFEWALQPSSHLILVGIANALDLTDRFLPRLKARNLKPNL LPFLPYTAAQIKSIITTRLKSLIPAGSATPDFIPFLHPAAIELCSRKVSAQTGDLRKA FDICRRAVDLIETETKAKHQASLVPIPDSPSKKILAENPNLSSPVAMPSPAKSPRHLS SLIADSLAKLTVETAPRASIAHLNKVTAAAFSNGVSTRLKTLNLQQKAALCALVALER RKRAAAANIMATPSKLANAAPTIKQLYDTYCHLCCADSVLQPLTSTEFRDVVGSLETL SLVSGVDGRTGSFVMANTPTKRGRKVGFGGGVGSGDEKRVGSCVGEQEIERAVEGGVG AVILKSILSGESLAWCD VE01_08772 MAARQGHGDDELYLESPSGGGDSPTIEPLRISKPPSTSPPRAGS AGPSHYNYPPPQPSKHSFPLPPGASSSAAPLPYPDDEGTFQYPRPAEQKVYRAPYPDD GRQSQSPHQSTTSPPLRTNSNDLYDTTPRIGASPTDKRGPRLAERRGTAPKPLPESPG HDAPDKEGLFARAPQRPANTMAAQGQSYPQNEGLIAPQPTKLDRFDSTASTSTTRASR GSPAPPETPIVGPGEAGGSIEERYAAAGISGTATLSSLQAQNAAAAQRRNQYAPPPVP QMPMSPAFSPAPQQPQQPQPPMSPAFSPAPQQNQAPARPWTPTELPADQSHGAPTVYQ GANQVSSPPRPEQARQDSGGRASKPPNALEQDFQRMHMSASPPPAYSSVSHGGPSNGT TAQGYPNEKAGAAGAGAVAAAVAGAAAAATVAAAAAPKKTDYAQPLASPALQHPGHPA FANDPRQEQNTPVQQFANPAPFTGTIGPASPPPMPEGWIAHLDQNSGQYYYIHLATQA TQWEFPKGPTPLNHDVAPLSPTASTYGNPLMSPGLMSPGLSQFGKQPLGSPGLFPPQT PGYAESIMSSMSQATTAGGFSGPPPSAGVDMYKIAPTNGVYFGPYLKYINMDIERGIW LGSIMLVTDGPQPPTIHIHQSNDLSPNPRQLKANSIYTHQRWVFYRYDVDLQMGDGPG DKWTYAITSHLGCTRYEFLVAGRYETNWRFIAHSGNDFAMNTNANERSRLGGIGFMWK DILQKNVETGGFHVQLGLGDQIYGDRLWKEVPLLKQWLGLPGKEARKNTPWTAKHEED VSHSYFHYYTSHFDQPYLREAFAQIPHVLSIDDHDIFDGFGSYPEYMQGSHMFKNIGR IGIEMYLLFQHHTTLELLRNVNDDIDLFTITGSGWHFVKYLGPAVVVIGPDGRSERTQ QQVMAGPTYQGIFPKVATLPPSVQHCIWMVPVPIVYPRLETMESLANTVATGKKAVNT TYNLLGKVTSSVAGVVGGKEIVSSGFSQVKKAVGKSGLMGGVLNSFGDMDITEELRDM WTHDSKDLERTYLIRTLQGIAQQKGIRMTFLSGDVNCCGAGLLHDPSHPNDHKTMYQV ISSSIVAAPPANYVLKMLHNTKALYVPANGRRSNHAVSDTKEDMMEIFQSEVSGAARE LKRLMGRRNYVAFVAYDPHDLNMGMSQAGSVHSGGQGLSKLSLAVDYVVQGDGAFNAP TKYGPVIIPSLEFGR VE01_08773 MAEPVTYPPLNERPIKNTIVLFDVDNTLTPARAAGTPEMIQMLA DLRQKVAIGYVGGSDFVKQQEQLGTASLSVTTRFDFCFPENGLTAYKLGSLLPSQSFI NWIGEDRYKELVKFILHYIADLDIPIKRGTFVEFRNGMINVSPIGRNASTEERNEYQK YDLKNKIRETFVNVLREKFADIDLTYSIGGQISFDVFPTGWDKTYCLGHLEADAKKPD GIEYTTIHFFGDKTYKGGNDYEIYEDPRTIGHSVTDPDDCFKQVKELFDL VE01_08774 MATQGDKFGNDMESDSGSEQGSPNLEATNDVEDNDNIPDMPLKS SLKKPTAAKDQAPVVVRPTLPPQTEPKDLDVKALTPLTPEIIARQATINIGTIGHVAH GKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCDFEDCPRPGCFRSYKSEKE VDPPCEREGCPGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQP QTSEHLAAIEIMKLDKIIILQNKVDLMREDGALQHYQSILKFIRGTVADRSPIIPISA QLKFNIDAINEAIVSTIPVPPRDFSQDPQMIIIRSFDVNKPGAEIDELKGGVAGGSIL HGVIKLGDEIEIRPGIVTRDDKGAIQCTPIFSRIVTLNSENNELKYAVPGGLIGVGTR IDPTLCRADRLVGFVLGLKGRLPDIYTEIEVNYYLLRRLLGVKTADGKQAKVAKLAKN EVLMVNIGSTATGAKVVAVRQDAAKLVLTSPACTNTGEKVALSRRIEKHWRLIGWATI AAGVTLEPTASS VE01_08775 MAQKAITGAQVLPRFLLPQLSWQGRATTVQLPGRGTSLFAAYST DSYGQNRHNTPITTRRSMFQTKDGAAITQKLPMRRDFSSSPMRQREYHFDTLKFVKRL KDEGFTETQSVAMMKVLSDVIEESIQNLTRTMVIREDQAKATYTQKVDFAKLRSELLS ADSSEESATKAAHERLTNDLAKFNNKLRDEISRTQASVRLDLNLEKGRIREESNAQGL KIKETETKIEQEVAGLREKLEGVKFQTLQWLMGVVTGFSALVLGVWRLLM VE01_08776 MSRPEDILPPDLHYNDVEARKYTTSSRIQNIQASMTNRALELLD LDTPAMILDIGCGSGLSGEIVSSVEEEYGGQHIWVGMDISASMLDVALQRDVEGDLML ADIGQGVPFRAGTFDAAISISAIQWLCNAESSDVSPTGRLSRFFNGLYASLKRGGRAV CQFYPKNDQQRTMISGAAIKAGFGAGILEDDPGTKNAKLYLVLTVGGAATEGAGGDIT GVVKGMEGVDVVDARRQHQAQKRKDVKKGSKAWILNKKEQMERKGKVVKTSSKYTGRK RHITF VE01_08777 MAHFWILPLVGYLGMVLGFGFLTLAIASGLYYLSELVEEHTVIA KRLLTRMIYAVIAIQTLLVLVDGFPKFLSLIGIVSHVVYLGNMRRFPIVKLSDPLFLS SCVLVLINHYYCFAHFSSLPRAPTHSIYDAPTVPTFTEIASYFGICVWLVPFALFVSL SASDNVLPTMGSEAPSGSAGPGDRQKRQGLVKVVVDGFIEWVGNAGNVLGWWKTDRRS SIL VE01_08778 MCLVRVSKEDDPVEPPRIYVRRRESVSHSRRTSQVVIRPTTTSR QSITRITSVEQRAPVERAPRPVEYVEGYPGPNPDPGNFPMIVQAPTPNRSPRNSPRTS HTHVVMYEGSPRNSRTSVTSRQSVRQGSLRSQRSQRSRQDGRRHSRNNSGEIFIVNPP VRERSRSPAVETRGRADSYAVRHVSVGRESRDPSREPSRERRSKVHLTTPLSPMEVDK VQRGLEASRDTMMVEDVRPRARSITYGANPRMSAGRLEDRERVLVEEDGRRKEFYKTK SLSP VE01_08779 MTTASFWIEIIPKPIYVGGTGPPMAPITLLPEHDEESWVIAEVI EYKKVPHYVVHPKDKPVVRKIITKNVALDWVSPLVYEMFEMEEGLRRDAREEELRLEK ERSKLTKKGKKRGRPFRNRQMEASALVESIETETDDNAQPSDLQPSLSQPNLRMDSPF DTEATEDETISRTMEPPPRKRSRTSTSEVRLSSKPPRNPEEPLSSPQTVQKKNLAPTS KSHRESKSTADEPSTPRHSPSPFGVRTSETRALRDRSAQPFYGRQRSQTVHPPQSASP AKSPRSTTAPRQPTRSSSRSRNATPSYGGIQKGWTSAPPKPSTSTAPKRSKSKSTTST PSKPPPAPPPASSSANKNDTDITDGKQWKVLRLLDDKWEMKTQRRCHYYLTQWAGDYE PTWERSTNITSDLKIEYENWMKTPEGKAHKANRKSVEKSVKSSIERDVVDAVMAPRKK YERPRSRRSSSSDDHAQVSKQLGAHTAQDDNTSSTDSPDPLVADPATTAVKREQSSES VDQLQLARSPFFSSSSQVAAEAAESDEEEE VE01_08780 MSIRVLVRDYPNRAIALVTESHALIFRYITSANDAVNNGSHTSL SSRPSVDTQSAPRCMAEFSRLGLVDLADYRTLSPRPVYGTLGLITVENDVFICIVTGA SKVANVRPNETVERIYAVQFYCLSSTKYDDVVSDGTNLNGYDRNEEDDYSYGHNLSQR DTPIEHPCVDLTKMLSNGSFYYSTDFDLTNRLQDRSAESTAFDIDNLDESFLWNSYMI GPLVKFRSELLEHERAELDASRILTSAIRGFVLTMTLPNSSAPIRTPNSGLPSLLTLI SRLSCKRAGTRFNSRGIDDDGNVANFVETETIYWSPAGVCFSYAQTRGSVPIFWEQQP GLLPGKQNITLTRSKEGTQPAFDRHFEGLENSYSSVHVINLLSESKPGEADLTQRYKY GVRHCSVNNRAADHQLLKETEFDFHAETKGPGGFEAASIISHLIKKDADAFAYYLSED LEDALDSDPSGEKPQRRSIVVLQQEGVFRTNCLDCLDRTNLIQTIISKMAVESFLGQR RERATSDFWMRHSSLWADNGDALSKIYAGTGALKTSFTRHGKMSLAGAIADARKSATR LYINNFADKGRQNTIDVLLGRLVGQRPVYLYDPINEYVNSELARRLPEYSSTQVVNIW VGTYNVNGRSDGINEDLSAWLFPDGNSGHQPEMVVVGFQEIVELSPQQIMNSDPTRKK GWEAAVKKHLNDHMEAAGSEDRYVRLRSGQLVGAALCIYVKSSVLHLVKHVEGSTKKT GLSGMAGNKGAVAIRMEYANTSICFVTAHLAAGFANYDERNKDFHTIHQGLKFQRNRV IDDHDTVIWLGDFNYRIGMSHDRVTALVKSQDLSSLYDNDQLNIQMMAGLAFPHYSES MITFMPTYKFDVGTNVYDTSEKARIPAWTDRILRKGSNIRQTAYHSADLRYSDHRPVF ATFECTVTIIDESRRAALSRQTFARRRAADSTPRPLSVGGARTEAESSDEDTPYDAVE PGLPPASSDRKKWWIDSGHPARSALVPPQQGMLPNPGRPSNPWKETEEEEWVHVERHP ARELSVRSQVAAPRKPLPPPYPATETRPPPTPARPQPEVQRPGSSAQEMGERRGSSAS SRRAAPPVARKPAHLALSNADSPSSPPLSRTRSMGGGGREFEAPPRRTTGLESQGRSP LPPPPRRGVAVGGMDGSEADEKPRMPPRPAAGVDLLGGGGEEMEGWGVLEPRRR VE01_08781 MAGEPIERASLCLPDITRGVVSHQGEDGFGGILKQYYNTPENDA LERLVLLKKRLRDANTHDFWTALLREVCDIGHAQCGFVAKPADPDPSSAAVGIPLLGD PGSDILGVGFYLNSGGDVDQMHHNYRYHVQGTSCIHMQNDKVFLIPERLTDFVKDGWD KMPWENAEAYLGIPISHGGSPVAHFAMVWTAEGAAKRKLSWAFLEMFMHGLEDMILER LLEDKGAAEEPKRKLQASTRIVPIDAITASQTLKPYARSLSHELRSPMQGVVGMLDMM YSTVVDAIASQMYPKAAAVFEELKANMETAQDSSKRAVEAVDNVVHAYDLNMQMPETP LDNSDSELANAPIFAPLADPFRPDIVIEGSGIPLVAATNKRQRDDEVGFHPGPPMKRL PSITEGGLRKVYDDCSSPTTSVVAEADETSTVDLDTTERHHFSQSPSPSFPLASPDEI MRTPAVSPPPLFNKNLTSDRRQISTRSFIRNLVDQAIRSMRPVDKVRVPHNMGELIYV KTEGPRGDIDELTINVIVSPETPERIITKELHLTFAVMKVVDNAIKFTPNGEITIHMR LSRNRQLVEISVLDTGCGISQESKEHLFKPHFQEDATISRSRDGLGLSLFNAKAHVRR SLRGEMTLERSAISGPNKGSEFLIRFPLEVHRDRASSLPPKTPAQLIASPSISPRASP LLQSLSTNGYASYPSPGLPTRLFTPIPPPALVTRPAMKKSSFDRDLASRIPLTFLVAE DNAVNRSILVGFLKKLGYAAASISIAFDGAEAVEQYAASLAEGGVQIDAVLMDLWMPN VDGYEATERILEMAAARKREGDGEGPVVFAVSADITSDSLARAKGAGMRGFVSKPYRV MDIQKLIVEHFGDREAS VE01_08782 MHIPTALLLLFSTAYAAIAGGKSEGDRTVSPALFAELEEAARLA DIAYCVGISGIWKPFGCLSRCADFPDFELVETWNTGPLRSDSCGYIALDHAKKRVVVA FRGTYSLASVLADLATTPQVYVPYPEPAPPPPSRSKPRGWLGWIPWLGRGTKQDIILP TAVGDGDGDTKPVCTNCTVHAGFLKSWTHTRPFLLPHLTRLAGVVPEYETHLIGHSLG GALAALAGLEMRAQGVEVVVTTFGEPRIGNVGLVGYLDSVFELGTGRDGGEGEAARKG EDRRDGERGEGGRFRRVTHRGDPVPLLPLTEWGYAMHGGEIYISSPGLPVGMGDVEMC VGDEDVRCIAGADEGGVLDAEAADETIVDGKGWGIPARFRVGQILFAHRDYFWRVGLC VPGGDPAGWGGRGWYGGEGEGGQGGSGKAGGGGDEL VE01_08783 MSDRRPPRPRSPAMYNPARASAPSINYGKYNDLHDLPAPMPRPA DRGAPPPVVTHYKIAPPPSEIPRSDPREGSSHSRRATLDSNPRPVIVTADARYPGAAQ GGGGPASPVRDNYRSSQENDVYTIPSSSTRRGDYRRGQYNMSVDNADISGSLDRESGK DRLMRVGSGREGAIYPRSRPIHSDTLVRVPDNVADYGDNDYGYTNPRDLVQYDLDLDR APRRRPRRESYEASRSSRPSSISSYGDITRSYEPRERERERGPPPSSRGFDKIPPPRG PVYDAGGMHMPMPHEHLSSFGAPYASDSQERRSSTRRPVSVYNEEPERRKYAADAYDM SEDEMRDRRPRRTDTFDEGIETRGFGIRAEVPPVPAAPVPERVDRSEKHEYERAEKHE KGERGEREEVEYERRHGHEERKHGHDDRKHGKEAVAAGLSVAAAALGLGAMKGGREEE REREERERRRGRDSDEERRRRREKEEEQVDLGGRDHGERRRERDSDEERRRRREKEDE AVDLSSRDHVDRRRERDSDEERRRRREVKNEDPVVNLTGRDPVERLPPRPEKVPRDDS DVESRRRREKEKPYREDIDKDYRRRDEKEPTSSDKDQPPPIVDLNGRNPVEKPVYKED LSHREPVIEIPDRRRHNHHSPSPPTSRHDSRASPPTIDTFAPRSRKDRIRTDESNISS PTTFNAKDAMDLRALREALNSKDKDPSPPPIPPKEPLRENERERERDRPSRESTDLPL RDGARNRDSATASRESTDLPLRDAPRPRDTDRDRPSRESTDLPPRDATRRDPDVPRPR DTDRDRPSRESTDLPPRDPTRSQTSERFDPRDPRDLASIRAELSRASASASAPVPAPP APRERRSSSSHSTERRTGPRLVSPPRPSSGKAEDARPVKGILKPGRQKWPEDPTPIRE GVAPLKDAKRDGGVPADARWTKISRKLVNPEALERGRERYEAREEFVIVLRVLSKEEV QGYATETQSIRAAREAEDEDRDDRRRRRKERHERHRRERHHREDRDEGEDYDYERRRH RREREREYESHSESDTSEDERVSRRRD VE01_08784 MANYQGPRPNGGRQSDYGQPPSSNNQRDAAFSNIFGAAPPPGRS QTMTSSNQPLNMMPPPGRTQTMGSQYDGRSPMGREPPPRMPPPRQPPPRQPGGYPPQS PSYGPNGQAGPNGYYQGPQRPASNSGPQVRDQQLPPAPPRLDQRRPYPGPQRVDMRQQ PNISQQQQFAQQQRQPPQRAYSGPGPAMNSDPYRSQSMASISRPQMYQAPPSNFQQAP ANAFRHAPYQNNQQMSSKITAQGRPVPERTHDERTMSMTSYPQGRDHQTMSGRVIPNR RAEEPQERTNGYQIETPGAAGSMTRTTSMASTQVTEGSGRSMSMASTIVPPESVNNRT SVKSNGSGTGRRAATKAPLVYPALLSRVGECFRDRIQTGDRTKNDLAYKHAFSGAEAV DVISYIIKTTDRNLALLLGRALDAQKFFHDVTYDHRLRDAPTEMYQFRETMMDDPSDT PEVNGVFVLLTECYSPTCTPDHLCYSIACPRRLEQQSRLNLKPQPGLRREDSQANLHD NEPDEQKLWINTVSKEIADSVGDREKKRQEVISEIMYTERDFVKDLEYLRDFWIFPLR GLVRDVPPPIPESRRERIVRTIFSNIVDPPSIHGVSSKFAEALTERQRKHPVVSAVGD IFLEFVPQFEPFITYGSNQLNAKFEFEKERSLNPFFSKFVDETERRKESRKLELNGYL TKPTTRLARYPLLLDNVLKYTDPASQDITDIPKAIKLIRDLLTRVNAESGKAENRFNL RQLHEQLRFRPNERVDLKLTDEGRELIYKGALKKSPTDPSEIQAYLFDHAMLLVRVKM VGKKEEVKPIPLELLAIKEMDDVVPRLGVVKRPTSSLLPGNKSNTADTTKGFPISFRH LGRKGGYELTLFASTVGSRKKWLEYIDEQQEKLRARGDFYNKTTLSAGFFTAMNRVNC AAPFDGGRKLIYGTDTGIYVSDRRSKEAGAKPKRVIDVQNVTQVDVLEEYQLLLVLSA KSLLSFPLSALDPNEPTLGKRSKKIQSHCNFFKTGICLGRHLVCCVKSSALSTTIKVF EPNDAMSKGKKQKGFKMFNSGQDELKAFKEFYIPTESSSIHFLKSKLCVACARGFEVV SLETLETQSLLDQADTSLDFVARKENVRPIHIERLNGEFLLNYSEFSFFVNRNGWRAR PDWRIEWEGLPQSFALSYPWILAFEPNFVEIRNIETQAVHIIPAKNTRMLHTSTREIL YAFEDERGEDIVASIDFWPAGQRPDARMSIMPPAPSTAPQLPALQIEGGSSRHSHVRQ VE01_08785 MADSESAPKFAPFIGMAGIAFAMIFGTIGAAYGTAKSGIGIAGV GTFRPDLIMKSLIPVVMSGIIAVYSLVIAVLIAGDMAPPPGQHYALFTGFLHLAAGLS VGLTGLAAGYTIGIVGDMGVRSYMQQSRIFVGMVLILIFGEVLGLYGLIVALILNTKS RG VE01_08786 MDPFSFASSEALELPLNVKIVRLEGEQTQIPFSTLIKRPDLRHI GSNISPHSDLYLTVQLWADSKPLTVPVRTPYKPFKNERKWGNWLTLPVTFNTLPLTAQ LAITVWDVSPAGGDGAQGHSIPFGGTTFPLFDKENTLQKGRQLCHLHRRKAADGLAGT STPSSLPTRRSEKNGKSENGLVDKEQEEAERLEKLLKKHEMGEIPRVEWLDQLVFRNI EKQNILSSSPSARSLQNRRSKTDGDITTLDGEVEADIENEVFTLHIELPRFDFPVVFS DHEYPPPPISSMQHLSSSQNNILKPPPVVYFGPGVDGKGEDGSFGGRLVRIYDPEVGS RDNPAETKHRRLVRGHRTGAMDRDLRPNARFRDELNQIVGSPPTHALSPDEKDLIWKF RHHLTKDKRALTKFVKSVNWQDNSEARQAVQLLSKWTEIDVDDALELLGPSFDNSDVR AYAVDRLRKSDDDELLLYLLQLVQALKFEHKTPNSSDEPKADSSLAQFLIARAAKSFM LGNYFHWYLMVECDDKSPGQPPQYRKLFAKVEYDFMIELEKTPTGKETRKVLLRQAEF VAVISKISLEISAKGGPTIAKKAERAKQFLMDPKNELINIDPPLPLPLDPSILISGVY PEETAVFKSSLSPLKMAFRTSSGRHYPIIFKTGDDLRQDQLVIQIITLMDQLLRKENL DLKLSPYKILATGATAGAVQFVPSSSLAAISTKHRSSGQPPILTYLRTHNPDATAPLG VRKEAMDTYVKSCAGYCVITYLLGVGDRHLDNLLLAPSGHFFHADFGYILGRDPKPFA PLMKLGSEMADGMGGQQDENWARFKEYAFTAYAALRKQSGLVLNLFGLMVDANIPDIR AEPERVVEKVMERFMLEMSEEEAIRGFERIIEDSVGAIFPVVIDRLHGIVQHFRT VE01_08787 MPSKSRAPTYSEDVWDASPKPTPRRSLNRAPRDTSPSSSPHSAA SQSSDKENKAARSRVDKGKGPEGMAGPPVSRGLSEISNGRSVKRKAVEVRDAGDRSRS VRRRTREPENGSPVSQNGDDSSDNYDPDQDLQERRELRARFRNLEKDLNNNRAEFLHA DNEGLKQTLLEADEILNGVKQTGDATVDSRLIANAADLSLKKIEKLTLGDTDRRVDID HFVAKCMTFMRNGQGSAPTPSRAPTSTQSRRRTIQNGDNPDDDDDPEAGDMLDWSHLG AFACQQHNTRPPIPGFLLGPLSLEKRARRVIQRRAPNANRDLVETQPEILRPEDIERS ENSSLTFLCARIMERLRQASAAGMDACEAEAWEGITDEEVKMLMRRHGLNADGGMDLF RFVINPRSFGQTVENMFYVSFLIRDGKAAITVDEDGLPFLGEAEPLGRAEALKKDVSK HQAIFAIDMATWEELIEVFEIREPIIEHREEVVQRAVGARGWYS VE01_08788 MGLALKKPKDVPGRSWPAIVIGFFVAFGGILFGYDTGTISGIIA MDYWKALFTDGTRDANGDPDISASNTAAIVSILSAGTFFGSLSAAPFADFLGRRLALV GAAIVFSFGVILQTAATAIPLFLAGRFFAGFGVGLVSALIPLYQSETAPKWIRGVIVG AYQLAITIGLLIAAVVDNATSKRNDTGSYRIPIAVQFAYAIILGSGMLFLPETPRYLV KRTRHDKAARSLGRLRRLNVDHPAIVQELNEIEANHKYELHLGKATYFDCFRGNIGKR LLTGCLLQALQQLTGVNFIFYYGTQYFKNSGITNPFVIQMITSAVNVASTLPGLYAID KIGRRPLLLWGAVGMAVSQLLVAVLGTTTTSQDAAGNIIVHNVAAQKAGIAFICIFIF FFAATWGPIAWVVTGEIFPLKVRAKCLSMTTATNWLFNWAIAYSTPYLVKWGPGNANL QSKIFFIWFGCCFLCIAFVYFFIYETKGLTLEQIDELYDEVSVARKSVGWVPTKTFAD KSGKEGAEEVEGGVLETGETGEPVSGDAGMVGGKSE VE01_08789 MAPVNPQAVNKWAGRIVPTFLAGTVTYATYVFVAQLCVNFLLRG HRPRPGISAALLAIYFVLFLFMTITYGRLVYTVTVDPGYLPLGPAAKRYNKADKNVSQ ENNRVAGRANDALGPEYPSSEAAIARDDGADSPGLELFYTKDVFTERITAAILEDVST RWIITVHGKLHNSLADLSWSPKTNFDRVGGVVGENSFKFFVQFVAYAFLYCLFVVVVT AIYLSEDLSNTSELNGHFVALVAVSGFFGLFTFGMTCSSLQFVFANLTTIENLSKKSR AWLLAVLIPPTFTFPQQGNYPHITYPLPLPESEKSSPTAPSSPASPPHSGTISEPETL LERDARATRTFAILQLAVGRNPWDLGAVGNWKSVMGNNFLDWVLPIKQSPCRNHESHE SQFETGRWVEELLVEVGFMSEQDMRKPLPFRGRKLKGPKRNIMEKSGVAPAMTAECIP RNAH VE01_08790 MPLPLPPTRPVPPPASASSSVEIRPMVWADAKRTGELAQVAYWN EPISDLTTPHRASYPEDHLLWFERRIKNRMVSPRNRGFVAVGDGETVGYMQCVRLGDD EGALQVEKEEKKWWSGLVEWGYGVYLKCAAYVFPNRSESAEGKAEFSKAGVLEYEKHW KDREDRNNRWYVQSVVVAEQWRGRGVGKKLMAWVLEQAQKEGVPVSLEASMMGERLYR SIGFDLLDRFVTKIGDSKDDEGGIMMWSPK VE01_08791 MAASPTTDMEALQDRNAVLAEIGELYRVKSPQGRREFAPNATIV LVGCRGSGKRSLGFIGAIHLGRRLITEDQYFEEVTGLSRKAFLSEHGQQEFKRKNIYA LQKMLKENTSNCIIECGMGSLAKEAQETLKEYSLSHPVVHIVRNFNRIRYLLGLSEDE GRRLERADSVHRSCSNFEYYNLHDPSCEANGTDSPLDRANPNYSFGLKDAKKDFSGFL DFITNNGYGLAALENPLSIGAVPPEKRQYTFALSLSLSDLIASNIDMSELESGGGDVV ELKIDTWTASMLSVISKLVAVIRRQIGVPIILSVVDLEDHTKSGQPSVGQEARIPFTQ EIAVILLEQGLRLGVDYIAIDLNFEDRNIRRPLSEKGRTKVIAHYYDGRPPPSGWLQS DRLALYSRAQSFSFDIVRLVQTAKTLKDNDDIKIFWEKTAELPAPHLPIIAYNAGSIG IETLALNKIFSPVTHPVLDRTRTPTTSRLTSEEALRRLFHKSIFQPLHFYISGAMVSY SLSPAMHGAAYKVCGMPHDYSINQTSSVEDFLRLANEPNFGGSATNQPLRMDIVPHLS AVSYHAHAIGSVNTVVPLRALPSSTQSLALQATEPKRSGQCVALYGDNTDWIGIMTSI RRNGSPRNAVQPSKTTGLIIGAGGMARSAIYALIRLGCRRIFIFNRTLANAIAVADHF NAWAGQLRFELPVVTVLPSRDEPWPAGLRPPTLIVSCLPAHSVENQPVPSFEMPEQWL QSPTGGVVMELAYRHTETHLVRQIRDLRARTGQAWVIVDFLDVLPEQGIAQFELMTGR RAPRRTMREAVIEAVRDDPPGGFKV VE01_08792 MSPPAVQRKRVAQACDRCRSRKDKCDGAKPVCSACAVRGHSCSY DPAVKKRGLPEGYVRGLENLWGFTIREAPEVEANVISLMEQRHGTDALPRGWNSKDGE ALSDTWRKSRLSRELDSLLPLLDITDDRPAKRRRQDSSFTPPTEASAFDPAFVPPRET YPVKGEQQTSSAIFPAPIFNGASSSEAMKLTDSQQIHLPHNAWELLDVYFSYTHCWLP IIEKHNSLRASYLYPTSISSSMPGSGDHAALWAILAYAECHQAIIRRNMTHGLQQNDP WMASDTYKTARSLIPDEDGVFELGHIQSLLILTLLNMGMNRWKQAWSLIGQAVRMALE LRLEKCPDDAKSRNRHTFFGCFVLETLVAARLNRSPHLRAQDALNCGLLLEDGSDEWS PWVDSIGIRRNSESSSRGPASTLSTFNRLVQISAVLNAIVCGYSIGAEDHGKFDLLLR KFGWDSKALDKPGPPLLPHQYHLSCLYSATRAPVHAHQRGQASRAEESLPDLLDGLRK NYGLAAVPPTFDCLAHVYIRQYASHGRTVASEEALNSLEELLTEMAQTWPTFQDTLLE LGKFRSSMSGANVPLSRFSNLPNTNPTTTVGKDINLKESRPQRHPSLDEPMNTPSSSE YTSSGQAILEAAIQFDPSLLLMDDEIKPISTPWSLPVGNLTLAANSPNIPQPSGGPAS LPYYPMFDSQTDDDALFNETNNWDQSLQNLGFTDLDNMKQDFHAFFQEPNLRPSNEHD MGDHLMAGSAALMEYPSPHMEASQILQALSASEIPVLPSRQDGG VE01_08793 MPSSCKDIREALAQCLQQSDCIMIQRNTPADCLRPPLIDTLPTQ CQQLKRGYGECKRGMVDMRKRFRGNQPIAMGKLGEPEKKSGQLYAGKAAFGGVKETSG DEPEPRDWREVENEKFREGKA VE01_08794 MRVLLSFLILGLLGIASALSTSGNRLLVVLEELAEKEKYSKFFG DLKGRGFDITFESPKSDSLALFELGERAYDHLLILPSKSKGLGPNLTPQTLLKFINTE GNILLTLSSSNPTPSALVSLLLELDIHLPTDRNSIVVDHFNYDSLSAPESHDVVLVPR PSAVRPGVRNFFGGILKNEVIAFPHGVGQTLGNDSPYLTPVLRAPGTAYSYNPKEEVE AVEDPFAVGQQLSLVTTMQARNSARFTVLGAAEMLEDKWFKGKVQVAGGKVAAAANEA FAKEISGWTFNEVGVLKVESVTHFLNEEGLKTPNASLTNPKIYRVKNTVTYSIELSEW SWKEYVPFVPATGDDVQLEFSMLSPFHRLNLERTQTNPSSSVFTTTFKLPDQHGIFNF LVEFRRPFLSNIEDKTTVTVRHFAHDEWPRSWVISAAWPWISGVAVTVVGWIIFVGLW LYSAPPAAKGKKAQ VE01_08795 MSVPRFFSQPLKYLHWASIEKPAIFYSIIVGSIGPVLVLTVPKI RHRLGDGPRPPIPSTYPIPAGPRKQLSGYDD VE01_08796 MTATKTKKNKRANKAVRTKRTIIEDEDGWAHVVGGRTIKPDATK AKIKKWGFDVVIYTLEEVTAKYESLKDKWEESNACKELIKLVQPYEGKSQVKNVVIMG LGSFQTRMGDFSRTTFTQLAALATIRKTLAILEIPVIAQDPAFSLLDKEFLQSLGFKV FESPEGFDLIDSSSLVYAIHCYPVVYDEVGKKGPPAVLIGNDLSRLTDESKDFIKVYP DILTCYESLESLFPFPQSKDDSPQSRDDFSDTIWYRSKIGMEPTRNKLAMDSRQH VE01_08797 MAVWFISGCSSGFGDQIARQALARGDKVVATARNASKLSELKKL GALTLSLDITSPDDVIQKVAKDAIDAYGSIDYLINNAGYILEAAVEEASDAEIRAQFS TNFFGHAAVIRAVLPYMRAAKKGVVANLGSIAGWHSDAGYGYYSASKMALVGITEALR QEEAHLGIQAVMIDPGYFRTNFLGSDAKIQSKTVIDDLRPMMDPVRDMLVKVNRNQPG DPVKGAAVIIEVLTLTGRASGKKLPLRLALGSDAVKVIAGVQARQAKELEEWADIVKT TDHED VE01_08798 MSQPALDLFVLTYNCAHNAIDVPSLSSTLFNAVDHVPDLLIISL QEMSPLSHGLIGGSLLSPFFSRISEAVEIAARKLSGDSPVAGSSSNSEPRIFTNVAIR NIGMTGIMAFSRDAAAIQNLQTGEVGLGISSMGNKGGVGLRFTYADAKSGGETELTFV AAHLAAMEWDWERRNEDWKNIVRRLVFTPADGGEGGNAARARVGSEEAPLLGEGESAN GIYKPTSHLFVAGDLNYRTSDTKPGPDDHEVSFPQPHDTVESPRHYSHLFKHDQLTRE RLAGRTFHGLSEAPITFPPTYKFDEGPYLTADEDTKAWAWASHRWPSWTDRVLFLEAP KWMERKDGNAKIEVQKYEPLPLFKTSDHRAVLLSVKVPLVSIPEPDEDEDSTSSDPRI NPPFEIDPDWSTARAIARKEELFVGVTGYITGTWEGRCIIGGTVAALVAGYFLLLAAF GN VE01_08799 MRASSPLVLLSLALSLLPPTTAVFADEAYTTDFHHALLGLPLPS TTFFHRPHADPKSASLLYTLSDLGVLGAVNPGTGALVWRQFLAAKANRTNEFEAGGRV DGYLRGVEGEKRVLSALGGYVNSWDAVTGREVWGNEFVGKARDVEVLGTEGEEKDALV LFEGVKGGVVRRLDGNTGAVKWEVEGEKGAVPVQVSTDTKSVFVISLHGAWGGYNIKV TSLDPVTGKKVNEHTLASKVDVHTREDVLFVGANVAAPIVAWADRDLKTLKVNILGTQ AVHSLSLSKTPEELTKVVIHAPHLIQSKPHFLVHSQTATSHWADVYHVDIAAATVNEG YHLPKLAGPGSFATSSRDANVFFTRITDDEVTILSSNSHGVLQKFPLASEPGAGRASY GVAEVVSKTADTYAVRSAVLTTNQNWIMIRNGVPDWARAEGLSGSVAAAFAEIPEEES LAEALDVEAHSNPLQAYFHRLARHVTELQYLPGHLQQLPKRILASLLPGDANPVSTSL LARDGFGFRKLVIVATERGRVYCLDTAARGAVVWSHQAFEIPAGEKWDVKGIYVDNQN GVTNIMGSGGECIIIMTISGATVDISPPIEPSHIQSTALVDSPWGKWLLPIGPGGLSP PIPKDYAPKDSLVVRGANGEVNGVQFTLKGDNAISTVTWTFKPVAGEKIISVNARPPH DPVASIGRALADRSVLYKYLNPNIILISTVAEAKSTASFYLLDSISGTLLYSTTHANV DTAQPITATLSENWFAYSFFASPSASTSESALPEAQGYQLLIAELYESELANDRGPLG SAANFSSLHPAESPEGFTLPHVISQAYIIPEPITHMTVTQTRQGITSRQILATLPRSN SIIGLPRNLLDARRPIDISSKAAAAAAAEEGLMVYIPQLDFDPRGVLTHQREVVGVRG VIVAPALLESTSVVFAYGVDVFGTRIMPSLSFDVLGAGFNKVALVSTVVGLAVGVGFV APMVRRKQIDLRWLSS VE01_08800 MSFRQNGQHRYGHVPPQQYGGQQPVQNAGLGLQRQSSFDNGDDA QFFDNTNGTRPPPAQPNAYAQQNHYGSQRSHGGGQDELYLDGSGRGHRSPERPPYSPQ PSQYTNQMYAGQGGGVPQTPSTPSAYNPQHFPRTQSQSQAHVQTPNYNPQLYSSQSVS SHHHPYVPAAYQTQQPPVPPRPPAQSPYMQQGPSYGASPIPQQNQTFNSPPIQASPYW SPQIPQSQQYGAAPQVPNRVPTQPAYETSYEPAYEPSYTPSLPAPLPPKPAQYSAPPP APTYPANGTTYGSTNDYRAQSNPSPYPAVTQMPMGPSYSAAYDDFGADAYGGRPSRAS SNSNPPQPVPVENDVQHISQLRRHPTGRALPTAPAIEDTDPFDDDQDRLSTEARAQEL MFQEIEAAIGVNTVNRTQISQPHAPMSGDLLDNEFGDHPNGLGSEARTPTAGQTSEQM YEFEDSDEAEAASGVLALKLAEEEEANQANRGSMGSFGLYSQQRPQEASQDASSDSDN YAVGMDLTMLGGGLNVPMSYGNDIAVRDEMQDESRPLPDMRGREAYAPPTASVLGGLT YHHIPSDDIHHPFPPTHDPGAEIPALQQQHRRSFDEGDERSSLGSRLTTESRLSGRSG SDSPSREEFPDIPYHPGISAASAHNRPLPAVPSLSENRTPQLLPAGSYRNPPNQQQDP QRTSQMYPPDGPESYATQDLLNPGGQFVPRSASLTSHSSTPLVVPPMRSRTDAEERQA RQRALRGHGVDGFDAGTPQSTTPLDLPALPPGRRKKATPENLRSSDYKKCREPWALSG IADWIREMYCGESGDGEADLRVKSIQDLLVALFTHKVPTMNTADAEVISAVVVNDMLQ ASVLVEDEEWVKFGPGEISGVLWQMSGSGCYSPKVHEHEIHGRCYSHHCHRTLKKINL HAQKMAPAKRAEPWHEFFKLTKLQIDAADSKEVLRQNNLHEIIMSEDKFMVQLDVLRT IYRDELQAWQPPIIGQVKLPRFIQQVFGRAEAVKNVNMNHLLAQLKYRQQEEGPWVTG FSSIFREWKRRASQVYLDYAASYPNATYLVRREMEKNVLFRQFLDQAQSNKVSDRLGW DTYLFAPLKRLQQYTLLLKEVLKHTYVDSEEKSNLIIAIREVDDMTLACDEKVNEENK KIEMQELQTKLFMRPGFRRVDLHLDHLGRQLILQGDLQRPGSSRFNWLETRAILFDHY LVLAKAVVQRDSSGLKNKEVFDISKQPIPMQLLVLESTNDEAVVKSKGLGSVTTVTRS APSTSDSRITRASTASDRPPLDHASTNTSLSSMSKVSSNTTGEFDARSMFPFRIKHLG SQEVHTLYAPSAQNRQEWCEKIMWAKERHAASLFSQNAEPFRLKVLADTAFAIDPMQA GGRSSAGIPVVGTPLDRAIKDIEREYGHARQSPVCRTQVNCATAFAMYGKSMVAIGAD NGVYISEVNNPRGWTRSIQASRITQIAVLEDFSICLVLSDKSLIAYHLDSVVPVSNFP APQHDSARRAPQKISGSREVSFFATARMKDRTLVFYKGRGNIATSSSSTFKVLEPVFQ KSSEKKSKLFGRSKTGGGTTEFFREFDEFYIPVECFGINLFHSYIAVCTIKGFELLTL DKKITMSIPDPNQPNIASIADRLKDQKPLGMFRLSDAEFLLCYQDCGVYIDKHGEVSR SVVLEFVGKAKSAAMFGAYLILFDTDFVEVRNAENGRLRQIIAGKDVRCLDYGVQGAS TMARRSLKVAMAHPEMANCQLVVELVLNEGQRE VE01_08801 MLRHVQYIALFVLAVEATAWSHADIDLRHEFGNVLVPRQTTNLQ TFTGALGGAAAEPITSTNDQNRPFEVAGDTFTDFKSAASRTCDNQKNACAKIANSGGN SNGLQVSDCDKQRETCLSTQDKATVTSFDSSASSQAQAQVVTSDDEFTYFCDP VE01_08802 MFSSIQPARLSLLTLLVASFVLSGDGHRISGVQKAGGPEVAARN NVVNVVHIRQDSSGILDGLIPSSGTTNTADQTSSDSTTSTTDSQSETTTEPPTTTTSD ESTTTPSDTGASSTPSQTSDTPSSKTTTDSTETTDSSETTTSKPTTTAPPRSTITSKR TTVIDVTSDGKTYQTTSVATSLIPTNTASPIDDTPDTESGMSTKTKNTVIGVVVGVGG AIFLGLIFMVFWKFWGRRRSSRRAQEDDALMMTSPGEKPDTASTSNPFQSTLESYHAP QRPVNASSNF VE01_08803 MASRRFGLFTGLVALLAIWVLAVGLRATGHFPNVVSQWNHDDHY PPPTTASVSAAENLASQLAAVAAEKDALKARETKLAEQEKKLKKDQNALMEDKAKLRG DRPKSLISYVYTESPTNRRNLEFFIKHGLYAGADFVFSFNGDTDAETILPVYETSPWY DPKITNIEVLKRDNKCFDMGAHGEALLREWNDLGTKLSPMGGRDRGGRKFWEGYERFM LMNASVRGPFMPIWSKDCWSEAFWGMLTDKKKLAGMSYNCHGGKGHVQSMVWALDRVG IEILMKPEAEGGIGYWCPYDMVNAIGGEIRSTPSIINAGYEVEALNLVYHSHDGEDHD KDGKKDNSPKGYIEGCTDDDFFHDNYYYGFNIHPYETIFMKAARNMQDVLIGNFTEWT DGSGYSSYEACALK VE01_08804 MLPRVSINILPQEDHATSQASQFSQTPVPGITQMPIAGVKCPTC FANGIEVWVIRGKICGNCGTECG VE01_08805 MAPLPHHSVAQKHHLSFHSLVSMTTLMAPTHHHTAPSPPTVPYL SHPVQAHLRKIYTSLCGESQFVSREALVAFLKDVQGQDIPLSEDVAEYTFADWLALLW MRGGFEGVGALAGNKDLGKPISSYYISSSHNTYLSGNQLMSKSTTDAYKNVLIRGCRC IEIDVHNGEPVSVSSRIPHITGTTPSDLAVSAIQAKKKYKRMYKAEYKAKLGSLKGKI PWGRSDDKKEHAAADEKSGLGHRLGHKKTASNVPSISREKTSDADSSSSSSDDDSDLD DESGEKDSRGRSSSILKGEPVVLHAWTLTRAVGFREVCRAVRESAFQTSNLPLIVSLQ VGCDVDQQEVMVQIMKEEWAGVLVDTAHPTCHPEERLPRLDELLNKILIKVKRSAEVA PTETPSPDVSMTASSNPITPLTTSLTTSTTASTTASTTLSPRPSTLTPTTTISTPLTP TTSHDALDALSVSSRSRSPSLSHSPSRSPAPKNKARIHPSLSALGIYTHSSHFSSLTA PSASIPSHIYSLSEGDILELFAASPNALLAHNRNYMMRAYPAGHRIDSSNPDPSGFWR KGIQMAALNWQVWDHGTMLNEAMFDGSDGWVLKPPGMREGAEVAELDAHKVEGKLMDL RIRIFAAQRILGEEELRARVKVEVHAERAGEKAGEEWKRHTETKRGASVDWEGERLDF LGAGPGVVEELSFVRFRIEDDGFTDTLAAWACVRLDRLQNGYRFLKLRDAKGAATDGL LFISVEKVER VE01_08806 MAPTPPPSSVLTAFSLTTPPTPLPGGQNTSFLSGTTVLKHIPPT PTSEAEWTSHTLSLLPPSPHFTIPTPLLASTGSYVHENWTATTFYPGTAHPVGHWDAL FSAARHFHASLDGIPRPDFLRKRTHPWARADGVAWGEESVDIVPALAPLYSRLVSLRK EVGVVIAQLVHGDLSGNILFSDDKPPVIIDFSPFYRCVDYAVAIAVVDGIADFGEGEE EVLGASGLGTGRHAVQMLVRALLFRVVARSELVGVMGEVGAREMRGFERVMGVIEKYV VE01_08807 MVGAEGKSMLGEAAEKLGFKAREERGVGAQTAAPVDAGAAAAKE DVPAVTTLRNRAGESRSPYVRGHMNNPVAWQIFGDEAIELAKKQNKLLFISIGYSACH WCHVMEKESFENDEVAAILNKDFIPIKIDREERPDIDRIYMNFVQATTGSGGWPLNVF VTPTLEPVFGGTYWHGPHSNTPQLELEDHVDFLGILSKLSQAWREQESRCRLDSAQIL QQLKDFAAEGTLGGGSATKAGAEPAAGGLDLDVIDEAYQHLMSTFDTTNSGFGAAPKF PTPSKLSFLLRLPHFPQPVLDVVGAEEVKSAQFMALSSLRAMARGGIHDHIGHGFSRY SVTADWSLPHFEKMLYDNAQLLHVYLDAFLGLQKPDAELLGVVYDLAAYLTSAPIARE GGGFYSSQDADSFYRKGDKETREGAYYVWTARELETLLPSGGAADIVSAFFGVSPDGN VAPSHDVHDEFINQNVLRIASTPSQLASQFGISESEVVETIKAAKRTLLAHREAERVA PNLDDKIVCAWNGIAIGALARAGSSLREVDGEMSEKCLDAAIRAARFVRREMYDEDAK TLRRVWRDGPGETAGFADDYAFLVEGLLELYEATFEDEWVRWADELQATQITHFLDPL SSGFFATAAAAPHTILRLKDGMDASEPSTNGVSASNLFRLASLLGDDKYEALAKETVS AFEAEIMQYPWLFGSFMPSVVAGVTGVRGVVRVGAGEPVVPGVTAGTAAPMVETGVSA PAPAADAAAPAPASAAADGTAVAVPATAGAEPAIPAAADASTTGITTAVADSVMDVVT GSSTDASTTTDTPTSIPSATDVTPPRHPIALDTTPSGGLVEAGETPHPAPKAGTKLPP WPRPKAKGGIETVSFVGGGYGAWIGGRSRGLGELAEGGKGERVLVCEGGVCREVKGDE VEEVW VE01_08808 MRSSAIVLAGLSALALAAPVQKRAYVTDVVIDYVTVFVTEGLST TKTSSSVVPTTTSTPPVVTPTIPAPVLTDAIFLESTTPQPIPTPTPTPEPIVETPTPT PTPEAPVTTQAPQQAVVVDTTPAVTTPVVQETPVQAAPVVQTTAAAAPVASPAGTDYK SAVLFHHNVHRTNHSAPALTYSDELAGYAAQLAKTCVFKHDTSIGGGGYGQNLAQSGS SGDEKSRDPATISGGAISNQWYNGELPLFDPSMYGQNDPDMSNFHSWGHFSQVVWKGT GTVGCATVFCDTGSPMFSAPFSGWYTVCNYGSPGNVGGQYAANVLKPLGKSAVVG VE01_08372 MSSLPSGSRATQQRAETGYSTSTKAPLMLSTKDSIMPDRSLIGG NGVDQSPLSQNASYQSYGKFNEEWDASQRGSSIIDTERYQSKHTPSVMSQGDPLLPRR GGTLKKKASLRRSSSRRSSRAGSVRSLALQPEPSDEIHSAFYSPVPTTGNPTEALANR FTAWRKFLKDLIVYFREIQNGYDHRGKSILKLSNVANNISAPENFLQSNGINEAMQIL RDYHKQTITESSKARMIQEDVVQALTGLRSDLQQKIKEIKNLSGDFKNSVDREMDTTR RAVRVLGDSLGQSDIDASQMTGKQDPYLLRLAVERQLERQIDEENYLHQAYLNLETSG RELESIVVGEIQKAYNAYTGILKRDADEAYTAIQNLRTGPIAMPRDYEWNSFIESDEH FVDPRVPMRSVENIHYPGRDHELAQEVRAGLLERKSKYLKSYTAGWYVLSPTHLHEFK TADKSQAPIMSLYLPEQKLGSHSSEGGSSNKFMLKGRQTGSLHRGHSWVFRAESYDTM MAWYDDIKSLTESAPQERNAFVRSHARSFSAASQRAASVSSDGVMDEEDEEPFSTVSN SAVVQVANKQENAKRPEPGGRFPSDIQVDPSRGLQVPLSPSSANSSLGNNEIRNEIST TSNVARGGTGEYFPENRIISQASSHSGHGTSNGARPSHATQLDQFAVEDGLNPYTYQP LGSQNPQGSPLTNKTAVDEKGLAEYSNYQQIPVLERQFNQSTPSSRVEYMAANNDPAL QEHLAQTGPTTTGNEASGLMAASRATIHPVISETANANTHSQPYLDYSSIVPSVAGLN TITAVNHLAEQRPEFPESKSLEQATSLPNTAHESHSFSGPRYADNDTSSSNTTHMHIP GEYPSQTPTDE VE01_08373 MADTVKDAVDAVKEGVNNLAVNKKQQQQKPKKEKKSGDDGGASG PLELSPEPDFISHRIKIFEKLKAEYEVELAAKPREPITITMKDGSIKEGTSWETTPSE IAKAISNSLLKRTVIARLNGDNNQLWDLERPLEESCSLELLPFEDEEGRKVFWHSSAH ILGEASERRFGCNLCFGPPIDDGFYYEMALPGGAAVQQSDWKPIETLFSKIVKEKQQF ERLVISKEDLLEMFKGNPYKQHVIKEKVTEPKTTVYRNGPLIDLCRGPHVPNTGRIET FAIMKNSASYFLGDPKRDSLQRIYGVSFPDKKLMAEHKKFLEEAAKRDHRKIGREQEL FFFHEMSPGSAMWLPHGARIYNTIQTFLRDEYWNRGYEEVITPNMYNSELWKTSGHWQ NYKDDMFTFDVEKEQFGLKPMNCPGHCLMFSHRERSHRELPWRVADFGVLHRNEASGA LTGLTRVRRFQQDDAHIFCREDQIVDEIEGLFDFLRTMYGLLGFTFKMKLSTRNPEKF MGDLAEWDRAEASLKAALDRFAESPGGTPWEYNHGDAAFYGPKIDIKISDSMRRDWQC ATLQLDFQLPKNFGLEYMTSEGAMKPRDEANKGENIAATADTAEKDVSKKDKAKALTP GCARPVMIHRAMAGSIERFVAVLIEHFGGKWPFWLSPRQILIIPVGVGFYEYAKEVQE AFHKLKFFVDVDLSGNTLQKKIRTGQLAQYNFIFVVGDQEMKNREVNIRNRDDTSSQD RGKPVSLQEAKEKLIALRDEKGTANPFAANDAKVAEVSKA VE01_08374 MSFRGGSRGGRGTGANSFGGGSRGGGGFGARGGGRGGFSRDMGP PASVLELGSFLHACEGEMVCESTNTKIPYFNAPIYLENKTSIGKVDEILGPINQVYFT IKPTEGIQAGSFKSGDKFFIGGDKLLPLEKFLPKPKPAPGAPKPKRAGGARGGRGGPI RGGRGGGFSRGAPRGRGGFSAGGRGGSGFSRGGSGGFSRGAPRGRGGFSRGRG VE01_08375 MEGFVVSYSLDNEQQFWDELDDICSVQCNSSQLIDQALRTYLHF TTNFKDEYLASDHAVASSIAKLLESELFRANEDYVRIQLVYSLLQEDELATLYIIASF LLYDGRRNEDTFKIMNKEGSFARLVELIKCAKDEDSRLHRLLLELLYDMSRMQKLSLE DLSHVDDDFVKCQFQIIEELSDDVNDPYHYPIIRVLLVLNEQYMVAATTAPDATSVPT TNRVVKVLSVCGQNYMTFGENIILLLNRETETSLQLLILKLLYLLFTTEATREYFYTN DLRVLLDVIIRNLLDLPNELISLRHTYLRVLYPLLAHTQLAQPPHYKRNEILKVLALL SGSGNAHFAPADETTTRLVSRVGQVPWLNEGKDTNDADLSRKLLGISLPSSQTESSVS VVDLAGLTEKPGIQTPSRRTEAALEAAADGVDKRNRSDGVKFAMPPATSHRDKQIPPK APPRRRTKLKEPIKLENTGDQ VE01_08376 MMVQISVLAVAAGLLSSQSLVAAITPSEIPDDVPVSSLLNSANT HLAMGQTSDALTYYDVAISRDPSNYLTFFKRGATYLSLGRTTQAQSDFDKVLTIKPGF EGALIQRAKIKSKSGNWDAAKEDYLAAGHTGAEVTELEEAEGASKLAVDAEKRADYDE CITQAGVAIMIANKNLALRKLRVRCRFERGEVQEGISDLAHVLQMQPGDTDPHLQISS VLFFSLGDTERGMTQIRKCLHSDPDSKTCTKLYRRQKQINKQLLKIRKLFEKKSFSTA AKYLAGSAEDVGLIQEIKEEIAELRDANTIPAKAPSNLYTEMVEMACEAYSEVKSKKA SIYCTEALELNEHSLHGLLAKAQQSCDEENYEACINTLNLANEHHPGNQNIQSKLQAA QIALKRSKEKDYYKVLGLPKDADELQIKSAYRRKTREHHPDKAIRNGVTKEDAEKRMA SINEAYEVLSDPELKERFDRGDDPNSHESRQGNPFQHGNPFGGQQQFFHQQGSRGGGQ FQFQNGFPFG VE01_08377 MSIPSTSTIFSPTLARQALATTKDWNYVDAWLSRHFAPGSPPAF ERNADTLRALLALAAVNESVDEENDLLSKADARCLSELRQNAEPDARRDLLESLESKL TTDGKKGLDALSETADALNLPFGDTEQMATRIINLHSTAFSLEQIGARIDVLINHMQR ELELGTSFLKELDSDKYQSPPNMGKQTMEYQRKTKLLAAKLPELRERIYALAASGTIK PTVQDVVIEEKDFRSIEALVKDLEGQLKSYHGLPHDTDLARLELETLRAELTVLKKER DGMFEGLVERESPKKQRIARR VE01_08378 MDISIQRALNDKLYDKRKVGALELERVIRELAAGKEYDKIKKII QQLCNDFAYAVHQPHARNGGLIGLAAAAIALGPDLARYLDEIVPPVLACFTDQDARVR YYACESMYNIAKVAKGEVLPYFNDIFDALCKLGADSELSVKNGAELLDRLIKDIVSES AATYVSILHTTEEDGEYSDQDATEPSIQVPMAFSLARFIPLLKERIFVLNPFTRTFLV GWITLLDSIPDLELVTYLPEFLGGLFRFLSDPNRDVHVATSGALERFLSEIKRISRIK KGIQDSRKSKSRSDGGKRKRSDSTDSESGLDEFENDEEEGSLEANEEKAASSDDDWIP GQEVPINFNEILEILTQNLDSQFEEDSLLETLRWIVEFLDICPEEVLPFAPKILAHLL PAMASSVESIRQAAARVNTSLMEYVVSLSEEVPPENVSTAPSTSRNSTSTARETTDND RSQSNSTHRGSLTGSKELEAKRFPDATAQPPTGRSTMSPTPNKQTTVDLDYAAAVNSL TLLFLNDHEATRVAALTWLLMLHRKAPRKVLAFNDGTFPALLKTLSDPAEAVVTRDLQ LLSQISRNSEDDYFTSFMVNLLQLFSTDRKLLETRGNLIIRQLCKNLSAERIYRTLAD CIEKEEDIEFASIMVQNLNNNLITAPELADLRKRLRNLETRDGQTFFVAIFRSWCYNA VATFSLCLLAQAYEQAYNLLQIFAELEMTVNMLIQIDKLVQLLESPVFTYLRLQLLEP EKYPHLYKCLYGLLMLLPQSAAFAALKNRLNSVSAIGYLHIAPRANSTPTTTAQSTYD RPNRLKGRDEGIRWGELLDKFRSVQEKSRRAQRSGNEFDDGFMFTESSQDPGLDSKAF KDLIRLPGPPTATKEAVVPPPPVPSKAKTGLGKFGRLGGGKARK VE01_08379 MPANGTMSANGNPNPKSNARKETLYSRRQIEGLIADGRKIIILD QKVIKVDAWIDYHPGGHLAILHMVGRDATDEVNSVHSAETRASMSRYQIGRIEGRWEN FLPPYQGGKFRPYIESASDGPDTDDQLESSDISRAPSPLFDTEKTATLRRRNADMQRS LSVSSVSSVEESESNSGMAYLDDITKKLLDIDNASYPSLDGATQDEIVRKYRILGQRI HDEGLFNCNYAMYLLEALRWTFLFSMMLVCLKYGWYVSSAVFLGMFWHQLLFAAHDSG HMAVTHNFKFDTTMGIIIVDFLGGLSLGWWKRTHNVHHIVTNHPEHDPDNQHMPIFAI SHRFLESLWSTYHQTTMAFDAFAKFMVPYQAYLYYPILCLGRFNLYVQSWKFLILGQG PVKGPAWWTRWLECVGQVFFWYWFGYLILYKSIPTGWDRFVFLMVSHIITMPLHVQIT LSHFAMSTMDHGPRESFPQKMLRTTMDVDCPQWLDFFHGGLQFQAIHHLYPRIPRHNL RATQKLVQEFCNDAGIPYALLGFVDGNKTVISKLDEVGRQAAILTKCQRTIAERGDVL HSH VE01_08380 MFRSILAPKATMRSAFQQSSLPTVIRPSSIAGLSRRTYATQNEE QDVVIIGGGVAGYVAAIKAGQEGLKVTCIEKRGVLGGTCLNVGCIPSKALLNNSHLYH QILHDSKHRGIEVGDVKLNLGQLMKSKETAVSGLTKGVEFLFKKNGVNYVKGTATLTG EHEVKVNLNEGGEVTYTGKNILLATGSEATPFPGLEVDEKRIITSTGAIALEKVPESM IVIGGGIIGLEMGSVWSRLGAKVTVVEFLGQIGGPGMDAEIAKQAQKVLKKQGIDFKL NTKVTGGDPSGENIKINVEAAKGGKAEELSAEVVLVAIGRRPYTEGLGLENIGVEVDD KGRIVIDSEFRTKFPHIRAIGDATFGPMLAHKAEEEAVAAVEWIKKGYGHVNYGAIPS VMYTHPEVAWVGQNEQELKAAGINYKIGTFPFSANSRAKTNMDTEGMVKMLADAETDR ILGIHIIGANAGEMIAEGTLALEYGASSEDISRTCHAHPTLAEAFKEAAMATHGKAIH F VE01_08381 MPPRDQLKLPAKIIEELGGKDVLGYRKQQRPLDRKDRRKAERVQ KKVSRSGQPPFKKPKVNDPRGREPVRSSTSTEASNSTKESRDTKEPQAAPEPKLKSIL KTASQASNKPSKRIPAAERLRSPTPPPKRPSRAVLDKLAEDDEEIALLEKKLGIKGKK ALPQAFRDDGLDELLGGIDELVGGEDEELVEKRKRKSEGDEWLDMKRKKARKMKAQDV PEVSDEDMGDSDAESVDSDQSDEFGLQSDEGSDLGMDDDDMDSDIGGNNDEDSEFSEF DEPEEPKRVRENPYLPPTAPGAVASAKYMPPSLRKQSASESEDLARLRRQIQGLVNKL TEANLISILGEVETIYRNNARQHVSSTLVDILLVSVCEPAALSNTHIILLAGFISAVY KVIGADFGTQVIQQIVERFDKFYSNIAGVGDTKETSNLITLVSELYNFQVIGSTLVFD YIRMFLGTFSELNTELLLKMIRTSGPQLRQNDPSSLKDIVIMLRPAVEKAGGEENMSV RTKFMIETINDLKNNKMKTGAAASAVISEHTIRMKKTLGTLNSRSLKSSEPLRIGLDD IRQSDSKGKWWLVGASWTGNEAANRDGVPSQPTSQAREVDVADDIAMDTRTTDLGQLA REQRMNTDVRRAIFIAIMSASDFQDAYMRLNKLKLKKSQELEIPKVLIHCSGAEASYN PFYTLIAKKLCGDRKLKMAFQFSLWDLFKKMGEGDNEDDVPDEDDEDALSTRQIVNLA KMFGALIVDGGLTISILKNLNLTYLQPKTQTFVEVLFISMFLHPQKQPAKVGDKTAIA TLIGRVQDTPSMMTGLQYFIQKVVKKTDIAGGKDEKLRVKQACKVAIDTLRALAARDA V VE01_08382 MAAPLTNDEFFTKLSELFDKNRSETQGSVFLTQKRLTYSAPSDT FPAQADAASFPDLAPTQPLTLLIRATDGKHKSKVRLSTIVTADALEGFFSRYAEVCKA GMSGLKKRDRSKAKARQKAKKKVVVPAGEEKK VE01_08383 MNGGGVGAGGGSGAGSAYGGAPQRSFEERAAAKEQMLSTVRESS QQDRRVYVGNLAYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSKGCGIVEYATREQAQ QAVNTLSNQNLMGRLVYVREDREAEPRFTAPPTGGRGGFDGGPRGGFGGNFGPPHMGG GGGGGGGRQIYVNNLPYTVGWQDLKDLFRQAAREGAVIRADVHIGPDGRPKGSGIVAF ESPNDARNAIQQFNGYDWQGRPLEVREDRFAGNFPPRGGFGGARGGFGGGFGGRGGFG GNFGGAPGGGGGYDAGPASTAPPNPFTDFATAGTDRNEIIYVRNLPWSTSNEDLVELF TTIGKVEQAEIQYEPNGRSRGTGVVRFDTVDNADTAISKFSGYQYGGRPLGLSFVKYQ TPGSADAMAMDTEATGLTQDQIM VE01_08384 MATPMATSLRLLRLRPRTTTPLTSTLTTRTYHSGDHPPPPGPFN AIETTILSSSLPHIPVHGFTPTSLHLGAKDAGYLDASTNLFPGGAFSLVQYYLYTQRQ ALASRTDITNPVVDPSSKTRPPGVGAKVKALTWARLQANAGVASKLPEALALMSLFGN IRPSLKELHLLSDEIWFLAGDVAVDSSWYTKRASLGAVYAASEVFMSTDASEGFGATR EFLERRFEDSRVVGEAVGGVGEWVGFQAGAAVNLLRSKGVRI VE01_08385 MAPIKRVRAHIDDGDLISVETATSSLRAEPLRKRVRSSGVTPST SRPQQGRSSSPSDVEEYVEPSQGTGGLAASTQYELDRDADFAHLENEDIYDERATRRI LARSKAIGANRAADNGIIEEVIMTNFMCHEKLHVTLGPLINFIIGENGSGKSAILTAI TICLGGKASATNRGASLKSFIKGDREQANLEIKLKNQGSDAYKPELFENSIIVERHFS KSGTSGFKLKSAQGRLISTKKSDIEDITEYFQLQIENPMSILTQDSAKQFLNAAAPSL KYKMFLQGVQLEQLDNDYRLVAETMEVMEAKIKGQAQALKSSEKRVERAKERANTAEK NKDLRNSQQKYLNQLAWGQVENEERQLQELQEIVDEAQRNIEKAENSIESMDQEYQRH DEAVDRSDDVTRQLMEDMYPLKEQEREMKANCDAANQEVANMHQEYRQIRDFLTASKQ KVAKIESDIAAERQRIENANGGAHAEKMADLTAAQQLASQARQNMVERADDSELQDSH QKALREFEDSKAPLRQKQSEIERCQERLSSISRDAGQQMAGFDQKIPRLLTMIKNDQG FNETPVGPIGLHIKLLKPVWSNALERSIGNVLNGFVVTSKADQQRLSNMMRQLNLQRC PIMIGNNQPIDVRGHEPDSQFDTVLRVLEIDNELIRRQLIINQGIEQTILIEERQAAM SAMYDGAKPRNVKQCFCLSDSRRGWGLRLGYTGASSDRSVSPITPPEGKPRMKTDIES QLSQQRAMLQHLQGELTELKSKTDALQRVMRGHEQAIQKHKQAKRNAERELQKAEEAI ERKQAALDEDNVEDGRLEALRDDLTEAQTEVELHKGSYVQARVAREGQDGVARTRKVA LDAIKSQIANHDVTIKKAEDKGRRLRQARQIALQTKNSAAQNVEDLKAGKVRAEEERD AKAETVEEFISQARMICAERVRLDPGQTLASIEKKYEDIKAAVSRHERLAGGSDREIY EEFAQATASHENAVRQARSLDELLQTLKDSHQKRLQRWRQFQSNVSASARMQFTYLLS ERGFRGKLTLDHKNKALTIRVEPDETKKSAEGRATTTLSGGEKSFSNICLLMSVWDAM GSPLRCLDEFDVFMDQVNRDVSTNLIIGAARRAIGRQFILITPTGIGGGAERDADVKL IRLTDPRQRRIDDLMTNT VE01_08386 MPPAAVGETIPANTSHAVSVSLPTWKANVAYEEGEPWVIDNMKT GYPRFFVNKLITALAEDIVKTYGKDGEQAMLFPGPGAANRCLDFVLRTEPSIPRTSIR IVDLVMDPKKITTESLKIAAPSVSAVIFPKEFFSVAKQYWQHSGDGISSRRGEFCHGL LQEGLLVEKSTLKHATEEQQNFHKGPKRYRRGMSIDKTCSPPKVGGLSSNGTGEKSPG VSNADKEFSQFVEERFGRNLDVTFLGNAKSAIKRRIAGSLVGSVDLSTGFSSTMDASA RGVSGLSEDDVYLYPCGMNAIFNAHQLLLQAREPLKSISFGFPYVDTLKILQKFGPGC LFYGNGSSEDLDDLEKRLQSGERYLALFAEFPGNPLLQSPDLLRIRKLSLQYDFAVVI DETIGNFININVLPHVDIVVSSLTKIFTGECNVMGGSAIFNPTGPYYSKLKEIAKTQY EDNYWAEDVLFMERNSRDFISRIDRINHNAEVICDLLSSQSVVKKVYYPKCVQSREFY DACRTPTGGYGGLLSVTFNTKAQAVAFFDRIDTAKGPSLGTNFTLTSPYVVLAHFMEL DWASQFGVDPDLVRISIGLEETALLKEVFEQALTAAREA VE01_08387 MEPQTTKRRKLDTTAEMPQASDDSNPSVPMGAAATQNPSGTAAT MKSHKTSSAAAPFTGDAYNSSLFKLQVEEMLVEVKPNYAKRLGLVGNVLRKLKTLIEA IPDREEMPFHDATKVLLKTHRVMVPFPEPRPDKSTAYKLAYAKPSNVNVVGSYPLKTM EKADSTMVIDMVVTIPASILQEKDYLNYRYFYKRAYYLACIAAGLQNEEEEPFDLSME NLHGNDLQPVLVVKPGKNGEPTEFSKSRCEIRIIPACPKGFFADSKLHPTKNSIRPKA GPTGETVAPAPTPFYNATLQVECNHEAYLKLLHQTVKSSEGFVDACVLGRIWLRQRGF GSSVSDGGFGNFEWAALTALLLQGGGPKGRKALSPGYNSYQLFKGMVQYLATSNMVAT PTLIQAGDPPIPKSHLPTFYDGPRGHNVLFKMSASSYDMLRQEAQVSLDMLNDEVFDQ FEATFILKKDNPLQQFDSVIKIDGSSATGTVSPQDRRSNNWLLSSKIFNVLKEALGDR VKLIHVKFDDHSRWKTKSSPPSPSPHIQVGLVFDPEHIHRAVDHGPAAEDKKAAETFR NFWGNKSELRRFKDGSILESLVWTKTPNASIFEQIIAYILNRHIGLNPNRDLSIVGDS FDALLPDSVSDTAAFTSLKESFKTLEQSIRDLEQLPLQLKQLSPIGAQLRSSFINAPD YGPRNPLRYPAEVLIAFEGSGRWPDDVVAIQRTKVAFLIKIGELLELTSQRYKAKLGL EHHDEPLLNYPFLDITEPSGAVFRLRIHNDREQTLLENQVKSKSSDAHSAIAALAQYK YMFLQLPLHTQSVATHCTRFPLLSPTMRLVKKWFSAHMLSTHFREELIELLVIRTFLQ PYPWRAPSSSMTGFLRTLQFIARWDWRNTPLIVDFGGIMTSKEISAINVRLEAWREVD PGMNRVVLFAATNHDVSGTTFTDGMPSKVVASRMTALARSACKLLKEEGLQLDPKTLF VPSLGDYDFVIYLSPKFAGSRRGKRDAKTAKFKNLDIQTEEDLLYVGYEPARLYVEEL RALYTTTMVLFHDESSSNVIAGIWSPQTATRAFKVNMPYATKALGGSEETAGQVAIDK DAIMSEMARLGGDMVFKIELRR VE01_08388 MPSATLCMRTARAYTKHSNHAILFARTFASTSRRAEINKVLPSA AEAIKDMKANSTLLCGGFGLCGVPDTLIDEVLKNKHISGLTAVSNNAGTDNSGLGKLL KSKQIKKMVASYIGENKTFESMYLTGEVELELTPQGTLAERCAAGGKGIPAFYTPAAF GTVVQTGELPLRHNPDGTPKEYSYPKDVKVFDGKSYLLEESIKGDYAFVKAWKADKLG NCQFRLTANNFNGAMGRNAKMTIVEAEHIVEPGEIAPEAVHLPGIYVKRVIQSTSEKQ IEKYTFKKEENEGEEGAKKALGSGEAAAKRERIVRRAAKEFKNGMYANLGIGMPMLAP AFVGPEVEVQLQSENGILGLGPYPVKGMEDADLINAGKETVTLNPGAAVFGSEESFGM IRSGRVNLTILGAMQVSASGDLANWMLPGKIKGFGGAMDLVSNPGMTKVVVTMEHTDK KGNAKIVNQCAFPLTGKACVSRIITELGVFDVDFATGLTLIEHADGVTVEEIRSKTGA PFKVADDLKPML VE01_08389 MADALAGKLESTTLGSSQNDPDWKKKLKIPAKDNRHQTEDVTAT KGLEFEEFSIKRDLLMGIFEAGFEKPSPIQEEAIPVALTGRDILARAKNGTGKTAAFV IPALERINPKSSKIQCLILVPTRELALQTSQVCKTLGKHLGVNVMVTTGGTGLRDDIV RLAEPVHIVVGTPGRILDLAGKSVADLSECPMFIMDEADKLLSPEFTPVIEQLLQFHP KDRQIMLFSATFPRSVQAFSVKNMDQPYEINLMDELTLRGITQYYAFVEEKQKVHCLN TLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQANRNRVFHDFRNGV CRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDD RFNLYNIEKELGTEIQPIPAMIDKNLYVYDSPESIPRPISNPAPRQQPPREQLQIQQR GGQAPANYSNGRQGPSSQAQPQGQAQAQAPAQLQQGQPQGQRQPTNQPQGQRNQNFSR GNARVGAQPGRGRGFDGARGGRGQAPPPS VE01_08390 MPLLTGIRPLYRRQNASAILRNIRQAQGYGRRYTSDGGPPTPDR SAAIRRTGLLVSAIVGAGAVYAISYSRAPPETKAPKPQIPHAELTFEEERKKPASAEE NREAISPQHIQIKKSWENPGVFAWGSNTGHVVAPESNEPAIKVPKRIAYFDGLVLRDL KLDRNFGAAVTENGDVLQWGAGYSTDLPGPTPTLTGKNITKISLSGDRVIALAKNGSV FSLPVSRKAQLEDSKPKQSSLFGWGSKSPLSYEEIKLPNLSYGEKVTDISTGQEHCLL LTSSGRLFSSATSHASFPAKGQLGIPGLMRSVLPAGEVFHHPAHEIEGLKGIRISKIA TGDYHSVCSDDLGRVFTFGDNSAGQLGVEPTPQKSHLDAPFLLPVDKLYAGSNLTPFV TNVAAGGNDTFFTVDAKPSASNLDGPGGVQISPRITADTWSCGTGIHGTLGNNRWTHI QGAPSKIKALSGLCEYDESTNATVPIRVGRLSIGTTHASAQISNVTNVVTDGDNETNY GADVLFWGGNEFFQLGTGRRNNVSVPTYIAPLDARQDRDIGKRTDHRFQVAPRKKIVV GGRTVEVEQRVECGRGVTAVYSAV VE01_08391 MYEDTWYSFVPDVKPKKDESSGHKLTHRRKESLLKQPNESDLQP ANPLEPLDEEESLSKGPPQATIARRAKSYTDFYHVAHAQITKEARKIREQELRALKLL ESGDNASYEGRYEIDDAVLLDVSQDEFQLYRDQLALSERHLDNLVEDTSRALDLLSNL SDSFKAVEAQTASFQSQCEDLLLEQKRLKDLADEVGTDLQYYSYLEPITRRLNAPGAS TMIGDESFVEILSNLDACIVFMRQHPEHKESETYLTRYQTLLTRTLSLLQISFTNSIR TKQTEARAILSKATKLNTTTVYLIQAPDIHPTGSRLERSIEHIIFMARPVYDHSIKHD LQGGKETADGYYTLFKSLMDEYVLSRKGLMSEVLGQVLNGTIAEDHDPKTEFGKYARA CFNTTLEVCMNEYSKYGLFFSGNRRTHNKGRTDFHKIWKSQESQTKYLEDLCSLAFRV LEPHIQRADTTTTTQLALWLDSYASSADGNDDESFDGAQIPDGNRELKIHLAGQLKKQ TTQVIFTRIKEMLYANVSRFFPKPEDLEPKNSTQQPAEANRSGEDASDALLEPADTDI DGRAYKALGDGFSNSYKPLKTGAQLLILNNDLTFDANIGMGMGEMAYEIIHETSLSIM RASGEVARKDKIDSYIFAIKNLVLLKNLILAYEISGSRQAAALDFTRMWTTFSELRNR GGLFDVRAYYTLITTGELLPEVVTTVQDARVELDGLLRETITKFREECAGLLWKQYGF PLPEKSRAGKKKIQDKLEVMFTHERELRENLWAAVEETLAERKR VE01_08392 MADLEGTDERSASVAKDLFSGAMGGIAQVLLGQPFDIVKVRLQT TTQYANALDAAKTIYAKEGPLAFYKGTLTPLVGIGACVSVQFGAFGFARRQFEQYNAS RSALASPDLSYAQYYGAGAFAGLANSVISGPIEHVRIRLQTQPHGKDRLYSGPLDCVR KLVAHEGMKGIYRGEAVTLMREAQAYGCWFMSFEYMMNKDAARNNVERKDIPTWKIAM YGGLAGEVLWLASYPFDVVKSKMQSDGFGKEQKYKTMTDCFRQTWRAGGMGGFWKGIF PTMIRAMPVSSGTFVVVEMTMRALS VE01_08393 MIVAIGLRVGFPNGWRFSIVLGVCGWVPTTMLVGLYVVLKTKIR MAREVAWAAWGAEVDRRILEFESLHGRAREDEIEAFMYWVRGGEGFDNSHVAGREPDE ESAIGPALTDEEYQTGWGSLRQEDGGDGSDGPDEEGWNMHGTVLRELHMAVHWRQNAD DQARAHREAQEVARLRNHIAHGVAAEASSRPETMGLVNNPAVKVAEEPLLDIDLGDND SAVSFTVKNDESKSITSVDNVEAEQDDDKVNTG VE01_08394 MEGAYEGTGPGKTGPRLLEDLLDYDSSRPRANGGNLLIDPAPIY KPNKSYPPRTHPNDCHHFLCRKDWQSSIPPFDKKPTTQTQCFSASYCSFCLHHFDVIV DYTQQPGKNIPCQAGTPNPLHHFQYVGSEFRTQEELGRPENKYDNYKEQHAFSCSAFS CPATVTIRISPPRLNRAQSALLLSPQKVYARGAKVIEEDPDRYGESRPSLPCEVLAVI RTYLTHALTNAPGESKRIAARNKRFLLAFGYECKDLLEYLGFTYEQERVKNGDVEEEE GFWSLPAVSPATEATGAVVAKTNRNFVEDVLCEVSQRLADRPAEELKQGNSQILYNPL PALKDLRIALGILNFPTDSRIVNLEAIEHPHYASLGATSSFSDELIFFAYSRQRDCDP SHKPYYLECLQGIGKGRGSPFLEEEFVKIVSLGENTLTEIDDAYKFFALNPAVDHGDD HIIGVYKSRIEAAPIQKDAARRSLLLIGKARNSAKIQEVANNRAVTYEEALEYLNVTA DTPSDFIEAQAIAVAADVDKSIVAPMLSVIGNTRRDLSLQIAAATMEAGSVTSNLTVK EAYKRLQIQDENASEESVFAYYQTLIEDAPLGSKESFLEAIRVIAKSRNSNFLFAKIS DPNAVVAPQRSTADQPVGLDNIGNTCYLNSLLQYYYTVRPVRDVVMNFEDYRMPLTAE NIVKKRVGGRAVGKGEIVKAQNFAVELQTLYQSLKSASTQSVRPTQDLAELTLFSTAT EANFRRASISSPSGMPNLDNEERPIFGPHLPPPPPPPKDHPPYIPMQRATVPTQLMPD VEMTDEPSQETLDNDDSSSQITLVNPESKNNEAPSADMSNAIDLEEDLPGRLPSAEPP AMGHVSIEVPLEALGAGEQAPTRKDAVMANSGSLTPPPENMEMVEQRPPVPPRNKPAP IKTGESEEDLRAQKLYFGAQQDVTEVIGNVIFRLQCAIKPTKIDPKFGEQIDVVRETF FGANAVHLKKADSYDVKIEDWANIIVFPGLDGQRDIYEALDVVFDEQIVEIDNANATQ FASINNLPPIVQIQIQRTAFDPATQQASKNQNRIVFDETIYLDRYMEDDKILQRRRDA WVWKNRIRLLETRQKALQVTDAEVPVERALHATKDFIQSLEDDEVSDIAINPDLASTL EERANEISTEMEAIAKEISTLKLKLQEQFTDLRQHRYRLQAVFIHRGTNAYGHYWIYI YDFAHDIWREYNDERVSIVEDRTRIFGQASTGGATPYYLVYVRDEDKNDIVDAVCREL HEEAPTGADEMVQVWNNGQVGHDEVINMTNGAQHIENYEHFETKNSSSSMDLSGMGAW DGMQSGFNGNK VE01_08395 MGADEGVYHPQDAIGAAITGTMITGGAGLFMSAVQNALARKNVG PWGVFTRSGSTIAVFAAMGGTYEFTKFAAANLRQKNDSLNPALGGLLAGSVLGFKQGS LPAVLGYGTMAAVLLGAFDYTGGRIKGWNRDPESDEFERKQYMRKNRRRPIEQTISEV GEGRGVYGPGYLDRRKERIKEAYGIDVPGESS VE01_08396 MEQAVGGTGTLPRKPFNDASKSHADLKDNFYRYFQQEVTDLQDQ IDKLERTAFVGGERQDAIDYCLASISRLTTEVHDALDFIPAYDQRAYTAAINSLTDKF HEARAKFAPKSRFAFKIKKNESALSLQDVAAAAAITARKTDSENKTSESNNGEPTRPA ASSVQPPPKDYNAEISENSARIRAPSFRKANSVAISDHEGLHIILPSSAAHATSSGSL TDLSKCIVDMSISTANGQPFAGLALKNITNSLIVAGQVAGATHITNVQDTVVVVSSRQ VRMHDCNNVDVYLSCMSRPIIEDCKNIRFAPIPKCYEVPPDEPTENHWDQVDDFKWLK AEKSPNWSILLESERLPEAIWTTVVPGKPGMAAEDILKQVGTSK VE01_08397 MSKVDQKAVLIVIDGWGIPSESSPKDGDAITNANTPVMDEFYKN ADGFIGLEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIRNNELDKNEI IMKTFQDAKNGTGRLHLCGLISDGGVHSKQDHLYALLKVAKEVGIPHVYIHFFGDGRD TDPKSGAGYMQQLVDKTKEIGIGEIATVVGRYYAMDRDKRWDRVEVALKGMVLGEGED SSDPVATVKERYSKDENDEFLKPIIVGGKERRIQDNDEVFFFNYRSDRVREITQLLGD VDRSPKPDFAYPKNIRLTTMTQYKLDYPFTIAFKPQHMGMVLAETLGAQGVKQVHIAE TEKYAHVTFFFNGGVEKVFPLEERDESQDLVPSNKTVATYDLAPEMSAAGVAKQVSKR IQEQEYPFVMNNFAPPDMVGHTGVYEAAIKGVEATDKAIGEIYETCKKENYVLFITSD HGNAEEMKFADGKPKTSHTTNKVPFIMANAPKGWSLKATEDGVLGDVAPTILEVMGLS QPEEMTGHSLLVKS VE01_08398 MGIPFDYAPERPEHIEAILNGLDRYNPETTTIFQDYVQQQCDDK TYDCYANLALLKLYQFNPHLARDETITNTLVKALTVFPSPDFSLCLHLLPAHILQPIS TSSALPAAGDAPLSEAVQKLAVLNNLLAGASYAKFWSTLDSDDLYADLIADVNGFEEL IRIRIAMTISQAVREVERSVLEAWLGLQGEALDKFVSEVCGWQVEGTVVKVPLNKENE AKGTMVRENVKIDQFSRVVRRAYELPA VE01_08399 MLQTPSRASSASSSSFQPVSRQNTMSSVNDGSRSARQSKRYSSV TALYMSMSANERDLEIEDDLAKAQKILRELKSKISSQSKKNFVLEKDVRYLDSRIALL IQNRMALEEQNEVASHLEDAGDLQEGFFPNDEKTQKYGNLLFLLQSEPRHIAHLCRLV TMAEIDALLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEYSSLLRANTPVS RMMTTYTRRGPGQSYLKAVLADRINGLIELRDLDLEINPLKVYEKMIEQIEEEHNKLP DNLPRGVTAEQAAENPQVQAIIEPRLGMLMEIANGFLTTIIDGLEETPYGIRWICKQI RSLTKRKYPDANDQIICTLIGGFFFLRFINPAIVTPKSYMLIEGTPAEKPRRTLTLIA KMLQNLANKPSYGKEPYMAKLQPFIQGNKERINKFMLDLCEVQDFYESLEMDNYVALS KKDLELSITLNEVYATHTLLDKHRVELNKDDNSHLAVILAELGPAPAQLPRKDNRAIN LPLFSKWETAIDDLTAALDITQEEVFFMEAKSTFVQIMRSIPGNPHVARRPLRLEKVA DAAATSRNDAVMVRKGIRAMELLSQLQEMRVIDRGDGFATLRDEIEQELHHLGSLKDG VVLETEKLDEVFKTIRDHNTYLVNQLETYKSYLHNVRGQAEGTRRKQQQQKVLGPYKF THQQLEKEGVIQKSNVPDNRRANIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKLDD LLEMQKDGQEELDLEYVQFNVPKVLALLNKRFTRKKGCARSDQYALSRQRRPGLRTTI FALLSTASNVLCNTGREQGGKAAPWLFKKRRQEAVRQAQSSSIMPAIIDDPTTPTIYR RSGTSPFPDPHDPQPSLPADLTPRQVTLRDRTTIATIVPFSSRYGVPPTLLQYLSDTM NKEIEGGDTYPMMDTMTADAFSKYWFQNFGAVMLLGTYASASAVTEGHDWSTQCLGSF YIKPNYTGRSSHISNAGFLVTDSARNRGVGRLMGEAYLAWAPLLGYTYSVFNLVYETN VASCRIWDALGFKKIGRVPGCGNLRSYPDRLVDAIIYGRELGGALDEQAGEERFDKIR FYLKYGTYPHGADRAEKSRLRSAATHYRLREDDVLMLKGREVVPEVERQWGIAREVHE RGHGGINRTTTAIAEGFHWVRIKETVSDVIRNCKECKEKEAAKGVVPAKRKVLDGGEG EYVALGGSGEGSPALLPATEDGDVDAFHDPPSPIPQEKTLTAPPHQMGGEQPYYTALE YAGQPPSAFQTGAGHGEMAQGHLHDGAAGLELDPRIMGGLREEFALGFGGLHDAGGGH HGFEEEAFHLGQDGGGGRKWEG VE01_08400 MEGPPGFKSLQDAIQAALISTTRTVGQISAEDLGFHRSLNPEVG TALDEQNARLLGLSSSILQSAAALTESNAPELQEVDDVDNNWRGVVDVVDSLLERADT CLDEYTGVIKRKASPAENASAPKSRRLDNSFRTQNLVKPQLSFLNKPANHETEPWKPL LNSKPHAIVPLEESLGPVTDDHQQKHYRHPYETEIEKLKYPASVYQKADPIPYLPVES TSATFVDTYEGVLEMLAELRTAKEIAIDLEHHDARSYIGLVSLMQISTRKKDWIVDTL KPWRQDLQVLNEVFTDPKILKVLHGAFMDILWLQRDLGLYIVGLFDTNHACKALGYAG GSLAFLLKKFIDFDADKKYQMADWRIRPLPEGMFFYARADTHFLLYIYDNMRNELVER SKPVPAEENRLEIVLRKSKETSLFRYDSPRYNAATGKGPGGWFQALVKVPTLLNNEQF AVFKAVHAWRDKIARQDDDSTNFVMPNHTLLSVAKVMPTDMASLLGTVHPISYNVKAR TGELLELIKAAKTNAKDGPSMMEVLKPDSVGALAAANARSARASGDVRQSVLTILSGD AGGDMRSPQSAFWGRAFGSSTWEEPATLTKAGEDIRLAIPLPPLAEEAFSEGVREYSI LAQPVAEPEDEEPVVVEEEKAFTIKGGRKRKSDDMEEEAEEPVEEAGEYDISLHEPLE GAAKEKSLKKAERKAAKKAAKAAKRGDGESPTAKKEEDDEEPFDYSKAESVMNKKRTN DYAGGKKGGKKPFDPYQKSADASTGMRRLQTERPGKSFTFKG VE01_08401 MSASLAPECNEVKERYDTCFLKWYSEKYLRGNGATDECAGLFKE YKACLTGALKSRGIDKMLADAREDHKENDASNLRRK VE01_08402 MPSFSVLTFGIFVAGYITARWDLVTRLHELAIFAWDHGVITRTA KGVAILSIRDQLIPASRRANNFEDGAPSEERSAVMLEHDGLMRIFWPVDIPRSDSPGV IVGWKNSDLDVLVVAILEDVEVRNVENALRVATLFRGADHSIERIYELCGLPAMHVLG TVNSNAPTEPYQINAEISLQGLAPRISCAKAQTIQVIMFDRPLPGRMQYISLSPILLA LGDKTEQILGGIDAEDEKAEAAKRQREQELVEKLKLHTVTKHQPSAKELALPRIVNQI NFAWELEKLLQKNISLVGTRRRRTLSVSERVIESASTMRDYVIDAIWRLITVYIYPII RTSFVIALLWHRAAAELFLVLLEYRLRPEYAALKDISATAQQVEIRLLQFCYWPMQYV MLRKRKDDWQSIAKSHADYIRFYNSLWLVANDVIIGIAIGSAIIDNADWLAEQISDVL GTYTVTSLQQTISWLMDWPAGLKLNNELAQFLGDLFLWVIDYWSSCVDVLRPILPHLI WFIGFSSFAGASMPIALFSDFVSLLTIHIYSFYIASAKIFHWQLTIILSLFHLFRGKK HNVLRKRIDSCDYDLDQLLLGTILFTLLFFLLPTVIVFYLTFAIARMSIISLKAVLDT ALACLNHFPLFALMLRFKDSQRLPGGIRFELRDTNKPSIEAPQRPYSGTTPRSEPESP DSEPSPPPPTSYVYLKSVPLTFPTMFQQYFQLGSRIRKHYLSPRVLLCLMTGQSVPPI HRKNLYSLQYSMLPARRAGVWDMWRALTVWPSAAEGMNGKVQKRGSWPVMGGAGAGRR DRSRRGYSHH VE01_08403 MSFGTDSSQGKGQVISNINACLAVQETIRSTLGPYGGDLLMVDE NGRQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVVVLAGEILKEIKE HVEQGVSSQTIIKGLRRASMMAVNKIKEIAVNTNEGNQRDTLSKLAGTAMSSKLIKRN TGFFTKMVVDAVLTLDQDDLNENLIGVKKIPGGSLTDSLFVNGVAFKKTFSYAGFEQQ PKSFKNPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDAEWQIIYNKMEALYKSGA KVVLSKLPIGDLATQYFADRDIFCAGRVASADLDRIIQACGGSIQSTCSDIHPEHLGV CGRFEEKQIGNERFNFFEDCPEAKTCTLVLRGGAEQFIAEVERSLHDAIMIVKRAIKH NTIVAGGGACEMEISAYLHRYADKSISNKQQAIIKSFAKALEVIPRQLCDNAGFDATD ILNKLRVEHRKGSTWAGVDFVNEGIADNMEMFVWEPALVKINAIQAATEASCLILSVD ETIKNEESQAPQAPGQQLPPGAAQRALRGRGRGMPRR VE01_08404 MAPPAKRPKLSNSSKSSPAKQRGLDYFFSKQKGGSPAKSSQNHQ DAQAEDTTTELTDEQFARKLQAQWDEEDAGSSTVAVPRQDDIGSPFVASEQLNYDVPI TDTDNAEQRQDNKAGESPRTVSTVTSSFMSKDSGSSNQALKVKNSLALQSGVSEEDSI SSGICFDESPLTFDPHKYLPDLQKQWAGHGGNASYALVIRCFVLVNSTQSRIKIVDTL VNLLRTIIEGDPSSLLPAVWLATNAISPPYISLELGLGGSAISKALKQACGLDSKSLK ALYNKVGDAGDVAFEAKKRQTFTLRKPKPLTIKTVYDSLVQIATVQGTGSGERKQRIV DKLLQDARGPEESRYLVRTLCQHLRIGAVKTTMLIALSRAFTLSRPTNAEFPLRSQAE LSALKKESLAEVWSKAEEIVKACFAKRPNYNDLVPALLEIGVCDELLVRCGLGLHVPL MPMLGNITRDLSEMLTKLQGRDFSCEFKYDGQRAQVHCDANGKVSIFSRHLELMTDKY PDLVALIPKIRGDSVNSFILEGEVVAVDRETGDLKTFQTLTNRARKDVAIGSIKIDVC LFAFDLMYLNGEPLLNRPFRERRSLLRSLFVELPRHFTWVQSMDATSQDSEAILEFFK SATDAKCEGIMVKILDDLPDPRLVIEPKDEGAVIEAGGLQTPVAISKKEKQMKNSEKE PEKEKRAGRRKALLSTYEPDKRLDSWLKVKKDYSATFDSLDLIPVAGWHGQGRKSKFW SPILLAVRNETTGSLEAVCKCISGFTDNFYKANKEFYNEDGPNVLGSKPSYIDYTGGY PDVWFEPQEVWEMAFADITISPVYTAAIGLVTENKGVSLRFPRFLKKREDKGIDEAST SDFLASLWRKQEARVVKEVQNDVDEE VE01_08405 MASASVARNERSPIAVVRNSSNISEGEHDIRLDDYLNDKLQTAA DFQNLDSLIANVEEQRVQLLSQLQDARSKLAKSNEAAATHSDTLLQRTKAFQDIQSGI DKRLTIVTASDAPDEAVQRFKAPIEKLRRLDLAYYYVEMLQEVDSLAKEARGFLPEHP KEALKPYARLKELSISLRRLQTPADDAAGHLVTHVEKRANILWSEMKQVMVDNFEGVL KKTDWPTASETPTEAWTASFQRLLELQTPEILNAPARQPMVLLPMACLTKPFVQQFRY HFMGTKASNTKLHPAYFLQWVVELVESREDYLIDNVGPILMSHFGSTVTTNRSYNDPV AAFITALLPVVRERVNTLLVSIANEPSVLSSFMVSLMKFDEDIRLKFNYDGGNSKKGW KGLTREVLDKWFLRWLEVEKDFAVMRYKSIIESNDNSRIDYDSTGPNTTKATYGASKV MDLLGNVTKQYQGLPKFSFQMRFVIDIQIRILDEYHSLLKDSLDAYIAITSTVGRALH GVTKEQQAQMEGTGGLESLCKVYGSSDHVINTLNDWSNDLFFLGLWIELQERAKKRSM GDNLAEAMSYEAVRNSTSVSVGSDGDGAVFDETVSAYTKQRDHAQLLMTESIKHSLPA TLRAYTNKPNWLTVDISEAGVQAPMNITAEFEAPLQTLERDMSFLHNAVATATFRRIF GEVFEFLGESLWRDVLMREKFTRNGAAQFYRDLSAMLSLIDNYITDGSSSDLGMPKLR EAATLLNLPEKAQDGLMSFTDASDRAFASNAGATGVLEELRFKNLTYNEVRLVLGRRQ G VE01_08406 MSSDKKTIIAFDLYGTLLSTDSIAKELSNHFGDDKAKNLAALWR RYQLEYTWRLNSMSIYKDFSSVTRASLTHALAESHLSLPSTAIDSLMRAYDSLDLNPD AAPGLISLQSSTAIDAYIFSNGSPAMLKASVTKSLSLAQFQGIFQDIVSVEEVKVYKP HPKAYHHLAGRVGRGDLESIWLVSSNPFDIVGARAAGLKAAWVDRQSLGWIDGLGELV GGKGPTVVVRGVDEAVKEIRRQAGDL VE01_08407 MPLYPAAPSPKRKRDEKPHSPQQRVTSRRLYTLPEKLMSSSGED IETGVETPRSRVAHSFGELQIEGTGGVSRLDLLGTFGASSKVDNGESRKQVKSAQNGL KEIPETPQVSSNIVSGPAGAIRFDMKAAFGSQQNNIIFTGANSTSTPTTLTTTLPSRP SHKLPASPPSPDPPPQQFSPAPSPPVTPMTSPSQEPPSLHWEESEITGHNPSDPDDDG EGINGVGFKPTAAIARARSERRRKQLADYKSREDKEARDARAKRAALRRRKGSEGVAV KTEESQVSEKERRVRFSEAERAIDVL VE01_08408 MAQLIQETVIPSPPPLPPNPKANSLRSTISVRSKKLEPATRPVF ASKDAERPFEPCPFFFYGSLMDPEVLQAVLELPEAPIFESGSVCGFSMKMWGMYPALI PHEGGRVSGSMWRVNTESHFLRLKEYETSAYTWCACDIELSSEEVLSGCRTFWWAGDS DSRELEEGTFDLQRYQRYFKASVVRKYP VE01_08409 MASPAAFEFLPLGAIIQTFNVGKTNIVQGFPTAELYQKHNGPFF GETIGRVANRIKGAKLDSLNGKSYALAANNGPNSLHGGDIGWGKREWAGPTPVGQREI PGLEGGKLTGGESVKFTLKSHDGEEGFPGDLEVSVIYTAGTQLTPEGKEVNVLAMEYQ AELVGGADETVINMTNHSYFNLSGEPTIAGTDVELSTKLYLPVDEHGIPTGGPTVYDA ADLSKATLGVTEPDVDDCFVFDAPPNSIPIDTRDQALRKLVAAYHPRTKIHLEVLSTE PSFQFYTGKYIDVPSVEGLPARGARSGFCVEPSRFVNAINVDEWKGQVLLKKGEVYGS RTVYRGWSDE VE01_08410 MSDDKGAKRQSHPADPPPGATAPPEHVDKPKIYEVFDGTSTANG LPEGYGQNSAGRRPQNVSLTDAAKTVKVEDFKNIHTYPCVRESLLTSIGSAFAIGGTR MLFGTPIVRACNWTAGTFCLVGIGSYEFCLQKRRLEKINMKRAVEIIDRKKAQKEAEA EQKRKERRRKKEEEDAAVEARKRSNWKFW VE01_08411 MAGTRRPQNGYRRGGKQAYHGSRTKTFAASSRNEATSADEKREA TKLANAVDEAMEFARYESGRKKTGWLVNLQATELEDDNNPGGRSALDLYFLEDDGGTF KAAVEFEPYFLVAVKRGYEPEAEEWLKRVAGGGCVKSVRRVEKEDLQMPNHLLGYRRT FLELRFVNQEDLLRARRDVIPIAEKNKKQMNAMDTYAEVASANAGFDLFDDDSRDNDK QLNTTIVDASDFIVDIREYDVPYHVRVLIDLDIRVGKWYEVEAKHGHTSIRCITERLQ RADPVIMAYDIETTKLPLKFPDASIDQIMMISYMIDGQGFLITNREIVSEDIDDFEYT PKPEYQGPFMIFNEPDEKALIERFFLHIKEARPTVIATYNGDFFDWPFVDARASVNGI DMYQEIGWKKDSEDQYQCNYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLGYDPDEL DPELMLQYASERPQTLSEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLGPDAVLRKGT GTLCEMLLMVEAYQKEIVLPNKHVTPKESFWDGHLLESETYVGGHVESIEAGVFRADI PVNFAVDTTAIDELLADLDAALKFSITVEEKKNLDDITNYDEVKQQITDRLISLKATA NRSERPLIYHLDVAAMYPNIMTTNRLQPDSMISESDCAACDFNRPGKTCDRRMPWAWR GEYLPAKRNEYNMIRHSLENEKFPGKWPNSPARTFEELPADEQSALVRKRLQIYSQKI YHKIHDSTTIEREAIICQRENPFYINTVRDFRDRRYEYKGQHKVWKGKTDALKSSGAS QADIDNGNKMIILFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGAHIIQM ARQLVERIGRPLELDTDGIWCMLPATFPENYGFTMKNGKKFTISYPCVMLNHLVHDKF TNHQYQTLKDPATFRYETHSDNTIFFEVDGPYKAMVLPTSKEEDKNLKKRYAVFNDDG SLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGTTLAETYAAVAKVANRWLDVLHSKG ETLADEELIDLICENRSMSKTLAEYGTQKSTSITTAKRLADFLGEQMIKDKGLNCKYI ICARPKSAPVTERAIPVAIFSAETSVKRYYLRKWLKEDPENMDPRALLDWNYYLDRLG SVIQKLITIPAALQKVRNPVPRVAHPEWLQRRINTKDDKMKQKKMTDLFAKQPLEDIT NLKDQTLGDLEDFGTQILKPKTIANLVLSSQSKPQKRKSPEPAVPVSVDPFAALPKTM PDPTEDYIGFLKYQKQKWKIQKQARIRRRHLFGETRAAASSDIGATFRVQAELVYRST WQVLQLSGTESPGTVLAHVLIDNKVHTIKVKVPRSLFLNMKGKDLPDVDIEGCSAQKV SHTLPNGHPSVHLFKLTMSEDVYVNEARKLALLFNHPSVEGVYEKQVPLDVRALLQLG TLCTFDDTQSGVLGKGLEHGFDLSSLKQSVAKNPYLTDSPMAYLYLYHIVAGERQIFA IFSSARDQAHIVILQNSRESQELPNIAKIYTDALAKRFSEAEGKAWQDCFDYQDKIQF TTKVVSTKRKALLEVGDLVKKIKNDETKPLMLVIQSPQKRMLLHDMPVLADFPVLTLQ HDKKDVSFPPLGWQAFIAKRIINNYLNLGSWISELTNLARYGDVPLCNLERDDPRFLI DIAYARRLEKNNVVLWWSSGPRPDHAGYEKDDVLGPLETVQMPTVNNPGTYSSVCIEI DVRNLAINTILTSSLINDLEGSTDSVTFNPAAPQDDAPTDGANVLYSDNAFAGAGVTV LREMVKAWWTEACKGSPMADMMVLHLLRWVESPNSFMYDRSLHYYVQMMSRKAFQQLM ADFRRVGSHVVFADANRLLLQTTKAEVGNAYAYSQYILKSIKSKPLFHFLELEIKEYW DYLVWYDEFNYGGKGCQEVVEAENQTLECIMHWQLAKFLPEPMQPIFNDWVIQFIDLM HGLKRPQFEDPNATPRPTLLPVRALGEDKADKVVLGKTFERPLKRQIAQLIRRQKDEM LHPELVMDYRFPSLPGSHLTFTNPALQLVKSLMQVLSLDKNIMLEARLLRKELLMLFE VREFSSEATFVNPSSSLRFQQVICDTCTMARDLDFCRDADLVPDEGAAGESRPWKCGY CGTEYERIVLEQRMIADVEALVTQWATQDLKCVKCSGVRINDFMEHCSCSGEWVGEMK RADVEKKLAIYEKVGKFYELKMLKTVLEDVLGGL VE01_08412 MSTDKITFLMNWHATPYHAPVYLAQKKGYFADEGIKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARDFPVTSIGSLLDEPFTGVVYLKDSGITTD FTSLKGKRIGYVGEFGKIQIDELTRHYGMTPDEYTAVRCGMNVSKAIIEGTIDAGIGL ENVQMVELEEWLASQGRARDDVQMLRIDELAELGCCCFCSILYIANDAFIAANKDKVA AFMRAVKRATDDVISSPAEAYKEYIDVKPQMADPVNRKIYERSYAYFSQDLKNVERDW KKVTAYGKRLGVLQENFVPNYTNEFLTWNLEGDSADPTGDQKRMVDLQKEVACCGGFR RLNVQVKA VE01_08413 MSTQAQSTVDLDEAETLGLHPHSILQEFFMQNDAFRRLAAARER GWKNERGDEYFARQRRAADGAKNKEAYRLYKMMQEIGDEMQSCTRCLSQQSNPEGNMN ILDICMAPGGYTASALKYNPKATAFGISLPLQQSGHKVLLPRSSSTMLFLDVTMLGKE YGVEMIPLTHPDRTSFRDERPFWGQTFQLIFCDGQVLRTHERPEYREHYEARRLTVSQ LILALQRIRSGGTIVVLLHKIEGWDTLEIIYRFSSFSSVQVFKPAKKHAKRSSFYLVA SGVQPHSDAAKLAVEEWKQAWWNATFGGENGTGSRDSTAKEDHVRLVLDQFGSKFVEL ARPIWEIQEKALSSWKP VE01_08414 MAPSLPALFSLEGRTAVVTGGTRGIGAHMAISLAEAGADIVLIQ RDESNTTTKTAIEALGRTATILTCDLSSASSVASLTPTILASHDPSILLNCAGIQRRH PSAAFPDSDWDLVLQTNLSTVFTLCRDIGAHMLTRPGPHRGNIINIASLLSFQGGLTV PAYAAAKGGVAQLTKALSNEWAGKGVNVNAIAPGYIATEMNDALIADADRAASILARI PAGRWGRPEDFGGVVVWLAGGGSAYVTGEIVTVDGGWMGR VE01_08415 MSFLARSAQPLRQVIRTPIATRAFTVSALRQKGPVEAAKDALKT VDRKVSDKLVGGIKVGETVAEKTKQATGLKGHKAKQEFEEDLYDEEGEVKAKVNEAIG EAKGKASELAGEAKGKVREAEGKVRGKF VE01_08416 MTATEEDSSPPPAEARITLSRKGSRKKNVEGFTMDTGNDDDENV APNKPTSFADSSMGEQAFDDNTNNNNKPAKRFPSLGNLPALPPGESSMMSEDLSREEH SDGHPDTMDESEMRRHLNDVESSFLPAPSPVGQSANPGADDTYLFDGAREPVVLADRI PGIRTPTKGAAREEGRQESFTPLSERGTPQVQGSMLGDELGDNGNTTSSLETMSSPTA AAAARTVSRAISMVSMGYDIEGHVTSDAPSPPQSENGGEEGLSRQGTPRIFEPESSQG TKGDSSDERSTIRHQASNQSLNAADAGSTPGAALVNRRRSGRRPKFLRSRNASQRSSI SSSVANTDAEESSDMTYGTDYAMLSGGAVPAYGASRHSSYMLSRSISLGSMASGIDDS AELKPVLGTLEEEERNRSRVDSSQTADDYDNAPATPRATSHSLAAPTDTVIARHVRNV HVPESVAKEYRNKSGVSPGRLPGPSTERHGKNMTLKEQSSTIERLSKENFDLKLKVMF LSDRLDKLSEEGVKEMISENVEMKTVLANMQRDNKALRRKVKELEKSKDGSPRPGTAR SGASSEGQPQWFDQEGAQEREEELIYLRERMEEYEVEIEKMRTESLSRESEKRKLAET VRSMGEKRGEDMDAREEMDVWKDLLEQETARREEADEDNRKLRDEIFRLRSESVVSGG GAGLNHTTNIYHITKKRQGSPTRPRSGMSERVDDRGGAFSAASTLVDDLRRESDLLRH ENAELRREVGAQTSMLTSRNREKERLYQEIEDLKLGHRRGGSIAGDSILDRSASRTRE RPQSRGSELTRVTAIMPDAEREDLENKNAELRDKLNGLQLDNQEVNKELESCMEDFET AIESKREAEALATELQHELQVAEADLQTMQADRDEALKGQEEVEIEFENLRKEAQEEI DALEADAEERAVEMEGLQVELANQAENFNALQAEMRGMSEALVRLEDDHDLKTRRIQE LERELEDANRELNGMEKAINEANNKINRLTVQQESSQGEIAFLREEQDGDKIKIGDLE SALKNAEGAARDERERVRELEQRLASERHQREVVAGKEKQEVQKYINELNREASNAKD DARRLRKNLSSREVEATEWKERLLELENNLRETLGDLNGTRSTFLKGIGDLQKQLDNT TRELDTTKTSILEKDRIIRERDTLLESHSHEYGILAEMLDKERQSHRNSKHQHETFLK THNHTTRTVGQQETRVAQLEAALQADRKKLSQLENSFKDQLNERNNLLLTMWNRLSLI CGSDWAHGNSLINGRALPSLEAVSTMLPGFAKNLLASTKKIEALIAGFKTRVRTIERD LARDFSSLENTLEARTKKLDRLEGLVRSASMNSSTDQRAEIVRLQDMNRLLRVDIQAL RASTPRKGVYEVIEPGSPAPIIPTGPRTKALTRHQATAAANSANSAAATADVPERAGS SAGSTRGVSSSRAGGAAGGGEDSEWWVFRLKELERRLKAEREARLLDRSGARKRLEEG ERRNEELRAEVERGRVRMLAEAGAGAGAGGGGEVV VE01_08417 MDFLKKAAGELQGNKNAAAGGSAPAATDGSAPAAGASTGQQQDY GDKAFAAISQKTGHTFSADQSEKITDGLRGAYEKATGNKVDPKYSN VE01_08418 MEPIPPIIKFAPAIPFPDPFVPERRIKQLRHYLAEANTNDSIPL AGQQSNILAAIKSYEEGVIDGSQGVKTFFVNGKIVSKDEAYKGYGHLDLLTMSGKFVA QELVLTLLDAGPLTPLSFLVLAEPFGFVGIMVYFGIWMDVMGTVVPVICVSYWYLG VE01_08419 MEEDRVDKASLAANEGYASEEEKDWADNESVTTDEEHSDPPAKK NIHARRWKLIPKDDGSFTIEGGRKPKEIRIMPRAEIFENEKHLAEADFILPRLNLARF PGRNGHISPGIFHSGYISRTLETMGEEFQHYQTLWLASPACTSLRRTFDSLKREQDSR VGIDNIVCLALGSLQAMMEECRAASLTQLAVLLTVIGELDLDPKTTPGKFVAQDPIFT PLDAEFLSSLGFVVKSDPDGFLAITENTLVFSIAGYLEMEWVISRGPWPAAMVWGDTE AFMNRLLEEKVREGRRLVVPTRRERGEIMGMLGGCDVVELVGEGEDALEAWDGIGRQR VYWRRREGEGKGL VE01_08420 MASTTISDLTEVRLVRRKYRWPAAQLNFWLFIVLVSCSSVLGIF ASFSSIQSQLGVGTPWYFTYNITIGALGLSFFILLLYLINARALLPGIVILGSFILFV LWLVGLIVISLELWGPQGDVNGNCALYVGGQESRGQGQETLAWLMQDTICQCWKAGWA FLLVGDAFWFWMMVMSYQVYKDD VE01_08421 MAASPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHPTQPLFVSGGDDYKIKVWSLQTRRCLFTLNGHLDYV RTVFFHHELPWIISASDDQTIRIWNWQNRSLICTMTGHNHYAMCAQFHPKDDLVVSAS LDQSVRVWDISGLRKKHSAPTSNVYEDQMARQNANQADMFGNTDAVVKFVLEGHDRGV NWVAFHPTLPLIVSAGDDRLVKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLILS VGEDKTIRVWDLNKRTSVQSFRRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVYQNNLFFITKDKQIRSYDLTKNIESPNLETIKKLGSPWIPPRTLSYNPAERSVL VASPAEGGTYELIVLPRDGGIPDEKLKKRGPGNSAVFVARNRFAVFNQAAQQIDIKDL SNSTTKTIKPPAGTSDIVFGGTGNLLLITPTAVHLYDIQQKKNVAELAISGVKYVVWS NDGAYAALLSKHNVTIVTKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHIKYTLLN GDNGIVRTLDQTVYLVRVKARTVYCLDRNGRPKVLNIDPTEYRFKLALVKRNYEEMLQ IIKNSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELAIECGNLDVAVEMAKQLDKP KLWTRLGTEALAHGNHQIVEMTYQKLRQFDKLSFLYLATGDDAKLARMAKIAEHRGDF TARFQNALYLGDVNDRIQIFKEIDLYPLAYMTAKAHDLTEECESILEATGLTEDQITL PAIGVPLSTPRAVVSTYKANWPTKATSQSFFEKALLGQVEGLSLEDEPAAATNGFGFE DEDEGVAKQNGNLIDADEEDDAAGWDMGDDIVPEAESDFVNIESADIGVGSSEAELWA RNSPLAADHVAGGSFESAMQLLNRQVGAVNFAPLKPRFMSIFEASRTYVPAFPGQPPL VNYVRRTLDETNPQSVLPFIPDDLESIAANFLQKGYDSVRTNKLEDGAVIFKQMLHAL LVNVVPTPSEVNEAKKLITSASEYSVAIAIELSRRNLGTNDEVAKSPEKLKKSLELSA YFTIPKLEVPHRQLALMSAMKLAYTNKNLSSALSFANRILANGGGAKVLEQAKKIKAS CERSPNDAHEIEFDQFAEFDICAASHTPIYSGSAFETCAFDGSKYQSKYKGTVCAVCE VCEVGKNGSGLKLIA VE01_08422 MVQLGNIWSLTGSALAFSCLQSIKVSASPTINVALAASFTSAPY LIELLETAGDENSTSYFPLLDRIAEGHFKDARTDLELYNSFVKVLRDDGHLDSEGLAS YSLALSLRTSAPRIEAQYQFYDTAIEPSGDDSSCLAWVLFGGKKHCSPALDEAHGTYN GRRGTEQLQFDRITGNLSAPASILYADITSPEFSQFHKKLIQKAQKQEISYRIRHKKP TAQEPRPLIIGGYGVELALKRTDYIVIDDREAEAQVQVGSAKAEVVLEDEELADLKPL SASELVSLGLKASSFIMQNENPFEVLTRLSQDFPRYSSAIAAHNVSSDFAEEHLYNRG QLVPAGTNVVWINGLQVPARHMDAFSMLDILRKERKHLKKFSQLGLTGSQTISLLSHK DIAFTKADGEPARFDWRDSDGDVIIWLNNIEKDKRYDGWMTTVRTLLQAGYPGQLPQV RKDLFNLVFPVDLSNLEDVELVVTQLSEFVKRALPLRFGLVPLTNSNAALEQAKIAYY LLDSYGLSTMVAYLNGYFASKGTPSSRNANFEAAIEERKLLGDKVAKSLEEVLDADEA NGSIEHSKQWSARLGANDKVPPVFVNGAAVPRDETWMQGMSQRVQMDLRLMQQAVFEN TVTDDHWPPMLYLHDAASGRNPFLMPENDKDLQLFDVNAIYSDYAEALEGLPVMKSDP STVQDDWAYMILIADVESAQGKRLLMAAAEFIKKNPSVELVIVPSSESPAGILSVHEY MAKRSFQPLKSVDDLDSLVEAVSITAGDEERQITTQISEKWSAIEGLVGSLGLKPGQQ GLLLNGRLVGPIPNEVELGVNELDQLLSFERTKRIKPVLAAVKALGFSDAISDPLASS KLTSLVTLSFISNVPEGIFEQASTLRIDSFKAWKSEFTAIEIGDESTASIHIQVALDP ASQESQRSIPILKVLSELDGVYLKLFLNPKDILKEIPIKRFYRYVLESKPMFNADGSL KDIKAQFVSVPQEALLTVGMDVPAPWLVAPKQSVTDLDNIKLSSVNGDVNAVYELQHI LIEGHSSEKETGQAPRGAQLLLGTAADAHFADTIIMANLGYFQFKANPGFYKINLQEG PSSKIYSIDTLGASGRDAAQPDETTEISLISFQGLTLFPQLSRNPGQETEDVLEPTTD LKDDIVSKGRKLAQNILNFGSKKSTTAVQTTQAEINIFSVASGHLYERMLNIMMVSVM KHTNHTVKFWFIEQFLSPSFKQSIPSLAAAYGFDYEMVTYKWPHWLRAQSEKQREIWG YKILFLDVLFPLSLDKVIFVDADQIVRTDMRELVDIDLQGAPYGFTPMCDSRTEMEGF RFWKQGYWKSFLRGLPYHISALYVVDLRRFREIAAGDRLRQQYHQLSADPGSLANLDQ DLPNHMQAHLPIFSLPQEWLWCETWCSDESLAGAKTIDLCNNPLTKEPKLERARRQVP EWGVYDEEIAGVFRAAKNGGEQEVGAKNQKSRTLEEEEGRNEKSRTMEAETTKGVKDE L VE01_08423 MTLLDRYNGAKDGGLMNGIQNFSLLQNMTAGAVAGIAEHTVMYP IDSIKTRTQLLGAIQPRTVYNMKWAIGLWRGMSSVVVGAGPAHAIYFATYEAVKHLMG GNQAGLHHPLAAATSGACATIASDALMNPFDVIKQRMQIHGSKKLFRTMPDCARYVFR TEGLRAFYVSYPTTLSMTVPFTALQFLAYESISTSMNPTKAYDPVTHCAAGAVAGGFA AALTTPMDVVKTMLQTRGSASDAALRNVNGFVEGCKLLHQREGYRGFFKGVKPRVITT MPSTAICWSGYEACKAYFIRRNDSIL VE01_08424 MAPSIYEDLRAGDHGMSDPGDIEERAAMAIDEENLSTRYQDYEL HEDLFDGHESRMTTESTAFLAQQVRKKPHKSPGRDRRSKGGAKAKWLSRSPRMLGDDG DDDVPASLLIEEEEEGGGPSHPAAQQHSPRPAKIAPITGTATQNAQARWAAVQEQQRL HDDIGTTRPKAAVPKPGIFSASRKERAMWMWINVTNLDRFMGEIYAYYRGAGIWPICL DRLLNLLRIVFVATFTTFLTQCVDYSKIRHSTSMSQIVVPQCTKNMSGMPNFAIWLLV LYLIYQSILDIVDISRLRRMQDFYLYLLEIPESDMQTISWQEIVARLMNLRDSNPILA DKVSPALRHFMGTQSKQRLDAHDIANRLMRKENYLIALFNKEILDLTLPIPFFRNRQL FSRTIQWNLNFCILDFIFTPEGQIQQMVLKDSKRRQLSDALRSRFLFAGLMNIICAPV IVLYTLIVYFFQYFNEYHKNPAALGSRQYTPLAEWKFREFNELPHLFDNRVNMSYPFA SRYVEQFPKIMTVQIARFVAFVAGGIVSILALASIIDPELFLGFEITPDRTVLFYLGV MGTLWAVAHGAVPPDNQVFDPEYALRNVIDYTHYMPKQWKDRLHTDEVKREFAELYQM KIVIFLEEVLSIIFTPLVLWFTLPKCSDRIIDFFREFTVHVDGLGYVCSFAVFDFKKG VGGSAVPPGAGNAAEGLRDEYYSTKHGKMAASYYGFLDNYATNPKTGIPGHVPPGIKH QFHPPPSFPGLMSPSLTADMQTSRLGGQHQHKSRPAGAGLIPSRTPRFPSTPAAAHAS PMPSMLLDPHHQPSTTGFGGGGKSFHGGPSGRWRGQQPRSIIEQPLEDGEEEEAPPVP SMPNESSGLDASVWETSPARSGIGAVEGGDGGDGDDGGVGGGVLGLLYQFQKAQTDTR PGVNI VE01_08425 MAGQQKNNTKPAAKGKKMAPKAKKADEREETFQAVVLTDSFETR FLPFSLERPRCLLPIGNTPMIDYTLEFLSFNGIREVIVYCTAHTFEVEAYLLASKWNP ATSFASPFSSLEFIHSQSTSYGDAMRMIDSRGIITGDFLLTYADVVSNLPIGPILQKH RTRRTDDKNAIMTVITRYGGPGLHRAKPKAVVPVFVNNPRKVRILHYDEMTPFDERKY IDLPVEVLEEPEFEMRGDLIDTGIDICTPDVLALWSESFDAEKPRSQFLHNILKDYEL NGKTIHIEIVDKHYAARASTLQMYDCVSKDILGRWTFPMIPDNNWVIDQKYNRGAGGI IKEDGVILARSCKVGKKTVLGRATSIGDGTVISNSIIGRRCQIGKNVVIEDSYIWDDV VVEDGAHIQKSIIASEAAIGKSAAILEGSLISYSVRIGSNTTVKAGERITRAKRKRED VDGEPLARVPADTAIVGEGGDGYAYEDAEEDEKDICRDLLSTLIYSTAHLNIAEDSYS QIGSDAGSDEDEAEARSRHSSFASAVSDDEASGDESSGANFHKDAVADVFKTLSESGD FHNTRVEFTSLRLSNNATDHHMHRAIAVGFIKRIVQLIEGGAEPLKAVKQTLAQEGAT AFLSDVALGRDKRVGDQADLLTSFQKDLCNRDKGEAVLFSLCKEFYDLEVIEEEGFEA WWKDPKSSETEHMIRVRTMAGGFIAWLEEAEEEDSDDDEDDEDSD VE01_08427 MASTTKAPEKRKEKPSALRSVIAGASAGAVEIFAKTRTQLNQRL SGADKLPWPKFGPAWYAGCTTLIIGNSLKAGVRFVAFDQFKSMLQDENGHISGPRTVI AGFGAGVTESLLAVTPFESIKTTLIDDRKAAKPRLRGFLHAVPIIARERGLRGFFQGF VPTTARQAANSATRFGSYNFFKQIAESYVAPGEKLGAASTFGIGGLAGLITVYVTQPV DTIKTRMQSIEAKHLYKNSWDCGSQLVRNEGFLTLWSGALPRLVRLMLSGGIVFTMYE KSIELMDKVDPDKRYI VE01_08428 MPPLRCIPPAKAIKTERTHEENQERAYIAASRRSDRSLEARVES ARRASEIHKRRTGRSLRVSEEDVINEEMYEEEEDDLPHHYRYHGAHLHSHPSDFNSPE FSDYLTNNLHVRTALEGALRNSYASQAVTQTAQHASQVPSSYPNVASLMNAHMNYQAQ MMSDSHPPPYGMPHIMPTMPITIPPPTAYLPQHQFQNFDPQLGATQSIANRRLYPNQC QAESAMKLQQSAAVKSPSPDTSKPSRGKSMPVEIKSESPALQSIEQSQAAKVCRSFEQ RSQLSKRPEPVDFNSTDSSITAGVSCDKTYIPQVNIQDSDTEFNPFATATPQDDGIFN ENLGFPNDPLMGMLMAGNDAINAPASFDSSLDTSYAGTPARKLDLATKTHVGGLDSTV GGGIAPAQLESAAVKKDLDVISGVPNRLKSVATAITRTSATGTPAIAPDKWNEWIEQG ELDGVPQ VE01_08429 MRYLTPLGKALYPALYPIWGICFFIVHPPFWSLFKTRLIPVLFL SLLVYTLLFMFAFLPQAALLAIFHGPAAFVSAAFLVLGEGAVIVALLFEAFFVDETLV DVFDATLLSRHQEALLSPSRTIFPAASNPVKALGPPTEKAVYGPFSFRQIAEFVLFLP LNFIPFAGVPLFLILTGRRAGPLQHWRYFKLRGLGRKERNKEVESRRWGYTWFGTIAL LLQLVPVLSMFFLLTSAAGSALWVADLEEARQQQLLAAESVVGGAQVDDEFPPEYTDT EDQV VE01_08430 MHLLVYLGLVLVLCGISSAAPAAAAALFQDGNPGKLCSIITVYV TVTEDPSLPDVKTFGIAHHLAETQHLEAASDGLAITVTRTHTGFKTVTVTINISGVPS SDINIWESTSVRFLHDGPSPTEKQEQNLTATLTTTFQPLNGTEGPKVPETVNTTSQSL AVPTESDGSKAPLMVNTASQYLTAPTGTAATKDPVTVNTTSQYLTAPTGTGVPTAELT VNSTSPTEAQSGSGGDDVAVETVTVYPVKSIAPETNSNDSIYQTVAAGPTPHDPVSAS LFSGGTETTPTHTVGILTNVTIRAQSPSQRTTLAAMKGFANDESKPLERKDGALGAIP FVPLIEDVTKITLGLPFITTVTVPVDFSKATVYTSGRSFSTYIPGLGEVRFKKPTTTP ADSTTYMARPPGTHAPLVPLDEAATVTLKIPFIATVTFPADLSKATTYTSGHFFSTYI PGAREARMRKPTPDLADSTTATSTRPLVTVVTSCGEQGIFRLTFDDIPRLAGPANSND VQPQPISNPYHHFYFSQGFVVAPPPKDPYTPKSAPLLLDFVTQSTADVTRTHNSPPID EYGATGEIGIGQNLQWGCYTFHALAASVGCDSLGPQCDWQFTGVQFNPRKQEYEEVVT VE01_08431 MPSSSDEKRPSVSPSQEQPATTASGKVVERTAHPMATMHDDDER LLAQIGYEQKFTRHFTKYSTLSYAVSVLGVLGSVPATFGSPLSLGGPAAAVWAWAFGS LMAQCISMSVAELVSAYPTAGGMYFVTKNVVPPKHSALWSWVIGWCNFLGQTAGVASV AYTVAQQILAAVSMNSHFDGEAYAFSPSALQTVLLSMAVLVITGVICSLTTRNLHKII LWFAPINVIASVSICIALLILTPNLQPASWVFGHFTDGSGWGTPFSFFLSFLSVAWVM TDYDGTTHMSEETHDAAIRGPQAIRWAVTISGLVGWMITVTLCFCTTDLDAVINSPTG MPAAQIFLNAGGKKGGTAMWFFVILVQFFTGCSAMLADTRMAFAFARDNALPFSTFWS TVNPRTNTPINAVWLVVAFAIVLNCIGIGSTETIVAIFNITAPALDLSYVAVILARNI YSSQIDFRPGPYVLGWMRKPLNGIAVTWVFFISVVLLFPTIRPVTAENMNYASVVGAA IALFAWGWWWAGARNKYSGPITKDILEIIATEDGLDDHINEDYHTTDYGVARLS VE01_08432 MLYKFALAVALAASSAVAQNNNQNKGGQNNNNNNNNNANNNAAD GLTLLANAVQKGSAQDGSANIAAGQALSLTSDNNFINFCSGKTLTDGLQVQGGSCNGV VMGDIPSKSNMVSSIITFPTQGGADVQADTTFDIKVQMANFVAGTFTNADNTYYSAPQ QLQGGKVVGHTHVTVQDLGNTLNPTTPLDATQFAFFKGINDAGDGKGGLSATVTGGLP AGNYRVCTMASSSNHQLVLMPVAQRGASDDCTKFTVSAGNNNNGGNNNNNNNNNAAAA NNNNNNNNNNAAATAANNNNNNAAAATATAAAANNNNNNKGQGGRRGGRRVNRRTFVA VE01_08433 MAASDLTDTPMAGTQSLPPPSLPRLTAEQHVPIPATDKSTQRLI VVLSNASLETYKASHGAPARPGMPSRDEKYSLLNSDEHIGVMRKMNRDISDARPDITH QCLLTLLDSPVNKAGLLQIYIATAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHRLSIR STNSQEKLLKVIKNPISDHLPPNCRKITLSFDAPVVKVREYMEALKPDESICVFVGAM AKGSDNFADEFVDEKISISNFSLSASVACSKFCHAAEDAWNII VE01_08434 MIPTIEVSHLPSSSSFYAAVTQPLGIHFLCASPSSPHSLHFGIP AQPSEILFTLTESHSPRPSTLSLTASNSTQVANFHALALESNTRHSTNLIQHSADTSV AKTTDHDGNMLEVRYARSTPRRGSVHAAPTTITTASTAKEARRVLDWQRDVARSISSQ SPTQSRDGSPERAMVLAQPVQEEAAPSQVAPPTEVPDARPALLRSATTPMMTAMYNEV SSALPERIGEGLSAQAIIGTILGAAAGAAVAYAMVRSEEPEPQRPQLVTYFSAPAAQF PQQAPVMEVARSKAPSMGGSRVGSERSQGGQRYVLRYNVASNAGTSRGGREGVLQPVD ERRSMSYSAYDGGRSQAPAPSQASTAKQSRYTERDVGYEKPVTVVSASRHSEAGRHSE SGRSHVSKRSDSTIKPARTSSKAGTERRDQTVVSMKSDRKSTTVSERARRVPLPESVM GGSMAPSERARRVPLPESVAGSVAPSDSISNIGMRSHYSRRDVY VE01_08435 MGLFSSSADKLPAPKISTDGTPIAPDRTARAKCWEARDAYFQCL DKNTIIDSLTNKDAAEKACGAENKGFEKNCASSWVTYFKKRRVMEYQRDQQMKKLRAE GAQEIPAGSVPPGIGSIPTAGPR VE01_08436 MPLPRRRRPPRPGALTELPPLRILTQILALQALYYVSAVVMILF TTLVMGTPFRLLDDILGWTALRGDTTQGWLLGMVWMTNAFFIVIAILFIIQRSKLVLD FVITLHIVHLILVSLYSHGLPRNWLWWALQICSAVFMVVLGTWACQRRELRPIIFGGS AASPTDGGSAGAGAGAGPSDAVGDEESGFSRGGRRGRGRDGAGEYEMVPIKTERQEA VE01_08437 MGTADFGDNWPAPTQRFQQQKHMKGTSDTQEQAFITAVQLLSVS FTLPVEQFENSKSSTNLYFATASDGVPDARLISSLRMHTDYRWSPAFGHEARSTSPEY LFKATHGGEQPLAGLGDAPVSLDLSYSGRSRRSKRKRKRQRIRYVTPANRSGQEHVEK FDGHRSIPALESSALDSAEGGFSDSTQQTRSHLMPGRKAHSCPVSPTLTTEPVSRGTR LHHLPTQIEIDEPPVQTPQARWQLESSRYTLEPAIRTEPHPVFIQPVKELVVKSWQTF RKKLDNTLSRGCPDPGGTSAPFKNEQMSMSWPALAGPSASSWAVQRRRNARERHDIYS SSVESSPRYNSLTSGTTSDASSQIPADPTATMSVSRGSSSLAEVVNATKAKSPPASSN HSIPSFISNSTSDADSESSNPRPLPRSPAGFFIGKSGGSGPNHTMPSFVPLRGNKRRG RRPSMLSEVSTPYGDITLTEAEQLMMEGSGNDVM VE01_08438 MSNRPELKVDDEQGFIKFFKSLPTEGSETIRIFNRGDYYTAHGE DASFIARTVYKTTSVLRQLGRNDHTGLPSVTMTVTVFRNFLREALFRLGKRIEVWEST GRMQWKVGKQASPGNLQDIEDELGGQIDAAPIMLAVKVSAKASEARNVGVCFADASVR ELGVSEFLDNDLYSNLESLLIQLGVKECLIQVDKSNKDIEISKLKAIIDSCGIAVSER PITDFGTKDIEQDLARILKDEAASGALPQTDLKLAMGSAAALIKYLGILHDPSNFGQY QLYQHDLAQFMKLDSSALKALNLMPGPRDGSKTMSLFGLLNNCKTAVGTRLLAQWLKQ PLMSKDEIERRQMLVESFVEDTELRQTMQEEHLRSIPDLYRLAKRFQKKMANLEDVVR AYQVVIRLPGFIGTLEGVMDDKYKDILDDAYTLKIREYSESLSKLQEMVETTVDLDAM DRHEFIIKPEFDDSLRIIRKNLDKLKYDMEKESQSVSDDLDQELDKKLFLENHRTHGW CLRLTRTEAACIRNKKRYLECSTQKNGVYFTTNALQSMRREHDQLSENYNRTQSSLVN EVVSVAASYCPVIELLAGVLAHLDVIVSFAHVSVHAPTAYTKPKMHERGTGDTILKEA RHPCMEMQDDIQFITNDVSLIRKKSEFLIITGPNMGGKSTYIRQIGVIALMAQIGCFV PCTTAELTIFDCILARVGASDSQLKGVSTFMAEMLETANILKSATSESLIIIDELGRG TSTYDGFGLAWAISEHIVKEIGAFSMFATHFHELTALADEFPQVSNLHVVAHIGDGPQ SDGKGDKREVTLLYKVEDGVCDQSFGIHVAELVRFPEKVVNMAKRKADELEDFTGKHE NDAVKVKREDVEDGSTMLKELLKTWKSQCESEGLKGDDMVARMKELVMADKKLLDSPF FQSVKAL VE01_08440 MGKPLSLGKKLKYPITVNKLLKSPGDSFAKGDAIFEYSFKWKKE VGDAFGDKWEEEITTIVSFASTADGKLLRWQIRPGMVINSDQSCAEIDETCSHAVQYA GLCALCGKDMNETSWATDTVDAQRAQINMIHDQTLLSVSQDEASRAEEQLQRRLLKNR KLSLVVDLDQTIIHACIEPTIGEWQRDPTSPNYEAVKDVKSFQLHDDGPRGLASGCWY YIKMRPGLAHFLATIAEKYELHVYTMGTRAYAQEIAKIVDPEHKLFGDRIISRDENGS LTAKTLSRLFPVDTKMVVIIDDRADVWPRNRSNLIKVVPYDFFIGIGDINSSFLPKRE ETPKVVPAPPPIAPMPDTEEPTETEEEGAAEGEARVKREVLKSKATSTNITESMSALE ELVAMGGGDDPTVREIQAQEQAEFLEKQLKERPLLHMQQQLDKEDNAEGEESANGTAD ESEHTDDSAYNHHRHHLLKDDDNELAYLENHLLGLHRTFYEQYDKALINAKGDRVAHL RPGATKKVHMKDEAADLQIVPDIGEVMPQIKSNSLRGTSIVLSGLVPLGVDVLRYLRT FIKRLKEDLFLAFDIIRYKYMAKQLKRRSEIAIQSMSFGAQIHTKISRKVTHLVVAAN RTRTQKVRQATSYPHIKIVNQQWLVDSMSRWERVDETPYLINIHREDKSTQPELFSDG RSLDDSSGDDSGGEGHSSHDDADMPDDFEDGQSPIEGLKDVDWSGVDAELEEFMASGS ESDSESVASNTSDRSQQSTISIRRKRKLAASKDGEETDEESNISKKQRLARNRTTGLK TVKTPNSASGSSLPTPEKTAAEDGDEMLQPDTQDEEEFDNDLEAEMMAEFEKGGWDSG EEGGGDGGGGGGGGG VE01_08441 MIRSHEDIKKRVGRKLTKKRREDRRVTMEIPQRFEDGDDADEDC TAPKGQNGLINQSVFGMIAAAGSQADFHARFDGQDSDDEEDETTEPEDASRPSEASSD TVTTKLPTDERISRHRFHRRKLSDNKLLRSLSQLGIKNRSKHSQLSSASSDPVTPEKA KDTERDHITRSPFKGPPIMSQMLEAKAAAVQRASFDSRRSEFISGQSDGGADGVEGSP SDLAIRLMEIFEFESPELVIDEYPCWLMKSVLLQGYMYLTEKHICFYAYLPKKSNEIV KSGYLSKRGLKNPKYNRYWFNLQGDVLSYYTDPSDKYFPSGNIDLRYGISASVVDKEK TFFEVITNHRRYQFHADSIPSAKEWVKALQKIIFRSHNDGDSVKISLPIENVIDVEDS PVIEFAETCKIRVIDNDETYAIDEYFFSFFSFGKQALSVLRTLVSDSAAKQIPEGLLV QPQGNSGSNSPKAGSSRPSLAISTRETKPYPNLGKATSSGLEESVKATLTPLISSPLH VHSPRASGDFSRASFDITQRSTSQTRASRRSMDVSRFNLDGQGRNGSPSNDRRSFSRN RASEKKNSEKQDSSDSYVHSMEESSAAAQSASEDATGSASQILRGSEGFFQPTIHRSA SASRRRDDAQLPGSPLVPEIPTTLPHAATMDAYERPKLTQADNASSPSLQTLAKAGAY PLQRAAGFANYLNRHSKRMSTLLATESMGYVEKVSGMWKGGKKHYDEPLGQGLEDDTD DEGGQSDGAEHRFQAHFALPAGEKLQAAYFGYFHRVLPLYGKIYISNRSFCFRSLLPG SRTKLILPLKDIENVDKEKGFRFGYSGLVVVVKGHEELFFEFRQPDARDDCCVTLLQN LETIRYLKESGLLTQEEEESAAWAVAEHKALQEARQESRQHSHDLELPTTAEGASTET APIMFDDPRASILNFKPTESLRITCLTIGSRGDVQPYIALCKGFIAEGHRPKIATHVE FKDWIEGHGIEFAPVGGDPAELMRICVENGMFTYSFLKEASTNFRGWIDDLLSSSWLA CQNSDVLIESPSAMAGIHIAEALGIPYFRAFTMPWTRTRAYPHAFAVPEHKMGGAYNY ITYVMFENVFWKAIAGQINRWRKKELSLPRTSLEKMQPNKVPFLYNFSPSVVVPPLDY SDWIRVTGYWFLDEGTDYKPPKELSDFIAKARADGKKLVYIGFGSIVVSDSAALTKTV VNSVLKADVRCILSKGWSDRLDKIGATEPEVLPEEILQIKSAPHDWLFTQVDAVTHHG GSGTTGASLRAGKPTIIKPFFGDQFFYGGRVEDLGVGIYLRKLNVSVFARALWEATHS ERIIAKAKLIGEQIRSENGVDMAIQCIYRDMEYANSLIKRKSGSHEGLAEDIEESWTF IGDDNDPELMSRIHAWDPRVVLAQSRDLTESQSKDAPESPVPTPRSETPLPSEKGAET K VE01_08442 MVKKESEDSTATEAKMEPKDSKMSAFERKRLENIAANQAIMKDL STTAKKIIPKTAAPKPPRSKTPRKREPPVKREATRPTRTSSRIAGLEADGEGAKRKFE EEFQFAQDDAKAKKMRVAGDLNLSDIAVEGKKWTNDDNFLSSIMRGAQPYERTFDDSD IKTTTDQELKSLREKMSGLELYKTWEPNDIKITPERIYSMGFHPTHDKPLIFAGDKIG NLGLFDASQKGEVDDDGDQVEGPVITAFKLHARTITSLLFPPNHTELYSGSYDSSIRK LDLQKQVAVEAYAPLSMDDDEAISAIDMNSNDPNLIYFSRLDGAFGRHDMRTQKDTEI WYVSEKKVGGFSLHPLHPHLVATASLDRTLKIWDLRKSTGKGETRQPGLLGEHTSRLS VSHAAWSSSGHVATSSYDDTIKIHSCTKAKTWGIGHTISEEDMEPSAVIRHNNQTGRW VTILKAQWQQRPDDGIAKFVIGNMNRFVDIYTSEGEQLAQLGGDGISAVPAAAEFHPT RNWVAGGTASGL VE01_08443 MASNISSQGARAIHSAATSMPFLYQTRTLCSSRKPMRQPALRLI LSRPSRSQLFHSSGSSCMRVSRTLWSDKEDPDAATPSSTETPISQDRPLIRRYIVDRK SNKVEEEEEDVPWDSPSFGRRTDQVTTDAVSEADDIQFDMAQSYDPFAEEEDMFSEYE GEPDFTIPSARKPGESTITLEERDTFQRIFSDIYARSQSTRPQEQSLVDLSEVNKENA REKLHSIMEEAVRLPQNTLFTSQPREKNLDTLKQYPIALRAVAARALGLEGKPSRTNQ PPQEKPIDKYKEFRQQEQERVEAAMRAAPTDIALWAVMEKEVFSLIPRLGLEERKAPV PPPLKKKGRKSSKKAVEMTAEEPTGVTPAPEPLDINLYFPLYASYLLYGLRLLHHSFA KHSPLACNVLPRIKSLGLVSHVLGGTTALYNELLRIYWFQYDDFSGVIKLLEEMEESG LELDEETLDVVTDIQQMQARYLFSREQKAQRTPIHLLWTMNEFAPGRFRSWQTRIRDT LDREE VE01_08444 MTKSRYGQSDMTIKSTTASDDKENASTPKSAAPKRLYGGTPQSV DRLIRPFKCPGSAVATRVSEKPTRKRRKVDYGGADGEKEDGEKPWTNEDRLALANRDV NKFPVFQVKDKDTMFRQRFAVPLINKDVGGYNPNRPPPTLGLRQGAVFIAKPLHDPAG EFAIVLYDPTIDGVPKTIEEAKKIESSQEVVKVDEPIIHKSLADILGIKKKVEGERPK VPVVIDPRLAKVLRPHQIEGVKFMYKCVTGMIDDRANGCIMADEMGLGKTLQCITLMW TLLKQSTNAGKPTIQKAIIACPSSLVQNWANELVKWLGKDAIVPFAIDGKASKEELTQ QLRQWAIASGRAVTRPVIIVSYETLRLNVDELKNTQIGLMLCDEGHRLKNGDSQTFTA LNNLNVSRRVILSGTPIQNDLSEYFSLVSFANPDLLGTRLEFRKKYELPILKGRDAAG TDKDRERGDDCLRELLGVVNKFIIRRTNDILSKYLPVKYEHVVFCGLAPFQLDLYNYF ITSPEIKALLRGKGSQPLKAIGMLKKLCNHPDLLNLSDDLPGCEQYFPEDYVPKDARG RDRDVKPWYSGKMQVLDRMLARIRQDTNDKIVLISNYTQTLDIFDKLCRSRGYGSLRL DGTMNVSKRQKLVDKFNDPDGPEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQ QALARVWRDGQKKDCFVYRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFTLD SLRELFQYHGKTTSDTHDTFKCKRCKPDGKQFLKAPAMLYGDTSTWNHFVNAGIEKIQ DLLLRQEFGEKDVSAVFQYISH VE01_08445 MSADFWAGYISGAVGILIGNPLDLIKVRLQSSPQTAITATHPST YASQFNSTSSLIRGATAPILGYGALNALLFMTYNRTTAFLNPGYNPESPGPATNLYTT FIAGAVGGLASWVVSSPTELIKCRAQVSNTPISSFALARSVIRSEGIKGLYYGGTVTA LRDSIGYGFYFWSYELGKRILAAQMGEDSSQQAEAAKSLLCGGIAGVVTWASVFPLDV IKTRVQTQTLSATERSPLLGAARVAVVKQGRIGAIEMARRIYREEGAAVFFRGLGVCS VRAFVVNAAQWAVYEQIMKELSPTQTFKGSQEDVYTI VE01_08446 MAILPCCHEQDYQAIDNSENADKLSLEEDRISPQPSQSHLPPPS SQDAPRQVPSEIKAEFDEIQIDWPVHDEKFLQDCLIPALYLLFLSAVASGVIYVFGIG TRFRECSYMLMAPYV VE01_08447 MIGPTFEWARVLGNLWDVATKADDPSHPALPQTRPRILTPSPSR ESLVESAASATANSAFFQKLPPEIRLKILREAFGDRTIHMDLYFDYPMKPLSERQPNR TQRVGGARIPPPEPRWGIVRAQRKKWQWLSGECYRSSHAPAPDLVEREIGDDSCFPGM TDCCEIFHTSIGVRPVGVMGWLLSCRLAYAECIDVLYGTNTVYMTGNPLLQQLQDVRY GPGTIHMAGPVLPRLLPNVLLPKRLASITSVRIRWTCNPFDSEPYEPSLYGVSAFNNF LKDLPRLFPHLRKLHLSLDGKMIPSPHVEPDRLRELIESDIMIPVDDMVRNLGPQMQK CCIAISAFLYFATKYKPMNPGQQLSPQERHEWLHAWRELPASNLTSLQQSAHLKGYAI RIGQLDMPVQFMIPPGYGDF VE01_08448 MNANETIYSANVPNVCQKYINGAINPPSGPSCFSSLYSTIANHN ATFSTCCSNAKPEIYDDDKPCFQYCMAGDFVAEKAMYECLKKSNINFGCTGTRDEAGN FSDAGPARNLSLGGALVVGLALVGVLSV VE01_08449 MRLLHIAVAVFVTSVIAVRQGPITRGSGSAMPTTTAKTSPTSAS SKTTIISQTTSEESSAAPTTTTVSSCEEFNTQMSALQAQKAIDMRNLNSPRGYTKANQ MAVNNDNIAIARLAQNMPRGC VE01_08450 MEARSWKWEPPIENPDGRVCTSVNEYFGGPFFDSHGKFLYKDPT LADLNLGDNTPSLQGEEKKLFLEFVGKMLRWVPEDRLTARDLLGNPWLLRDAPSRR VE01_08451 MISTILLTHRAASGIPSNVIDNKRLLRLTVYSKRLFRLFSHAGV FDQQRINAENPLNPLVREQYQRLAVILFKATVHLNAVLITHLPKFRLLDYFDPAMLNV RVPSSQEIWNASSKDYSQDVHGRALIGSLFIGDTDNRTYQTLTSISACDFSAGMILGC FNKRQPDEPLLDLVRRISPFLAWHFNVQDNEEVE VE01_08452 MSNILAILGATGQQGGSVLNFVLNDPELSQIYKIRAITRDVNSE KATKLKGKVEVVQGDINDPASLKAALVGVHTVFSVTTPSFGPNALEDEYNVGKSIADV AVENGAQYIIFSTLTAIKDISGGKYANVFPFDAKAKIEEYIRGLSIKSAFFAGASFME NFQSQFFLAPKQAADGTWVLARHVSPQSKFPLIDAVGDTGKFVGAILAEPDKYEGKTF CGAAGIYTMEQVAAILSKNTGKTIVYKQVSVKEFKEGIVGFGLPRVLADIFVDGFSFN EEFGYFGPNQEESIAWSVQNARGRPSTFEEYLEVHPFRLE VE01_08453 MSPHPGVPIASWDFGPCIELLLQGVKSDNTGSSPRLNNASSTNI PGRNQDVATTGSTPSLGNFDAIFKHLGTEAIDIPIKANHPSSSDETSEDVGSYSTAAS TPPDEEPRYFDLCMMPRAVGANEDIQNYKTKLGLNNNAQNKLKKVTLPNGEKQQPNNS VSTTELYRQLKAARRLDSTVPLPSFGWVPDALPTTPVRRSERILNKAKKSTAIVDVHA TPTHFSTARAAAISTHKSVGRRQSLPADTPRILLDPKVIRPLLYASHDTKKLRIAEKL MLRFGPTSSTIEGDLIRAMGHVGGNERPDGIHVFVDSSNIAIGFAEALKRARGMDKNE FTKLPPLAYHSLALIMERGRGVAKRVLVGSSRDNVRLDYMYEAAQCGYEVSALERVEK FKEAKESDIEKYKRLGRANGNNTSSGSGGEAPLNAFKTVTEQGVDEILHMKMLESMVD TDYPSTMVVATGDAAVAEYSSGFLRNVERALERGWKVELVAWKRSISYAYRDKAFAKK WAGQFTIVELDDFQEELLGIYTKGPRTFDASNFV VE01_08454 MPSKTPHTNGNDPVENGTQDIEMKDDAPASKKGGKGKKVNQGDD EMTVVVPPSKASKLSAPPPPDAEGDVAMDEETATSEVEAEVDPIALTISNIKNDFALL EKAVALFDARFTLRALRSISTIRKHLSADVLAQVITETYPASSSVAKNLLAALNKENA TFSRQTSSDMDVDGDVKAKNGSKKEAKEIIPEIDVFLGILVQVLFADQKETQKGAKFS SQLAEQIHTLNRRTLDSLAARAYFYFSLFAEQLQPLPPSPNSPVVSLRPALLAALRTA VLRKDIDTQATVIVLLLRNYLSTSHITQADLLVSHTKFPENASNNQVARYLYYLGRIR AIQLRYTEAHEHLTAATRKAPSSPSAAGFTQTATKLLLVVELLMGDIPERATFSMASL ERALAPYLLLVQAVRVGNLVDFESSIKQHSDTFRRDGTYTLILRLRQNVIKTGIRMMS LSYSRISLRDICIRLHLGSEESAEYIVAKAIRDGVIEATLDRERGFMKSKEIGDVYAT REPGEAFHDRIRACLALHDESIKAMRFPMNQHRLELKNAQEAREREREMAKEIQDGDL DEDDLGGEFEGM VE01_08455 MAPIKRKRGGTAPPPAPRTTRSVTAANATTTGTAGAQNATATTE PDKPAKPVREKRKEQPAYVSSSASAPSPIPLKPERRSRKTRAMPTATARRAVSLTPSL SSSTSKPSAAAAPTLERNVDNVVLGDILFRAWYPSRHVKEIVGREVVEEKEMLERLYV CKHCFKYSKELMGWVGHGKACERRRGGPAPMIPGRKIYSHGNSGWSVWEVDGEVDSLY CQNLCLFAKLFLDNKSVFFDVTGFTYLLLVHANPTTHEEQVIGFFSKEKMSWDNNNLA CILVFPPWQHKGLGSLLIAVSYEISRREKIIGGPEKPISDLGKMSYIKYWSGEVARYL LDVGDMEKKKTKVVSLDDISAGTWICVEDCLTALKHMNIAVAAARGKGDVQKVKIDKQ QLREWMTASKTGLGPIIDPDGFVAGYGYRESSTDEEMGD VE01_08456 MRSLALLCLSFAVAVAVAQRGTERNTTALGDCLETAKVPFYESD ADDWDVYASPFNERLEYTPAAIAVPTTTEHIQLAVSCGAEHGFKVTPKAGGHSYASLG LGGEDGHLVIQLDHMYNVTLDTEINIATVEPGARLGHLATELFAQGNRAISHGTCPGV GVSGHVLHGGFGMASNTHGLALDWVIGITVVLANSTVVECSANQHPDLFWAMLGAGSN FGIAASYQFKTFEAPANAGLEALESYAKNAMPAELNMRLAGSSHSASVEGVYYGDKEG LEVALEPLLNKTGGSISGAKVGGWMDGIEHYANAEVDVTYPYEMHETFYSKSLTLKGL NGTSASNFVDYWYGTARNVTRIWWFQIDIHGGKNSAVSKADPTLTSYAHRDKLFLIQL YDRSFGTYPQLGFEFLDGWVSNTTADMDLSDWGMYINYADARMDRATAQKAYWGVNLP RLQEIKAQVDPTELFYYPISIEPALVEGFA VE01_08457 MKRALTHASSSLRPHSSINAVRYYFFSSTTSREQPAKEPTEPTQ PVKSSTPEPPAEPVKVKVRSKTIAELDEELKLKLEEISGEGGAAGLEYENGKAVAMKR GVKDNMFRLI VE01_08458 MPAPEGMSLSYFHFALETFYLLWEPSKLYDTTKSWKPVLGRGQY FLEIFDIPFSPKEPTRLVLKATGIDKDHVQRTLPTQAVHAVLWGMPSKQIHWETHSYQ LSLELTLKGGNAVWHGGGAEKVVKPPYIDSISIAAGIIQRRLRCMIVSTEDGRTIFYI DAESMIQDLDLLFDQGQLKRHESERPSPQGVYAYHSDFCERLRNSIQAYLILGTQVTS ASVRMQLLLLGYSHALVEEYTNLVYSLQAKYARNKEGLSDPRRSTLTAPMLAEITRDI CLYEGVKERINELSGAVDTLIELLKILLVDAANYRDFRCLAVELQSTCTDLIRTITTL STTLEGHLRLFEVSRGMHEAQNVRLLSILASIFLPLSLAFGVLSMQTRFSDLHYLLYD FFGVLVLLGTIVGVILIALRSYLWWNRLVAQLDRNPMFRRNIRPKAHIMVLVFLVLGW GLLLSSFLIGMIKDVGLGLKILGYGAIAFGELVWLLCLFRQALPP VE01_08459 MIEAAATAFASIVVLGAGFAFGGFCYHKFYKWHVLRKMRKAFEP GDPALVLAAMGKQVPEAQPPTTQEEQEKSDNENHWIRRDEQDKIDAIINGTEKGHYHL LIGEKGTGKTSMLLDAMQKIDGEGIAMLDAHGDLEIFRIRLGKALNFEFHEDYIGSYF SERGPRESTALLDIERAFNKLDKVALDRRMKVGRPIVLIINSMHLLKPDTDGMNLLEL LQQRAEQWAASNLITVVFNSDDYWVYERLKQLATRMEVTTVGDLPKDKALNAIRMYRS KYFYEDPDESILSQVYERIGGRLTFLNKVAKSADMLKTCDEISAVEKQWFLNQCGLLG MEMDDDVMDQQKYASAAMVLAQALVDQEANSEGPIYDPEHGHDLPALPLHKARQVMTR ADFIREYDHINVFTITSSAMVRADSVPMQRAFREICAEPGFRDYLDATLQRINDIESL GRTRELVAKDLVLGGKYVISQEKGGFGKVIQLVMPPEEDEDDDKEEGKGGKNDS VE01_08460 MDGNSSTRGGVEGDYPLPALPPSREAREETINSSAQRKWKMSNG ISEDGAADRSDSARRADKKLKKMKKKKDKAGNGGNSEGKDNDPTSSVKQKRKKNRMSD GVARQSLGGASSQSAPLRSAASVLLPRSDAVDRVPESSHPTADAPSSSTASKKKKNKS KNKRNSIQAVAAAVGGDGGGSSTGPKAADAEPLAKDNVPEPAPTSSWAAVNVPSSATK TGKTHDDVNMANGQSNHKQAEEPTAGETESNSPEKQTDASATKPKSSQIHPIEEMDLD PTQSQNTPADPVEDPMDLVVAEPQNAKAGPADNSGDSREILEVANKEVPQIGVYAGGE EPTSTTRRRKRRLPVDDDPIETPSKRSKKSLKAKSPVTKGQKTKAAPKSPASSAAAPR TPTRAAAAADGKPAPRMTDDDLAVIKNQLLKYREMNDINELEQNRLIHGKATDSRNLF NMVCEEFPDRDRWSLIKFCRRKFHNFTARGKWSAEDDEDLREAHRQMPNRWTQIGQRL NRHPEDCRDRWRNYLICGDNMITVYWDDDEVQQLRDAVAACVSHLREMRQLGVIESSP NDDEADDIELVDWQQVSEKMGRTRSRLQCRQKWRRMHESDDKFDTTPRKVAPNWRDKL ARKETQQMDLDDKLVILRSIKESQVQREGKINWKTVGDEEFQGRFAVRTLKLALTTLK STVPDAQSHKLQEIVEILLEKLGSANGRDPTAKSFRESTGTKNTKNKYISAETINSED DEESDGDAREQIRQGLPKLKDTNRSPKKLSDRMARRSESVDSVGNAIPSGGPEVPESE YDEPAAPKTNGAHKSTKAGSDSDSDDSDLDEEIPARRASISL VE01_08461 MASTKPLTMLCRPSAQLLLRASRTSAPSPWIQAAAAFSTAPARY ATPTGPPPSKFRLARPERWNESKTSALDQAGQFFLLTEMARGMYVVLEQFFRPPYTIY YPFEKGPISPRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEEREDGSRRTT RYDIDMTKCIYCGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLANGDKWETEM AAAARADAPYR VE01_08462 MFRTVLLKSARSAASQAVRRAGPITRPASAIPTFQYAPSVFNAA RCYSAAAGLAKPEVEGRIVDLLKNFDKVSAPELGVIPEKLLFVANQLFKVTDPSKLTA TSHFNNDLGLDSLDTVEVVMAIEEEFSIEIPDKDADAIHSVDKAVEYILAQPDAH VE01_08463 MSSGLTRRRGGGGGTASGDNDENSSSRVASPVPKAGSSRDQPET SYESGENGHKIAFDPRDMNESAERSKQPKLTMMEEVLLLGLKDKQGYLSFWNDNISYA LRGCIVIELAFRGRVSMQKDSSRRRFPLADRIIEVIDDTLTGEVLLDEALKMMKSSEK MSVSSWIDLMSGETWNLMKIGYQLKQVRERLLKGLVDKGILRTEKKNFLLFDMATHPV ADGGPKEEIRRRVRNVLTQRTVVLPASQWLPENLEFRNIRTISMVCAAYAANVLENAL ASLGHEARERAFAQVDELLAEYSQWPFGKRAGATNGGVGANLNQVVQDEINEGKDKEL QLEVVAACLSVFTRLDSLL VE01_08464 MADSSLQDRLRDHAKAFDGLLSLIPAKYYYGEDNSDQWKKKKQT KEEAAAARRAKLDPDSAKSAKDVMDERAKKRKLEQLEEEGSDIEGVEKELPRQGLKQA DAKNKKQKVQDKEDKKPNGTAKAPADDAEAAKQARIEAKKAKVALKKEKATKKAEKQK AKKAKVAEQKVDLRDDDAEIDVEGIVAEDEVADETVEGDETTTGGDEMQDIEMDGIAD EVDAEKETSPQPTVSSTSTPQSPTFDTTDKPSTNSTSTSTSSVVPPTKAPKHIKLPTD PDLLKARLAARIEALRAARKADGPNGAPARNRQELMESRRKKEEQRRAHKKELRNQAR AEEDARREEALASVRDSPASGIMSPAIHTAEVNNFSFGRVAFADGQQLTDDLSTLMSA PKKRGPQDPATALQAAENKRLRLAGLDDGKRADIEEKDMWLAAKRHAHGEKVRDDGSL LKKTLKRREKAKKKSEKEWGERKEGVAKSQAIRQKKREENLQKRKDSKGVKGKKGGKP GAKKGGAKPKSRPGFEGSFTTKKR VE01_08465 MHPPVEAQSLSSLLQIASNPPRYPRNPSEPKRDPLVLYIARVPG SQDVILTPLKPDLKNLKELDVASCLYYLHRNVPSDAALVVDLSTHPVHPPTPHIARKP LPKSSAPITTDLAAPPPPDAAIPTVSPLDPPHPSSPPPKPPRRPLNDPISALPLKPPP LAPPRRPLGPRPRSLATPAAVPATEGQENAPLLPPRPYPEATTPTAAATSQARGRADA LFPTNPTSPNNHSPTHLKATEPYTITLIRRDPPTGAQWTIGSLLISPRSTPSVVVTLT TPGYTTFSSPPGDFKREINTDKPVTAVRRRGHRRGHSAFSTHSSDQAPIPQPKDAQAY TFPSPWSTPCTFATAPSGRALRCTHELPAVDAEGEPTVEGVVVSELRYNTPTTSLFGL ARPKHRHGDRHGERGSRIPGTLPGALPLPRPQSRARPKSAPSSPLHAPSNYLAHESSW TGDEDGGDRDSGADLSLGREKAGGGRAGGRAKLGKLIVFGDGIKMLDLLVAGNMGVWY EGWGDKGSGEGEGN VE01_08809 MSTDGPRSSGCWTCRLRKLKCDEDPNHCRRCLRCGISCHGYGPK PAWKDGGLREAEQLAVIKARVADNRRRRARAANTERNESLMEAQIPPPPSQRLDCAEE SLVNNEVSESWNHNHGGGPATDEAMLLPLINEMLAGDVDVNLWPSLLSPAEVRNQPTA TNMERALTQRWTLLNLTGRENELLRHYLNHVFALQYFHQKYISTTLPSPSWLLSLLET IPPLRHAALSLSALHLHCLQQQKGGSNNFHDGYNRTDTLVELREYHAAALIGLRSFIS DYGSSSLTAGYIPILACCSQLISFDLCRGGIDEWYTHVDAVARFILMRAAEFPLLSAD EMDSENNEASRFLIGALMWSDILAGVSTGRRPLLYIYLQRLLDSSEQPSGPLIKMEDI MGCENHIMLLISEISALQEWKMNCLKDRSFSTWRLVTRSKVILDDLEIAILRLDRELD AYGLYCSNDRTIYQTKIITGTITNIFACAAVVYLHVVVCGVQPSLLEIRTSVERTITA MQRIQRTDRGKLARGILWPVCVAGCLAEGPKQSFFREFVRAAVDDAIGFGNAQTALDI LEHFWAKSSSEKRHTSSSPPSDSPPLEADYLFQGWPQIIQKSGRRVLLV VE01_08810 MTAVAGRYNPNSQKLPEDDGDDETYVQNHEAYDQPLDIYLHGNA AMVLNKVATSQGSVRICITATKVDGVVLHFAPNGHSLNYRSCVIHGDATKVTDPEEKY HAMHLLTNHMCRRRWSSVNPIAPRAMSSVQVLKVSIRSASAKARATNINGLEREGFGE RDDVYTGVVPLYEVLGEPVHSGYHPDRPVQPEMQQWIDTRNRSERDYAEKVAQIPSS VE01_08811 MLVAEQTSPQVNEIPDVKAVDVVAPSWKLVAARRQAEINNAIPT EYLVPLVQLGGLENRIGLPEASGILTHRELEITARSATGLLRFLHNGTYTAVEVTTAF CKRAAIAHQAVNCLALVMFQQALADAAELDQYMQTHHRPKGPLHGLPISVKEHIELAG TPATAGLISLADSVSSQDAMIVRVLREAGAVFHVKTTNPQTLLALETESNIYGITVNP YNINLSSGGSSGGEAALIAMRGSLLGIGTDMGGSIRAPSAFNGVFGLKPSVGRLPHGG LEGLHSGMENVIGCCGPIATCVEDMRLFCQVILASEPWRQEPEMIEKPWNPIPLPTNL KIGVMWHDGVAQPQPPVTRCLRETVLAIQQAGHTVVYWDPKDHPALTKSMDRAFFIDA GKEYWDVIKQGEEPAVPTWKLNSERKLLQNSHAAQWDELDLDAIICPVHFSNAPAIHE AQYAGYTNAFNRLDYTAAVFPVGKVEPTDTWENFPRAIEQSLGKDDDFSFKTYTGPDK YRHSPVSLQIVTRRLQEEKNLAIIEKVIQAVELKKQLLDHGLLLHSNDI VE01_08812 MACFITRKPIATTALSQVGHPVVTVIVSEDSIVIRQDRFLRTRD PLPEEAAMLYPVPFNILSINGPNGVLHDTSFAEREVTIKVEGFVKLNAGHTGLYRTCY SAEYLQKLAEEAGKGGILGVEDRAGLIADAAVLASTGYQKTSDFLQLLTNFSHESQYV VWYIIIIHLGAILRAWTFENQRF VE01_08813 MAEKYENNVNSDIEMVEDLNVPGAPEFAETRELRKGLKQRHIQM IALAGTIGTGLFLGSGRALVAAGPAGVFMGYTIMGILVCGVVLSIGEISALVPLAGGI LQPAAIFVDPALSFAMGWNAVYQVCVAVPAELVAAAVVMQYWITLNNAIWITVFGFLI LISNVLLVRIYGEVEFTFACLKVLLVVGMNLMGLIIACGGGPDHRSVGFKYWNDPGPF VQYLGVAGSLGRFMGFWTVFSNAAYAFAAIESIAIAASETYAPQRNIPKAAKRVFVRV VMFYVVTVFMMTLLVPSNDPMLLNTSGTAAQSPFVIAATRAGIKVVPHIINAIVMTSA WSSGNSTVLIGSRMLYGLARKGQAPNFFKQVNRWGVPYLTVLFICAFISLGYLTLNKT AAMVFTWLQDLVASAQLITWMIICITYLRFYYSLKKQGISRKELPWAAPFQPYAAWMS LTGFAMIFLTSGYTTFIHNNWSTETFISAYLDLVIVMTLYLGYKLYHKTKIVSLEESP VARFIEIAKNNPDPLEKPKTRISKFNILWG VE01_08814 MSVQDGARFDFIVVGGGTAGNIVASRLAENPNARILVVEAGIGS SEVNEEIRTPALAMDIRGSQYDWAYKTTMVKRDDYERIEKPNTRGKALGGSSSLNYFS WVPGSKGTFDMWEEYGGKEWTWDPLVPYLRKSVTYHDDAGLFPPELKKIGAGGPIPIA HADLIPEMKGFRDLVTKAWKSTGQPVTENIFDGEMIGLTHSVNTIYKGRRSGSFLAVS NKPNITILPEVYSKKLIIDDADRTAKGVTVITSSGQELSFYATREVIVSQGVFESPKL LMLSGIGPAPELAKHNIPVIVDSRHVGQHLLDHPGVPFVLRLKDSFSMDNHVLRKGPL QNKSLAAYSNGHAGPMGSPFLELIGFPRIDKYLEKSPEYRKAKAANGGLDPFCPYGQP HFEIDFLPLFGSAFQWHFPHPKKGSYMTVMVDLVRPVSEGGEVTLNSADPLQQANINL NYFNNDLDIIAIREGIRFTYDVLKNGEGFKDIVEDEYPWDMPLDDDEAMKRTVLDRSQ TSFHPCGTARLSKNIQQGVVDPNLKVHGIKNLRVIDASVIPVIPDCRIQNSVYMLAEK GADAIKRDHRDLYR VE01_08815 MESGAEIFQEPETVTKPRHRHDSTVLCRFVSGEFEKVDIDFRHT NYIAFSHVWGDPSLYHPTTISNIPEPIIASPSKARCLDQNLQRLVGDSYFWLDVLSID QSPETVEERIQATAIMPDIYKHAQKTIVIRDGSGFQSCCVDAIGQLRTWADYHNGIQQ WNTHWRTDHRGQRLYEGILERLWPLQESVFSNHVQFISCEESLARTTFSWGDIYSGTQ FISANTDRLWTMSRAWASYGTTGEVSGDEQLAFMNALLRNGEVSRDRTSRIPFSTAIG REFLIQSNSTRRTTKARDLILTTMSQYSWYTAPTPRDIRNMSFGQLFRDCFDQARRAH RATLPRITGGMVGDDGLVLETSNIPEPEYLGDLVKLFGLATDAAPNNEKDCASGLEYG KVGVRTIESTSMVMTFDIIDRSLDFSPDAWKFALRGELSMSPYGVWPDEKLFLETVSK EVCQEQAMKFLNLMLIGHFGGGGAKLDADSFKRDLINANASNYSKFFIRIAALISCGI GISALSWSENVWTPVLVSIGELELLGLVSSAEMVSGEENVFHLATPLDSTTPPRLSAT TTNNSGTEDRVDVCNATFLLNPNISRCLYISPFLDDSIDEPLIVQRVNSLFSAVSLGL KRLVIDMPLRSLYPEDDHNRVRPILRAAFASLEIIEEFCSVRDELFLDTVYPTNEPKV WSFWPHLEHLALYNVDVASSEFLVALRRCERLTKLVLTRPDGLEECIEDSEFPPLPHL QRVIIVNTAEGHRQWPLFRRPTSRSCFLGRIFTATPHFSPATYMAEPTAAARGGIDRL LVSINVPMPAGRDGYDAEVCQEWVRNHAIDGSLWKLHGAPISRDMEQTLLC VE01_08816 MPPLLKTALMIFRRASFDPGLPSDEFSEQWTHPGDVFSVLLILG GDVVGRALAQVAGAGFTPVTFSFGWVAYAVTAVVSAVGENKLMPLPDCACKVINGKSS YVRDNTSWILGRIMRDYEYWMDDAIRARVRNMIGEGYQADYERAMRENPGSEVNVTRR TQAGLCVSFYRAGRAKIGTIYLDKVYVSGFFIALVQLGVAAIPCGIWGDWSILLITAA GIGLAFVSGSLSQWRKEKWACRTGHGKTVVLTRGNGSQHAIVISDPDEIGLDLETLAA GQASLDLSTSLSTRILVGLLAVLWVLLLISASGIKKNTWFLLAVGGIGIVQNIFVAGW RRKPEAFGLPLHYENVIGMPKVMDALYEVEKAHPGLGFSMRETFFPSGMLNDDEEREW ARYAQIVRDMNAEAAQRAASRIQAQPGFGCSS VE01_08817 MDYQQSEPIAIVGSGCRFPGAANSPAALWQLLESPRDILTEIPK ERFDVRGWYHRDGDHHGTSNVLHSYTLEENLTKFDANFFGISAGEAECMDPQQRLLLE TVYEALEAGGHTIQSLRGSDTAVYVGVMGGDHETSLLRDANSLPTYFATGTSRAILSN RISYFFDWRGPSMTIDTACSSSMIAVHNAVQALRSNDSRVAIACGSTLLLGPEMYVAE SNMHMLSPTGRSRMWDADADGYARGDGIATVVLKKLSDALADGDHVECIIRETGINQD GRTQGITVPSSEAQAALIRRTYARAGLDLANPADRPQFFEAHGTGTKVGDPKEAAAIH STFGGLDGDPLYVGSIKTVIGHTEGAAGIAGLLKASLSLQAGVIPPNLLFNRLNPSIE PFIQGLQVPTHAKPWPALPKNAVRRASVNSFGFGGANSHAILESYQEQVDNASSALVV PATPFVFSAASNTSLVSSLQAHLDYLKTNPVNMRDLAWTLQQRRSTFSHKIAFSAPDV ESLIQKIKLRLKECEDKSDANIGVRSSSTPPKVLGIFTGQGAQWPAMGAELIRSSEFV RRRLQELDGALATLPAAERPTWKIADQLLLDAKSSCITEAAFSQPLCTAVQVVLVDLL RSAGIMPSSVVGHSSGEIGAAYAANFIDARDAIRIAYYRGLYAKLAGGSTGQKGAMLA VGTSLEDATEVIELDAFVGRVVVAAHNSPASVTLSGDADAIVEIKAVFEEEKKFARLL KVDTAYHSHHMLACGDAYMKALRACKVEVNRNRDTSVTWYSSVTGGEVMEPTNLLQDL YWRDNMVHRVSFYEAVTAAARAEKPTLAIEIGPHAALKGPTQQNIAETGLSLPYTGVM YRGLNDVEAFADGLGYMWTQFGPEAVDFAAFERLFLDAPQAKLATGLPSYQWDQKTHW QESRIARRMRSRSDPFHELLGVPHVDNTELERRWSNVLKISEVPWLTGHKLQGEPVFP AAGYASMAFEAAKSIIGNRPIKLIELRDLVIGKAITFGGESDPAAETLVTLTDITPSK SNLKTRAAKFSVYSAAIKSARDLEVNASGTINVIFGEPSMSVLPPIQLDDSNMTETDS ERFYTSLSTIGYEYSDSFRTMTNLKRKLNQATALISSFDYGDESERLMVHPALLDVAF QSAILAYSAPDDGRLWSLHVPTFFECIRVNPELCATLPLHSVQLPVRAQLLAPEANAV DSIRGNLDILSADEQHVMIQVQGAAVVPLSMATVSDDRTVFSYNKLGVAAPDGELALG ELKPSMNDMELGTVRERLAFFYYRKWIHELTEADWTKSEWHFQRLRDSINWTLSLVDA GKHPYIQSEWRNDTQTQIDALFEAHADSVDCRLVRTVGETLPSAVRRQSTMIEHMMKD GLLDEFYKYGLGFQVYNESLGRMVSQFTHVYPRAKIFEVGAGTGGATKSVLETIGNTF SSYTYTDISSGYFEKAAEAFSDWKDKLIFKSFDTERTPESQDFQEGTYDLIIASNVLH ATESMEKTLKNVRRLLKPGGYLMLLEITNNKLAAFTSMFGGISGWWAGCEDGRPYAPT MPLEAWNTVLRQSGFCGVDSTTPNRDGLAWPFSVISAQAVDNRVSYLRKPLYRLSNAA QISQLTIIGGKSLEVSKIAEDIADIAGLSCQSVASFADLISAQSSVVPGQTVICLSDL EDPMFKSLTPEKMDALKIMLEHSQTLLWVGRDSRDQPYQQAMVGFLRCIIHEMPDLSV QHLDIASGLDGVSTLIMEVALRLHTVGQWEASDALDQQLLYTKETELMFQHGSLYAPR MLPDPTRNDRINSQRRSVAKHASLKSDVISISETGSGLPVLKDVPFFSKGKEGKSAMK ACVSTLSALGITAGLYLFVSVGLEISTSNAVIALSSSNSNKSYPDVTIPTDTREDPAD LLSVIAAELLAARMLSTIVVGAKVLVHEPGTGSLGSSLAQALRRQASARKFEVIFSTS RADGDPSWLRLSPWMHGHNITRLLPTGVTNFLDLATDDESKEVSALIQQALPGVQYTG SKDLWRATSSGLFQDQEKLISLLKNAATAAGQHVAGHKPTQLSLSQVTNVKSPLHPAT LVDWTAEDTAPVIVDTIDATHLFSANKSYLMVGLTGQIGQSLCEWMVRNGARNILLTS RHPSVDQKWLDSFRVYGAKVAVYAMDVTSKANVIQVINDIQASYPPIMGVANAAMVLK DTLFSQMSYEQMTDVLRPKIDGTNWLDEIFYDTPLDFFVCFSSTSSVGGVFGQSNYAA ACSYMTSLVRQRRARGLAGSAFDIGRVAGIGYIERASQVVQETFEKYSYMPLSETDLH QMFAETIFAGIPEMNLEPVISTGIERVPADRKHLPPWILYPRLSHYLIDTQATNTVAD SKQTAQPVREQLIEATTAEQVLSIIKTCFAAKLQIVLQLTDEEMDMNVPLVEIGIDSL VAVEVRSWFLKELQTDMPVLKILGGGSVSDLAEQASKKLPAELVPMLGDTATPSITKA EKEKPAQRPLMHQEVSFVATSARKTERNASLERPALQHALSFADTATTTDTHSGGESI ATPPSSVGGKSSFSDLGAIAESKELSEFTVLRTEPMSLSQSRFWLLDLFLEDRTASNV AFQYQLNGNLKVDKLAQALELVAMRHESLRTFFVNDVSQPDMAVQSVSDTNHVKLEHR TISHVDEAAQEYEIHKRHDFDLGKPHLLRMTLLTLNTTTHYLLVAYHHIIMDGISLQV LLSDLEKAYNSTPLGPSPMQFPTFSVNQRKALQAGEMDSELEYWKDVFHDSPAVLPLL PMAISSSRVPISAFKSNEVSRRVNPVVGQKVKAVARMSRSTNFHVYLTVFRILLARLA DVADLTIGIADANRTDDDITRTIGLFLNLLPLRFKPDETKTFAASVTETRNQAYAALA NSKLPFNIMLQEFHHVERSSTHAPFFQAFFDYKVGVKQISRFGNCQMNMQQNNTGETN YDINITVVEDDQGPGIIFETQATIYDRHATTLLLESYINLLDAFVNAPTMRTGEPSLY SQAQVQRALDMGKGPDLLSQWPATLSSRVEQVARQNNDAVAVKDGNDTSISYGALMSR SQAIAATLQKAGVDPGSKVIVFQQPSVDWIVSLLAIWRIGCIYVPMDVANPLTRLAAI VETCQPSVILTDATTSPNANSLCAGNALTLNVSNVPADASAIVPDLSRSAQAAVIIYT SGSTGTPKGITVTHEGLRNYIEGSIKMYGMETPQTTLQQSTLSFDISLEQIFTGLATG GSVFMCPLNQRGDPLEITKATPSEYLMWLQYGMSTLRQCSNWKYAIAGGERLTNTVAK QFAALNLSDVRLINSYGPAEISCGSSFHEIDYRQGEQGDIPIGSQYPNYTAYVVNRDL KPLPIGIAGEIVIGGAGVAAGYANNAALTSTQFVPNPFASAAYVANGWSKMYRTGDYG HFREDGSLVFRHRISSDLQVKIRGLRIELGDIESNIVRAGNGAILEAIVTVHGEDSDF LVAHVVFDTKRDIADKDAFLQNVLQDLPVPRYMVPAMAIPIEHLPLNNHSKVDRKAVK ALPLPEHIATPTLSGELTDTETRLFRLWQDVLSTHVTNISITPATTFFSVGGNSLLIV RLQARIRKELSVVVSVFELMNSSTLGEMACKIEESVGVETIDWERETAVPFVTIPTPV EPNTAPRNQPKVVVLTGATGFLGRHLLKRLENDPNIAEIHCVAVRDKPVASSPKVSVH HGDLSHPMLGLSQPKFEDLSLTADVIIHLGAVRSFWDNYHALRQTNVQPIRELVKLAA GRRVPIHFISSSGVLPTTAYAPIGDSVAQNQPATDGSNGYVASKWAGERILERANADF NIPVSIHRFVAGGPEPPRDAVTPVMQWLAQHSEMHKIKPDIAGWRGHIDLLKMDEATE ALCESLVGDSPRARFLHHESHVCLKECDMEAFVGVMKGRADLEEMELLDWFGRIKDDG FEYFLSSHMASFQAGEQNGGNVLTMRR VE01_08818 MESSSTRPTPAKRASCDRCRLQKIRCPRPDSDDLSSCARCRYLG IQCVYSAPLPKGRPSGSRKSTSKSYATPKEVPLLPQPSQATPEPIDNQETISDELRSA LVSQEFSHLAGTWFQLDPWSLLGTASDRGSFADLAMETTYTPSTSSAELSHDDIFTNS MQQLAALSCSLYTLHTTCRNETASLEVQPQLVSHTNAFNSLATLLGTLQSLDGYEIYT EACVASKSLLLVMYRLRLFDSPNDEVLPAALGHLLSACYVQLLHVHTILVRLMSYEAS NSRDFHQGDPFSFARLRLVVIYNLVKHLLEHVRRGYGAYGLTAERSGSQPTTYTGSHE ISQLEHKLWNDLQELEIHLSPRLQTPSVL VE01_08819 MSSVTSTFALPQRQNALVVAGPGQLSLHQDWPLPHVAPDMALVR TVAVAINPVDAKMLDYSPAVGAIHGYDFAGVVVALGSDAPHHLSIGDRVAGAVHGNNS LEPRVGAFAQYVGATAELLLRIPDTMTFEEASTLGIGLATAGLALFRELEIPVSIEDL IDRAGPDSDVTSNAAWVLVSGGSTATGTRAIQLLKLAGLRPIATCSPSNFTLVLEFGA EKAFNYRSPDCAKEIRAYTRNGLAYALDCVSESDSAQLCYGAIGRAGGRYCGVEPVRQ AVAATRPTVRASWLMVLTMFGGRVALDGEYAREASAVDRTLSAKIFAATQTLLDNGRI KSHPIRVLADSWAGVIQGVDIIRTGAVSGQKLVVRVD VE01_08820 MAPAVSSNSEHERTIQQPETPETRSENAESQSQDDGGDYTPRRN MIPIMIALCVASFLAILDISFVTTALPTIAAYFKASQISYSWVGSSYLVTQSALAPLW GKVSDIFGRKPIVLLATFVFFIGSLICAVANSIAVLIAGRAIQGAGAGGVLLIVTILI GDLVSPRQRGLYFGILGGVYAIAISSGPLIGGALAENIGWRWCFYINLPFQAIAFALL VFFLHVHDPRTEIIKGLMAVDWLGSIAITGSVLMLLLGLQYGGEVYPWSSAIVVCLIL FGVLVCGIFVGIEWKLARYPVLPLRLFTTRPVIALFVVDLTHGFLLYGTAYFVPFYFQ VVVGASPIDSAVWSLPLAIPLSLFTIGTGLYMRKTGKYLYMIIAGMAISTLGTGLLID FGAEINWPKIIIYQLIIAVGLGPNFQAPTIALQARFPPADAGVAVSAASAIRALSAAF SIVLGGVILENRLSAKSGRLIASGVSPAMAEAIARNGAAGSVELVAQLTPAQQNIFRL AVKDSLADMWIFFVVLSGIGLIASFLVGSEELSDEHVEHKTGLGVEEANRLVHEKPRV TEPELAEMT VE01_08821 MRLTLIQFNRLKVASLREEGHRDDPENIKERPPLKGVRFHIAAW VSWWRKAERRRFYNDEEDKVEEPAYPQKPRNRPTTEDKEEYTERVREWEAGKPHKVEV KVQG VE01_08822 MSSPEAAGQNDPSQYLVSFGPDANCTLALCPVEASLQGYRPAFG VQIAFIALFGVSMLIHLAQGIRYHTWFFASMMAIGCIGEIIGYGGRVMLYQNPFSFNG FIIEICCITISPVFFAAAIYVTLARVSNFLGPEACRFPAKIYVWVFVPCDVVSLILQS VGGALSSSSVGSDKSGEYITIAGLVFQVFTLTVFTAMALDYLFRYISYRKSQQVDQRR ILSTRLGIFGVFFSASIIFILIRCCYRIAELGQGYSGSIFHKEGLFIGLESVMVILAV FALNIAQPGFAFQNRTQGAYTTYGNKVEHESSSEQNASNVREVTMTQE VE01_08823 MISSFSLKHFVGAVASTAVLTDLVNARSTGIEVNGTSFALNGEH ATYRFHVDELTGDLITDHFGGAATEDILLAEPRINGWVELLGRVRREYPDLGRGDFRV PAVRIRQSAGYTISDLQYKSHSVVQGKPGLPGLPATFGDPEDVSTLLVQMYDNYSSIA VDLSYSIFPKYDAIVRSVKITNQGEHNITVEKLASLSVDLPYDDLEMVELRGDWAREA AKVRRKVEYGTQGFGSTTGYSSHLHNPFLGLVTSTTTETQGEAWGFSLVYTGSFSAEV EKGSQGLTRAMLGFNPYQLSWPLAPNESLTTPECVMTYSMSGLGGMSRNFHRLYRNHL MKSKYATKTRPVLLNSWEGLGFDYNESTIYTLAQESAKLGVKLFVLDDGWFGKEYPRL SDNAGLGDWEVNPTRFPQGLPTLVDKINKLKVANSSVDLEFGLWFEPEMVNPNSTLYH EHPEWAMHAGSYPRTLTRNQLVLNVGLPEVQEFIIDTVSSILSKSSISYVKWDNNRGI HETTSPSDGHTYMLGLYHVLDTLTSRFPDIIWEGCASGGGRFDAGALYYFPQIWTSDD TDAAERISIQFGTSLAYPPSSMGAHISAVPNGVTNRNTSIEFRAHVAMMGGSFGLELN PADMPEDDKVKFPAIIALAEKINPVVIQGDFWRLNLPEESNWPAALFIAEDGKQAVLF YFQMRALINHSFPFVRLQGLDPMVSYSVDGNKTYSGSTLMNIGLQYAFDGDYDSRVVF IEKV VE01_08824 MALITAAAATATWMYLDAKYSIRSDIAQIRGGYSVQKYVQKLFK IHGEHDWSFYHVLHATYGLNDDTEALVFESRSWTYGQLRGEIGRLSETFQRMGIKNRT VVAMFVNNSPEFMFAWWALYKLGAIPAPINTAITGEHIRHCLRISEAEFLISTYELYG IVAGTLFSDDGEGARSESENSIHPEVPLLKGMVLYDCDTYSATECELLAESVVLIRHS DLPPVTPEMGDFPKASRPHVALGDTGQYLFTSGTTGLPKATTWPSGYSIVGTCPGRHP GMNDKYRRFYICLPMFHGTATFAALPNTFSSSGTIILARRFSRRQFWDDCRRSNANAV LYIGEMLRYLVQAPPDTQFPDEKKMHNVDLAFGLGLAPTVWRQFRERFGVPWIVEYYS ASESTVSLVNSNFNDYGLGKVAHWGPLMRSKWFGQNSFFIVRTDIDTGEVVRSPETGF CIRAEIGEIGESIVRIAPPVQRRHDYVGEGGVEATKKKVLNDVFEKGDEFFRLGDALM IDNDGFISFHDRLGDTYRSKGHNVSTTEVEGSFSKHPSVASVNVYGIPMNHHGYDGQL GCAAVTFRSDSSGGEQEIVRELEKWLLTSQGALPAYTVPRFLRVLVDTEGTSQVGMGG GDTGQERVSLIMKKLKTGLRKEGCKDRLYWIEREGAGFVPFTKEAEQLLLSGKARL VE01_08825 MCAALAFVENDHVIRAGYPSKRAARMSFFIRAKLLYDFDTEPDR FISIQAALLLSNWYPGVDEKKDPWFWSGTAISLGHTIGLHLDPVESKVGKDKIRIWRR LWWTSFCREQKLALALGRPSRLTYYNVPMLTLDDFDIGSLPDSASYLECTYSGDVARQ KELALLCIEHTKLCVCICHIVSSIFANRRKVDGGSQDIYNGTYSQAPYEDMDSCAKNI EQWLVATPKDLLYENVSKENYDIADRCLIMAKANIYILYYGAIAVLHGHKTLNSGLSW EYSTQNDPQQTPQRIVREASLEITRVNQNLHQLGLLPYSSTTAVGTVVAAAMVHVLDL KSVKSPPPPTVPDEIKQSMEFLQILQEAYGTAVSALQFLRAAARAAGLSILEPEAKSI PPPTDTQPREEELQKLFPVIPASMPSNLLPASPSWGVRDELFGQSYLNDTQDMDFFGD IYMLDFDGATTGLDQSADLGFNDTSFDM VE01_08826 MAANTNSTEKGVDVVARHDEESADSEFPQEKPSSSQGSEIFGSA LFLDVYTRYLSWIVSIYQMRTSILLYGIPALTIFETDRLDRVVAGMAKDLKLVGNQYS LLVLVFFPFYISFQPPMTVIAKKLGPRNFLTGIVVSWGLVMVGHGLVPNWKVMLALRC LLGLFEAGFFSTCVYLLSTWYVRREVAKRNAAFYLLGSFFAGFGGILAYGVSKMDGIA GREGWRWIFIIEGVLTVAMGLIAFFFLVDFPEKAMNSWRFLNEDDLKIIVDRVERDRS DVVAPPFKLGEYLKNGLDWKVYFFAANFGLSSVVTYAAAYFLPIVLRDGLHFSVAAAQ CLSTPCYVFASILGYSQGYWSDKINMRTPFILFNCLLEIIGVAVLGFATQNPARYFGA FLIIGGANSNIALVLTYQANNIVGQWRRAFCSATIVGAGGMGGIIGSLTFRSQDAPDY RPGLYTCLVAAIMVALSVTTTTTHFYFKNKKQAQGLIVLEGLPGFRYTY VE01_08827 MIPPGDKTASSSSSPKDRLSQVTNHISPLQNTSRKRRHKSADAL PVDYSDILSQISTLQKFAVTPDPKHAGYSRQKAAGKLWVRERVNRLFDPGSVHEIGSV AGTVQWKKLAGIKEEPTHFIPSNNVQGFGALRKRKVVFTADDFSIRAGHADGALMQKT IYMENLAIELRLPIIKLVDGSSGGGSVTTIKTLGYSYIPGVPSFKEVAKQLELGIPNL GAVVGPAIGLGAARVVSCHFSVMAADIGSLFNAGPKVVANATFEEGLSFTDLGGPGMH CTNGTIDNLAPDEEGCFEQLRTVLSYLPNHGLALPPVINSEDRVERDCQNLRTIIPRK KERMYNPRTIIETVVDAGSWFEIGGLWGTTAITGLARLDGRPVGIISLNCEVNAGALD AAGSQKLTRHLKFLDTFNIPLVQFVDVPGYAIGTVAERTATMRHGVALGTTYFSTTMP VFSVVTRRAYGVAGGIMLDCRDPRMRIAWPSGEWGSLPLEGGLEVGHAAELKKIEKES GKEAREKRYKELDEEYRRLMNPVRTANAFGAEEIVDPALTRRITSAWVEHVYEVLLPI RLMDRANGKIKPTFY VE01_08828 MEAPRPPLRPIRRLLIANRQVNVSLTICRGEIAIRILTSARELN IETYTLYSPGDTSHSPRSTYSLPIPSASTYLDIPALITLVKANNIDAIHPGYGFLSES SEFAHRLWTEANAVVIGPGWETLARTGDKLAARQLAEESAVPVLPGLHTPTNNIEDLR SFARQVGWPIIIKAVDGGGGRGIRIVREEAGLADLMERAMRESPQGLLFGEKAAIDGF RHVEVQIVGDGHGNIRHLWERECSVQRRFQKVVEFAPSSIRNREVVGRVIDAAMRMAK RVDYLSLGTFEFLVHSSKPEFYFLEVNPRLQVEHTITESLCPGLDLVKVQLQVAMGQS LEKLLSHIPRDPRIPPALNSMQLRITSEDASADWSLSIGKISSFTLPAGNGIRVDTHI VPGLIVKTDFDSLLAKVIITTSGWEDMIAKAKRALEDTNISGVTTTLDALRGIISHPD FEAQNCDTQWLEKTLPRVLEAGKTFTAALPKPIASSTTPSGVGFSTASTGVPFRKGDA WSISLSPEGAKNESPPAHLLLTKVLRNEFPTSLSATILFTPPSGTPQPYTISLASTTA SAGSLASGSKHRRGDASNPNHIIIPFPGQLVEIMVDVGDVIAKNDIIAVVKQMKMELE IRASRSGIVSWVYEGEEEDEVSEGVLVAEVVEEISNRAKL VE01_08829 MDNSFPVDNSTVENEYDRQDIQSIAHVADESECLIPATSEKASE RKRLSRACDRCRIRKVKDSPPTSQTAYASPLAPTGDCATRDSQIEAIIRNLDIAINEI DDSRRLESLLTDCVDSFFDNLYPLMPIIHRPDIDLAICQINDRQLQKEAPLLAILTGV CAVTIAVLPQKTNTVKAELAVYFYHASRATLNTYLNEDLENPTSTSIAIRYLHAEYNH TTGKLRSSWHSLGDAIRICQGMRLHNKTSYAHMNDVESEFCRRAFLLLFVGDKSASIL SNHPVVMGKFALDGDMIIPYARSLGKVDSLTCSALDPMEDENLDMLTGFNLNYELWSS VYTLLLEIELLRERFLVRPGSNPSQQHATLADISRLIELYLGFQTSLDALPSGLQSHP TFFNSTSSFGIQEKVGTNRGLKALAIQRVNLQISFQCLRMVVLHAMPALADSMSQLWS KRKPDSASATKIDPAVFESAKEIRLRTGESSALLLQKINIAETTKVCVVSASVCFPAE TEMGSLRYQVAAAPVTLTAQMFLLIKATSAP VE01_08830 MPCYQNPILPGFFPDPSIIRVDDTYYLINSSFQFFPGLPIHTSR DLINWELIGHAINRTSQLSLRNATTKVNSVERKEVFTGGLYAPTIRFHNGVFYIVCTN LSGSTVMRSNEDFQPQNFIITCKNLSDPDSFSDPILFDFYGIDPSLLFDDDGNVYMHG SFIHGYNKRPATVIRQAAIDLKTGRLISETRDIWEGSGGHVPEGPHIYKRDGFYWLLI AEGGTHRRHKVTMSRSKNVWGPYESYEHNPLTTGREGGIVTCVGHADLVEDTSGKWWA VMLARRDFGLCYPLGRETFMVSVDWPKGEFPRFEMAELNQRLSSRRVASKRRGFSTEY QVTISSPHSLYLRDPNLQDYKQGDNKKSIILHLREDELGTAGGNPTFIGQRQTSLDSI ALAEIDLTSAPTKGHCGLTVYKDSFRHVSLDIDLDKRQISLAVHHLGQNFSFVKDTLL QASSAVRVMIQSTKEAYRFSYRTVDASKWSAETELGQVSCSDMSGDDFTGTIYGIYAY GAGGDVKFNSFNLYQKL VE01_08831 MTLLKFIRTISIIGLFAGLATAAPFSVERTPDKTFALAKRATCT PVSAGNSGIDDSPAIRDAISSCGAGGTIVIPQGVTYAIRSSVDFKGCTGCIFNIEGTL KASNDLDYWYYHSEIFLMSGVKGATVQSLLGTGVIDGNGQNAYDIFATNSSLKRPALY SIKGGSTSIIIKNIHLKNPPGIFFYAGGGSSNIKFASLYLTAASKSSYAPKNTDGFDI WDASYVTITDTTVSNQDDCVAFKAGCNYVTVTNIKCTGSHGLSVGSLGKSYGAVDTVK NIYVDGADMVTSAKAVGIKIYPSGPNHGSAIVSNVTWANVVVDASDYAIQLGGCYGET DAYCASYPSTAQFTDINLINFSGKTSAHYEPTTSEVTCPAGACHVSFTGYSVLSPLGT SKVICTKNGGTITGVTCSSS VE01_08832 MSALTTILFIVLASASFFGAVSAHPSSVEKRGISRTSPPSGCLV VRGSGTKAGEYGTISSAVAVLSATATSCIFIYPGIYAESIYIKNRGPLTIYGSTTNSG NWKSNTVTITHGIGSYDAGSLDASSAMNVVSNDVNIYNVNFVNTYGTAGQAVALTANG ERQGYYGCSFKSYQDTLYAKAGYQYYSNCYIEGAVDYIFGDASAWFGECTMASSGGGS ITASSRTYDTDTAWYVIDHSTVTAASGASVTGIVFLGRPWRVFSRVIYQFSTLTNVVN AKGWAPMTDGATPIFEEWSNTGAGASTSSRVYYTAATAPVTKGQLWPLGYSWIDTSF VE01_08833 MRVFNAALLAFMAVTALASPGPNRPSVKCHPKQPSRPFPHSPPR NPKRICTVLAETADAGPSILAAARKCNHGGTVYFPPGKTYTIATVLDLTFLANIDFAI LGNIKFKDDLTYWQAHAFQYSFQSASLFWRFGGRDVNIYGLGTGSIDGLGDTWWAAWA TNSSVARPILFGTDGLHGATISGLKLINPPNWFNFISNSSEVIISDMNLSAISKNASV AVKNSDGWDTYRSSNIVIQNSVIHNTDDCVSFKPNSTEIIVQNLRCTGSHGISVGSLG QYQGEFDIVENIHVYNISMSNATDGARIKIWPGVAPGTVGSTVGGGAGRVKNITYEKY TNINNDQVIALTQCYATSNTTLCALYPSEIIVKDVIFNDFVGVMSKKYDPIAGYLICS V VE01_08834 MAPSKQVVYNWYISLLAAGCMVLMGYDSSVFNSVQGSDNWRVHF NNPNPNMIGLINTTYSVGGIICGWFFSGPLADWAGRRWAMAFGCAITVVATFIQCFAP YHNLGCFMAGRVLIGAGQAFAITAGPIYINEVTAANVRGKVMSFWQMFFSVGAFFAYW VNYACSKNKTRLGDWDWKIVVIFQLLLPIVIMVQLPFIPESPRWWIARHGNVDEARAS LKRVRTTDEEIEDELLSIREAIAYEQGAAPGKRQQYLSFWKDKSIRRRLCLAFLINIG QQLTGQGTLNAYSSTIYKSVFKDLDTVNLVNALNATFGIVFTLNATWTVDRYGRRFLF IVGACGMAMTTMLMAVVGLTTPNVDGTKTYPVGVGIATLAFLFAFFYKPSWGATTWIY TAEIFPTHVRAPAVGMSVQMQGVANTIFQQFFPIFYANEGLKSFFFFMTLNIMLAVGV FFLLPETKNVPLEQMDTLFGGVSHVDKGAEILEKHGDYVEEEKRV VE01_08835 MLLHSLFIFACSMALALGASLQQVPNFGSNPSNIRMYIYVPDRV AANPAVIVALHPCGGTASQWYSGTSLPSYADQNGFILIYPETPNMSNCWDVNNAASLT HRGGGDALGIVNMVTYTLQKYTANPARVFVMGFSSGGMMTNVLAGSYPDVFAAGSANS GTAFACFAGSASATPAGPNQTCAQGQIQHSSTEWGSFVRNSYPGYAGRRPRMLIWHGL DDTLVRPECAKQALAQWGDVLGVSFNRNVAGVPSSQFTQMVYGDGSQLQGFFAQGVGH APMADVGLMLKFFGI VE01_08836 MVSTTFIVRLWTALLLSVLCIGANAAAFPDAGEKNRPEKTQHNP LPIPTHAPKKWVHPGVYVHQQQLDYVSHKVAKGDQPWTEAFDSMLKYNYSSPTRTAQP YKVVQCGPTSTPNIGCYQEREDSMAAYMNALAYSVTKKKPYADKAIHYMDSWSSTIEG HNNSNAPLQAAWSAANWVRAGEIMRYSKGGWTSKGIKAFESMLRNAYLPIIADGDIKN NGNWDLVMMEASIGAAVFLEDRALYTASMSKFAGRVPAYIYLTSDGPLPVQGRGLNTT KDAIIKYWFKQATFPVSGITQETCRDFAHVSYGIASMAHVAETSRIQGEDLWKTELGT RVGAALELHAPFETGDKEIPQWLCNGTIGRSLDPVLDTPYNALANRMHQSMPFTKKLM LRQRPAEIGEPNPLFIGFETLTNADIPF VE01_08837 MTPKSTFASLLLLPAAVLAVPAALADPKCAPGGNFDLSFWSLQL PTGSSFTTIKSADLQGCNGYTDSNFSTDKSSGAIVLVAPGNPDLTGCTTSSGSVHCRT ELREVVSATGKNAAWSPKNTNTLTVSMTVVAADDGSHGTAIGQVFAADASKPLAEMYY SRQGEIVVGVKPDANSGQIVTKVGTVAVGTKFEYKLDYSKDVLTVTINGKATKLDTGG NWAPTCYFKTGNYNQGKSAASSKVVISAIKVSHS VE01_08838 MAHLLRVYTCLFFRGQSRHSSEEAENAKRISTEIKIVSGSVQGT RDSIEMRFAQVAASIAEAYSLAMETKMVLLPLLLLPLLLLSPLLLLTTTTTTTITAIS TPQPTLSRPPGRSLTFFRVVKTYPIEPNTRRMHTLPSHNTSCPHYPQCPELGCSYLPL MMVSC VE01_08839 MALHAPRSPLICPSTPSDPGPGPSCIPSIAVAAAAAAHNQAPQF MLSSLNLSDLSSDPLQQFRSWYISAQTSGVVSPETVCLSTASLPSGRVTSRYVYLKEM DGRGFVVYSNWGTSQKSKDVEANSWVSLAFWWREQERQVRIEGRVEKMSQEESQKYFD TRARGSKIGAWASRQSGRIDGREVLEGWVGEVEERFKGVDEIPCPEFWGGVRVVPERV EFWQGRESRLHDRFEYVRKGGEERGKEEGRKEEGKEEGKEEKEPEVGGEWDIARLSP VE01_08840 MRYTRDELEHLRDSPLVVRPVNLPPQEEYMGPPETDRKPNTRER RGSAGFSDQTSRRPGVDKLARGPGAPLDIILGPPKTSFASSTSARNARPSDAGERQQD QDPRDRHPFRKSDGESDRTRDNQRQNLRPRRSDADQDSDGWSTVKPRKSFGADGAERF NGRMGGERHRDDPPMPRRGSRDARDGERERPARGFETFTRDRDAIKEQVEEGDGARRG FGRGRTESWFKDKEESAAAAAAENRKSNGERLADRSRGWREKDTEKTNGRAHDRNADR NNDRERGGDRDDRGGGRWDRDNRRQERDPEWMDEPSDSKKRAHTQEDFQKWKESMNAG IMKTPAAEVPPSKSAPDAPGQSSFFGFDKPKAETPVVIDPGPDRFMSMWSTPKPEGAE TPLALKKEGPVKVAAVGKASRFTSFFAAPQEDTQRRQTEPAPPVPGLALKESNPQSDK EKEDFQKLLQKLQSQSLLGMKTPTPPVNLASQPKPPPQQKQMDIQLPPIDQFQQYRPG FQEPPRSGSRESQTQQAALQDLLSNRSTAVSQPSTRPEPMAHELANQRQNIASQGSTR PDQDINRNAAFLMGLMQAPRQPDQQLRQEQLMMRPPQSQPQRQQQQQHLSERDQDLLM REQRERAAQRQRQEMPPGFFDESFLGRGSHPQQQEPRPQSQQQQQQQPTQILQRPPPG LEQLPPGWQQQPRDQQQQQQLPQSMPRHPLQPPPGLAGGPSRGMPLPPMFPPGFAMQG YGPPPPEMNGGGAQRNVPPPPPPGFMGPPPGFMPPPMGFPGEMGYAPAFDGRGPPPPQ QGGFRR VE01_08841 MEDLESLEILSLVSKVTSELQNHLGISDKTLAEFVIAQHAECKT LDEFRSRLDAMGADFPGSLIESIDRLVRTMHPRYKGEGSTHRESTNGRNEGKDKVFKG LAIPDVEPPRVDMEADGADAIDDTMMLLEGLGGKARGEKPRETRKRTRSPEDDFEERR GRKGRYRSRSPEQNRNNVSRRMDKYEEEDEYGRSRKGHRSRRDGHESGRRRHGEDSLR NPPKQEIDDQPILYKVYEGHVTSVKDFGAFVNLHGVRGRVDGLVHISALLEGSRVNHP SDLVSRDQTVKVKVVKIENGKIGLSMKEVDQETGRDLAPQTRIESGANSEQLGGGGKR EYGNLLGDQGSSFGRDNAPPPRQKKRMTSPERWEVRQLIASGVVKASDYPDLDEDYNA ALNGEGEMELEEDIDIEIKDEEPPFLAGQTKQSLELSPIRVVKAPDGSLNRAAMSGAT LAKDRRELRQQEAQDKAAEEGAKVDLSAQWQDPMVAPEDRKFASEFRSAKQNPASEEV VPEWKRVTQSKDVPLGRRTNMTIKEQRDSLPIFRFRSELIKAVHDNSLLIVVGETGSG KTTQLTQYLAEAGFANNGIIGCTQPRRVAAMSVAKRVAEEVGCKLGEEVGYTIRFEDC TSPNTKIKYMTDGMLEREVLMDPDLKRYSVIMLDEAHERTISTDVLFALLKKTLKRRP DLKVIVTSATLDADKFSEYFNQCPIFSIPGRTFPVEIMYSREPESDYLDAALVTVMQI HLTEPPGDILLFLTGQEEIDTSCEILFERMKALGPTVPELIILPVYSALPTEMQSKIF DPAPPGSRKVVIATNIAETSITIDHVYYVIDPGFAKQNAYDPKLGMDSLVVTPISQAQ ARQRSGRAGRTGPGKCFRLYTEAAFQSEMLPTSIPEIQRQNLSHTILMLKAMGINDLL HFDFMDPPPTNTMLTALEELYALSALDDEGLLTRLGRKMADFPMEPALAKVLIASVDL ACADEILSIVAMLSLQSPFYRPKEKQAQADQKKAKFHDPAGDHLTLLNVYNAWKQANY ATPWCFENFIQARSMKRAKDVRDQLANIMTRYRHAIASCGRDTARVRRALCAGFFRSA ARKDPQEGYKTLIEGTPVSLHPSSALFGKQAEWVIYHTLVLTSKEYMHQTTVIEPKWL VEAAPTFFKVAGKDGLSKRRKAERIQPLYNKFAAEDDWRLSAQKRQGRGGGGGTWG VE01_08842 MKFQSTISLLSLALSSVVIAAPGSNVESDCAFPIGFSKHKNAKT VGRLFEIDGKVQYFAGTNAWWLAHLSSNKDVDISVTEMVATGYKVARVWGFGDVTELP PTTNTDPNKVYFQLLANGKGTINYGKDGIQRLDYVVHSAEKHGLKLVLPFFNNWGDYG GVAAYNRAFGGNATTWYTDAVSQKVYRNYIKTIVTRYRKSNAIFAWELGNEPRCKECP AGTITKWASEVSAYIKSLDNSHMVTLGDEGWLTPNSGVGDGSYAYSGVEGVDWVANLK IKTLDYATFHLYPESWSYEYTWGNQWIEEHDALAKKSGKPAILEEYGTPFPSNHTETE RPWQVTVEKSGIAADQIWQFGSNTLSVPGPTLGDVNTIYYDDEEYKLLGKQHAKIMAS KKVPKH VE01_08843 MGASAIQDQRDIIVGAIKNITRGDWKVLVVDTDSKRILDNVVKE DDILNENIANIEKIEDKRPPNPDMDAIYLLSPESHIVDCLLADFSRRRYKKSYLVWTA LLDPTIRRRIDNSAEAQQQLAGFETLSIDYFPRESRLVTFRDPWSFPILYHPACNNVV AAHMQTLAQKIAGVCISLGEYPIVRYYKPKAPTHEASVLCSHLARFVQDELDAYAKFH TNFPPPSNRQQGVLIVTDRSMDLVAPLIHEFTYQAMAHDLLPIREGDKIMYKTTVNQG EPGEEEKDMEIGENDDIWVRNRHTHMKDTIERLMGDFQKFISENPHFTNQSGDATSLN AIKDMLAGLPQFQNLKDAYSLHLSMAQECMNIFQNHKLPDIASVEQSLATGLDEDYRK PKNLADQVIRLLDDESISSPDRLRLIALYIIYSDGIVFEDIPRLIAHASLPSTNMETI TNLELLGARTTRPLKETRHPHAPLFPRKTAPTAANEDYALSRFEPALKLLLEEVARGP LDPALFPYTKPPIQSDYDQNANVNASLRSAKPTWAQNRRSVHESKQRVIVFMAGGATY SESRACYEITKNSNRDVMLVTSHMLTPALFLRQVTDLSVDRRQLGLPADQPKKMAPSH LFERPTPSPSPSPSPHGGLPAGPAGGRGGPSPRPPLPSSQSSGSRKPVEPPSGKMGSM SLNSGNGQNGGSKGASPVAQAGKLEKKSKYDEDGKEKKKRGFFSSKK VE01_08844 MHHLCLLYLSAVAVVYGAPQEEQNVLNPPHVDLGYAQYQGSRVA VGVDQYLGMRYAQPPLGDLRFRAPQDPLPSDDLQEASSFGPLCIGVSQRPSESLNEDC LFVNVFTPSNATRDSKLPVWVYIPGGGYAQNTDNNYNGTKVVQESQSNIVLVNFNYRV GALGFLAGEQVGRDGDLNVGLLDQRKLLYWVQKHIKQFGGDPHHVVLHGTSAGGGSIT HHLAAYGGRNDHLFVGAASQCAFWPPLTTVSEREWQFNRFLDDTGCSSSLSPMDCLRA ANLSTIAAANIVHPYPGARSESPLPNWTWLPVIDGNLVRGSLYEEFEMGHFIKVPMLI TSTNDEGTSFVSNAATSDDFSLFMKNNYPALTDGELDTLIATYPLRSPLPTKQPWFPS LAAAYGDATFSCPGNQLALSFSRFYSPWKVWRYRFNVQDPPQVAAGLGVPHAFDTDAI FGPGYAGNYPASFVGVNAEVVPVTMHYYISFVRALDPNRYKAGEAPVWQSWGVGRNQQ LRVETNGTVMEDVPENMTEVCGVLRSLTGPMRL VE01_08845 MIALRRDPDGIVAQRGSQLVKDGTQSFRISLVGHRKHCNQHIDQ LCRPDPLVKAMSGVSNKTIIYVQAWRVNSASSSSDAQVIIDQPTLIDLTAKVSNGQIT WTPPDNATWLLLSAWLRGTGQVPEDSPHTNGISYVYILTPDIHSALHDKDTAIMEDSL EVASNGYWMTKFPAEFLKRRGYSVADILPILLQKSSKYLFVFSDADLTRGARNDYWDT ISDLYVDYHIKLIKSWATSKGLEYRVQPYRAFPLDGIRAAALVDIPEGESLGFTSIDN YRALAGAAGLAGRNIISNELGAYAKSAYGTSWAKVLSTLNPQFAAGVNQSVLHGFSYL YAPGAVWPGFAAFTPTKGKAGYSESWGPRQPTWKHASDFTNYVAKMQFFLQQGVPKHD IAIFRPNGAVDNNYIAPLISPVPEQGDPGTDNGPTLTASAAKKILGYAEAGLPILFIG NWTDARAYGFGGLTGDSTAIVKSTMRKLLKLSNVVNVALEADIEAGIAKLGIKPAVEF PSGKMEAVALYQITMDGRLSIPLVLNSKQAKLVSIIPWSRNKPRYATSTTSQAIMYDS QSRLLTAISNVLLAMNLKTWTLNIDDWQPAEGSGTTGDITATKIVKHELSLTSLTAWS GIAEIQDVSGIGTYRTNFTLGTASTSLSSDMGAYIILSKFNGSFRIKINDQQLPPCDP FSLKYDIGAYVVNGTNTVEIDVASSLLSRMRVVFPDVYGGNERQAFGLVGVTIQPYAQ AVIV VE01_08846 MYLLASLLLPLAVSAASVQRQGNQNIGKQNDGNNQAAQATGIRV PTTTTLHASSPTIALDYCTVAPVAGNSTIGYYKYQNIRFAAAPTGDGRWAKPQWPPVE TEINTGYLADADVDCASTEDCLYMDVWAPANSAGKKLPVLVWTYGGGFTGGSKSETSP EGLFNLTTEFVYVAYNYRLGMTGIANGATLLHEGGISNIGIWDVQHAFEWTKKYIGAF GGDADQVTAVGFSAGASQVLFQTTRFGGHAEQNFAQAYVMSPGFVPGAGHHTSEMFWQ NVSTAVGCDGGHLDCMRSVDFTTLQDAASTVTSDYIYQFQPRVDGDIVADTYEAQLYQ GRFNFTGPMVITHEQHEANSQAYSGVDTTDDVAAYLRVFFPAITDDVVQKILDFYPES DYTSPGLRFADMKQSFDLTAHNLAATHAMNNQTWNAMIALDSATHGTDQSYYWYKSAS VITTTSNTTSTSSAAISTATSSNTTTTAGPGGAAGGGLGGGPGGSTSVNATIAVMMQK YLLSFVLTGNPNSKWPEDNPEWPLYNTTATGVQLVFNTTFYTDADDLATEKTLYWNQA LWY VE01_08847 MGDHDIESEGEKISSAPVSYSEKPTAETMEHSPITLTEEDNTRI RRKTDRVILSILTWVYFLQVLDKGVMGTGAVFGLREDTHMTGREYSLLGSIAPIAQLG WQPFSAWLIVKVPHRILMPSMILGWGIAETMTCLCHDFKTMMACRFFLGLFEAGCLPL FAIMTGQWYRRVEQPLRVSIWYSMNGTATMAAAALSYGLGHIHSSRLYSWQIIYLFCG LLTVVTAPVCYYFLDNDISVARFLTPMERLQGVERLRSNKSGDETVHEFKWPQVWEAA LDIKTWLWVVLAILPNLGSALPGVFGPLIIKGFGFDPYTTLLLNIPYGAMTLIVVILS CWVANRMKLKGIILMGFMIFPVIGCAMLYGLSREARMRPALLVAYYITSFLFAGNPIL LAWSVGNTAGQTKKSVTMAFYQAGTSAGALIGPLLFTADQAPEYHPAIGGVLGVFVAM MVLLGVQIANLMWLNKKQEKRRIANGKSGVIVDRSMTTNVNMDLKTQEVSGEREEVLD LTDKQNDEFVYVY VE01_08848 MPPFKAPVKDVLATASILPYKQFVLFGDSITQGAFDQSRGFALA AQLAHDYMRRLDVVNRGLNGYQTEQGLAIIDYVFPSPANSPKIEYLSLFFGANDSAFD DGASKQLVSIDRYRENLVSILSHPSVLAHNPRIILVTTPPVDEYQRPEVTRADGTVDR GRCAETSRAYAQVGKEVGEDLIAKGRPVVVCDLWTAMMARAGWTGEGVLAGSLKTGKN PALAEMLYDGLHFNPAGYKVLYEEMCKAMAKAWPDSDPESIEKHFPEHAAWF VE01_08849 MTLIRSTLPVTESVPVQSHDSISYDIAKAIIFDNIDSHDADLQG INHKIHANPELCFEEFQAHDNLADFLESHNFTVTRHAHGHPTAFSAEFGSGGRVLTFC AEYDALPGIGHACGHNLIAVTSVASFLGIAAALAASSIPGRVHLLRTPAEEGGGGKIK LIDAGAFSDVDASMMIHPVGKDAVPAGTAGVAYGTCLTGQIGNVEFTGKAAHCGTAPW EGINALDAATLAYSATGMLRQQMRPENRSGIVIKEGGQKSNITTPHTTIEYSIRTRTL KEAKSIKTRVENCFRGAALATACEVVFKDAMGVYADLRSNETLCNEFTSAMSELGELY HSNIASNTAASFGTDMGNVSHVVPTFHGLFAIAAAKGEANHTPDFKRIAISNEAYKSA INAAKGMAITGWKFLADDSVAESILLDFERLSQL VE01_08850 MSIPTPKPRKRRLSLSEIDESPAIDAAIDIFQKSQSSIMVSLPE TGCFDTMVQRVEETMALVDKRGISLAHKAKRRRAIAERLSERKHGVENNPLFGEIGRP KNPPLTLSSDQTTTKNCPTCRIQKQTQLLPPKAKRDTTTQNISPMLAAMISEVEAQSQ NNKNMSEENSEDEDSKPEILVVEKEYSPLKIRIVKAPERNGGYIIAKSGGKDWMLELG MRLEKEEKERKERDEEEGCEVVG VE01_08851 MISSLQGRRPQVPQQQIPPPMNQQPNRPMGVMPQQIRPQYDAAS QHPVFFTSRMVKLPNGVAGAGASPVAMGYQFEEGRKDGGVIGGKQKL VE01_08852 MSTAGFESRMEDVKPSKRYVKILLLSVHSTFISWASYDEFQSTG NLSEKFRMWKTYKLFLKTALNYTDLNVLILDYLTAEGYPTAAERFSKEANLNPAKQQD SVILRNRIQHDIHLGSIQNAIEAINELNPQILDSDVSLHFALLRLQLIELIRESSLTP GGSIGPALTFATTQLAPKAPNNPAFLEDLERTMALLIFPPDQLEPQLAELLHPDLRKK VADRVNEAILASQGQRRNAAIRNLVKLRSWAEGASKDAKITLPEQLDLALGGDVPGAD RAVQ VE01_08853 MLRSPRIASLVSPNNAAILRARALRQLPSARGRAIQYASQVSRG PHTLRKRPQVAGALRSSNWRHLSHTPILLSPGKQVLKIRSRTLNFVYRAAAWLGISVT VASAGIISFFLYDASTYREDLSHRDIQISDLALNPKLGGPKNLPIAEVLMDDEDDADM ERQQNKPRLVILGGGWGSVALIKELRVEDYHITLISPTNYFLFTPMLPSATVGTLELR SLAEPIRRIVSDIKGHFIKARAEGVEFSEKLVEVSQVGADGKRTHFYLPYDKLVIGVG STTNPYGVKGLDNCNFLKDLDDARKIKHKILNNLEAASLPTTSEEERKRLLSFVVCGG GPTGVEFAAELFDLLNEDLTQYFPRLIRNEVSVHLIQSRGHILNTYDEAVSKYAEDRF SRDQVQVLTNSRVKEVRPDKILFTQQDENGETITKELPMGFCLWSTGVSQTDFSKNVA QTLGDNQKNKRALETDSHLRLIGAPLGDVYAIGDCSTVQNNVAENLVSFLNNLAYEKG KDPKTMHIEFDEWIKVGRRIRRQFPQASEHLKRLDKLFEAYDKDQSGTLEFGEMRELL MQIDKTLTSLPATAQRAHQQGQYLAHKFNKMARAAPGLTMNEVRDGDLDDAVYKSFKY HHLGSLAYLGNSAVFDLGGGWNVLGGLWAVYAWRSVYFAQSVSIRTRLLLAMDWAKRA LFGRDMMTF VE01_08854 MNSILAERAYLLSSLQREDVRATALLTVIADLNARMASGPPTRG KERKLIRRDLEAKRHAADVSVRQEKRILQRLGEVTLVIQQRERWWRVERERGMGGGFG AEARVEGGMGRNAWGGWNGADVVSWNGQQVQYEGQLQGYYGGQGWVQQVSPPGYVMVT PPASTEGGGIIGCNDWQFPVPVDSSSDWNMSRISGADAMEHKPVRVARSDSMVEVAAG RRRAQSSMSMPDLGSSSWGGDCPQYLGYYSPGCSPEMTGGSVN VE01_08855 MDLIDPTTSTRLPPDSIQRILFLSPTVHVYNPPPPASSKGHIAA SWTSPVSRQIFTARLRILETAIPTPQGGEKLKADILLEDPKTGELFAAAPYTTPAVVE QVADSSRFFAVRVQDPSGRKAGLGIGFEERSDAFDFSVALQEIRKTLGLDVVAGDPRR PAKKDADASKVERDFSLKEGQTITVNLGGKTGKGRRSLGASSSGGGSESSSGGGFSLP PPPNAQAGFLPPPPSAQDVKAQKRLSQTQTPPAPGSIGDLGFDDGEFGEFQ VE01_08856 MEQSGTERHTPPTTQPSQGLSSGEDIEDERPPRGGLFVTPDPDD DIRMQGHTEEPINHPQVPNPHLNGHDPNQRIRLAQEAMRREILLKRKSGTESIFDGSG RVKIQRSNPSTGSFHGEIPFTENADHNEEDHSWMDADNDGSSTDEREALLRNMNALEE ARERNNGELTGSQQLEYLQASQRLHTASRRLQKGKSSSGLSIGTADMHVDPMSDDDDA GIYPEMAEGLPDFTSQSEPLLVTAGGQAKPPRKKTVKRTARDVHSSKQAAKTEAAGNR GRAPKKSRKQPGTVPTGVHKTTYKSRMRNKWPEVDDTVQKLLASLIQSDTIKERMEQG DVEEGPDIITNNKASQLRELLSSIPRDYDANRAKNERNTLNEASKSFGHGRVKAKNGK WLLVGMKTPLYHHQLLAADWMVRRELSLDRPHGGLLADAMGLGKTVSTLATMVGNPPA EEDIAEMRKATLIVVPASLLSQWEAEIKVHVDEKIFQKVMPYKSSSRISTNILSDCDI VLTSFTEVANSWPFPSSVEDKADASLLGEDEWANNRDSLKGDLQRVKWYRIVLDEAQA IKNYRSRTSIACHKLDSTYRWALSGTPVLNSLNELYPYFRFLRMNWASTFPVFKKNFG DPDANDSTKRLNVMLSVIMMRRTIGSTILGRPLVQLPPIHPSLQILNLSGVERAIYRT LEDRFRGMMNNHFKAGTAEKNYGLYLTQLLRLRQAASHPFLLERCIKDLFDAEDLLGL KLRLKRLKKDKRPIYEQIELWTSKPATNNEPKQSGDSVSFGRSDFGNKFDFEGFLSEA DHEKIYARIVCILCSDLPQDPVKTDCGHIFCRACLEGNIHAQAATLEFDYTACPKCEK IFEHYEPWRNPDSRGSDDGAGSERSDPSSGQPTTQTFRRKDANYKPHIKDSEWLKTCI ENPKKLLPSAKTIALKAQILHWVHEAPDDKILVFTQFRMMTRIVGLLCEKERWGHVYF TGDMNMKQRTHAVEQFHTDKKIKIMIAVLKCGGVGLNLKCANRCITIDPWWNHSVEQQ AFGRIFRIGQMKETHVARFVVKNTVDMRILNMQKEKMAEIDGVMIEAGKPLDPLSIEE MASLFGHLVKGDDGITQVVADYESEAESDGDYEDEGQVAEEIAE VE01_08857 MIIPLIAEAIFNGISFDIDYWNILKIVALVAVIVLVKLYCRGAT NLAERQLHSKVVIVTGGTTGIGAETTLGLAQRGAQIVLLTRQPASDPFIVDYIEDLRT RTNNELIYAEQVDLASTHSIRKFATKWIDNAPPRRLDMIVLCAASQTPPGKPMALTNE GIEETWMVNYLANFHLLSILSPAIRAQPPDRDVRILFTTCSSYISSPVLEDGEEALDA KKWSPGKAYARSKLALMVFGQAFQKHLDAYKRPDGLPMNARVVFVDPGYSRTSGMRRW LSRGTLWGLALYVLLWHQAWLFLKSAEQGAQSLLYAAMDVTLGRGNGGKLIKECIEVD LARKDVRDEETAKKLWQASEKLIERVEREEAVKRALKKKEMAEQEVAQEDVGKGSGTD AGNVAEKTASGNKKSKSKKSKKAAA VE01_08858 MKSFKLALTVTAVCGFLVSAAPAAVCEDGLVSIEGGSQHAARCV PIQAFRQVSVREDNEEANVIEPRGGHKDDHDDECRDHKDRDDCKRRKKDKHRKKKGKK GRKGKKGKKEKCEKEPKHPDCRDEHDRDHDRDHDRDHDDHKHDDCHEDSKEERCRHRN EHHDDRHGDRHGDRKGDRHGHRKGGRKGHHEGHHDGHHEGDHKGDHHGEDCPEEFRGR PECPWFKKHDECEREPHHPHCKKDKKEH VE01_08859 MASQDSPSQKAHYSPPWNNVTIIGIAGSSGSGKSSLSRAILSAL NLPWVAIVGLDSFYKPLNEEDHAKAHRNELDLDAPEAIDFDLLVELLQDLKHGRRAEI PIYSFNNHQRESKTTSLYSPHVLVLEGILALHDPRVLELLDMKIFCEADADTCLSRRL LRDIAERGRDAEGCIKQWFAFVKPNFERFVEPQRKVADIIVPRGIENTVAITMVTQYI ERKLIEKSKAHRAELKKLGSNCENEPLASSVAVLEQTSQLKGISTIIQDMDTSPEDFI FYFDRIATLLIEHALNNTNFGVKTIETPTKHVYNGYQRNGEPSAVVILRAGAAFETGL KRVIPDCKTGRILIQSNIRTGEPELHYQKLPIGIQTQDCVLLLDPQMSSGGAALMSVQ VLLDHGVAEERIVFVTYFAGKMGLNRLTKVFPLVKVVVCEIVADYEPRWIEKRYFRC VE01_08860 MAPSWLALPRPRSFLFITSLRFGAEMISLSLLFNKLAGFYGILA LVTGYRLSFLQFSMYLYSLIALVILITLMPHIRKSSPLQCLSLAYFYLIDTILNGAYT AVFAGTWFLTLSAAAKDAEIDAPGSETMNDTAGFTGSDASGITDAAAAAGGSPSLASG AAISESVPSILIIVFLTLVRIYFALVLFSFARQVLRQYLSAKSSQRPHLHMDGADDKL ETPFAEGAPLGAGWKGKLGRAMTAIGTNWWVGGRAEDEEWARSANQKFVSNNVLPGTS LREQRARSGTGPPPMRIQTQGPM VE01_08861 MPIIKRAAAAPEAPQQHNQKSRKGKKAWRKNVDVTEIDAGLEAA RDEVIKGGIISEKKSDDLFMLDVDGDASIAKKFLKASKPLKADEIIAQRSAVPSVSMR KRPGDKTTNGIADAKRQRTSYVSHKELTRLRNIMAGKGTQSVVEVTDPAFDPWSEAAD AQALVVDERFTFLPKAQKKVAPSTLKQKPISLAASGKAVPAVSAPAGGYSYNPVYTEY EQRLIAAGDKELEAEKKRLATTEAERVRAEASAKSAAEAVAAEARAELSEWDEESAWE GLESGAEDTRVSAKRPERKTQQQRNKIQRRKEEERKQKMLANNKKRNEQAQHIKKIAK SVEEAEEARAMALAVQAENADDESEGEDLELRRRKLGKLPLPEKELELVLPDELTESL RLLKPEGNALKERYRSLLVRGKVEGRKRISFAKQAKRKVTEKWTHKDFMLH VE01_08862 MAQAPGSSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNMY QATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAKS FQNTRKWVDDVRGERGNDVIIVLVGNKTDLNDKREVTTQQGEEEAKKNNLMFIETSAK VGHNVKNLFRRIAQALPGMEGADSAAAAGNQMIDVNINNSNQSQEGCAC VE01_08863 MAAPLSLRTPEEAVARIAYLSSDVIVSVQPAQGVQSGFSTHLQT YADNKDQGVVAASESRIPEIQTVRQNADPLLSVFAPIRSGKLTSVTTTSSILVSSVPY LYKLANYPVVIHVALQPASHPDYSSITSIRNSGFTFLQSASLQEAQDIALTAHALAIK SGKGVIHFFDALASENDDAVTAEDASVVEQVLDLAAVRAFQGSNVGGTSVYADDGKIA RVSEAPVEPLDTAGAPVQKQLAPPAEAAATPSKASSVGSTKSRQSSVDSRPSVSSATT VDASVKRTSSQDIYDIVTAIWSQIKDATGREYTPFQYTGPANAETAIFIFGSDAGIFA ESIDSAKSDEIYAKAGIVTARLYRPWLGAKLVEVVPKSVKRLAVLEQIRKKTTKWGPI FLDILTTLKSGPGEGAKTIVGHQLGHITPDTVSQALRGIFQNLTSEAPIQNLEVGTTE EQADFAEEQELEQPAVETAYMKILNQVFGQKLHVANALGSNNAGVSETIAANPEFGFG SLLARHEHRQRFITEVSDAAVSKEFITEAPKKFLSKWALGADDEKLTTEITPEIISRL ETDGSPLATKLLSNKSLFRKESSWLVGSDAWAYDLGNSGVHHVIASGKNVNMLIIDST PYSERAAADATRRKKDIGLYAMNFGNAYVASVAVYSSYTQVLQAMIEADKFDGPSVVM AYLPYHKESDSPLTVLQETKKAVDLGYWPLYRWDPTAEDRNEPNFSLDSERIKNELKD FLARDNHLTQLMKRRPEFAANISEDYGSEVREAQKRKAKDAYNALLEGLFGAPLTILY ASDNGNATTLAKRLGNRGKARGLKTMVMSMGDYPIEDLSAEENIVFLTSTAGQGEFPQ DGHAFWGAIKDNTELDLASVNFSVFALGDSHYWPRKEDKVYYNKPGKDLFRVMGDLGA KPLADIGLGDDQDPDGFQTGYAPWEAQLWQTLGVDKVEGLADEPPPITNEDIKIESNF LRGTIAEGLKDTTTGAISASDSQLTKFHGTYMQDDRDLRDERKAAGLEPAYSFMIRCR LPSGVATPSQWVQMDQLSSDFGNETMKLTTRQTFQFHGVVKGKLKGAMRAINKALMTS IAACGDINRNLMCSSSPAHSEYHKEVHECSVRIDHHLLPSTTAYHEIWLKDEDDNKTQ IAGNAVQDFEPLYGPTYLPRKFKITIATPPNNDTDVYAHDVGLIAIKGEDGHLAGFNV LVGGGMGVTHNNKKTYPRTGSMMGYCSKEDVHIVCEKVMLVQRDNGDRKNRKHARLKY TVDDMGVDVFRSKVEEIWGKKFDEQRPFKFVSNVDTYGWIKDEHGLNHFTFFIENGRI EDTADFPMRTGLREIAKVHKGEFRLTGNQHLIISNVKDEDKPKIVEMMVKYKLDNIQF SGLRLSSSACVAFPTCGLAMAESERYLPVLISKLEQTLEDNGLKQESIVMRMTGCPNG CARPWLAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILAIMIPLIKRYAQ EREEGERFGDFVIRVGVIKATTEGKTFHDDVAEEESDEE VE01_08864 MATEASPKNIVVAPPIAESEQSQESAATEDVSANPFTVKDGIEV ERTATPLLDAADVAVTSADVSVNGGSDSEAVKSKGTVEGHVRTSSTVKKPISFKAVSV NKTFLAAKGATGPAPPKAGDKGTISSTTSSATASATLVSKPRLVAKSGNNLISASRTA AAGAGSKSGAAPDASAVWNKNRPAPIPEPKRVTDEELAQKYGIHLATRLQSDDPGRQA NWADIDDDDDDWAPQSIEWSDGTKISMPQQVEDLVPELPKPATPIAVNEVEKTASPSL PVASTMKLGSMAGGTGRGLVLKGASEKPTLVAKPPALPVPAKSPWAPLPPMDKVAPIV TDIPSQPQQVPRFGTRDPHGFDGMPSPAKEIAADDFSRSWRDGNAGASKELYNSQSGR YEPVNDGRRGSRNEPHPRQLALLQRHQETQGPAEPSAAFQTHRANLQDPSYGRRRASS NVSGGSGNYARRLSRGQESYPPQDTFGARRGSLVAVSDEPLHSPSGHPSQRANQQQQW QSRASPVVSHASPASALAQPAVSSTPGIPLEDEVELQKKIMRESRELARKRRLDEEAR EEAERKERIRLKLEAMGPPPESKKPKKDTPKDEKATPIQIQAREPATKSTVPPKLPIG ESTGEVKQYGMMKVHPPEPVKAAAAPIVEPLSKEKAGDEVNINGIIPEVSSRGSLDAQ VPPTQPQSWHSSQNAAPDRGFPPWSNPASHPAQGRSVWGPPSNDRTLGNGTFNPELSV QSSQIAPVGPGPIGPPTSSRTNGQFQGRGRDHYAQRPAPIAPPSRHQEHRPAMASGWT SGNIQSRLAEDDARILAEQEIAQASRSDDVVAPIKDTWRQVTLSSDGQRQEANIPSHP IVPGASPSGPPTWSDYSVRPRHDETSQYQPMEQGALWPQGHANETPSTSTSGALPPSR GSRFFPQVRELPRDAMAEERQYARPGSPSPPPPTMAGHPAYDGDVARPHVSLPRPPPV VKLPPAPVLAPIAPPKPASFAAAAAAAGPTGPISTSPLLPRSQAINTQTANQKSQSPA AGGWQDKINSLMGRRTSPPKSHALAVDSSSKHALDQSISQIPAATVSLPGIPAALDEY NMYETKPMAEECFEEQEMGYVPTVKVPNKAPDAAFFLAAPQVKPLPRKFVVSDVTSAN SLNFSPQLNNEGEIVLILLPGMEANKRVTIAHKSEPRQKSNPRRSGQGRGNSQRHGPS PHNRGGRSRDTSSTFPAASTEQGSPSTTQGTSTPNRGRGRGGLGNNWAHRSTTTPPNA INV VE01_08865 MSFVTRRALSTLIPPKVASPSGLGAAQDAARMQRVVSFYEKLPR GAAPEVKAKGIWGRYQAKYFGKNPSGAPIVHAIVAVMAIGYAQNYYFHLRHHKNNAH VE01_08866 MSLLRPSIVGRLLKAASPVAHRPAPTFARYSTQTAIGTPITESE SKPTQSSNAPVQRTDTKIRHSNPDWNVEVDQATSTYSPVPKRVMDGSEEGEVLPAAVL SGAPIELQARTVRIYRPTKTATQSGDWHQHHWRMDWDILPKGHRWENPLMGWQSSGDF MQGTNVTFKTREDAVAFAEKQGYEYFVQEPTERKRLPKAYANNFLYTDKKLKHIRTK VE01_08867 MPPPKTQINLLRGWPSPSLHPTPALLSAAQHALTQPSISIPALQ YGPDEGYEPLRLAVSSWLASFYSVPDDPARICISGGASQNLAAALQVFSDPNITKRVW MVAPCYYLACRIFEDAGFTGKLRAVREDDEGIDVDALERELKSLENEEPRGPPLKPCR PWNKIYSHIIYCVPTYSNPSGKTMSLRRRKELVDLARTYNALIITDDVYDFLGWPTND QAQPTLELKALLPRLSDIDRALPPHTSDPKHFGNTLSNGSFSKIVGPGVRTGWADSTA ALSYGLSQCGSSRSGGCGSQLVATFITQILENGSLQKHIAEKLIPGYQHRWTTMINAI ERVLVPLGVKVNRSSLEGKGVFGGYFIWLHLPDGVTAKATAAASKEEENLVVSEGELF EVHGDESAVNLGQWLRVCFAWEELETLEEGIDRLGRVVKKLQGI VE01_08868 MSDFDLNSGRIAPPMSGSIRSSSVRPRGPPSESLAPQSDDEGFA DDQVPTGIARPRNIHDRPIPRVEDKVGLSVQSNFETFLEKFEEEPSPSAAPVSSNATA GNYYYIAQIHGLRIYQLSTLYIDYNHMKQFSGNLADAIVNDYYRFLPFLTKGLHNLIA KYESRYFKEHRQPTSSSNQASSAAGNVASESLSDFQGDKTSNQQTDKLFAIAFYNLSH VDRVRSLRTAHIGQLLSISGTITRTSEVRPELSLATFTCEACRMVVPNIEQTFRYTEP TQCPNQNCGNRQGWRLDIRQSTFVDWQKVRVQENSSEIPTGSMPRTLDVILRGEIVDR AKAGEKCIFTGALIVVPDVSQLGLPGVRPTAVRDDKNAPRSGDAGGTGVTGLKALGVR DLTYRLAFLACMVTPDTSTPGSVTSQQLYGQASNILASLNQTAPIDPNESGDLAQEAV LASMTDAEIAELREMVHSGHIYSRLVDSIAPMVYGHTIVKKGLLLQLLSGVSKSTPEG MQLRGDINICIVGDPSTSKSQFLKYICSFLPRAVYTSGKASSAAGLTAAVVKDEETGE FTIEAGALMLADNGICAIDEFDKMDISDQVAIHEAMEQQTISIAKAGIQATLNARTSI LAAANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNEATDRHLAEHIVKIHQ FRDEAVEPEFTTEQLQRYIRFARTFKPEFNDEARELLVQKYKELRSDDAQGGIGRNSY RITVRQLESLIRLSEAIAKANCVGEVTAAFVTEAFDLLRQSIISVEKDDVEVDDEEED LAGTLGGPTDVEGDAAMEDADGREAGTDGQRPKTKITHDKYLKILKMIARRIEEEELA TGNGVEGEVLIRWYLDEIEDEMDNEEDFHYEMNIARKVLKKLIRENTLMAVRGDGLLP EDGEDDSAPADQVAYVMHPNYASDEIELKQSKR VE01_08869 MASDYGTYLAANILNEDRIVTYRLLSRALKVHVNIAKEMLFDFH QQQNRKKPGTVHASYLIAGKKLPTDQPKAPNVDGADGEAGYMQSSPPFRSTPQDGALE SNGDIPTLSVTLVREGDLEQARKNYESISSIHVYCLGPSLMKDLEALSDANREIVEKY RDQDPLEAASTYGTVINKTVRRRAGHRVATSAAVGPPPALKATTSTLKTEPKTEPKTE PKAGISEPKPDPAISSTSSTKASKGFFGKTVPKGDAPATKDIPTQKNTASLKREGSGG IFASFAKAKKPAVKPEAVDVSEDSPMKDASDDEEETYVPPPSKPKKDVEENRESRKAR EAALLQMMEEDGEEEEPSLSTVPQDSSEKEDVESVTPDVLPTASQEQQEHMEVSGGRR RGRRRIVKKVTTKDEEGYLVTKEEPGWESFSEDEPVVKAKSSVPSSSSGPKGKKPAGK PGQGNIMAFFAKKT VE01_08870 MQLFALPAAILWAILSEPSTATHFDTFSQKTSATTSSVADDYKE ACPDYVKYSTYPHRPLSEGVMQLPFQRPSTQCRTFTSPHVEKIIGDITSKMVDKDLAR LFENAFPNTLDTTVRWHVDGIDKLKHNEFKAWHKTKGDGAWEGAQSFIVTGDINAEWL RDSTNQLLQYQPLALKDRKIFNLILGAINTQAEYVIQSPYCNAFQPPPPSKLAATQNG QDDAVHPAFEPGVVFECKYELDSLAHFLALSNTFHKFTRSTDFLTPRWYEALDALMGV IEEQSQSTFNPTSGQFEPNKYRFSRKTTQGTETLPLSGQGNPLNHGTGLVRSAFRPSD DATILGFYIPANAMMAVELKRTAAILRKAGKGRQAQVIEKRGQAIADGVWEHGVVKHK KYGEVFAYEVDGYGSQIIMDDANVPSLLALPILGFVDVTNTVYQNTRKMLLERSGNPY YLEGRAFNGIGGPHIGLENAWPMSLLLQVMTTDNDEEIKESLALVLKASRLGLVHESI HVNRIRDYTRSWFAWANSVFAQTIIDLAERKPELIFGPGAQPYTVS VE01_08871 MTASSKAQDSAVGSPAPKQKSKATVDTLRAGCIAMVEKDGQARR AEILSIKETKSGRHFYCNFDNFNKRLDEWVPTSRIDFDQDVEWPSPEKTKEGKKAVAA SKSQPSKATKAPPKKGQKRTAATREASEAVSEGGSQKWVEFAEGRKDGKGTPDAFGDE KMVMALDLDQTADSEADEMDVDELQHDTAVAKTEGREDFSREEELSRLRTGGSMTQNQ AEISRIRNISKVQFGKFDLHPWYFSPYPEDFAHEDLMYICEFCLGYFGDIKSFSRHRS KCTLLHPPGNEIYRDDYISFFEIDGKRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFY VMTTRDEKGCHLVGYFSKEKESADGYNVACILTLPQYQRKGYGRVLIQFSYELSKIEG KLGSPEKPLSDLGLLSYRQYWTENIMEILVESNERDDKCSIESVAAQLAMTLGDVESM LRALEILAYHKGEHKLIVPSGLIERYRAAKEKEKSKPKRIIDPARIQWKPPVFSAASR TWGW VE01_08872 MAPELSSNWKFLQAKLKQDPANDKKLKAPPTQRQLNTTAKRRRQ EAKQLLGGAPSLPRPATKRNKMSINGQPPTASLALWAEDNEISAKDLAAAYGDGVKNG IMYGAEEDKVNEGLAEDVEIGQYVAMDCEMVGVGGEEDRSVLARVSIVNYNGAQVYDS FVRPKEFVTDWRTKVSGVSPKNMPTARSFEDVQASVAEILKDSVLVGHAIKNDLDVLM IGHPKKDIRDTSRFIGFRKYASGRTPSLKKLASEVLGVQIQSGAHSSVEDARATMLLF RRFKQQFDLEHAKRFPQRVRVEGSGAKSGKKNKGKK VE01_08873 MLSIRKSSKHRGKCTPNALPCRINHNGRAEVSKRYWTPTKRNDD KTVSYFRGRQLYGRTVKIPPQCKGVVLSTTETPQAAQALPGSHSYTSDSHEKEEAEAE GMMEELADFDEFVIWGHVALPDETTDAYIRAIEEYTAFAEVVHTVRAKAEKK VE01_08874 MSTIGPQDTTFDVPDPTDWKGTALQGFAAVESPMRCQVCKEFMT TPMMTSCGHTFCSLCIRRCLANDGLCPACRTPDQELKLRANKSMGEVIDSFKQIRGPA LEIARMPPALSDSVSQKRKRDASISNSQDLEKRRTRSSARIASQEPFESPMEATYIEQ SDGVNAPPSDHPSSKPNNVSNPSITTNFRSQRPTFEPKPLPTLPYSLFTDTSLRKKLK DLGISSIGNRPLLERRHREWVTLWNSNCDSSRPRSKAELLRDLETWEKTQVSQGTPKS YTLVGGGLPIKSKGFDGAAWSSKHGSEFRSLIEEAERTRRQKSTGGATSTSEPIGVVI DLSGDSFNDETKECAD VE01_08875 MGELFEFLTTHEQFRRARLPALYSDFRALQSLNPDGFAANVEAW KKGLASALREGCVPASGDLLVLRVDESLMHGLETREWGRPLALGAVLRDATGKKEMMP LKSFMGAQESIYQRSWSSTPWQAVSWGLRQFGLMDRYGDSDKVSVEELVVLTNVEAAT REVLRRMGNHTSRVDMIYSKQMFYRDYGDVLTDKRHISQRDLEVLLTYISRDKGLADY DGQTIKFKSHSDAQPPKITHEDSSIASLKNLMHDIEKQLEKLAARIDNLSAAARDAVS RKNRVSAIASLRSKKLAESQLAKQSAVLGQLEEVYSKISEAADQVELMRIMEGSTGVL KALHKEIGGVERVDDVLAQLGEQMAQVDEVGNIITEANGGVVDESEVDDELEALELED RDKKKEAERLSNERKEEEEAAETKRRLGALETEVEPQVSRSKQTTEGQVEESSKLLQQ LSL VE01_08876 MTSINDGAPHGQSPSLAATSPQARLPPTRDNTDLQSPASSISSL PYRPNAAASVLFAATTPPGSRPLSPSAGITTPKIPSGSIFGGGTPHEGAPHDRPASDG DDPRPLIAHAFVPHIAVFPSKDTDDLIGEKGFSGGLWQLLRPFGDHVQGKVIIRDSIG ASRVCEDFSVRFMQLGSGYERPVHSENLKSSTAQLSKQNEQHITEDQFTATGGNIEAV ENVVDLHLEYAENFPGVGYQDHLAGRDLPTPESELSPFYSLYLRRLLSGMPLAPHETF SHPVACIIAISSRNPNPIEELRRLYEEATRGEKRLPSWVNGEYLRYYVLVHDEERDDI GKAMSLFDQMKRHFGLHCHLLRLRSSRCVATDDDSIPLPTCEWMSATEELLDIQTRED QDGLDITPPCIFESDATAITTFIREMVTQSVVPSMERCISTWNDQVASRRRGISGRFM SLSKKWTVFGNSSKSSSGGPGAGGSGNNYDSVHGFYQPDTPESIMRKLADYAFMLRDW KLAQSVYELLRSDFNNDKAWKYYAAASEMAAVSTLLASQAMSSKVRIESVDQMLEASC YSYLTRCNSPYGALRCLILSMELLKIRGESASEAAAKWGTKIIESNILGATGDALMKE RVATCYKSRKGTGSGGWGSRSRKSALWDILAADKWLILGKYVQAQKLLGRVRNVYDIS ASKKGISGFIAANEFLIGLEQDLKRASLCGEGQITQEVSEDIETETFEGSETLHARTH RNSLIGATMPTMGSLETAPLHMVQEDTGGKGVKEDDFS VE01_08877 MASASIGQANLRPEDVVATARITLTPQESKLRNLLLDVARYIDE SKEIKERLELRFAGGWVRDKLLAIPSNDIDTAINSMTGYAFSLKMKEYLDVPENRLKH GIENVSSLHKIAANPEKSKHLETVTTKLLGYDLDFVNLRKETYTVDSRNPQMEFGTAR EDALRRDATINALFYNIHTDEVEDFAGGLADLDARLIRTPLEPHQTFVDDPLRVLRLI RFASRLNFRIDTDSELSMGDPAILDALKLKISRERVGVELEKMMNDKNTRTAFDLIDR LGLYTTIFTNPTAERFPQVDTSNWKNAYNCLDLIKSNESPASIYKLLVRSDESEAVAW VLAALSPWALLGSPPRPPGGKLPLPYATFAAREGIKSNNKVCDVVTGASRHFREIIDL KAAVLAKESHVYERDTIGMTIRRWDANGGQWKLHVVLAILVESMGTDVTAGYDTFLQQ WQKFLDHIIDLDLLEAATCKRIIDGKQLSKELKAKPGIWMTAALDLVMAWQFRNPTET DPRGAIEEVLRKKEELKIPII VE01_08878 MTLLFSAAQKIVTEQVNKGVTIDDVGTLVVPIDALPPKYSIRQL NDGLIQLPVSSQAEKTAKAAILERCLQSRERLSLGGDESVATRELIAWLIETIRPDGA CFLDASFDEANSPEIEKAREEWRFTSIFALNSMKLLISYGYISEASTMPEVLLSLLAF THLDDTWNSKPAYEVSKDALDHQSQEVHTGTFIVDYVLKGFIRPLFAKSTPQTITSQG RKAPIENLGNRIIEVASSPDAISKPWKCRDIHAVTVFKWVVTTADEGLISNNWHLFVP PLMTLLDDPMTSVRASGLTILSEFLKKTSPRMLVQTGLSDLLEEALMPTLSFLPTLTP VAESQLLLKKAYSALLELGDIRYASKDNKPERNRFYDRLMREGVFYGIHHSGDITIIL ELLLAEMSEIINRLHIYSVKHAKDILPLLSAVLVDPFAPSNPALLLRGIKTVQTTILN CWPILSEEHHRVQIVKALSICWINLTEEIMNSASENVKHELGQLKQELQISAALLFKS TVGAAGQETALTDVVKVYPDLSNLFKLE VE01_08879 MIGASDDTGEESRGRSSRSSGHRGRQDSEGQFQDETRQRAQPTD DTFGSTPAMHPLPSSASNYFAAGDPPTEPILSPLHNYVPKHVEDASQPSSTKSAQDSG LHDQPAISGSSQSSAGPSIDQKKGKGAVHKKASDQGGCKMHKFTVYETATRYFIVGAD IMDQRFRILKIDRNSDVGNLSVAEDDMVYTKKEMSELLSAVGDGNKSTGGMKLKCSTW GLLGFVRFTGTYYMLLITKRSQVAMIGGHYVYQIDGTELVPLVTSQNSRFKPDSRNAE ETRFLGILNNLDLSRSFYFSYSYDITHTLQHNILKERAAMTQNLPRPYPPEYNDMFVW NNYLLRPATVALKNTYDWCLPIIHGYMDQAALSIYGRTVHITIIARRSRFFAGARFLK RGANDLGYVANDVETEQIVAEGLTTSFHAPGPKLFASPNYTSYVQHRGSIPLYWTQDN TGVTPKPPIELNLVDPFYSAAALHFDNLFQRYGAPIYVLNLVKSRERIPRESKLLHEF TSAIKYLNQSLPEGKKIIHKAWDMSRASKSRDQDVIGTLEAIAEEVVTTTGLFRNGDG KTTLPTVQNGVARTNCIDCLDRTNAAQFVIGKRALGYQLHALGIIAGTSIDYDTDAVN LFTHMYHDHGDTIAVQYGGSQLVNTMETYRKINQWTSHSRDMVESFKRYYNNSFLDGQ RQEAYNLFLGNYIFTQGQPMLWDLVTDYYLHHADPRAWSGKQRRNYISWYTPEYLAER KMPTDALLLTSRTTDETLYNFDDYWLEYYKPLALSSFLKIFSYNMNSTVRYIPVRFAQ EGRYDLSPFRVRTEINQEVPDKKAVKYRAANLDPQDKHDDDTSVSRGATKRTPRQRWL QPIDEKASMSHGTVMEPRSTNIHLLQSNQKLPALDLSKTAPLTFKQVVQDSLNPFVTD QEAEDYARYINHPQTLPLVVSTETPADIDVEYLDYVSGAWQESNSLSYTLEEDLRDFS DFLTVPDNPLTVTNEDAQKKRYKAYRKWHNGKSLFKQQPID VE01_08880 MANISPPPSATVYVRNLEEHIKVDQLKEALTELFSEYGEIIDIV AKTNLKAKGQAFVVFDTVESAQKAIDEVQGFELFEKPMQLAFAKTRSDATVKRIGDEE EYESHKRKRLAEKDKKKSAEAAEEAKKLKIAAAAAGNAADMTSRPIKATRGAGLRSSN AATSAVVPDEYLPPNKILFVQNVPDEYDIDALTSIFGRFEGFREVRLVPGRRGIAFVE YEAEAGAISAKENTAGVALGEAGQAIKVTYQRQ VE01_08881 MSGATDREAVFPTRQTLGLMKAKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESAKSARFRIRTKQEN VSGVFLPAFESYTTEGNNDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVI LDEVIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVANKKQRDVAA ADAEMAARREKDNAAGNGGDDGLSGNADILGEQEDADVIF VE01_08882 MARGKVSRGQPDLYLISEEDTIYEQDILRDPESIKPWLGYINFK LRHGSLHEQAYVLERACLQLPRSYKLWRMYLSIRTKHLRKLNPAIFAAEFAKVNSLFE KALVLLNKMPKIWEMYLQFLLLQPLVTLTRHTFDRALRALPITQHNKIWALYRPFANS ATGQTAVKIWRRYMQIHPEDAEDFIELLVEERLYTEAIKMYMNILNNTRFRSKHGKGH YQLWNEMIDLLVTHARLVETGYENGINVESIIRSGIERFSDQRGKLWSGLATYWITRG SFEKARDIFEEAIITVMTVRDFTLVFDSYTEFEESVIGALMDSAASRSSRGAVDEDAD FDLDIRMMRFEQLMDRRPFLVNDVLLRQNPNNVPEWEKRVALWGDNKREVVQTYTDAI TAVQPKKAIGQFHELWVNYAKVYENGGDLRDARLILEKAVKVPFKSVAELAELWIEWA EMELRNENFEGAVNVMAKAVQAPKRSTVDYFDETLSPQQRVHKSWKLWSFYVDLVESV STLEETRNIYERIFELRIATPQTVVNYANLLEESKYFEESFKIYERGLDLFSYPVAFE LWNLYLTKAVDRKISIERLRDLFEQAVEGCPPRFAKVLYLMYGNLEEDRGLARHAMRI YERATRAVSDEDRADMFNFYITKSASNFGLPSTRPIYEHAIAALPDSDARDMCLKFAD MEKRLGEIDRARAIYGHASQFCDPRTSPTFWSKWEAFEVQHGNEDTFKEMLRIKRSVQ AQYNTDVNFIASQALARSHASPNGKGDDNHEDAMAALEREARAPVGFVAASTGPPQGK IAVEPAVVANPDAIDVDGLEEED VE01_09182 MIDSLVDDGALFLDGSDTFQTPLDPYHTAYSSSPPVLQPRSMSG DPAGVRRLLPQSSQPQTFTFAPNNFAPREAQKNYVFVDEHNRHKRLKVMRACEGCRRR KIKCDAATTNTWPCSACIRLKLHCIPPTANYDGGQSHGFEPEKGEYESGSGDEDYTSL EQHMQAIDKNGQPQGYVQQISYSTQNNGYQQPMAYPQQTSSQQQQMQYAAVMNPPALM DNNYPPQPSFTSMPAAIQQQPLQQPLQQQLQQPLQQPMQQPMQQNIHQPLQHQPQRQM SQSQLSQTHSPEMYQTDPYGTQDLAEYLGALKMDESGTAPYLSNRKKESAAVEEAVFE DSDNFAITQIIAGPGSKIRIPPELMPDEETALRYFDVYFNNIHPYVPVLNKTLFYQQW HGDREAISPLILEAMFAHAGKLEDEPSQGQQWLALATRHATSFMDDPRLSTLQAMLII LKAREAVPKRGYYYRSWMTVVQCVTMARDMGLDEHYEDHKAGRPCGNTNIDCAIKTRI WLVIFVVELMVGSPQGRTHLLSVDADTVDFSIPKPMPGVDDSDYHIQRQFTYFARCVG HIRNVAVVYSKLKKKKVEVGMDPKFLQLNPSFDKWMAELPQDLQVTFPPDGSPPWLPT HALGNIHIQFCLGVVMLHRPQLASLDPSNPDGKWKRHMLIAYTNAKLLCRLQEAVLDT FGMNGLLCMVRGVNYVVYSILTCTVLHLVALTSPDPDLNMDAREYFTRHMQLLERCTT ACPMPDMQQQIDALREAFSADTRKPFDLKPSFPYGSPTSSNQSSPPTLQYQQSTLSPT SSVDHPHQSLNQHASQHHVTYTSPPEQSPVSAGGMTKVESAGAVGLVMMAGGRMAEQQ QGMQTAMSMPDPSAWNPARIFDQWNTTFETPSAASMSVGPPQQNTIRHMSNAGGELSA MPDMLGTSSLIPLPPMGSAAAAQQHLLAQQQFVAPPTTTFVSPSMWQESVASVYEGGL KRGWG VE01_09183 MAIENANPTIINVDELPTRRGKPKTLTKDDHSILSLLAPTPNPA LQIYLDGEEPLSDAEEELAEEPIDEQEIYDLISTISDPEHPLSLGSLAVVNLADVFIT PSSPTPTELVTVTVLITPTITHCSLATVIGLGVRVRLEQALPPRYRVDVRIKPGTHSQ DEQVNKQLADKERVAAALENATLLKVLMTMLETCA VE01_09184 MPKPKKTPAIHKKKIKQLKARLRTAIIKIRKLKRRNCALQRIRP PPRTFRYYPRIIRILDVKTVHRFSRRPLEIRLKSHPRPLTLNPLNPLPLDHFSNIHFQ IRLKSRPPPQITKPPELTEFHYFPYLPFEIRVPVWKLAVDTAPDRRVILRVDPPEYSE PNRPNALPWAQISSSARIPALLHTCHLSRHQARERWELSMAVYPEHVRRVYIDVATDS IFFPSLTLLWLWQERGDQREVLRIAAESKVFVLCEGKISRRCYTGSIIDLEEFNPASN DDGNYY VE01_09185 MPAPSLPPAPPTQLTSRPNFSDLPMEIQLMIWQFAVASDPAPAL ILRSYTLNQQTQMLSGRADIPPLLHVCYLSRLLASQRWTLVFPYGVTAILESEWKEKV RREWGGAVKFQGDCFPDLPIEIQAMIWQHAIHSVPGRDFIIRYSSIPQALQIGPAQMR WTEIISPTWTTIPALLHTCQLSRLLAQDRWTLDMPSDPEDGRMVFVDSKTNWVDYLG VE01_09186 MATTTDNDILYSRDDLLICATCGTQYGTSNAALLTSCRICDDPR QYVPPTGQSFTTLRSLRLNHTSFLSPHPTAASLSIVHSSPRLAIGQRALLVHTPAGNI LWDCITLLTPSLVSSIRDLGGLVGIVISHPHFYSTHLLWAAVFKCPVYLASDDKEWLV EEEPNPKEPVRRFIDGEGGELEIPGKDGRETGAKAIKVGGHFPGSMVLLHDSRLLVGD TIMPTPSGVGDWRPHERPKGMNSFAFMWSYPNMIPLPPRALASMWRVLSRYSFTAVHA GFPGLDIEDDDVKKRVWESMGIQVGAEGGDGGVAFAEAFGLMAPL VE01_09187 MVFITGYLQHRRDCKLKQNERTERIAALTKYHGAFTASERQVLD KSVEELVQDVQKEVVQPIDVLRAYGKAALKAQEKTNCLTEIMIGACEGWLADGSINFK GPLAGIPVSLKDSNGVAGFDTTVGYSSKVGNKKTVDGPLVRLLKDAGAVPYVKTNLPI TLLSFESANDVWGRTTNPHNSKYSPGGSTGGEGALLAFGGGRIGIGSDVAGSVRAPAH FSGIYSLRCSIGRWPKAGADTSMPGQEGVPSIFSPMTRTMGDLSYFTESIIGMKPWKY DHSVHPIPWRKDISEEIKNRKVLKVGILRSDGVIDPSPACVRALDKAADALRAQGHEV TELSGMPDMYEALELASKLLNSDGCQTFESFRRPGEWVDRGAAQMSYYAWLPRPIKWL YAVYVRYVRRDPVWAGILEGWGPKSAFEQWKLVARREAYKARWHEWWEKEAKVDFILT PPNATPAVPHDAMGDACSSCGYTFLWNLIDYTAGIVPITHVDRTLDALPASFSLRKLN GVARGAYMHYDADAMHGLPVAVQVVGRRLEEEKVLAAMERLEDALGDDKYQLLALD VE01_09188 MATNAPAADNWSSTAYQNAAAFVPKLATKVVQWLDIQPTDKVLD IGCGDGILTNDLAKTLTTGTLHGIDSSASMISTATAAAPANTTYAVLDARSLSSTPSL QTGAFTKVFSNAALHWILRDEASRVDVFRGAHAALAPGGTLVFEMGGQGNVAEMEATL LAVVARRIGMKRAREVDPWFFPDERWMRNVLGEVGFAVEDVELEYRPTKCEEGAGGGV EGWVRLMGKLFLDAVAEGERDECVKEVVEALETVVGSAAGGYYLGYVRLRVKARKI VE01_09189 MALSYVDVLLHAHIRDSIASEEVTVDVPSDGLCGNQRTHFYETY TGVLSLLDVGPNPDHDYGIIFVNPFIFLLDGWAPDTTQTSLLANLTRPAYLPPAVKLE MESVMNFREQSADFLPWDLQPDLLWPTCEVVNTNISTASGYNFICRCRGDLFDSGQFS LPISTCNHSNIAPFVAMNGMNLYGNTDTLRGTFNEKSADFEWISRFYGCFTTDSLKQR STYYCEDRFSQTGTFTMKFKGNVDAENSHQMVLGPGNNISWVEDPKKSNVTNFCAAAL NEDQNVTQTDEDLGNTEIARESRKATPTAFLDNDHMAILGITVPALKKLAVPFVCGLI AFLSLSSQYLFYHLEPGPLTATEAAWFNSLVFCIWYTYARACRVDPGRLPKSLTEGGA QDGAETAKDADAPANVQRAGKWCKKCDAVKPPRAHHCRQCNRCIPKMDHHCPWTSNCV SHTTFPHFIRFVFYAVVSMGVLEYHLFNRGYIVYQNRNLPSYLGPSPYALAHLVILFA TNSLALFILLVLLVSAGNSLAMNTTMIESWVIERHESLANKARYHGGFVHGPGGRRIR IRKQEFPYDIGIWQNLVQGMGTPNVLAWFLPFAGDPGNETGWEFPVNGFEDPDVTWPP PDPDRMAQEPWTRGEGERKEGFLHGDGGDEVQEFRRRQQLDYEKRGLVGRGLEGRNRQ VSGAPGSYDWLELDGEEEGSEYEGQDEDAGGSDGWFNSDRDRLRDYGVDEEAELVDWE EDDDIPLGELLRRRRGRVAEAS VE01_09190 MQFSSLITLALVGMVSMVAARPVICAEKTLDGILLGRLPKNACC SYGSCVGDVNVSGG VE01_09191 MGKLTSHSLRLPSRWRFQWRDAVILLLVILICFSYTASIPAFES KIGSDDLFAKANIVLEKEDGAQHGTNLTMIPGSKKDGNTLEPLINAVDVMQTHYFQVW AGLWPTAIDWTSAVTATHIAAAMSTVSSATNYVLQPRFGDYALILGTESLINRFMSHL MGFYFGQDALTLRSEAYDDMLWVVLEWLEAIKFVDSHSTELLYAEGLPSWYGEEWIPG FAHRARIFWDLASSGWDVSACGGGMVWSPYLLPYKNAITNQLFITASISMYLHFPGDD NASPFQAGENSSASLAPMGKKDQKFLDAAIVGYEWLASSNMRNAKGLYIDGFHISHNR HHGHSGDRHMKCDIRNEMVYTYNQGVLLSGQRGLWEATGSRGYLEDGHALIFSVINAT GFDLDTQSVYTEAAVTTNSTHPCLGKWHGIGRHGILEEACDAYGSCSQDAQTFKGIFF HHFALFCQPLPPYVIKPGETVDIPTLEKLKAWHVQKCRGYGAWVRRNAAAAKETLDSE GRAGMWWGAHLASAWGANGEGGGEVPEGAVDYRNLGVPRDGVWRYGRVVEGAKMGEKV AGRMVKDANERGRGRTVETQGGLVAVLRAAWEAAERES VE01_09192 MAPRIIVVGGGLSGLSAAHTIYLNGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVDQKIPDSVKLFYNDTLKSARDKARPDLIKVLTYKSAAAVEWLQDE FNLDLTLVSRLGGHSEPRTHRGHDAKFPGMAITYALMVRLEELAEKEPERVQIIKKAR VTAVNKEGNKITGVTYEFEGKPAVLDGPVVLATGGYAADFTETSLLKKHRPDTFNLSS TNGVHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPNSKWKFLAAEALRGEGGL LLNGDGNRFCDELGHRDYVSGEMWKEKEKGKFPVRLVLNSKASNVLDFHTRHYAGRGL MKKMTGEELAKEIGCTPQHLQSTFTAYNSIADGKDKDPYGKKFFHNGPVNVDDYFYVS VMEPVLHFTMGGIEINDQAQILNSEGKPFEGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDTAANYLFQETLKSAGGANQRLGQISLHIDPSQPGRVSVTWDGASGAGAPVA SAQAAAAPAPAAAAAPAKFSIPEKEYTLEEVAKHNTKGDLWVVVKGVVMDLSDWLDEH PGGPQAIMNFMGKDATEEFEMLHDDEVIPKYAPSQVIGRVKGQKVTLEI VE01_09193 MVPVTCGSITKASSILNQLDNNEMRSTGALTLLIGAISIYSVSA KYVQNPFMDNIGPVMPPAASDPSASTPPEGGSVILCDVLGRDRSINIFAGFTRDIEAI SKRLSDGQQNTTVLAPLNSAITGLPRKPWESNEDYNRLGADAYEGKPGEDRAHANLRQ FVEEHIVPESPWPEGAKMKTLGGTEVWWETKDGKRIVQPGNIEVTSVASKVANGQVWI LKGARNYA VE01_09194 MNNQPPQPPSGLDRERELEEQHLREQQHIMRQQEEMAQRRDHED RERHRRDQQQQQYQSVPQHQNNTGTIPIHQPVASRLAGAIHSPGGLLANHNGSSQPGP LGAPTGPGNAFGGPLHAEANRSIQPHNQQQNPAAQQQHQIFGPNIINHVVGQAAPIGV NQAAAPGFGGPLQPEAAARSMQQMPFGGPGGAAHPAQGAPALGQGQQPILNDALSYLD QVKVQFVDQPDVYNRFLDIMKDFKSQAIDTPGVINRVSDLFAGHPNLIQGFNTFLPPG YRIECGAGNDPNTIRVTTPMGTTVQSITGAGRALPDGPQAGANGGYYPAQRGNGNNWQ QPQHSIESPEAVFSPQARNGVPPYAQAQAPNAVYESQQAAVNAHQQEQRGVSQLQSAI ATATGHPVARNALTPTPTAQGAGMNGAAAQQPGVEKRGPVEFNHAISYVNKIKNRFQD KPEIYKQFLEILQTYQRESKPIQDVYAQVTTLFGTAPDLLEDFKQFLPESAAQAKAAA AKAAEDAAAIGLAGVSQAPQPLHVARGEQKLPPVGNFLPPSTSKEGKKRPRPPNAPAP VPMGALGDAAASRASIGQAGSANKRMKTSHGTRMVEGAPAVSPTLTPQKPQPMSPLMS IATQQSEVAFFDKVKKYLSNKQIFAEFLKLCNLFSQDLIDKNVLVHKVSNFIGQNAEL MDFFKRFVNYSGPEEVIENRPRAPTGKVSLSNCRGLGPSYRLLPKRERLKACSGRDEM CYSVLNDDWASHPTWASEDSGFVAHRKNHFEEGLHRIEEERHDYDFNIEANAKVIQLL EPIAHQILNLQAHEMPTFRMPSGFGGQSQSIYKRIFKKIYGTEKGNEVAADLFKDPIA VVPVVLARLKQKDEEWRFTQREWDKVWHVQTQAMYLKSLDHMGISVKGADKKLLAPRA MTELIRNKHEEQKRQRSLTSNVPRYQFLYTLDDDDVIIDVVRFAILYVMNANQHSPAE RDRIADFLEDFVPTFFGISVDTVRDRVKDISRVSPDEEMEDTTPAELSNGRSSRRANG KTDHNLLRGVLERGRNGTRGRGQKESSIASGSKESTPDTGSIAEDEETAGDPMDESGS GEAQNERWLGRPPSAAALQGAPLEASDFELKADQPFYREWYSLYANQTIFQFFSIFEV LYRRLKALKDGEAAVAEEVRRIKAPKPAKEIGLLEERNDWFSQDSSETYYNRALMVME EFVLGDVEEVKYQDFLRTYYLQRGWALYTISDLLKNICRYATTCSSTDSKEKTPLIIN SFLTNRTKDETTFNQEIDLRKKVEKIVGDADMYLIRYSPKRRQATTQLITKDESTFDL TDMERKDRWKYYTSSFVRIEPTEGVPLDRIRRSVLTRNLSPHETDSDSGLLSQSRKPL LWAEDLTLRICVNTYRLTYKTGTSEWFVYSDGVQDEVAARQTTEKRNQRFREKFEMNS RWMENKSQQEVQKTNEDFKKWISEGPAAAE VE01_09195 MDAQGGVGVIGGGHGGASSPTSIRNGTANAKRKRSGAGFESSPG SVDIAENEEDEAERRRQPGVKRACNECRQQKLRCDVVQDPFTTCSRCMRLKLECKIES NFKRVGKRSKHAEMEKQIESLRRSLQRAQAQGYAVEEEEDIESPMGNGMYSNSMNNSS FLRNSDEAVSSLLHLKQSGTYNIPRVAHQLEDVRLTEDQVNQLFSDYFTFYHPFLPFL NPSQPPEQYFTQCPLLFWAIISVSARRTNVMDNLLTSLAGPLSRLLWTTIGGVPGSHY AIKALCLLCTWSLPTSTTATDPTHILSGVLMKAATGIGLHRPSHAQDFSRVSVEFNKD ELHDRVTTWAVCNIVSQTIGTGYGQPATTLYDWTLAIRPGDAGPFQLSAELEARLRIE RFTDKVSKEMFSNASDPQGVSGDELRAMLTRIFRREYADLEASILSDQNLSPFITVFL KAAGLHLRLAAFFDSRSTPNYMDDLLGLWRATTGFLTTVFSLETPSGTHSGNILQYGT NYMSQMIVAAGFALLKLLSSFFSAEIDFDRGRLLFHKTIQAIRSMSVTNNDLLWRLAE LMAQMWNGLRAEKRTEHGSSELDGSMQLKVRCRHSMSLVYDTIWRWREEYQAQGRGSI EGTSLRIPRQSAGTTSSSWLDANRDWDAAALKNPTNPESAEDSRASSTPLDSTLAPPP HTLHQGLPNHMATSLSGLGGMSGYGESNYEVFDPLNWMLDGLVDFPYSYAAVQGLETQ GMS VE01_09196 MGDPLYPSQYERIPNYEGFTPQSAVSSFNLPLIYDSTLTTPVSL GADSPVLASKPASSTIHWGREQSQMNYPMRDNRAIFSHNQNNNRMKSNAKDSTLSLQV PQSLNGDHGLGFPTYNMFDSPQIPCPPTPYFGSFGVSGAQVASPTPSLPSNVHSASQL SSASTPSMHHSIPLGQNNAPILIAPTPGQLRPSKRSHDSPIKTEQQHHGMPYHSRPLQ QHEQSPNHFNPPIKRESPPLSLSSQKRRKQSDPMTPVFNQPHRMPSSDASAVEFHGSQ SRFKPLAGNTQSPQPVMTEEEEFLIHLRKDREPKPDWKTTVEEFKAHTGKEFRIPALQ MRYSRLNERLRVWSAKDVSAAQHSEDVVAWGHDDDFQINALTRSKAEFEKSKWESVAN GMMKYDCEVKWSARACQQKYAELNPEELDEAPLELSPDPEAYSEVGRHNSYVNIGVQE YGMARQGHHHQQQHPQQQRQRVTPEGKSSREQSADVRVENYKNIQVDANNQLQLLRQQ QQQQLLSKRMQLGQQQQEQQQHWGMGGQQ VE01_09197 MPPLFSFSEGREPAGPDSTPLLGRFRAVPGPSLGKKKSKGEFFG SVGYGSLFRSRSEEEGVGRGDGGRGDGGRGGAEGDGGWKLWEGVRDVWVQPKGEVVGW VLGWWIARWVVVVGLPAGIAVAWCAIPFPQYALPGNGGEEDGDADGHRVPGHGQARVL VNFWFFLFVYYGFYNFTALMWITKVFNIYSLNWWPQSLGFPLTVSTIAALSIAAPIPL YYIPTARAYLHYNTVWILWTFITMAMPVAVAFAILTSHERHLSLRNSLSDTQRLFTTS WWAGDVESDTLARRDRRRPQALLANFDPDAPLAIDNAEAMPPRAGLGMRKLWIPASFV RFMWFCATLSIALLAYVLGETYAEIYLRTLPHDSMETIVYVYSWVVTIHLLDGLTGWI LGSDDGERVSSYPLGWVFKLYFSLTYQTYVRALYARLRSPQQFMLLQLLSSTTLIIIH PLTMSSTYHSLLGLLRLNTQSYTTYARFCRRTLFIRSLAENASMAAFLGQILVLHYGA NRDAYPYFAFDGAEGGDAEGLYDFDRTLWLAAVTWGCEIVAAWVVRRVVKGLFGKEVA GEGWRDLRRWPELLPTSAVVMVHVLQNMLFGIIRIRFKEP VE01_09198 MPSSLSTTTAAATTVAAVETPNNAAGRLPLAAPDATRAAELASA KLTLHSALRHFPDFPIPGIDFVDILPLFATAARQETLLAALEAEVLVGFGGVPDVIVA LDARGFLFGPGLALRLGCGFAPVRKSGKIPGPTVEVGFKKEYGEDFFQMQGDAVEKGQ KVLVVDDIIATGGSAKAAGDLVEKLGGEVMGYLFILELDFLKGRDLLNAPVRTLLAQE EKQ VE01_09199 MDGKIHCDICFRPGSRKLPFLCATDARNQLYESRLRHAEVLLQN DALSQKIAALTTEDPSSSSPSEGKEHTYNPPSTEPPTDDRTPEQLALALSVTLSERDA SAARTAEIILKADTLRLSLTHARASIAARKAALAARQRALEKARNGLAARQQRALDDL AKASKMSTYRWNHAHALTVQARAFLCYQAAELYGLQRVRVRGGAGADEYTIGGVRIVD LRYMNNASATLISTSLGHVANLLVLVSRYLSVRLPAEITLPHADYPLPTIFSVASSYT DKPVPFPGTPAQTPSPSASRLLTAHGRSRTPPPLDRNMPRPRPLFVKTPLPRLAKEDP GAYTTFVEATALLAYDVAWLCKSQGIELGSGAVSKEKGVPFEDMTAIGKNLYNLLIGG RAPPAAPSAAGVPTVASGDSGSEGLGLGRYSHGARHSNLVGKGGEELVRGFRLLGPVK MADRLRRDLLAEVVGAGWEMVEGEVDEEQADKGGKGDKGGNEGERGAGGSTSNVGSSR DGEGEGEGEARGPGLLGESFASLERSPEASTRTDAKRGSNGWMKLKPRPST VE01_09200 MAQDESPTIQVEETQYDANKEPYVQASQPDPKNKESPPTAQKPK TPGQLMTPATPRTRAESAQTPPLGPAPSLPSQYPVPQSPSQESDDGSSENSDDGPKEA HREKEKVDPHVLPQFDWEGLETEYYAALSRANDVEANLNEEFKALANFFAQWSNMSLA KDEERAVKRFRTRQEHVFHSEDKFSKKKEHHEEVVKALKSVMALMHHTN VE01_09201 MGFLEAVKNQLWGTKLVFNVLFHGGHIGLFALGWYKQSMDERLA GLNTLTYSVWLSRGAGLVLSVDGAMILLPMCRNILRWVRPKVRFLPLDESQWFHRQVA YSMLVYTVIHVSAHYVNFFNVEKTQIRPVTAIQIHYAQAGGITGHIMLLCMLLMYTTA HAKIRQQSFETFWYTHHLFIPFLLGLYTHATGCFVRDTADPFSPFAGKDFWDHCVGYE GWRWELWGGGLYFAERLYREIRARRETEIIRVIRHPYDAMEIQFKKPSMKYKAGQWLF LQVPSVSRQQWHPFTITSCPFDPYISVHVRQVGDFTRALGDALGAGPAQAKLYDGVDP NGMYEVALQNGQQMPKLRIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWAAILKNIWHL RNSPNPPKRLRRVEFIWVCKDTTSFEWFQTLLSSLEAQSFEAASIPGSSGTEFLRIHT YLTQRLDADTAQNIVLNSVGSDVDPLTELKTRTNFGRPDFHRLLTGMREGIMDRTYMS GLEGTMRTDVGVYFCGPSAAARGIKKACKEVTTPDVNFSFWKEHF VE01_09202 MSQPLPGALPGGGDVTTQLPDSGDVVAKRPLSGDVTIQLPGSGG GTIQNPAAGGLPADGVTAPAVCYAICNENGIRINYRLSIFTARARINRHRHHLLLDYI LDDTLDDILDGIPDDIPDGIPNDNPDTPHSSTTSKAWIAGPVVGSIAVLALILIFLLY IRKRGQKTTITHNESGLHLLMR VE01_09203 MSTSAFLDGDLMSAAAQRKVARSARISSWDQSGLNEDAFIVQPG ETAILCDIEGPGKITHLWFVQACRRILGPGLIPYSKSGVAMMEIHNALGLNYEDNDPD YYRKIIIKMYWDDSETPNVIAPIGDFFCLGHSMAANFQSTPFTVSVKPSEEKKFGGNA AFNCYVPMPFNKRARIEIENQGENAYIQYFYIDYELRPAPFNPSEILYFHAHWRRENP TKGWAAQNTQTNSLETQVPNLDGKGNYTILETEGAGAFIGCNHSVLHFQGTWWGEGDD MIFIDDDTWPPSMHGTGGEDYFSQGWGMQKNAFPFGRMRI VE01_09204 MAALGLGEDIVDELTRLERIPLASLGATGASRLCFVQHHQAASA LAAFFENVHIWYPILSPGFSKQYLSIISGPLTPSPNSCLVLLVAAVGLLAQEIVSNGE LIESTHSSYFEAAMTMLPIVVSDCELTSVKCLVLISIYHCCLLKPYQAHNYCLIASSK IQNLLKSCASIEQCTPETHEQAVRVYWAVLLLESELGVNFDVANSGIWTLDEHVGLPD CSDTCQYDIGVGSPLAVLSPSSVSSTASADINKVQSYFLAEISMRRMLRRCNTAIGRG ANGTICYAPGIAVELELQLDEWYNYLPEVIRFHLDFATTTFEVPLDECPLRNFLRVQY YCCKVAIYWPAVYQVMEDGIANGQLLDHCQKFFASYVQLMPSIIAAFHGCIVNRWTIF AR VE01_09205 MPQIQIEAKTANRILTNLQTVHYSRPTIHITAPCWINDPCAPGY DPGTGLYHVFFQCNPYGTEWGNMSWVHVTSKDLLTWNNMPTQPALSPGKGYDQDGVFT GCFAPVANANNKQLTVFYSSVFKLPFHWSTLPYPRNAAGLGIATSDDGGSTWAKSPDN PILNGEPQDVDVTGFRDPYIANWPALDRLRGKNGKSLYGLVSGGIAGLGPTTFLYEIQ PEALGQWDYLGSLVDLSISYQPSEKWGGNYGINWECTNFMTLESNSVSREFLIIGAEG EVERDHINNSIFPAATSPRTVRQQVWMSGNLVKKGDNIKLQFKFGGILDHGSYYAANS FLDPLSNKQIVHGWIPEEDCTDEHARRKGWNGALSIPREVFLLSIPNVISALSSQISE ITCVERQLEPNDSTTLHTLGIRPISEMSRLRDNCFWSYEKNETFLPRPNSIQHEHLAV TSTPTWELQATISVATGCETVGFHLRYSKDLSIRTTVFFSLLAETITVDRSASTSYKD INRFPEKGPFTLFKTRDPSTGEEEQERLRIRIISDGDILEVYANDRFALATMVYTCDY EGNNGIAAFATGLDNSAIFEKISLWDGLNGMEPLGQVQLKN VE01_09206 MTLVQGVDYSVVNPEQKWKILRSQSRFAFWAFWISTGVIMQGFD IVAGGQLAALPAFREQFGTLQPDGSHLIPAYYLSAWNSIAPACEIVATFIFAPMLEKF GRKPGILAASIISVAGIAIGIMFTIAPLWIGETCRPELRGFFLCFFNTSIVFGQFAIV VVSKGSSGIDGKWQWWLPVVAMYIFPLILTVVWPFFPESPYWLVRQNRTTDAKNALRR AYGFKGADSAFYDIEVLRMEEEIRITTELQGGVAAKRNTILGVNVSAEAECFRGINRK RTLTAIFAASAQQMIGATFVIGYATYFLELIGITNYFNASVALYVVMLIASMAAFPLT EIFGRRVLLVWPQFVLCFMLLMIGIMGCVPNQVQAGYGIVAFIYLWAIIYQLSIGATG FVLASEIATMRLRGATQGLITITNACWGLIMQFTVPYMINPDAGNLGGKVGFIFLGTG LIAAIGGWYLYPETKGISFEKLDELYSLKVAPRHFKKLSREAGIGHIRDSPQQVEAEV IANKTDKEHVEHSSV VE01_09207 MKSFSWLVVLSPAALPMVAGKGFWSSTPATFQHLIKETYPVGNG RLGAMPFGPPGAEKVVLNIDSLWSGGPFESSTYTGGNPTSPLNEYLPGIRDSIFQKGT GNVDQLLGNGDNYGSYQVFANLSVVINGVSSATSYNRSLDFNTGIHTTAYTADNGNDY KSTVYCSYPDQICVYSLSSNAALPNITIYLENQLTSAPYSASCERNDTSVILSGVTQA GPPQGMQYEGKAQLITGTGKSMLHRGAHTRPLCSGSSLIIPSDSKTRTLSLVIGAATN YDQLAGNAESNFSFAIPGSDTIDTHSLLDPIISAVTSPAASKAESTLRRAHITDYHDL VGQFTLELPDSAGSSGLETSVLISQYNSSGLGDPYLESTIFALGRHLFISSSRDNSLP TNLAGRWSETIGAAWSADYHSNINFQMNHWGADQTGLGRLQASTWNYIQNTWVPRGTE TAQLLYGAPGWVTHDEMNIFGHTGMKEDAQWANYPVAAAWMMQHVSDHFSYSQNVTWY RDQGYPLLKGVAQFWLSQLQPDKYFNDGSLVVNPCNSAEHGPTTFACTHYQQLIHQLL TDIRSTEALLPDTEEEFIANVTTALKTLDNGLHIGTWGQVKEWKIPDSFGYDFENDTH RHLSNLVGWYPGYSMSSFLGGYTNTTIQDAVATTLYSRGPGYADANAGWEKVWRSACW ARLNNTDEAYFELRYAIDENFASNGLSMYSGQNPPFQIDANFGIVGAMLSMLVVDLPN GNAVENRTVVLGPAIPTRWGGGSVKGLRLRGGGLVDFTWDLNGLVVSVNASGIAKGLR IENKEGTILVGSRLRTEIL VE01_09208 MAQNFQTKLFINNEYVSAKSGTYVVVRNPVDDSIVTDAVHSGGE EDVNDAVAAAQAAFKGPWSIMPGSERAKCMLKLADLLDENFESLMKLETIAMGQPVAL AAPLGSLMGSTWRHYAGYCDKIPGELVPEGDDKTYKLIRYDPLGVCAGIAAWNATLYM LCMKIAPAVAAGNTFIFKSSEKSPLGSLPVGELIVQAGFPPGVINLLSGDGSTGALMA SHMGIKKISYTGSVSSGRKVQIAATNSNLKRVTLELGGKSPSIIFKDADLENALVHSS QNFLFNSGQVCIAATRILVQEEIAEEFTKGLKARFDMFKGALGDPALPSTFLGPLADT AQKDRVVSFFEQAKKDGVEFLAGGKSKGNYIEPTIMNNPPLESSVWRDEIFGPALAIR TFKTVEEAIELANDTTYGLAAYLFTRDIPLALRVSKLIEAGTVSINASIGGNIDMPFG GWKESGNGGREGGRAGLMSYLEAKSITINMQGGTG VE01_09209 MKLAVEAATNAALPSTVITFFFNARGAELERSILGMYRSVLLQL ISKTPTILDDLSHLLSFKIKHSETPQEYPVMWFIDALDECKDDEVLKLVKFFENIGHT AVSLGSPLHICLSTRHYLNILIRWGVKLTLEKQYSHNQDIMTYIDSEFRVPYSPHVAL IKCELCSRSSGVFIWVRLVVELLNMAFRRGEGKPAQLQQLLDSVPKELDDLFTNILKS DPNSKYKSILCFQWVLFAKRPLNPEELYFAVLAGTEPTAIEKWDADEINSEGIENFIL NISKGLVEVTKMDRTVQFIYESVCDFLLLHDGFTKLEPNFAINVRGFSEERLKHCCYQ YMTLGVFKDNRWSPTQPRLTPLLNGLRTKPNLKDDILRHFPFAWYAVRYVFAHAEVAQ SCDIDQGGFLTDFEAPDRTSIQKWMMVYNDFSYNPRGSPTVYHPNDSLIYILSNENLP DLLLNLIQIKGNVNDVGRQHGSPLQLAAGKGYLAVARHLIAAGADIDFPGDKPRLAPL LSAIDSGHIDVALLLLENGARHDIVSYCCGNNDRCTQTPLIMAATVGLTAVVQKLLHL GGDVDIEGGRALQQAASSKGNWQIVRLLLQFRADVNFVCEIDGIALQAAAFAGKYEIV QLLLQSGADANSGGGHDYSNALYAAAVGGSVQVVKLLLQWGANVNSGNRKLGSALEAA AQHGNALAVQMLLDTGADVNSIGGTYSSALQEAAYHGHEKIVRMLLQAGADINRGGGR YYGNALSAAASCGKKHIVELLLQARANANYMREGFGSALQEANNRGYSDIAQLLRQHG AKEAEI VE01_09210 MIQMKNDKKHQDGGLFFSVPSQGMEVASLVPMVAGQPNQALLHT LGKESHFLRNQSRDFPKAFDRKDSEIFCYYETEMLQTAKFIENNVWNMGGPLCILVDS SSARHTRPWENEAHNCIGMKRDHSTLVKFTPNDSDYDEVLAKLNCMTLTAMSTIPRGI KGQLNLKKLTEKEKKAIEDSLKFN VE01_09211 MGISRRPKDKSAGNAPSGGRGAGGGKPNIKKAAFDTTKKKEVGV SDLTLLSKVSNEAINENLKKRFENGEIYTYIGHVLVSVNPFRDLGIYTDAVLDSYKGK NRLEMPPHVYAVAESSYYNMNAYKDNQCVIISGESGAGKTEAAKRIMQYIANVSGGKD SSIQEIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQLQFNSAGEPVGADITNYLL EKSRVVGQIMNERNFHIFYQFTKGASQKYRETFGVQKPETYVYTSKSKCFDVDGIDDL AEFQDTLNAMKIIGLSQAEQDEIFRILAAILWTGNIHFVEDKDGYAAIADQSVVDFLA YLLEVDAAHVVSAITIRILTPRNGEVIESPANPAQAAATRDGLAKALYNNLFDWIVER VNVSLKARGATSNSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKTEQEE YAREQIKWTPIQYFDNKIVCDLIEGTRPPGIFSAMKDATRTAHADPAASDRTFMQSIN GMSNPHLTPRQGNFIVKHYAGDVSYTVEGITDKNKDQLLKGLLNLFAQSQNEFVHTLF PNEVNQDDRRQPPAAGDKIKTSANELVATLMKATPSYIRTIKPNENKSFSEYNVPNVM HQIKYLGLQENVRIRRAGFAYRQTYDKFVERFYLLSPQCSYAGEYTWTGDSKSGAKQI LKDTSIPAEEYQFGVTKAFIKAPETLFALEHMRDRYWHNMAIRIQRVWRAYLRIRSEA ATRIQRVWRKKRVGAEFLEMRERGHKLLQGRKERRRMSLLGSRRFMGDYLGCNAGSGP GARIRNAINIPGNEVILFSCRGEVLESKFGRSSKPSPRIFVLTKSRFYVVLQAVVNKQ VQISAERAIPLGSIKFIGLSTSRDDWFSLGIGSPQEADPLLSCVFKTEFFTHLTRASP GSGSALLKISDHIAYAKKPGKMTDIKVLRDSQQREDFYKSGAIHTQSGEPPNSVSRPL PKGKPIPAKPFTKGRLIRPGGPDGRPSKFANVARSTKPVAHPVPHQPVAQNPIAHAPV AAHNPLAQQLASRTRALPQQPQEISLSQYGAIAAASHAAPAPAARAVPPPPPPPPPAA TPAGPIFRAMYDFEGQSANELSFKKDEVVDIVQKENNGWWLAKINGREGWAPSAYLKE ETPPPPPPPSARPSAANGKPKPPAPPAKRPAAGGRKAAALPGQRDSGGMASGNEGGGS GRSTPSLAGGLAEALRARQSAMNAGHGGRDEDDW VE01_09212 MSSNTTTIRRLQQTTHHLNDVAEPTTLSLVHGGAEPTLVDLTLG ELLDEQCALRGDKECLVVPWTGARWSYTQLQHKSRSLAKAMLALGVRGGDRVGILAGN CEEYVSVFFAAGYVGCVLVVLNSTYTATEAQYALDHSGCKLLFAQEEYGKFNNTQLLS EVTSNLSNRNNLGSLKSLVMIKGPLNGFPTYDELIDAGALIPSEVLKAASIMVSPHDI CNLQYTSGSTGQPKAAMLTHHNMINNAHSIGHRMSFTPADILCCPPPLFHCFGLVLGL LVCITHGSTIVLPSPSFSAPAVLAAVAAENCTALHGVPAMFEELLSLPRPASWSCPNL RTGIVAGAPVPVELMERMVGELNMKEFTSSYGLTEASPTCFNAHAGDSIHRKLTTVGR VLPHLRSKIIDRHGAIVPVGTPGELCMAGYSLQKGYWNNPDKTREVMIRDEQGVVWLH TGDEAVFDAEGYCTITGRFKDIIIRGGENIYPLEVESRLVAHPSGLITRAAVVGIPHP KYGEVVGAFLLPAPPSSPSSSSQEEERPTDDDLRAWVREVLGRHKAPAHIFWFGDAET GMSEVPQTGSGKVKKHVLRDVAGRIVGGR VE01_09213 MDASSPASTCTISEEIHEMETKPGGETSTITAPNTAAEGTIDHV LDILDKYSHPFILVGHVALRWMGCSSSVDAGFDLVLRTSQLPAIVTSLVETGFWTIFD GVKTLEVFKSDAAWQDLENIEEKMLIEYLCDADTVLKWEGIEGIGFSYMRLWTEEAYH INIDACPLVEVPELYPWNPFLVEEEFHPALRRDDGWFYGPRILDDAAANSGADGIFNT IYQRTKGAGNTSPINILSIPAYLDALVYHTTHYTTFKLGLASVADVQINNLTKDLFLE LPHQMNPLLFQVDTETENYLHPYFAGWKRMPRYVMSLSKGRVPVNAWDPKSYP VE01_09214 MRIASLILAFAASSAVADQCASGSTLDGGNYFCQLVDAIQYSNV GHAGAYNKVTSMGSDGKCATTPVSYSGSLAPLDEEVSLHFRGPITLKQVAVYTKTSGS SKKRDTHSHSRHGHKAFHARALKETVEKRADIVTATIDGVVQTWENNYFGPGGAKATD APVAAVVNAAAAAVPTPEVASSAAAAGAPVVAAVKQKQAADPNAAYTRSGYYDAASQT LDGLTFLGNYGGSGSGVFDNVFGNSLSYLNSAGTGGAASASVLADALIGSNKEFAIFT DNECVEGDSCGYTRPGSVAYHGFDGADKVFLLEFGMPADGSSGFNADMPAVWMLNAQI PRTLQYGEASCSCWTTGCGEFDIAEVLMSGDNRCKSTLHTNAPGGSSDYFARPTSGTM KLAVIFNGADGTANIQKLSDDATFPASLTSDQVTTFLNSVTGSLLSTFSVAA VE01_09216 MKRKSEDDEENVQDPKGEALSCMSKKAKAILAAGPLVPPHYPLI EWSTNVSRSDNPVTDFLFEFIPVEKSQNNPGSA VE01_09217 MDIEQRPVAEKHFLNTTVHKISWSGLTVAIKDRRTGQTRNILDN VEGLVNSGDCCAVMGPSGCGKTTLLNALARRPINATVDGRVCINSTQLPDAAFRHVTS FVEDHDTFIGSLTVRETLQFASKLAGITSFRHESQARIDTLLKSFGLVDQASALVEKG ISKGQKRRLAVAERLVTGPKILFLDEPTSGLDSVPVFTLSATYATLQSATISSLSLLL LSAGKTQYFGARSAVIDYCEGIGVTIPQRVNPADFLLELVNIDFSQDITAASQRIADL QSLWQASNSAQLLCESILATDRSSEGLSIQADDKPGIGGQLMTLLQRSFLKAYRDIMA YTFRLVMYMGLAILMGTLWLQLDRNQDSIQLLINALLVSCGFMAFMAVTYVPAFIEDH VE01_09218 MFQPQAIPFSSLAYAASVSGNSLPSDYNFQAATLNLGLNFTDLG SFGSQIQRYNSTLDNPPTADDMATLEAPARGYQPDLKGPLVGGEKSTHDIRDEHAKAD PGYMAKASQLCQTYSHYRPLLDDANCRWRSMNFPYLGTFHNLGSKDRIDEEYGSMNLT GSIEMWCDHRKWIFEDIRGEVINLLTDLAAIHVGPQSDANSLILRRFNDEEVSSAIMY YFRLFASAFLKAISVTNQGFIPDGVGNFCKDMLEPLNIEVENLGVTILIDVLMNPMSI AVEIVYQDRSGTHVNSHLIRAEDDNGIPINPAGPTVHFLYQPGHYDILCKYTNASQSL RQHQIIDSASAASNIRFNRGGVFNRAMDPSPIPNLNSFYLPGTVNIPGLSLAALSNHG FPSTYTPLGDYEPILTPTYPFDTSVSTPNMQYVPPISAVSPHDSGTPIVPAAAPSVHS APSQPQRTRNLHVEVPLSSLGNQFRHSKYEYESDWNDPSAPIFQTSMFKNSHYNTAHY SNPNFQPEEWSPDDEETSHASRRFDESQVDVHVTLK VE01_09219 MADYRIALVSHNLEYFHISCLENMIDLPLLAPSQFKLDKGGYRW NSGRPWTWGLMLRKWFQHSGCVDLARIAEYIDAYDRFKAELKAFSTPHTKWQLTHLNQ CTADRGSCGCPPEPEGPGSPPTLEDYKTGDTKACSISEVLKHPYVGILSTKIVIHGPL CPSFLVVPEQESKEAEPDNDDGSHLRLRSVSDTPRE VE01_09220 MKVMESEWKIVKPKDKELRFYLIWRWAKEGYYWDPETGVAIERS LETGDCDRGMSCLTIDDSKGDRRLQQVRISDSKTKEVCYLEELPVPLGRVMKGTAEGE PVATISDIATDGSPVKYI VE01_09221 MKCTIFSNGRLALFALAITQFSPILAHPSIVERGSPIALGAAKT YGAIAATTLTSTGNTRITGDCGTCPGTSITGFLPGVCTGTTSAGGKAACAAEAACLSA YNNARGLASTATALPSSDLAGLALAPGVYKFPTAAATNSATLTLNGAKNTMGQFIFLI DTTLTTAGSSKVVLINGAQACNVYFIVGSSATIGESSAMTGNILAHTSIGLTNAASNK GTLCALNGAVTLINNSLTAQTVCSS VE01_09222 MVLANNETICYFKADLNVEDGLRVPRILGLVQGEDGSSYMGLLL SYIDCDGRTLEGAVRADTPKHLRQRWADQVISTLKHLHEAGIVWGDAKAANVLVDRNM DAWIIDFGGGFTEGWFDREKAGTVEERSSGQMLIGRLKKCQKVIANCLEFVKLIFQSF KLVFEPLRLLGLFKLLFKIERWKASDSPQKRHARLAGAIGGVGWTHNLIPFYQSFREA IRPGYSPATLRHFVSGLGLLQNHLKALNPFPVQPLMSHGCRDINKGMEVSKMNLNEDS LLTQSGACDIPPRY VE01_09223 MFAKEFGVDEVPGTHPGHDSFSLERPFINQRFDFVICDGQVLRT HKRPKYRERTEASRLTSSQLILALQRIRHGGTLVILLHKIEALDTMELLYLFSQFSDI ENVQPSVESARVAVIAWKKAWWNATFGGEQGVGAQRLDKDDKYAQAIIDSFSDRFTTL ARPVWKIQADALSRTDFTQ VE01_09224 MNCPRTSNQEPSNVFIMSIDTPELTHLETLPTEIFHAVVDHLPV WEIKDLSRASKRLRQACLSTLFRHVKFEFSQAGIEGLNDLLKSNVCGYITSFTYEITE LLKTAT VE01_09225 MSGQMIHRVTPLMILYPVVYIVCTAPLAIGRIVALAGNKASLAY FCVAGSMIACNGWLDVLLYSTTRADIVLTAYPPSDDIGLETFAFMGKGHTFGTVTTVE AGPGGASRLGGGQWSQGRDSVENLYGLDKIKVKGEVTTGAEKECPHPSCHVPLKNASD SRAHFQVAHYIKEPRSNCVSRKRKSEDDEEYVQDTKREALKYVSKKAKTEDEKVEVEG RNGGPTAS VE01_09226 MALDAASRSLSPLPAVLSHGLIPVSTFGLLSLICSTSLFLWLMF RLISWRCKSAAKGPMNQFLFLIYNLLFANIQQALAFVLNIHALRHNAIEVGTSMCFAQ GWFVSTGDLASSVFICAIAVHTFFGVVKDYRLPTVAFYCYSAGL VE01_09227 MQATDQAPQRPSVIELADARPGRDSQMIPAKFPSRGLAVEVVAL TTLGVATLFVVARLVTRLGLAKKSTWDDLVIVVGWILALGVTLSIVFGVANGLGRHDA DIPHESRDDFRKCVYSFSVLYNPALMATKTSILLFYLRLSKNKKYFRLATYLTLAVVN IAGTILTFFSIFLCDPITKTFSDSSGQKCIRLVTLYFAASPTNVATDIVILMLPIPIL TGTQLPRKQKIILVLTFALWLFVMIVDVIRINVLQNTILIITAGGRVPTLTDSFEDTA DFAYLVAPALMWSAVEINAGIVCACIPTLRPLLRRVVPWLTKRVGSHSSGGSPKPKTK PTSRNHTSDVQSTTDETSGATAPTENRPIHSNARDLDIQMDQMEFLTQPRTENNITAR NQTLLQVQSAGSAVYFGFFNLQPPKCMLRMSVGDSWKYCSVVTILFFMVGFSFGLLNA LNDQISIVSQYTTKQSISLHTAFFGAYLIGPFTVGWYALKKGGFKIIFIVSLCLYGIG ILMLWPSASFVSLPGFLISNVITGIGISSLELAANPFITLCGPPQYGEIRLLFAQAMQ AGGVAVSELIANKGFAPLIQKKMNLIPVQWTYFSVALFAIVIALFFYYMPLPEAGDED LQKELQPHLLPMNRAVRSASSTHIGGIRTVFLTLALGVMVQSIYLLSLEALNIWYIEV LAPPPAEQKIHGLSLSPRNYLLLAYSLMFFSRVVAGLLCLIMRPRLILLLFLAGSLAF TIAFVALPEGKSAKDPNLVAVLGIMIFLFLAPVFPLVYAITLRGMGRNTRIAAAMLTA SRCIGTVGPWIIFGAMRNRSLRRSFWVIILLLGVALVFPVYLSVVRKARFVVDWNFRD REGEERPESQRRQSGIPDIMRQSEEDEDRGWERWGRWGSWGEEKIRRASAVGTEKVKK VVVVLREWADW VE01_09228 MPPKKHLPSRPLSLAIPVKRYSPRRPTLQEILANSAPPPWTLSA FTAYLSQNHCLENLEFTMDATLYRKQYEALLANCGEETIMASTEGCEYVRMLWQKLLD AYITPNGPREVNIPGDVRDRLLSSPNHFRPPSPLQLDSAIMVVYELMDESVLVSFLNS VSRGFSNYTGPWTSVEDTSVIPTPDSPPMPPAASTRIGYGSTSSSGPSRDAQTSNSAH SHQPVQRSHLAAALKRGVKFIYPSPSSNSAKENNDSLIKYTISAGSSSSLGEFMIPLI IPPTYNSFTDTSESSSKVSRAGESDSKKMGWKLGLGLRKP VE01_09229 MGSGSRVISVAFRLMQLVSAAVVAGTLGHYLHNIHEAGVGSNRR VVYAIAIAGISIFFALVLTPPLTYSFYAFPLDFAIFVCWMVAFGLLVNLVGSRGCNST WFRTNWGWAWGRWYRVGTAEQVGHPGCSSWRAAIAWAFIGGIFWLLSFVLGIIVLSRV RGRKHEDSTHIKRQEKSHMASGMTPVYPHETVNRTEEVTQNQESLGGQRTFVQPQQGL ACSQCGTLGRLGTRFCSNCCFEQTATEAV VE01_09230 MASPLLGTAIIMGASRSSARHEVARQEQYHQNNQMANELRRRDE EDRERRTQAAIDEAIAKERQRVEQVQGGESGTQTGRVESPPYLTDGEVEEFVSDLDKN NDGFVDYEEIESKLDQVHNEIAPDAKSHHLHHKDLDDEHRHHFLRSVIGSSTYPISRS DLAEVVKGWKVPSLKPDRRAEQSNRNYMKSVPLARRLRAYWAVKGPEILFITFVVSTQ VAFGTWQMVKYIIETQWRAALGWGVVLSKTTAGALYPTMFFLIVSMSKYLPTFARRSS AISHFINWDKTHAFHIKISIVALALATLHAIGHLTGTFLYGSRPAQQDKVAAIFGEDA VPRSYRDYASSLPGWTGIAALGIFWIITLFSIPPVRKWNFEVFQLVHLLMFPMIGFLI AHGLEALLQFPMLGYWLAFPTLLVFLERAHRLLVGFHRIRAEMNVLDAETVCIKATIP ERRLWPYQAGQYVLLQVPQLSCFQWHPFTIAICEGNTIQIHIKTDGNWSLRLRDLQKE TGQSLRCVGIDGPFGAPAQRFYEFDHSLIIGSGIGITPFSGILKDMQTKADKLLLSSA MPLASTEGLPDAYINQATVSTIDTGQNTPEFVAQEKGARFVAPPAMEEQEADATIWIS RNEGFKPGDVGFFEEFAQLWSAN VE01_09231 MALIQDHLPPPDYASRALPLIALQVVLVVFASVFYGLRIYTRAR ILDTIGTDDWLMGAALFFSFALAVNTCVGTKFGWARHLSAIPRENLSPILQNIFASEI LFTLTTSLVKISILFLYLRIAVTKPWKRVIYGSIAFIVIWTIAFCALIIFQCNPVSDY WDPSSKNCYPAEIALFLHGLTNTITDIYVYVLPMRLVWKTNLPKRKRLELVGIFGAGF LVCVAGGIRLYYTIATQTSDDSTWVGYYMYTWEAIEVNLGIVCASAPPLKALTQRILQ KHFSPCTDSTPSVGNYQMSSRQNRHRNTFRAKTKGPIGDDVNSAREMDWVDNESQENL AKVHLYKVDATQRV VE01_09232 MDAFSDIKPVPPDVILSWPKPNYTDPDRRGPALLIVNCFLLPLA MVVVGMRLYTRLIIVHSAGLDDVFILTPFLIAAVGLTIAVCLAASQYGWDLHIWDVPE ADIIPGRIVTWVAQLIFVAATNFTKLSILLFYTRLSTVGIRTPFDYAVWGTIVFVILY IISFSISVITACKRCTNSQAFVYAAAGFSIFEDFIIMMLPVWELKDLNLNLRKKIALI FLFALRSFACITSMIRLKYIIAYGTSVDVTYRNVDIVLWSVLEDYVAIICAGLMCFRP LVIRFLPSMLPTTSLSESKNPGSQA VE01_09233 MAFPAVQRGFCTTIKLKETVLGKDHLDTLTSMNNLAIALKEQGK YAEAAAMHLKTMQLREMMLGKDHPDTLGGMNNLTVALEKQEKYAEAEAMHQQTLKLRE TVLGKDHPDTLTSMNNLAILLRLQGKYAEAEAMHRQTLQLRETVLGKDHPDTLDSMNN LAVTLEKQGKYTEAEAMHLQTMHLIEAALGKDHPDTLTSMNNLAVTLEKQGKETVLGK DHSDTLGSMNNLAVALEEQGKYAEAEAMHQRAL VE01_09234 MANKNRFHPVIESSRVNSEWKADPGLSNLIHESDGCSPGVNRSN KDEPLPESHLAQEVVDNIFDYSTNGNAYLLLPTHVTGNQRFSWEHVPRRRLPILVRLL FR VE01_09235 MSYPESVRGDRLFSFKQYVCVVTGGRTGIGLMATQALIANGARV YIPSIVCDVTNKEDLMHLVGQIEKRERYGVDLLVTAAGSEGERSTAPKMDAKELQQML FAEDQMKWISTFNTNVSAMYFTTVAFLPLLQKCSSDGHAKASVIVVASMSGLKSHLSG YFSNSASKAATVHLSKMMAYEFKDFNVRVNCIAPGYFPSNDKEEGTAHKGRPSKEQTL LDPAGEKKWTESEIAQGVLFLAKNRSVTGEVLNIDNGEFLRNPVH VE01_09236 MQSDRITILVGPTEKSFSIPEALLMQYSPPLGRMCQSEFKESQT WIIKLLEVKISTFEDFFIWIHVLEPSVNVESLESVLDLAIFAELYIICHLKNQTSDIL RAGFFNGRWELKPRDVSTVYNNVPSRSIIRDLCSVSLSLIRRHTDPLKWKKVFEKHAE LGWDYFREVQTA VE01_09237 MSLSRARNEDTFWRSDSLAQISGPVEPALLEETFSEKFSAICNA FPDRIAIKTPNSQLSYKGLDEQSEALATGLLTLKIKTGDRVVISLGNGIPYAVVSYAC FKIGAIAVPLNPAYTPDQKLNTVGGMLPHTFAKIVSRDDPTRTLRYGEKGELLISGYC VMAGYWKDESRTSEALNEENCPGEKKERKIWFRTGDEALIQPDGYIRITGRIKDIIIR GGENIYPPEIENVLLQHPLILNASIVGLPDVIYGEVVAAFIIVKGDGNEEHGAAAGSL SKDSVRGWVQARLSKMLVPKHIFWVLQMPLTASGKIEKYKLKDFGVQWLREKETGYVG LET VE01_09238 MERFDRALYQTVQYHPSLCYGIVDKTPDREAHFLRLKVVYKEDV AEFCGQTPEEARQNDDDTSTIKLLEKSHARILSEGHCKPAWRVVVFKHGGQWGSESQQ LGQSVQKLSIAFLASHAIADGLSHTNFHKTFFHFFNSSQPEELVWPYQVPRDLRCPIL LEEVVDLQARDENDNTHINYDSTNIWSGANMFLSSIEEYESGLRLVNLPLAQLGKVLQ FCKSCKITLTGLIHGLLVTYLSRAIAPGHMFRAVIPYSMRHITKVADDEICNHASALV VDSPDELASAIRTTPTNSSQELELIIGIATDYRRHMAAELARSPKNNVWAGMFGIDDW YGPSLSQLGKKRALTYELSNFGNVEVVESDKLQGAPLSLEKVVVSQCGSVTGPVFCCN CISIPGGPMTITLTWQKGSVEEDIINNFAEYLTERLRAGFDSVSQKE VE01_09239 MLLVSVQTAEGKATPGPPHHWLYGHLPIIKKIKEKLPSDAHINL LHATLAREYNLGPIYYLDLWPSFDPMVIVLDPALAAQATQLKNLPKHPMYRLMEYTVG TQSIITTTGQQWRFWRKVFDPGFSSTHLATLTPMVVERVQVFIKKLEAHVETGDVFPL LPLTKSLTIDVIGRVTMASDFNTQQQSNEIVDAFLLMPKYIPPMGFDPIRLLSPTRIW NARHYQRKLDRLIGEVVDQRFRERRAGKVGPSEKSLVHLALDTYEQMGDTGDANLVTD PVFKRNAIHNIRGFFFAGHATTAASLCYLYYVLYRNPDVMLRLRQEHDEYLGVNPDDA SARIQAEPTLLKRMPYTTAVIKESLRLFVGAGTMRNGVKGFSLVDPSTNTAYPTYRDG PFPILVRAFPIHRNPANFADPDLFDPERFLGARVTTMTKDAYRPFEKGSRDCPGQELS MMEMRVTLALTIRIFNIEAVYPKDSPEVMGDPAYNVMYSSAGPAASLPVRIKLAKREE EG VE01_09240 MEYFKHIELSELDQIMPRLYVRWLLCFPILKDSPSKERIIDIIK SGVRTTLEALPILTGNVVPKSSDPSRVEVRVPTSEIKRDFKLQVKSYDEVEDPTMPTY ERLKKGNFPVSELPDKLLAPANTPSTPVFDLQATFIRGGLLLCIKCHHAVIDGAGLGL VIKLLAQNCYLHANPHIPGEAPSLPSMERSVLPHGLKDPRLNELGFNILVGSKGTETA AIKEYEPMTSHIFKFSANSLRQLKAACITSSVNFTSHDVLTALLYSAVSYARSLRLSK ICDQRSSVPSTMGIAVNGRRRLKPPMAGYAGNLTLYAAFSSPLSLPCDPIPSEKSTDI TYLSTRLNLPYLASQTHATVASVTEPYILSTMELASSLSDVSKLVPSFSDFYQGTDFF ITSGADLPVFDHEWWPGGFVEEMRIPLKAQWDGSCAVLATKDKSTGLDVLLGLREDDM LVAKRILFAFGAYIV VE01_09241 MSSYISQWENTDPAPFVRESLLGVLDGKVGCIKESQFVSRDIAQ KVEDELSPKLSPYLDVPGPTLLRVGVAQFEYQAISDDQLNQRSSDEKEQYFLETKKHE KLHENLRKVVGQNIWRTIIDKIASLLPEYDVAVASERTGEQYFSGIFRAINDSTPIHC DWSPYDSRTEDWIINQVTKQAVFNLYLTQVKGGKTEVHDCQWTEDAMEFRDRSSYGYL EKLVEGRKKVTIQPQPGDLCIFNSRNMHQVFPVEPEIGEDGRSGQQRARLTLSSFMGL IPAKSSGERPRLIFWS VE01_09242 MAQAVRTAVLSTISSPSIAAGKTSEWLVLLADKPGVLERRLQIR PVHSKNFVRLHESGFVSWAGPVFEEHVIEGTRPFIGSIMVVNAPSREIVKETLQKDIF VKEGIWDWDHVRIFPFRTILRQAASKTA VE01_09243 MPQDSSPDLDYWIDRLHDKDQCIFPTLNDGVKELNGRQRVDVRT PGLGPLNEFCQNHDLKLSTVFQTAWGLVLRSYTGVDDVCFGFREDPSEILPCQMSLTR ESGLKHALDTVETTFTRDSNHRTCSVKDMEDVLKLGRTGIFNTIVNYRNTLGPFGSST CNGQRNDQKMHCHVVHGDSDNGNHEDDTPESQYMIAVDIKLSPKFIWMSLSYRLSDLS KGQAANVASSLEKALSCVLSGAQETVGEQHLFSEHHQRQVNEWNRDRPEWIDLTLHEA IATRVRSQPDAPAIRAWDEEWTYHEMDELSSRLARHLVQIGVKTGMRIPLVFERSGWW VIALLAVSKAGGAFVPVDPTQPVLRLKEILQDIEPQFLLSSSQYSGLLVDSVETTVVV SRAALEELSSKTRSPIPLPKVSSKDVAYVMYTSGSTGHPKGALLQHGGYLPALLHWIE NTSLGPGTMALQASSYAWSVCIIETICSLWQGACLCIPSDYSKHDDFTAVFNDMQITW AMLSPSVIKTVQAESVKYLRTLILCGEPVSLEVVSKWTSEKTKVWVGWAATECPALAR PDNFTANSDVQNLGPCKAVCRVVEVGNHERQVPVGAVGDIVVHAPWIAAGYLNDPEKT AATFLDRPDWLNGKPSSYGSRWYKVGDLVRQNSDGSLILAGRGDNMVKIRGQRFDMSE VERNLAVDDHIRNSLPILPKVGVCKQRLVAVIALHDFTPKPDEGKDEITILKGSELRK AASWVSKFQEILAKRIPSYMVPSVWIMVKSVPLTITGKIDRVALKRFVEKMDVETYEE ICTLGVEREAPTTPMEIRLQKIWAEILGLPLEKVGRNQSFISLGGDSILAMTVGARCR NNMLLLRAQDILRFGTIAEVALHTTPVEDTTRDELVLATQFDSLRGSTKDKLHQVGVM DIANVEDAYPCSPMQQGMLLSKARSTGNYNTSTVFEVRPRSVVALSAERLKDAWQQVV DRHSSLRTFFVESVSQSGSFDQVVLRNYNTAASVVIWDRANIDRTEHAIRMFDGHQPE AYASHQPPHHFTILHTTTGRLFFRMNVEHTLVDGMSIAILVRDLITAYDGNLRLQPTH LYSSYVASLQQIVCSIDNKYWKTYLDGMNPCLLPNLSYSLSREPQRRESRSLSVEIKN PHHLLKFCQSQEMTLSALFRTVWGLILRAFTSSDEVCFGFITSGRDLPVAGIEDIVGT FINMLVCRMNLTETSLLKDIIATAQTDYLSSLPHQHASLAQIQHVLGLYGQRLFNTSM TILKEVPLSSGENPSVDFNTIHQWSPNEYDLDVQVWVSSKTVKMELWYRTEWISNEHA RNIASTFSQALDAITDEPDQRVGQLDLFSEHHREQVWDWNARYPDTIHACLHDLVTEQ ALKRPQEIAVHSWDRDLTFSQLEAYADRLAHYLVSLGVGPEVHVFLCFEKSALAIVAI LSVLKAGGVCVSVDPAHPTQRLQRIINDTRPLSCLVSPMHRGVFDREGLINLVPQVVT VEESLFASSRLATVPAGKACPTVGPKNAAFVLFTSGSTGIPKGIVHQHQAIASSLHAH GNAMHVGLDSRTLQFSAFVFDVSITEIFLSLTRGGVLCVPSEDERMNNLESAIVRMSA NWAHLTPTVASLLNPEKVPTLKHMALAGEPLKKVNITEWAEKLELVNLYGPAECALAT TLRVGLVKDDRPDNIGRAVGLLTWLVEPSNTNRLVPVGGVGEILLEGPNIAREYLKDK DRTLASFIENPTWLQGEKTSPPRRFYRSGDIARYNGDGSIQILGRMDTQVKLYGQRVE LGEIEYQLKLNLSNQKLVNLAVVYANSPEHPGGGLLASFLEFEQKASEVDQNQLMVKI SQELKTLLVRLDVHLADALPSYMVPSIYVPLNAMPLLTAGKIDRGRLSRIVTQLSTEQ VKLYSLSEFQEDKGKPRTRMERALHSLWAQVLNIDGSSIGVYDSLFRLGGDSVVAMRL AAAGREAGITIPVASIFQYPKLSEMAAIAKPLSERTFQELEARYKVRRDMVQHIYPCS PLQEGLIILSSRQADTYVIQRAFRLSFETDVEKFHAAWEAIYKQHAILRTRIIHVEKT IGSMQVVIDGSIRWRSARSLEAYLKEDKSSPIDYGEPLTRYAMVDDHEGKRFFVFTAH HSAFDSQSLSTLFSEVTKAYESLVSLRELTASDTTPYKTFVEHIFNADISAAESFWKD QFSGLNFSTFPKLLANQHPLADHTLDYSMKLPRSVNELGSSVSMIIRAAWALLLAQYS DSPENVVFGMTLDGRDDSQSVPGIATVLGPTIATVPVRIFINTKHTIGDFLEETQAQA DAMRPFQHFGLQNIRALSPDAAKACDFQSLLAIQPLRKEGENHPTLLSDYVTTRDASS TYLLLLECQLKENGVDITAQYDQKITSDSQMQRILHQLEHVIKQLLAEDASTKQLREI QFYSPQDAKDMHSWNQSLPPLQDTCVHDVIAKHAALRPGAMAVCSWDENLSYHELDEL STTLAHHLVTAFNIGPESLVPLCFAKSAWAVVAMIAVLKAGGGYVPMDPSHPTSRLQE IVEATRASVILCSPQYEALSRSLAGNSFIVSRKTLSYCKASEGAACTTVKPNNVAYII FTSGSTGTPKGIVMDHGAFCIAATEHGKRTNLNSESRVIHFSSYAFEACILEVLTALF NGGCVCVAPESERLEGIAKTMRDLHVNWAFFTPSFIRTIRPDQVPDLKTLVLGGEALG ADNIEVWVDHCYLVNGYGPSETCVFSVINNNVRRGDTPDLIGSSVAGACWIVDPEDHR KLVPLGCVGELLIEGPTLARGYLNDPDRTNQVFVENPELLSRGLEIHGGRTNGAKANG HPDGYINGKLTNRSTKGTAGHTALNGQSTGRRMYKTGDLVCYDTSGNADGSIRFVGRK DTQVKVRGQRMELGDIEHHMKSNLKSIQHVAVEQVGLEGRENRYLAAFFSLEGQALPP TRGRERQLPMSMSRDLKASIVAVEARLGETLPSYMIPTFYVPLLRMPLLSSGKTNRRE LRQIAAQLSEAQISQYSLADGQKRAPNTEREIALVKLWASIFQVSQETKIGLDDSFFR LGGDSIAAMRLTSLAREKKILLTVAEIFKNPRLEDMAASSLSLSGNEDTKMEPFVLLR ESANVDELLRKIQRQYQITKEVIEDAFPVTPLQEGLMLLSIKQPGSYMSQITLSLRPD VDINHFKAAWQRTAERNSVLRSRFAHTGSQTIQLVMEESIDWRTGSDLETYRLRDKKV QMELGKPLTRHAIIDAGGDERYFVLTAHHSLYDGWSLMLIMEELDHLFTGGPVKPAAP PYATFIKHLRNIDLDEAKSFWQAQFSNKSLSSFPEPRSVTQATAETSIVKLTEITRPA GSDITLSTVMRAAWALLVARYASTDDVFFGATLMGRNASLPNIERMTGPTITTVPVCV SIDGSQTIDKFLDQVQNQATEMIPFEHTGLQNIKRIGPEAEKACNFQNLLVIQPEGSE DIKSNLWKESALFAKGEMVTLTYALILECRLYKDKVRITAQYRDNIITTSQMQRMVHQ FEQVMHKLNDAIPGVRTVNSIEVFSKNDMDEVLEWNKETSVLSNSKDCIHHTIERQAI QRPHAQAVDSWDTSFTYAQLNKLSDKLAHHLSALGLGPNQFVPLCFDKSAWTIVAILA VLKAGGAYLSLDPKYPINRNNHIIQDVEAKVILTGSQHQKLFDPSAYYVLVVDREFIE SLSDPKAKQSNFRSPNNAAFIVFTSGSTGEPKGIVMEHGAFCSSSREHSKALLINSES RVMQFAAYSYDVSMGEILTTLMQGGCVCVPSEEDRMSRLATSINALKANWIFLTPTVA GFLQPDEVPGLKVLVLGGEHATADNIKTWSEVLHLINSYGPAECAIWCACTTGLSLDA DPASLGKSVGASIWITDATDPNKLAPIGCVGELLVEGPTLAREYLNDVKKTAAAFIEN PEWSNDGLGRRRRMYRTGDLVRYGSNGNILFVGRRDTQVKLHGQRIELGEIEHHVMRY SPPGWFPVVDILRFSEGERDAAISAFIQVRKVSNDNPTSGEITLPVTETMNTALNQLR SELEQVLPTHMIPAAYILVRQVPLTAGGKVDRMALRKIGESLTDHQLLPYLLAGQGAL RAPSTETERRLQKLWAKVLNMSEDSIGLDSNFLRIGGDSIAAMRLSAIARENGLLLTV KAIFTSPKLDDMGKNTTPISSVQGSETTYTPFSSLRVPDAAAFLEKIIHPQLPSVGEF DEIEDVLPATDYQRWTLGCGQLKTRGYNNYFIFHMRGPADPQRIQSACQQLIDHHPVL RTVFVTHKHQLFQVVLKHVQAEFTQYEALDILGFLQSVLESDMRRPVDLNNPSIRFLL VKQGPDEYRLMMRASHSQYDGISLPILLRDLKAAYLGESFSSSLPYSRFIHGSSRVMK VSDAEAFWRKELKDSTMTNILSHTKPAYRNPVNTSLKQVIQVNSALSGGITFATIFKA AWSLVLAQFSASSDVVFGQISTGRNAPIQGIDQVVGPCMNLLPVRVKIDSASTFRDLL RQVQSQHLDMSPYETLGFQHIIEKCTSWPKWTRFSSILQHTNFNSGMGDVDIWDNIEM KLGSFTPDHDVSDIWIWTGPSDDGFSIDFTYSSEAVSESLAQGMLDKLCDTILKITKN PEASIFSVLSKIQPKFPSSLANEKADRAGNFFHPEYISGGPLESIVEKVCGSVFGDEN DKLPVSVTIDTPYFELRGDLIAATQLSMAFCTFNFQVSPEDIIDNPTMRLQAAMLNAL NISLFSK VE01_09244 MSATTTAHCASHPVGLKSNFKHPFKFLHEKLQPKINNVKVAFSH KRHELGKLGNTFNPNHRHDEKHEKITDDKRTRISSGHPFQSFAPERPGNDVKWYVDGR DYYWAVSIALERAKETIYIEDWWLSPELFMRRPPCFNQEWRLDQILKRRAEAGVKIYV IVYREVEAALTCNSKHTKQVLQGICPVGSKGYGNIVVMRHPDHNRFENAADRTLYWAH HEKFVVIDYHTAFIGGLDLCFGRWDTHQHPLVDVHLGGIFNEIWPGQDFNNNRVMDFQ NVEEWKSNALSKAEYGRMPWHDVAMGVLGPCVVDIAEHFVLRWNLIKRDKYKRDGRYN WLIMEGRDGRDEDLIGVQRPNFPVGEYVSHPLSPLSSKALGNRGTVHAQVVRSSSDWS SGILTEHSIQNAYSEVIRNAQHYIYIENQFFITATGDKQAPIKNTIGKAIVEAVVRAG REGRKFRVIAVIPAIPGFTGDLRHGVAVGTRRGPEVGTRAIMNYQYQSICRGEQSIFE QIRAQGVDPAQHIFFFNLRSYDRLRATTALEPHGGKHGVKNREVQMAEAQQTTDSGIH SAGDQGGDLGRHIPNYGDYDEEKRTNAKRRHETAEEPVGSNRATERTQSVAKNAMYQM PKLEDELQGCNTIGEVDSWVQEELYIHAKLLIADDRTVICGSSNLNDRSQLGSRDSEL SIVMTDTEPLESKMNGQEFVAGRHAATLRRYLWREHLGLLPPQDLDARREANAQPPGI PNDPHQGDTYEFVGDPLGNEVWHMWTSRATQNTNVFSELFHADPDNSVKTFKDYDKYL PAKGTKAGHVYDRTLPRKDILRKLDLVKGHLVWMPLEFLKDTPMSEPGIQFNSLTDYV YT VE01_09245 MPPSSLSNQRRSGLGHSSTVGPGALMGSANYHGRIRFHDGSPSW LFRVPGIASFAVGLPSSLVVYLILSEYATLTFLETTDRSTSNSSIQLRYWRQLHPHGR TTREPMDRPRSWRYALLPNLFRYGRNQSPTNRHITIQASIFNPS VE01_09246 MHLTKVEILNQDIDPEGNTPSYYRMLVNKKHFKYITIDPGIYEV DDLCFPPILLEKLPPFPEGDWNCGRISQTAGSPTPFFAETFKENLPSINPLWHSKFYP YLSFEIGERLRSNVYMASSPQFEKPIVAKFARFHWEIGYYIAETQAYSWIEGHNIGPE FLGYLTEDGRVIGFLIEYVEGHHATISDLPACEAVVRRLHGLGVLHGDLNKHNFLISE RGAILIDFETAKQSENNEVMEREVEGLKGQLLDESGNGGVVLEA VE01_09247 MASPISLTKDEFRLLTWSRPELTRMTIQPQSLQRLCPLDNGLFQ PRADYKANNATSFSNIGDLDALPLEIIHSIFSILDLRSLTDFRALSWRARALVDSFPP YNEIVHHSPDALRALLSTHMAVHFTAQDIFDALCTQACFGCGQFGPFLDIIFGKEGIQ SELEDAAHVTDLIKSARAIY VE01_09248 MASGPLSLNVILRQKLKEPSNQSTTLASRPGREQATNAAVSSVA SRTRSFHSDDFDIERVTPLLKAVINNESDDIIWDKAYAAVTESTPPRPLPFLNQTPWS NATSSIVNSSEHRKYANEALKEELGSSLYIGVPGFVDAFFGGMAELDSISKAVFAKCQ QGGNPLFTEGRGWRDWPNEAKEPDVLTWFDSRAEAGEVFVTQDTRRFVLGFTLCGSVM RLWEFDRLGGIASSPFDVNQDGLQFVSAVLGYLLMERTQLGFDPTILEADGERYIEIM RDGRKERLVLDKVMKRVDCVAGRATTCWKAHRADDDAKAPLVIKDSWQYPEREEERDL LQEATEKGVVNVARHYCHETVHVAGQRDDDQNNVRKALDISKATNYFVQRKKADSSML PPKPFSKDDAIRRGRSSADTIHRKRSSSSLNAPLPPAKRTYSSSPTKREHIIDTHNRI RRRVIVCDYGTPIYKARSVVAMLAALASCIEGHESLYTKAGML VE01_09249 MINQEDGNPSWQAFLIDLDLAVRDKREGPSGARGKTGTRAFMAI GVLHDNEKHSFMHDLESFFWVLFWICIHYNGPDEKLVVPQFDKWNYVHMEELAKLKLG TVADEEIFRQTATDYFTPYHERLIPWVNRLRRAVFPGGRKWKEEDRDLYARMMEILQE AQKDPNVAD VE01_09250 MLDRIPAEVLRLIVEKISTQSDLKSLCKVSKYVAEFATPCLYES ITLHADEMLDLDDLKHKIELCSNDNVKFTKNICFKAPLHYNLRKRCLHYDSELPEHLA DVINMDYGSEVDMSLDPFWDLSMALIDWMCTFGDNNLQSLSLNLGCCVPNEILNYPGN YWTGKQKRIQSISLLIDGVAFAPFEYEFGHSFNMMNLSTLQLRNCPSSLELLGALLEQ GITPKLKSFELIIDWDCLHHYSNFDEVQTESTLKRLVLHERIISNGEVVDSGIPWHNS GELLFHNSRLSCFSTSGPISEMKSSFAELPVKPRCHILHIKMSWIDHHKKFKEEFYNP NPEHSGFNFEDFELVYEKGGKFLSYPQAETFNETVDTAQT VE01_08961 MKPTQIIPAITFSTLVASVSARAVFNANYEDGSVSSGYPTTGSY RVDVPGIEPGSATAKDAFYVVAGGAHDTAFAIANKVVLDDLTYVGNGFPRSEFGIGGF PGAIYKDGDHGKYSFSMLFKDLVPSVKGTRDAPAEDVVWQFKHHGGGHDLHLALIGKN LALGWGGNVYKQVVINDVMPYVNQWMDFRFDVLWKSDKTGQFTFDMKLPGECRFGHTV TKKNLQTYVTASPDGTPWTGNGSIQYGVYRHSANSTAGDAKTLIVYHDEITAINFNNK PDPFN VE01_08962 MAISHSSPPNEAQLPPYPSFEALRAKKEPPRITLDTTQRLFWPL DGPLSTSISIMKDASSPDSLEPYFKQTSDGAGIWHPVSQMPLTEPKEDYWLEDHEEHS SPGGSGEPSARYGELPDLGPEDEWEEGSDDHLLICCDEVRPRGKAVKLVVKPAAGENG FITVHDYVSAVHPWLASIQEDILNSMGMFQRPVPLAAEPMVNYNALDRLMMENKPDWI QEKRMRFLIKAFEEKPSDYKLAWEKLQAEVEQNIRLQATKKAATIAPSSLLLLHEAME IFGFTDGNELILTGEANPVPQYLIDSIARIKHVTGGDSLYNEAYTRTILDEIIIGCVY EENIASQRGRSESPPGEPPAVCHSHPPKPQLIIEEPAQLELSHETPFYSR VE01_08963 MTNDLKVLFSFLLFVIAVSATPRGPKLPFKLPFHTDGSPHIRSA HGDIVRLVGTNWPGHQEAMIPEGLQYSSIKDIVSKIRGLELNVVRLTYATEMVDDIFD NGGDVTLKDTLNNALGTANGTIILNQILKKNPQFSPKTKRLDVFDAVANELASQGIYV HLDNHVSKAIWCCGGSDGNAWFGDTHFDVSKWIRGWKYIARHGAKHWPSLSSISFRNE LRKPDVDRGDPYDWYTWYTHMTEAAAAVHSAAPNVLLVFSGLDYDTKISPIIKGLPLT GTSGTSSAGKSVVFQPSTLPYANRIVLELHKYDFENTHASCEDFGNSLYNAGYSSLNT TDPATKYSFPVLLTEWGFIQNGTYWRDTTYNKCLIAFMEKWKPSGWMQWELAGSFYVQ TRATTVQDADETWGLLTHDWSAIRSQVTVDESLSKMVDATLR VE01_08964 MPEKTVVVTGCSNGGLGAAMAKAYQARGFRVFATLRNRVKVGSL ADIEGIEILELDVTSEESVYQCAKAVEKLTGGSLDILVNNAGISTIMPLLDTSVDDAK KMYDSNVWAVLLMTQAFAPMLIKSRGTICNISSVSSELVFAWQGIYSSSKAAMTRISE TLRLEMEPLGVKVVTVILGGVETTGNNPDNRKDLELPANSYYQKIAAIINRHNKALIH NKKQNVDVAANNVVNDVLSGRTGFVRRGQASSLSWLFNTFLSYALTTYLANGESGLAE LSGR VE01_08965 MANRIADVIASERKSDTRIAQLEGRIEIMLSAMQSMAGSSGSSA EILRLLDEERIPLSTSRINDTPATSISTNPSISRVPKPATDPSPMVQARIDLSSPFPY SPSPPEPSLRHAEECLEFFRSQMLPYFPFINLIPDITAWQLHQNRPFLFQAILTVTTF STQKRLARSEGLKRILFTSALLEVQSNIDLLLGLLTYLAWSTDAFLGRADLVSRLMML AISLGQDYGGDQNPSDETVADFMERQRAVLACFVLSSNISSHLGRIDALRWTPQMEEA LRIIETNTACLPDKAFAFQVRLQLLKQKAAHIREQNEIDRSRTSTASATTSMPGLLYL KTLREQLHGLISSFPPDIVQRGMGDAQHIGAFITHAHYVELYINQLAYSISCDSPPLN LSGPRSDGGHLPGFERLKCLWGSVESIKSWLDNFHKFSPSDLVGLPFHFWSQMILCVT ILKYLSVLEDPAWNRQDVRNTVDLVAAIDLMIQKLDRVGIESSLQCDDSLFKLLSKLL SKCRVWAEARLSRASQMQDVEAGVNQGADPGETSQSSSIPDIDQMMWMQSMDLENDQW FEEALNLPSPFF VE01_08966 MTSITSTNAIEEETYDDYVAEEFYPAHIGEVIKSPKAEYEIIGK LGEKTFSTLKITISHPPTTTNREADIYTRIQSLSSAHEGKGYVRELQEAFEIQGPDGR HWCLVHAPLGVSLQEWQRGCEGGRLRGEVLRGVVRCLLVALEFLHEEAGVVHTDIQAA NILLTLPTTTPIHTFLAAEQSHPSPHKKVNPHRTIYRSRRLSVALNPPPGVPTLTDFG NAVRDAHRPHAGLIQPLMCRAPEVILRMPWDERADVWNLGVLMWQLRFNEHLFDGVTE GEQLRYMIASLGPPPKEFVLKGRLGVREVYFDDDGVWKGAPVKPSPIGGSLEGEEEEF LDLLKEMVRWVPEERKSARELLEHPWLASGTDGGDEEVGKQ VE01_08967 MDVNTNQFKLESLPSISTTPAPSARPTIPYAQFPRPTLHDPDAS FDAPDPDHFDYDYDYDDHSDASAASSSTLRRGSFAAMDSTTTSQHRRRRSTLTSLQPP NARGQRSTRIRDGLTEELSWSQEEEPWSQDESKSNSDEAGGHGNNSSDDDNHLQDDEE TGLTGTSRRQKRRRRNTLLDQRVAKESEITKEERKEADQTVIKDMAINGLFILLWYIF SLSISIYNKWMFSGEHLNFKFPLFTTCMHMLVQFSLASLVLYLFPRFRPRADSLTHPD SVYTPEEQRRRDLDTAEHKPLMTNMFYLTRLGPCGLSTGLDIGLGNMSLQFISLTFYT MCKSSALAFVLIFAFLFRLETPSVKLIAIIATMTIGVVMMVAGEVDFSPIGFTLVISA AFFSGFRWALTQILLLRNPATSNPFASIFYLAPIMFVSLLAIAIPVEGPSNLFAGLKI LIDSKGPILGPTILLAPGAIAFCMTASEFALLQRTSVVTLSIAGIFKEVVTILAAGRV FSDIMTPVNLGGLAITIAAIAGYNYVKIMKMRQDALASTHLANLGVGGRHEPLSADDE DDDGAYGMGQREEGKAQESQGLLAQGSGAH VE01_08968 MSSAGLSEGERRTGTGGYLPIEDYGLIGNMRTCALVGIDGSLDF MCWPDFDSPTVFGRLLDAERGGYFNISPTKGVQYTTKQQYLPSSNILQTRYIHEEGAM DLIDFFPRPKNTSVLSKQKQMPFRETVIVQDELKKWLVRRVECIRGFVDIDVEIFPAF DYARAEHTVEIHIPTRGHAEPESKTVTFSSKDLKLQLDVTIDKGDEDSITCPLLKFTT VKKDKLLGEGVVAHIHLEEGQAISFVLRDDIPNHVTPDVTTEVLDTQQHDTQAFWYSW ISKSKYKGRWREVVNRSLMILKLLTYEPTGAIIASPTFSVPEAIGGVRNWDYRFCWIR DSSFTIYILLRMGFTEEADSYMDFISDRFRKSRSPEGALPIMFTIRGETDIPELELSH LSGYRGSAPVRIGNGAAFHQQFDIYGELMDGIYLYNKYGKPVTWDQWVSVREILDYVL TIWKEPDMSIWEVRNNKQNFVYSKIMLWVAFDRGLRLSEKRCLPCPNRAAWMKARDEI YEEIMEKGYSHTLKCFVQSYESGDALDSSILIAPLVFFISPNDPRFLRTMDRILLTPE KGGLTSTGLVYRYNTAQSDDGVGGHEGAFSMCTFWLVEAMTRAGVYDKKYLARAVNVF ENILSFSNHLGMFSEEIARSGEQLGNTPQAFSHLALISAAFNLDRATDGRWGRV VE01_08969 MSRRSSRKTAIASYAETPDREIEKGVIEIDEAVSEETAIKKRGG KTAAVKKESPAAAAANGKTGASKTSKPTASKSKIEAEISPPPTKRKIKDEDSDDEEEK IIEKPAKKPVKKRKTKEEAENDAMPVAARTAVATLKRKMYIGAHISAAGGVQNSLPNA LHIGANSLALFLKSQRKWTSPPLAPSATSEFLSLSKQHSYDPSKHILPHGSYLVNLAQ SDPEKAEQAYTCFLDDLARCEALGIGLYNFHPGNTGGQPRGEAIGRIAGMLNRAHKAT KSVVTLLENMAGAGNVVGSQFEDLGDIIKLVDDKTRVGVCIDTCHAFAAGYDLRTPEA FEKTMNEFNSIVGASYLRALHLNDSKTPLGSRRDLHANIGTGFLGLRAFWNVVNYPSF EGLPMVLETPIEKMVGGKKVGGEDRGVWAREIKLLEGMVGGEWGEKEMGAEERLQEEG REERERCGGQVERKAQKTLDGMFKKGKGKKKVKVETDDESEGGCSH VE01_08970 MSASDDLINFDIIEAQKENIQAIPSGRSARALANLFSASPLQPL ATPNPSDTKNVNDAVRADFEAELELLAESDDPLDIYDRYVRWTMDAYPSAQATPQSQL LPLLEAATKAFLSSPQYKNDPRYLKLWLSYIRFFSDSPRETYAFLSRHGVGDGLALFY EEFAAWLETAGRWTQAEEVYNMGIEKDARPAPRLLRKYNEFQQRFAAQPEESRAPSSP ALPTVRPALAAKIDPYASAAAPPADPQAPRPNSGVGGSQTSRNGRPKLAVFSDADEPA PVVGGSGAKGWDSIGSLAERRKENVVEAKPWAGETMKAGAKKSTAPKMAVFKDQSIPI SKPQISSSEAHSNSIIAVKEQVTINAKGRSERIFADLEAMYPTPEIVGSERCSEELMA AEKGWLDMNWEPEVSHVVVDQENERFDVFVGVEEMLPKQEPSLDRSFQEKLVIARDPP MVDENGVAIEPPKHGRGRRIKTMEVNETQIIKTKLSSPTAAKKVKRRANAEPTMTFHT KAATDDIYELFNQPLQSEEVSEEEEESESESEDDETDDEYTTEAESTGTGRLVTTSEA GDDETTYTKSEVAAEDTSDVKSVSEWSEFTARKHIPGMEDEDDDDDDTRASHLSEDDH DDSIVESSQDHDDAILESSQDHDDAILESSREADSTSHDDEDEAFEQEEVSTPISPEH PESAVRTMFVPIPPEDYVAPTRPFRDASQVSQNRLPFMTPIAEKTESSLGAFTARAEK EEFISKTPSKANGQQASSIFRSNDRLDSSPFQEVVDEPLPLTEKIPPPALGVVTKNKA VPSTKPTTNGAAFSRDIVTKGPIIQDQQCNPVDDYTRTQIFAALQPPLTTYDGYFDHS EETHGKSAELKKFAKAVAKMKKNASEKTTTNIAMPPMLRFPGVEREYMVKRELGAGAF APVYLIETVSDSDDTTETNSNAPIMGRGAFSLHHRQPLEALKMEDPPTAWEFYIMRQA SRRLGVSRAADSLIHAHEFHLYRDECYLIETYRDQGTLLDLVNIARADSQQAGGVMDE LLAMFFAIELIRTVEALHKNGILHGDLKADNVLVRLGAGGAGWSTQYAADGSAGWSEQ GVALIDFGRGVDMKVFRPDVQFIADWKTGPQDCAEMRELRPWTYQIDYHGLAGILHSM LFGRYMETVADARAALPGQGAGKRWRCREGMKRYWQGEIWGDCFDLLLNPTAHVEGEE GGKMPVLRGLRGVRERMEGWVGENCERGVGLQSMLRKLENSIQGRK VE01_08971 MLIVHDLQNKSSKWVSNRRQGGYNFRPGLIVMKFGEQLRTSVIR EYQWYYIDYDGLKAQLKTDTSPSTDGGKGKRVEWTTENEEEFITTLYLELEKVHTKQR VKANEIGRRIAASEREVTEVVARLDNQGPVTAEGHSDAPTEEEFMLLEEDLSDIIADV HDLAKFVQLNYTGFQKIIKKHDKQTGWHLKPAFATQLKAKPFFTDNYDADIVKLSKLY DIVRTRGNPVKGDSAAGGSQASFIRNTTKYWVHPDNITELKLIILKHLPVLVFNAGKE FDKEDSAITSIYYDNPESWDLYEGRLKKTEGAEAIRLRWYGGMATETIFVERKTHRED WTGEKSVKARFAMKEKNVNAYMKGELLPPAIFEKARKDGKKPEKVIAEDERLAKEIQY SVLKKGYVPVCRSFYNRTAFQLPADARVRISLDTELTMVREDNMDGRKRSGNNWRRMD IGIDWPFSQLPSEDVERFPYAVLEVKLQTQSGQEPPGWVRELISSHLVEAVPKFSKFI HGTATLFPTRINLLPFWMPQMDVDIRKPVTHHFGIRRPGQSANSSTSDDDQDDESDDE DGHEFGHASQDSTVRDDNSEETVRHMLEARNVLEQQDLRRRAQMSTGNTLDDEEQIAN RPLTDAYVIYESDDEDGPNDLEEARRVGGWPYYSSLLRHEARAVRDNTAKFVKKLVAR PDISMDNAIQNRLGQTVTERMFKAPKGKKIHVPVRVEPKVYFAAERTFLGWLEFSIYI GTIAVTLLNFDAKLNSMSFVAAGAFTLVAVASLLYSVAIYLYRAQAIRERKAVQYHDS WGPSVLCGCVFIAILLNGWFELKERRIV VE01_08972 MYPTRLCRLGALRPNLAKHTENLLTYRPFSTRAQWNDQTPTRSQ RGDQNAPRKSQKRASANSKIFKVSDEVREAIETGKPVVALETTIYTHGFPYPDNVALA THLESVVRHNGGIPATIGVLNGVARVGLSEEELTELVNPSSKTELMKVSRRDLPFIAG LGLTGRKINGGTTIAGTMLLAELANISVFGTGGLGGVHRGGENTMDVSADLQELGRTN VTVVSSGCKSFLDIPRTLEYLETQGVCVATFADGRKGDIDFPAFWTRESGVRSPMVIE NAEEAAAIIHASELFRANGMLFANPIPKEWALEKSKIDQAIEAAVAEAAEKGFHGHRN TPFILSRIKELTEGKSVPANRALVESNVAIATGVAAELAKLRNAESHTSVLHTPVISP DEFRYTKEAALYGVEVESPETTLRAPTPEPVQTSPKVPKPASPQEKVDILVAGAVAVD VSCNYKPFDKTADSQPLIHTSNPASITETTGGVGFNVATAAQYASKTNSVQLCSLIAT DTAGQLALSTMNEFGLRSDGITTLTADANTKTAKYVAVNTTGNDLFVAMADMDILGGA RDDFQIQWQSKLDAAKPKWVVVDANWHPSAFKQWITAASAAGAKVAFEPVSTAKSQVL FPEPSTAGLPLPVFPKNAINLATPNTLELTALHSAARDRSYLERQDWWEVVDALGIPS SGARDRFVQLTSRALVDAGVPQMTVQLLPFIPSIVTKLGSGGCLLTELLTPDSPKLTA PEHAPYVLARSNNGTDFVGGVYMRHFPAEALGVGGVSSVNGAGDTFLGVLVAGLAEGV ELDEALVGIAQRASVLTLGDAASPIIVLWGLLSYVRIIPSYSTNGKRALRMSKAIVAA ATTQLILAISTVTAAIMSSTNWVMGLTRPCGLLEITWKFPPNVARAVSAQALLGLDYI HSCGVVHADLHSNNVLEPQKLPVERLDENPNGPEVPEYCVPPAFIFESSEEILDSQII IADFGEAFFQNEKRRELHAPELLLPPETFSDDYAGPAIDVWMLGCTLYEILGERPLFD GFMPDKDHMLAEMVSTLGELPKRWWSLWEHKTDFFLEDGSWKKDTHRSRSPYSRPLIE RLRIMGRWEDPEICEFSREEMASLEKLLKQMLAYEPSGRITTGAVVESE VE01_08973 MAPIKNATEEGTLADYELEQFYPVHIGEIIKSPTTGYKIIGKLG YGRYSTVWLCLELSDNTFYTIKIATKHNGGRREAEIYEHIQALGSQHVGCKHVRKLLE AFELPGPHYCLVHNPLAMSADEFQETFPDSQYPPIILKPLISCLLMALDFLHSEAGVI HTARNILLGLGSASPVLDFVAAEIEHPRARKVIDERRSIYSSRALPNTFPPGHPILCD FGHAIKGSPGEKHTAWGAEADIWNLGALTWQLAFGCQLFTGTTEDEQLAAMIACLGTP PADFVQRC VE01_08974 MSPLILTNGDRDGAAESPSDGSLKAPPNLYESSTVAEIRASLAA LHTRDSHITTQLRTLLNSQADLSRELGRLDLLRAHLGTQVVNSRSISHGMLDGAARSA AGLSSKVKALDLEKTRVEETLGVVEQVAELKACVQGVMGSMGAPQDWEAAASYLARAS KIPDHIITGAFAARIVPSVEIPDPPAVTLENAKESLCGLFLREFEKAAKEGDGGKVTR FFKLFPLIGRGERGLDVYGKYVCGGVSERARATLREATGKQIDRDRAGEGKDGFFYAN ALTKLFEHIAQIVDGHGGLVERHYGDGRMVRVVERLQQEADVQGGIVLDTWGDERGIE RRLTDVKSYPFSFLVQSFLPSHRAAMGTTRTASPGVGGDANPRRSEDEGGVDMKEIDG ILNETGMMLGRWSLYCRFLASKTKEPGTSENAPLSMPPFLTKSALSRKISSRLTTPFD IFSTFFFRRSVEKAFQLDETPSGLSLNPSRPLQGQAPYIISAVDDVMYIVSTVLQRSV STAQRDVIASVIPTVGRVLGSDFVGMVQRKMRDESYPKPAVQGGLPPEDKIIAFIVLI NSLDVSNEYISRIIATQLKPSETGPNEAPKTESKLHDLFPFDHDSVFVENTLHNLETT FSSKTTELLNEALTVLFNNVVKPRLRPIISDTFRDIEYSLSPGELADVALAHDLEVDD DAFRLMVPRRFEAGWDALMRPLQRLMTEGTYAQLLESTATHLGRALEKRIMTYAGKVN GVGGVRMERDYAGIVSCVAKGGSYALRDGFARGAQVLMVANMEEDEWEEVLEAERDGE EGITWVIAPEERAKARGIVRV VE01_08975 MSWARLQRSGSPGAPLLPLQSIPIIKTEGDDPYTPYRERSQPTR HHTRSPSSVLKTIADDYATLTPAETAARLQSSLTHGLPTSEAFRRLQDHGPNELPHAP PEPLWLRFAKQFMEPMIVLLLCSAGLSLLVGNKDDALSISIAVTIVVAVAFVQEYRSE KSIEALNHLVPNHAHLIRAEQPRQQTAAWSPLNEGVTNNGMATDLQDETAVEQASTKV MASTLVPGDLVLFTTGDRIPADIRVTKASDLTIDESNLTGENEPVRVMADAKRPVPSR SPSRANSYGYNLQPATQPHPNGPEITDPDTQSSNRTNIAYMGTLVRSGYGQGIVYATG GQTHFGAIAASVTETESPRTPLQVSMDALGAQLSQASGVFIALIAVVGWFQGKKILDI FTISISLAVAAIPEGLPIIVTVTLALGVHRMARHNAIVRRLPSVETLGSVNVVCSDKT GTLTMNHMTTTKMWYFGARKVLDVETDDSESSPDSVALHILRIGNIANNARVTNLHTA SVSASSRAVLSSTQSNHSPSDILRSRWVGAPTDVAMMDLVDRYKESDIRDALGHRLGE TPFSSERKWMGVTLPGDRGRDANSSEREVAYMKGAIDRVLSRCDTYVTSEGREIVLDS ARRQEALEAAEKLAAEGLRVLAFASGTVARSARLSATSSRSNTPMTKTASGPHPPATH DDDVYNGLTFAGIVGMSDPPRYGVTKSISTLMKGGVRVIMITGDAEATAVAIAKKLGM PVAAPRHHTSSSVTVKPVLTGNEIDDMTDEELKGAIGSTSIFARTSPDHKLKIIRALQ ARGDIVAMTGDGVNDAPALKKADIGISMGLHGTDVAKEAADMILTDDDFSTILHAIEE GKGIFSNVQNFLTFQLSTSAAALSLVFICTCLGYRTPLNAMQILWINIIMDGPPAQSL GVEPVDADVMAQPPRRKGAPVLTRTLIQRVLTSAFIIMAGTMLVYTHEMLDGAVSKRD TTMTFTCFVLFDMFNALSCRSESKSVLRGEIGLFSNTLFNWAVSLSLIGQLMVIYFPW LQEVFQTEALGIFDLISLVMVTSSVFWVDEGRKWYKSQKKRGIGGYSQLV VE01_08976 MFYSEALLSKTGPLARVWLSANLERKLSKTHILQSSVKDSVDAI VNPGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRISSNNDIPAGL HMPSRDTLLLPDVLTEGDNLEMPPLPDASFLLSQMEDDTLNTPRKRRAGSINLLEDFN QSQYLQNSMVESQKYPDDEVIMADDLDLDLDFGEDIDLRADGSLEMGRDAPAERELGD DIGDTQLDIFGKDTTLLGRDRQQSVQVSIAGDDDGFHFQGDDGDINMGDADNFPLGDE SLHMTALPGRERISESPLSDIDPEQEREMLEEHARNEESALFEPIEETETSIFREPAQ RARKLKLLKPDEDTIIPSAVIKAQQQDRSKILRPESFLPRDPTLFALVEMQRNGGFVS NILRDGRSAGWAPELRGILSLDAILSSRAQKRKRDSGIADMSGDEDEHAAKSPRLQLD LDLGEEDAFGNISAAAGFGAESQANGTILEIPADDGFVPMNDDDDNFGRPDSRGSERE RDGSEDPEQNFDETRAPLVHPADSGPVSLGTTHAVHLLRDRFGAEAANSPEKRKKASV MFQDLLPERGTSKADATKMFFEVLVLATKDAVKVEQSQGELGGPIRVRGKRGLWGSWA EREAGGEIAEELGDMDQILEQARGLVSVDA VE01_08977 MLMPSTLSAAAAALMLAATAHGDNFTIINGQIFTPGLAIVDAPQ PNTPLGGEILQVAIDVSGNGKLGLPPYSDSAPSQLHALTLFLTSYTTGLNLTIANGTA LPATSSNSPDGIVMTQEPGSTVKHVNWLWPACLSGDGQPKATGLVSDGGSARGAYNIS IHQSFRLNDTEYYTVFNLPIAVTNRISESANQSSCESLTNLLLGWGEVVGETVVLTPD QYPWTDGSGVQVSVPSGDGSDNGGSSDGSDKGDGIGGSKPDANAGDGLGGGAGMVAMD GRLTVLAVIFALGLVAM VE01_08978 MSSPAKRRQKASAAGTPKRSSQRSSQAPPSSPPDPSAAQLLGEA ASSSQASRGHGTPRRQIKSSSPMNYQSSPVAGRQDAASPFSRGTPDIAMTDGDRTPRA TGQLMRDSSPIRYASTSSPGRDLRQQSDAPTESSGLFLRSTQSIPPSSSGLNRSSRGD INPDRSAASGVRKRIFLDENGNVVHNVPDGSDAPTFSNNDPTTSDADALGGGSGNIYN TVWGTNISVIDASKTFEGFLRNFTKKYRMWADGMTVAETQEDETSESKVYMDKMKDLL ELAHSSLHIDFMDLKAYPPTLKLHHQAQAYPQEMIPLMDQVVHNIMVQLAEEEVKRER AAESQSTNGLSQAHHIPSSDMPIPSSDRGDMEMTPGPTQPTQSRKKRDILAEVDEAKY KVRLFGIEKTINLRDLDPSDMDKMISVKGLVIRTTPIIPDMAEAFFRCQACNHTVTVE IERGKIAEPTQCPRTVCKSPNSMQIVHNRSNFCNKQVIKLQETPDSVPAGQTPHSVSL CAYDDLVDLCKAGDRVEITGIFRCNPVRVNPAQRTLKNVFKTYVDVVHIQKVDKKRMG IDVSTVEGDGSSTDADGDVEGKRKISDEDIEKIKVTAARPDIYDLLSRSLAPSIFEMD DVKKGILLQLFGGTNKSFEKGGSPKYRGDINILLCGDPSTSKSQILKYVHQIAPRGVY TSGKGSSAVGLTAYVTRDQETKQLVLESGALVLSDGGVCCIDEFDKMSESTRSVLHEV MEQQTVSIAKAGIITTLNARTSILASANPIGSKYNPNLPVPQNIDLPPTLLSRFDLVY LILDRIDETNDRRLARHLLGMYLEDTPQSAGNMEILPIEFLTSYISYARNVCQPRITE EASKELVKAYVDMRKLGEDVRSAERRITATTRQLESMIRLSEAHAKMRLSLEVTRDDV LEAVRLIKSALKQAATDARTGLIDMSLLTEGTSASERRRRADLKNALLLLLDNMVRQG QAARYSEVQKRLNEESSIPVEAPEFSDTIRLLEQEGSIMVVGEGPRKSIRRVAGA VE01_08979 MAPNMSLFSVQAIIILGTEDGNRIFAKYFNAPHHTASSHGQSAS PSTNPYPDVKAQKAFEKGLLEKTAKQTADIILYDNRIVLYKCESDIMMYVVGGMEENE IMLYNVVLALRDSLHLLFKQSVDKRTIIENYDLVSLAIDEIVDDGIILETDPLIITTR VSRAPAQDVAQLKGIDLSEQGMNNLAQFGKAKLGDWLRQGL VE01_08980 MDRPHQSESASGRSSLNPVQNQPSVKPDGCRETVVLKVGPHKFG EFLQTADVRSRLKTWTVDSDNSDTMRHMETAAKAIRDGNYPVAFPTETVYGLGANARD GRAVQGIYKAKGRPSDNPLIVHISDLDMYTEMAKGLIMSQETSLYVARYNELINKFWP GPLTLLFPKPPNYLAPEVTAGLDTVGLRMPDSALARTLIKLSGVPVAAPSANSSTKPS PTAAKHVFHDLNGKIQYILDGGPCNIGVESTVVDGLRDPPLILRPGGLSIDDIRECPG WENVQKGYKDESEIGGSAPRAPGMKYKHYSPNATVILCEDGFSPTPQSTPGMKFLDLG HIKKSGDEQQSEKDESATEAPPTNLNVGILRTKHWNKWLGWEGNLGGEGTRILEHYKN GTATINGQAELDYSTAKLFHCDGADSFCIKLVDVHLGRDTQTIASGLFAALREMDKRG VDVIFVEGIEEDGGGIAAAVMNRARKAASERWGQNEKGITKHIN VE01_08981 MRGRKAIAASLTWALSISSVYSAPSAAVKECEVADHEVTFKTIY VPPSNYNTPKTLYGRTVQLEDGTLLATWENYSPEPPLVYFPIYKSTDKGETWAPFSNV TDQVNGWGLRYQPDLYLLPQAIGDLPKGTIICSANSIPTDLSQTKIDVYASVNGGKTW SFVSTVASGGVALPNNGETPIWEPFMMVYDNQLVMYYSDQRDPAHGQKMVHQVSADGI TWGPVVNDIAYSTYEQRPGMPTVAALPNGQYIMTYEYGLGPNPAGSGTFPIYYRISSS PLTFDSAPGQILNAGGTVPTSSPYVVWSPSGGPNGSIIVSANSHAEIFINTNLGDADS WVKYATPQSRAYTRHLRVMDDPDYLLIMSAGFLNGDNYVTDSVMKLPNL VE01_08982 MAVTEIGCMGVKPGLNVMDESTQEGQVLMGAWKAVTSAPGGPHQ VYWGLEVEDPSKLWAFFDWDSVEDHENFAKSSGRDAVKDLPTILSHGEFTKHVAVTPS PPLALQAPVTEIMLAYFSSDISQAQKDAASANVREFSDKGLNKCSDIRGVSFGWGVEN DFPVKGGEEGQTGSILAAFIGWTSIDAHMKFRETDAFKDNVGLLRSLEGVVKLAMFHV SCQSLKKTAE VE01_08983 MATTLSGRGQRSFSAYDVSIPEITDNVYDGKSNPSGVISLGLSE NHLIHGNVVDFINKHFKIDEFTATTYTGTTIGGVGLRRAVAKHINKYFNPTVPVGMKE VGIANGVTAICSMLAFMLGDVGDGILLMRPIYGKFENDLTIVAQCKTLYANMGDTDPF SEAVVEKYALRLAQAKADGTNTRALLICNPHNPSGRCYPKATLIALMKFCARHNLHLI SDEVYALTAFKTADPSSAPFTSVLEIDTIGIIDPRYLHVGYGFSKDFGAPGLHIAALV TRNDEVQQAFEAIGLLHAPGGPSCAIVLAMLEDDNFVEDTIKLSRIRLAENYELVTRM LDKAGIAYWKGGRAGFFLWIDLFRFLPPPEGTQRDTEREKMLAGKLLEGGVFLNPGAE WAERPGWFRLIFSHEKGKVEEGVRR VE01_08984 MVQILGPFALELGVGTYAVFLFAAYVVSVAVRRRYFSAISDIPG PFLASFSTLWEIWEVIAGHIEVTVIALHEKHGHFVRISHEEVSVSHPDAIRAILLTPL AKIDWYKVMALPDHRFQTPMSEVNPKRRVERAKNVAAGYTLSSIIKSEPQINDAIELL EKRLDELAEAGQPVDFDRWFNYLAFDVIGEVTFSRAFGFLETASDIGGSIANSRALTL YVAIAGFFLTVHDATLGNPWMGKLGLTPSQHIFDTVSRAVASRKKNTEARTDMMEHWM QAQAAHPERFGETEIQAVASATVGAGADTVSATLQAFWYYLLRSPEDLARVRAEIDKA SEKGKLSRVVSYAEARELPFLQACVKETFRFHPAVAHGLARVVPEEGLTIGDRVFSQG THLSVNPWVIHRSTEMFGADANTFNPQRWLEPRAKDMEKYMVQFGAGYNSCPGQNLAR MEVSKVTATLVRDFDIRQVDPKHEWSFKSHFTAVPYDWPCHVTRRKALLSNLQSSFTS RKHKMVGLDLVHASNAQLRELGPGLVALFVGATSGIGEHTAKAFVKNALSPRVYIVGR SESAAERIINECKELNKDGKVEFLKADVSELAEVDRVCAEITKKEPHINLIVQSQGNM NLRGRDESHEGIDRKFTLNYYSRMRFISNLLPLLQTAATKPPHFSRTLSILSAGSEGK LDFEDLELKNTFTRPKCATHTTTMNSLMTEEFSKRQPATTFSHSYPSAVNTGLMRELP VWARAAAKVLSPLIAVFSVSQEETGARQLFIATSGVYPPAKPLTNDTLATGVPAPKGL HTPMLGANTIAGSGAYLVNWNGEATGKQKLLNEYRAENVGATVWEHTMGIFERVAKIN QARQ VE01_08985 MVEIKSRGQIAPLVVCAAFIVITVASVVLRVAGRRIKNIALQAE DYLIFVALVFVLGLITCDIIGVTHGGVGRHAADIIAEDGPQVLVAFLKDLVAIQMLWA TSLMFIKLSILCFYIRIFNVKPFIMASIAVAVLVVLWALSVILCGFLLCRPFEYNWDQ SIEGSCGDQIKSYIITGALNIVTDALVLGLPMPMIWRLKINLRSKIALTGIFTIGFFI FIISIIRLKSLITVSYEDITYSVPDALIWSMLEPSLGLTLASIPIMRPVFSKIFPDNT QQGNTGLSNTNPTSNSGLAPKNFQRIDEYPLDPMRPDFSENRTYVTEGRGPFYDMESQ KSSQSEAELVTSNIKVKQEWDVRRT VE01_08986 MNRTILIQLRRQALPVSTKPLLCFPRQYMSTAAYADARVLSEQP HEDAVTQALRILQTAPIAWSSSGSAAFDFRSDVVTKPTLSTLEAIIRCSLDDDVYRED AATLSLESHMASLTGFSGAIFVNSGTMANQLALRTHLTQPPHAILADSRSHILHWEAG GIASLTGAMVQTARPSNGEFLTVEDIKKKVVLSDDVHKCPTRVISLENTIGGIVQPLE ETRKISAWARENGLKLHLDGARLWEAIAAGRGNLREYASCFDSVGLDFSKGLGAPMGA VIVGGADFVARARRIRKGMGGAMRQVGVLSSAARESMESIFGPGPSGYKDGGLLRRSH RLASRVAGMWIEKGGRLSRRTETNIVWVDLKAAKVEEELFNEMGAEYGLRLDGSRVVL HYQICEDAIGKLEMLFSRILGRVSRG VE01_08987 MNLLEKGDDKDIEALSGLGIVSDDKSTITKSTIVEVELDLELEE TLKKFGLRLESDGCLNWQMDASAHPRNWSVSRRAFNTSVLLALDLYTTAISTSGPSMA QAAMKEMDLGHIKSLIAFSSMYQFGQALGGVVFPPYSECFGRKAVYIATTLIYCLACV LTAEVPTMTGVCVGRFICGIMSAVPSVVVEGSIEDLFNMKSRVWIIFLWACATTAGLV LGPIYGAYISAYCGWYVSYPTDDVDTVINWRSNRKWVLFTGAIVTGVFTLLLLFIRES RPSRLLAQQAARLQHEKGLSYPIHSADATPNLATFSRIALIRPLRLFFTEPIVFVCSL MMAVSWALIYLFAESLPSVYASLGFTGPSTSLAFIPLLLGIPLSILFRIHDQRVLGRR ECANLALNPEQKLTGFVIAAPALAVGLWIFAWTVPPAVPHLHWAVSMIGLVLVGFATN EFACTLSGYLADSYTIYASSAFAALAFLRAILAGVFPLFAPRMYSAMGQNLASTVLAA VATCFCGAALLFMKYGKTVRQKSKFAGHSLVVNRATQVKGDNVE VE01_08988 MGSTSTAEEYDLVVIGAGLFGLAMAKTYLQVNPSTRLLVLDSGK SLGGTWCAERIYDDLYTNNLVGTLEFGDFPMDFETFGVPPDAHVPGLVMHRYFTAYAQ HFGVYERIKLRSTVTSAELLQGGEWHIKYDVAHGADGEGTEQHELIAKRMVLATGATS TPNIPNIKGSDEFGGHAFHFKDLSKHANDLSEADNVVVFGGSKSAVDAVYHNASKGRH VDWVIRDSGRGPAWLLHSYVSPLKLQFEKLATTRFMTFLSPCIYDDGYPMIRRLLHDT RIGRALLRFIFGKIDHGSVEETKYNDHPETKKLIPHGSVVWIGTNVGILNHPTDFFSL IHKDLVHVHISDITSLSKSSVHLSNNTTLPADALIYGTGWSHAPSFPILPASLAPKLA VGPAPPTDPTIAAADAEIMRRFPELKDQPPHGTSIDRERANEPAYRAYRHAIPPAFLS SRNLAYCGLAAIGLRGFWIAEMHALWITAFFADKLSVKLPGEEEAARQALLESRFFRY RASNGLGAKSADMVFEIVPFIDTLCRDLGIETKRKGGWREIFEYYGVHDYSGVVGEWM KKENLSGVGEL VE01_08989 MSFFKRSSSKERSESRWFTNSDSAAFKPIPNDEMAEFKGESSRG SDDLEMMWPTPAASQPLMGPNFKIDPRIISDAIIGLSDGLTVPFALTAGLSTLGTRTV IFAGMAELIAGAISMGLGGYLGAVSEGESYEATVEQTQARIAKNPENVAETVSDIFTA YDIPTPLIDSLAVHLADSPKLVDFLMAFEHTQPEPPSSRAITCAITIATCYFAGGFLP LLPYMFVAEDEVMKGLMWSIGVMIVALFVFGYSKTCFVCGWRGKRNVKKGLWGAGQMV LVGSAAAGAAMGLVRLFDKLSS VE01_08990 MRSTTVLSVAIFAALGLAAPSKNGPGSPASIKNLKEKVKNVVHI VMENRSFDNLVGGQTFTKDIDTPANNGPFCNKVNTTDPHSKTFCTRPRDFDSIKDDPD HSVHGNNFQLYGTFHPNNADVESGKLIANNAGYLEQHLRSHPKLTDPDYAAREVMAYY TQDQVPVVTELCKEFVTFDKWHSCVPGPTNPNRMCIHTGTSGGRGTNDKTFDQSTITE RSLFEQLSSQNITWMNYDGTNGAFNSDAKFYQWTIANGKDKTNVRPLESFFQDAYLGK LPQYTFINPSCCGLDTNSMHPTGPISTGEVFLKQIYDAVRASPQWDNMLLVITFDEAG GFYDHSAPPKAVRPDNLTYTEATPDGSTYTLNFDRYGGRIPTWIVSPYLAKGHVEHEG VNSAGKTEVYSATSVLRTLGYLWDFEPFTPRVEASPSFDHLFQKSKNNAAPKTMPIPR AF VE01_08991 MRNHMAAGWFYSPSQIGRYIITRPTSLKPPMTKLRNPITILREL DSHQWLMFIVGFISWTWDAFDFFTVSLTITDISKAFGVTKADVSWGITITLMLRSVGA LIFGVISDRYGRKWPMLINLFLFIVLELATGFCNTLPQFLGVRALYGIAMGGLVGPAA ATALEDLPYDARGVLSGIFLSGYAIGYLLAAVFTRALVPTTANGWRSLFWFGAGPPIL IIALRWYAPETNAFQVIKAEREAKHSTGSNGGESKFAALRTYGKEAKIALANNWFLII YMVVLMSGLNATTHGSQDFFPTFLKSQLGMSPNDITIVTVVGQLGAAIGASVLGYVST FAGRRLTMMTAAVMGGAILPAYILPHTRSHLAASAFFEQFFVLGIWGPVAIHLMELSP PALRSLLVGLTYQLGNLVSSASATIQAIIGQRYPLPPSPTEASRFDYAKVIGIFMGAV WAYDAFMLFIGPEMSQAEREEEAEASLEFERLRRGGMSLAEVGARRGNGKLEQEMADR EITDKEMADERRVEDATAAGEAKEVGNSTV VE01_08992 MKYQGILVAELLTFVFSTGVVGVSSPASWATSSDGVYKLSSFET PVKGAGNPGSGSTWELQVDDTSSGHKQSITGFGAAVTDATVSSFSALSESQKTALLAD LLTTSTDDGVAFSLFRHTIAASDLSGNVYAYSDEVDPDLANFNLKTEGKDMVSWLSQF KSINSAIKVVGSVWSPPGWMKLNGVMDGTTTNNNLDHKYASQFAQYFVKYIQAFKSGG VDVDAITIQNEPLNSQAGYPTMYVAADESTSLIQNNIGPALKSAGLTTEIWAYDHNTD VPAYPQAVLDGAGSFVNTVAWHCYAENNDWGVLTQFHEKNPNVAQYQTECWTSPTNTW YSTIDFVMGPMQNWASGSIAWTLGSDTNNGPHLPGGCGACRGLVVVDTSAGTYSKTLD YYLMGQFSRFVPRGATALATTGSTDYGGGQKFEAMSFVEPDGSRTVVVQNNFGNEVFL TVKFKGGETWSGKVYKESLTTWQLPPASG VE01_08993 MSEKASRSSRGKAEGAGSSRQNLSAAHLEKRRMADRESQRAGRE RTKNHIRHLEKLVESLQKTEDNDRLGALMEQCQELRGQNEQLMSVISNIGRLCKSIEH PDETRARSGSSPGVAFKASMEPGLHQQPLHTGTAATDMRPSFPPHEGQPEPWWHQIGL SEMEGMHQVPINPVLSSIDPLLPNPNSPIQAQSPIQTLHTVPRPFPAPEMPTESTAES VNNILSKAELFTVLSTQPDEDTDIAIRAVLNGWNSVKHTDSLDDGWESISKVDQQAFI SCGLIERLAILQVMRLKLRHVVDPTNENLERLPTFMHPRPTQNLIQHPTMVDYFVWPD LRDLLILSPYKSMKSADVVKFVSIFVKSIRFQWPYDLCDSFTKNPHSGLYAFSDTFCR QFEDIRSWMLDSDFFVMCPQMIGYIPIHNPGPGRVLGGFLDQGHFEQEQGARNNTEPD WSDVLIPT VE01_08994 MSNTAKALPVNGELHDCWGYKFRWTELHQTEDQLKPLLYTYDTL GSEVLEQIQKHKTASGKATTGPASRDDLFTTVKELALSGEDEVINKFWKEVNTIPDWV DWDQIKRGQDVFYRYGEAFLIGGTFSSLVAGMGAARIAETLARTGGFAPKVGRKRMFE TTQHLLNVTKTLESIQPGGQGWLDSVRVRLLHASVRSRILGMAKTRPSYYDVESFGVP INDQDTIATIATYSSQALWLALPSQGIYLKQQEIEDYIQLWRLVGYYMGTPTDVFKTA VTSKAYFDSVLEADVKPTEISKVLANNIITSLADQPPHYPSKDFLHAQSRVYNGEELC DALAIPKASYLGYVKAYLQVVLLMTVAYVFRSFSFLDKWRIQHNRKSFWEMVITGIHG LGGETSFKMQYEPEFDAISKLEKVGRGASVATKNIITMLAVVIPMGAVGAMYAFAK VE01_08995 MEESGLGLLSPLRFAAGTALLVALQQWPRRWLLSGAPVLQFFIL HGNSRGHRILPLTGLWPMFTTLHLIYAIASTSWLLYWVFALLCYQCIFLTCLLQYQVV GDFVRKKSRFLLKQLHFIDDKIAFFNIPALEIDTDVDGLMVLRGITFSLSTLSFTVHG VEVGIKLSDDMELAIQTEKVTVSLFRRIDIGDCFANVKGGQYEMTFGCIPGNTHDAQG NAIFVEDTPLLRAASQSGGWRGRSITKMTKDLTNNHAPDDASIGSGFESMEKLPLDNE EAAERYRKVLQFIRETSSVQESRAYIHKLSTTSNRYTEKGCDIIDANALRASICSELH QMPSVPHPPRRSIKVTTLQNSLPPPARRFLHRLPLLLRSLLNILSYFHPVTISSITAT ASGRWISAMLEKEIFQGYWEHDNEIRNLKERISLWLTDANFTVELGSFTGLAQVPIMS SYDIICQLDFKDLMAYRTLPREANLNRVIQLGGADATFNVPSFLLPHHEHIIPPISSN KERYDLKTNIDYASSKPKRVQGEYDLEQAHRDEANVKLSARVRLPAVFDQELLDCIAA LVKVTKVIEMESERTGMDNEVSGLKDFTKALNRSAKEGFKKAVVSGVVNDRWIARLVG KITKKLETAHGDVGYSTGIPVALGSYRKPYLETEGDKLLP VE01_08996 MDDQLPPPPYSVHNPHDTSSQILADSVGLVPQESNDLSASSIGA NSHFASRPPDQTPPSRIISHRIVLEPDSSRDEIELPPLFSERGVDEQDWFTFCNHLFP DHVLAGNNQKGGTSYELDEKQPLYPSSKNANGLGNLGLSNSTTGPKDGDSEKDYVRRS NIHKVVKEWNSGFFEPRGLLVIAKTSVPLSSKLGDLNSRSIFGGTIIAKAAARGDFKV VEGLLLQGADPDSSALYDAAANRHPSVVNLLIQHGAKVDTKPSTTHLVASQGNARTLA TLLKYGANPNHKNWTGNSPLFDAAGRGDIPVLKLLLAYGANPNYANTGGHSALYYAIQ RRDTTVIQLLLDYEADPNYRPWTGQPCVHLAAAQGDMNTVQALISKGADINATPTMGE TALGSAIYRDNLEMVHLLLDNGADVNIKPLTGQSPLFLVASRGEVVLLRLLLEKGANL DESPPGFPSALYMAIHREDLETAKVLLEFGANASTGNSLNVAVDHSNVNAVKLLLDHG ADPNSKPPGGQTPLYSSAAKGDTVSMELLLKKGAKVDWTPAGFPTALYMAVAREDPKV ARILLSYGADVNATVAGFGTVLDRVMKSGSEEIRQLLSLDKSNYYPFDKEG VE01_08997 MSSSDPEKHVVQSPVQLASNSLDEISAATKHRDDNYELYKASRD LETDPAEAKRVLRKVDYRIVPILVITYMLQYLDKNSINFASVYGLKDGTHLEGQDYAW LGSIFYFGYLFSQFPSGYLLQRLPIGKFLSIATICWGIILITTPACSSFAGMATNRFL LGALEATVNPGFVLMMSIWYTSAEQPLRLEIYYSTNGVATMFGGLIGYAVGHIKSGLQ KWMYVFLIFGSISIVWGVVSLLVLPDLPSTAKFLTERERLIAVNRVAANRQGVKNHHF SRHQAIQTFQDPKTYILFVMAVGAQVPNSALTSFTSIVVGSFGFDTLGTQYLQIPGGA IQFVALITGGYICTRWPTNTRCATMVVANTICIIGAGLLVGLPSDNKWGRLVALWLCY FQSLGFSLSLTMVSSNVAGYTKKQLTGAILFTGYCVGNIIGPQTFIDAEKPGYHSAYI AMLVGYSVKLSMVFLLYAYMYTSNKKRDQEQAERGAASDDEEREAIERGMLDVTELDN RGFRYIL VE01_08998 MHASAYFALQTIATLGTLPAIFAKNVVLPIPGGPLQLGTATAVL TDHSRPSWANDTRPRALPISVFYPVGYAPCSGGYLSEYVPQIVSDFENKYFELYGVLA KIDYAAFKSQMYHTCSGGKHHNEYPLLIFSPGYERTRLLYGALAQAVAKAGYVVVTID HPYDADIIQYPNGEVITTDHETNLTDAELVEIRVEDVSFVLNQLSDKKIARKLVPYDI DTSKVGMYGHSAGGAAAANALISEPRLIGGLNLDGDVTEPVTLVGNGKPFLQFDHGTH THFNSESLSQMWPLLRGWHEELSFNGTTHSTFGDLALLVNLWGQGNSGNESQVVSHLD GKRVTEVLRVVVTDFFKFLFTGKESKLLKCHNEGYPEITCAITCTPSPEVDCVPE VE01_08999 MPRPRTHDSENCWQSVTDPRKRKQIQDRLAQRARRQRLAVQHHA ASSPTTGANCHTSTQESSALGAAARQVIPSTRVVVPLTPNSALDPALDLHQDIPTTVY AALFTNGVILSLPCAYASPLPSCSPPYPDAPPDLQPTALQLSTPHARWIDRFPFPRMR DNFILMTVGEEEGVGAASLRVLDQEAFIGDLFCMESFVIREGGQAWDSADWTIGKRFQ EKWGWLFC VE01_09000 MSATTTTKPTILIVPGSFSAASHYDTLINSLQALSYPAVVSDLP SASRLPPAPAASMADDAAHFHGIAESLADEGRDIVILTHSYGGVPGTEAAKGLAKADR EAEGKKGGVVRLVYLTSIVPPLGGSLISTMGEVSEAITINGDYMTLDPAVIGPATFSD LSPDLALEWGKKLTTHSLPSFSGKITYPAYRHIPTSFIFCERDLIISPDLQRATISFL ESERGGEGSVSVVKLDTGHCPNVSVPEETAAGIAKAIEGVSV VE01_09001 MIINEEFYKHHLQVVSSAIQSARSVGVAIHTVSLLHFELPYYHS WEQPNLRPLSESLRQLLGNIKVLRLHGVDDSVLELLSRCAFDLHQLDMCGVVAPEKVI KDFFETNKKSIRSIGFHDVGILGLNRLDSNTPLSAIVQDIDYPTSVKGHCELSHWNIE SYIGSIGLDPIGNTERQSPRQYSSEHTMLANDAAQQERMQQTDKDAERAVSGQTDEAQ RLIFEDLNTGEGAHLVIVSTATPGNPITARNITTRNRTSKWLGQMSDESLQTLSHNKV DALSTSTHFATNKVLEKLNVGFLQHGPRRIL VE01_09002 MRKDEGLLQTAITTIGLAVPEQIARDSATSYVTDVNDDLDTVAY LDSLKMLGNLCSDQGKLAEAEEMYQRALKGKEKALGAEHTSTLRTVNNLGNLYADQGK LAEAEEMYQRALKGNEKARGPAIHLLTAGDGDRWERDFSARQ VE01_09003 MQFTLLAAALAVFATGAIADNCKPGLFYCGSTLVSKGKYQAQID QAIHDAGQQEIDNGKDDLFYCVGGNQGVIDWQKRCAGGCIDAGTDKSDHC VE01_09004 MRFSPTTLAVSATLASLASALSSSLQQVTAFNDGPTKAGMYVYV PSGVSGPAPIIVAIHYCTGTANAYFSNTQYANLADTHGFIVIYPNAPSSGGCWDVAST ASLTHNGGGDSLTIVNMVKYAVANFGGDADRVYATGSSSGAMMTNVLAGAYPDVFKAG SSYSGVPDGCFYVAGAQPGQDTPGWNSQCSGGTLIKSAQEWGDQVRSYYPGYTGSYPK MLIWHGTADTTLAYPNYAEALKQWSNVLGVSQTATNQNTPDAGYTQSVYGTTLVGYSG QGVGHTVPVHEAVDLAWFGIA VE01_09005 MQSVFHRTLRRSPGASPQFTSLLDSGRPLPTATRPTTFKKTRDL FRLDGKVYVVTGGGRGLGLTMAEALAEAGGTVHCLDCRPEAGPDFLEAQARAAADTEC VGSLHYSQVDVRDVPQMEATFATIAAQNSRLDGLIAAAGIQRVQPAIDYSQEHMREMM DVNYGGVMFAAAAAARQMIAHKTPGNIMLVASMSGKIANKGLISSVYNSSKAAVIQLG KNLAMEWAPHGIRVNSLSPGHIVTPMVQQNFDDEPHLEALWARENMMGRLSSPEEFKG AALFLLSDASTFMTGSELVIDGGHTAW VE01_09006 MGAYLSTLLNRLVGTTTVRHTQQTHTYHDLKAPLPSSHQSFDEK FPLLTTTSAASPSTNFLVPGVPKTDAQLADEFSGLILFSRTPTIFRHRLSTTFPPPPT TASDVSTTSWTSSLALAILHSITAAIEAGVPLGAAKEVVETANRDVEGWIGEHPVMAG VVAMVVALGVLVVVAPWVVEGLGFGGVGVRLGE VE01_09007 MLPNLRGLAGLSLVALLGLATAQTFTDCDPTTKECPEEAALGMK YNFDFTQESNGSTWNVEAGNANYQKDGVLLPINAKKQAPTLVSQFTIMFGRVEVHMKA ARGKGIVSSIVLLSKDRDEIDWELIGGNETHVQSNYFGKGNETLFDRAFWHPLNKPMD EFHNYTLLWTAKQLDFFVDTEKVRTLMYEDALGGKNYPQTPMTVKLGVWAGGDPDNSK GVIEWAGGETNYDNVPFHMYVKSAEIEDFTTGAKAYKYGDLTGSWESIQSIPGNSTQR LLLLDIKPPPAPTISDKFNALPSSSKIAIYGGAGGAAFLLAAACLFSCCRKRRQGARE AKAFRAKQEAMDREDEAYQIELKAAGISPDALGPSGMTAQEFASGGVVKSPGLDRSMD PPMPTVPAAYQGAGASIKSPTGSLRPGAAPYGDAAGLRSPALASPGYAGGGQFNSPRS PGPGGYSVNSRNVSGGGYVPAAAPNNSTEYFAPQPRTNTQGSWNSAAAENMGRSGSSN SQRPRGNGGYGGGAF VE01_09008 MAAHNGLLSGGKDRRKSLEQLLSEALPKDTSFKVYHLSTPPTST SAIYSAPPGKRPNKTYCESHFLSVSINSNGKATSGSPGEVLVYAIEILIYSTAHSTTL FVSKADSSGYLHLLDLPKGSPSPLKEISSAFLSYLVERRRRPGIQTIVSLFARAQDQY LFARSIDNKGKHVLDDRGLVKWWCRVLNPLLANEKEGGWHNIHGYLTVPGLDTYETRA FLPPKHAETKTWTVGHPLREIALFPDAPPRCLIPHFPDDPKSRYLDELDDELSGSQGK DNGRWKSVKDLDMFWEMMAFRQECSAGRLVGFIWVVFAPTPPSESAEAEDTASSQALT SQASFASSFTEPDDSPVKSQRTSRLPNRTVETLKDETRSPSRTRRRKAKKAPTLSGPI VSRKPRVKENSNSHQPSRPERTPYYIWPADSRGQVVLEEKDYHRFHELLLSLDFANIE LACAATKRWTGEVPGGSPVETLGWGTTVVGTKAFEARNLPGRDNVTTLNVGLVRKKRR AGDDGGVSAEAPPQESVQAPEVNVLGAGMIRKKAKP VE01_09009 MATKAPLRSLQAIAARPSLCSASAPLSSLAARVAPAQAQKLFST SVARAEELSERPRWSYTPEKMKAPFQPRVKNPQMAFSVNEDPALLDAVYTKFLGVGGE NALTDEVKWLAVTHKSFDQGRRGFNDKLAFLGKRILVLQGTTVLLTSQAPPPASTDAY NREPFNHPSLEGLAKVQAVDLGDVLSKQRVGQLARSYGIDQVTRWKPRNPLRLGASGV DVVLTTSVYAIIGALALQKGGEYAGQVAREKVLKPLGLL VE01_09010 MSLDKIKNIVLVLSGKGGVGKSSITTQLALSLSLAGHSVGVLDI DLTGPSIPRLFGIESAKVTQAPGGWVPVPVHAADPEAGIGELRCMSLGFLLRERGDAV VWRGPKKTAMVRQFLTDVLWGEVDYLLIDTPPGTSDEHISLAETLMKDAAPGQVKGAV VVTTPQAVATADVKKELNFCVKTAINVIGVVENMSGFVCPSCSECTNVFSSGGGEVMA EEFNVKFLGSVPIDPQFVMLVEAGRTPSYPAGTSINGQAMESADGQPTNNETKESGQL VAKYPKCSLSPIFKDITAQVIAACEAR VE01_09011 MDDPWGSPWADEAPGTAKKLDEARPKPIVIATQKELPFDSGPRS PWDDDGDDEEWSANLDNVVQSQRTSIIQNADEGWSYHQSNAREPLRRDEAATTGAGSP WVPLSHEAGESPKQDLQRGRGEQSFDDPWAQADVQTEAELSPNLTQQAQEPAKKIDAD PYHSRQELGKIADDVPPQEEHQLEPPVKDSIQPETFPSPDSGNKSPVIESPGHKESDA GDNIPQPPGSETPDKDATQSSRPSLSLSEHSHHHEEINGSPHTPPDGESNPITVGTKD TSKVKELVQLFDGLAVESPSTPDGRSNEDLPLASSESTLEEPSPAETEDEFGDFEDGV SFVSASADSDEPEVSTDAPTPVQSSKTPEKAIISRPEERRTFERGSIKFDIDKSIVDQ LYSDKEALPESTNYGPSDVDIPITDSLLTVEQRKVWYRISRYGTMRKHNSGDDDAYVR MGWQDSKVREKTLDIVHKWMEEGRLGSGMALGGVGRVEAMFSWGKPGQAPNTEALHIA RKASQSSKARQPALPISPPRQSAPDVKPVHKRQKSSVGSIKSIVSSPVEQSPRFSWSA AQPASPDVATAITSASSESHSESFRQEPVMPPISKAFESPSPVPVPIEPLKPKPAAPP APEQHKDVIPKSNDDDDEWGEMVASPATPNFPSLPGFPSPIQVNAPSTTTPHLSHVGM SNNHVRKHFRNSSLGNQANGSTPPKPFDMDDFVPKASNRSSLGDLSKLTSSSGDPWAN ADFSFFESPSTAPTSVPASKPPSYASKPLPFASKPTPVARHATQALNTKSGESTSPQI PSALAAGRKSKAELEQDKIVRDIINGLPDLSYMLR VE01_09012 MAPTLSEDETDDLIYFARAGELADFRESIEALCKREGCEVEEVL AVAVDGESGNGVLHMAAANGHSEILKHLLTTLSSTTTLPTLLNTRNSAGNTPLHWAAL NGHLSAVQVLVEAGADPYIQNGVGHDAIYEAEVNDRKEAVDWMLKEGGEGLEELGEAA GGEGDEEMGAEGAEGEGVEGMEGLKEAVEGLSVGKGKGKEEV VE01_09013 MASTLYQPAVGAVRQPFAPLNSSRLQTLTSLKNRQNALPQSLAS STKRKAASYDDDIDAENIDPTLSTKRSKGSSDSSISKPSAFILTTKSPLEYNTPASPT TRTTLTPKSPARFHTSSPHPALSTPAGRSPTRKRIGLLNRRKTGSAGFTRVDPPNFSS SASSSSLPFSLATALSGTTPLSRPTLPPLARPSYKPSWEFAIHEDTQEETLTNLMEHS TYTLDISSDEESATRPRDEGRGKENVPPTEEEGVAPRPQQVSAQGVEGKTRLGRRKRE ESEIEVDRQVLGEVPIGDLYAEDAAGEVIVPADDVVELGPSPLSAPEFQFSSSIVVEE EVVEEKKEEVDVVAAAEELMTEVLAPQSAALLEPIEKAEEGWSVWESGSVGGDE VE01_09014 MVDIPRPPTNTVAVSEGTPQLTINHDAVVDLDSSNAFEGPEKLL EVWFAPSADTLPPGAHRDGLKAVSPEVWKEMLDVVNCKVLSIVESDHVDAYLLSESSM FVFSHKLILKTCGTTTLLWGLPHILDIAAAKAGFAHHRGSNVKGTETAATPYRVFYSR KNFLFPDRQRGPHRSWNDEVTYLDKMFWGGSAYMVGKMNGDHWYLYLTSPNTDITPPR TPESEKATETKVLSFPGEETPRPNNATGNDDETLEVLMTDLDVEKAKQFYLENASALA ESNFHKQQKLANQESTPDALPIPSELTTEGHALGTVVSESCGLSALYPPSRYPDARVD AYLFTPCGFSANGVIPAPDAPGVGAATHYFTVHVTPEPQCSYASFETNVPGQQTGGET AEVIEHVVGIFAPGRFSVTLFEGKECEGDLKALDERVEGVAVVGGGNGVVNGEVKGKR RGRMDAIRGYRRVERIVHEFDGYDLVFHYYERDDWKGGAPVVGEVM VE01_09015 MIPGEYEYQQDLQKSVASCPNLRTVVDGLPNPELSIYPFLETNF LQFSQKSLSVATRKGMLKSALAGLAALHEKNIAHNDIKPNNILLDYEKTGDTFSVTKV QISDLEDALILPLGKYLRDGLCGNQLWRSPESWARRAAQGTPSDIFSFGIVCIFVMLN NMFFRVSDSELAASNSWRYILRRHISFFGEEDGFQGLLQWIGEENPFFERLITLAGTF NAAEPRKPFATWHFVDAGFRDLVGKMTVLDPAGRITAAQALEHPWFAESVDEGVL VE01_09016 MTSILRADVHVSSRLPLAITRMGESSAFSPISCTLIHGDREAVL VDTPLSISQTESLIKWIENVMPNKELAYVYITHGHGDHWFGIPLLKKRWPNLKAVATK ATVEHMKRQLTPEYLDNRWLKMFPGGQIFQPQEVAQPMETDTFMIEGHTFRAIEVGHT DTVDTTILHVPDIHLVVAGDVVYGDVHQFFGEANTTAKREEWLRAIETIESLNPHTVV AGHKREGSVDGIYNIAATKEYIRAFESATKTCKSAEELYEHMQQLYPNRINPHAILAG ATAAFKDEASK VE01_09017 MPRWTIHYPAGAISRDDKDQIAEKVTQLYTDLGLPAFWVNVFFH EHSVGGFYAGGKANHNSVFFHIDHAARKPQSEEARLMFVDRVDGIMRPVLGEKCMKWE FNVYDHPRDNWRINGMIPPMDHPEVLKQWIETNEPVPY VE01_09018 MATREIDQSIDVTDEKGIRTDSIDRHAITNVSEEKLAIDDDYEN KPTDEEKATLRRVPGSLPAVAYLICAVEFCERASYYGVVGLINNFVNRPLPKGGNGWG APPRGTQQTAGALGMGTVKANAVNQSFNMLIYALPLLFGYLADARTGRFKLICWGVVV FGISHVLMVGASAKPLLESGNAKAPYFISVYILAIGGAMFKPNVSPLLLDQMPDTVPK VKTLKSGERVIVDPESTTERVMLWFYLLINIGGFVQVATTYAEKYIGFWLGFLLPLIL YLPLPLLLWYLSKRLVLHPPAGSDLPNVFRVLSICFSRGGWKRIGRHGFWEPAKPSVI AASGRIIPVQWNDQFVEDVRRAFQATGIFCFFPIQYLNDNGIGSAASFLSTMLVTNGV PNDVINNFNSLSIIVCAPILNYGLYPFLRKRNIHFGPIARITTGLAMSSMAGIGYTVL NYYAYKLGPCGKFGSDNCVDANGVSQVAPISIWYMAIPFAIGGISELFVNVPAYGIAY SRAPKNMRGLVSAINLFNTAVAYAIGLACSSVIRDPYLTWDFGGPAIVGCVLTVVFWF TFKHMNTEEYTLSQNGDYHLTLEGTANVVEENSQNKSVNQPPAIAANEEFGMTPKQ VE01_09019 MDAANINSTTRQASTPTPTASAAPPAPPAATSAAGGSPGTATKR KRIACTLCRESKVRCVGPSDRCARCERLGVECRTDKGFRRSNKRSKVEALERQVERLL EAAEQGGGAELRSLRGSMAPMGGSMGVEEGAPATPRTMGGEEGSAVGVRGRESEGPGE RSAGVPRAVERPVTAERIEGVTPGPEGTTARVLEGVEVSAGQIDSLFRLYFARFHPFL PLLDPARSPDQYYESSTLLFWAIITIAARRHRDYPRLLESLTGPVSTLLWKTVQISAA ELMNLWPVQAFIILCTWPLPSNRVWTDPSFMLSNIAVTSAIQIGLHRPEHPKEFLARP SRQYVDFVVNESETSERMRTWAACNIISQSITADFGHGSAGPCFDWAIDQACDNGLGT FSLPDEIRHCLIIQRFCYRVTKAMMTGANSTGLPLEHDRYLQLMLLEEELNVIEQQLG SQLSEINHLRHCEARLYLNLYHFLDSTLTEPRRNGILKAHHAASTLITRLITSHPDSN GWYDRLLYAPLHTFRLLCTACIVLITTINSTYSEYLDAAAGKLLFNSAVFAMRRMSIR EGDGPEKGACVMAEMWGACEVAYKRQPGEPRIRIKARMAASLTYDTFLRRHVFSTCHK GGVNAAAADKGLPTPAGGDGGGGDGGEAGLMEDCIMDYWQDYPNPSYIWDDDFSTSLA DFIT VE01_09020 MSKTALLIMDLQNGILDRVPTASSLLPLYATTIASARASSIPII YIKLGFRPQHPDVSPLNKMFERIKPFGGFIEGSDATAVHPSIAPIEGDIIVTKRRVSA FASTDLELVLRSLGVQRVVVAGVSTSGVVLSTVREAADRDYGVTVLRDLCFDGDEEVH RVLVEKVFPKQADVVTAGEWAAGLKGVEEVKEE VE01_09021 MNNEPKLPDQSDLLSATSNGPVVATEVENDNVAVVDDQPFSIFS EFEKRLYAWSASLAAFSGPVSSTIYYPALNLLAEDLNTTATKINLTITTYLIFQGIAP TIVGGISDKYGRRPALLTCFVVYIAANTGLALQHSFAALLVLRCIQSSGCSGTISISN GVVSDVATRAERGSYVSLAAMGQSLGPALGPIIGGLLTHFLGWRSIFWFLDIYAGVML CSILLFMPETCRNIVGNGSVPSQKWNVSLMTYLTQRKLRKNNVPVAHNTIQNKKRPSL LASLSILVEKEACLLMIFCALYFAGFSMITASLPSQLSSTYNYNSIQVGLCYIPIGAA AICAKTVVGRAIDWNFRRHCRIQGVEIIKSQQQEIDDFPVERARLEVSVPLVIMACVG VVPYGWVMGLEHPPLGAVIVLLFLFAFSASGLMQTITVLLIDCHPDSPAAVSAANNVL RCLLAAGAVAIAVPLFDKIGRGLTGTLLALVYAVSCLLLWAVVVKGPGWRNERKLKRE AIEEKREEEEGRHGI VE01_09022 MATVEKNPEAGLTENDLAEENQTVHLKPEIQKQLVRKIDMNLMP LVLCLYLLAFLDRSNIGNAQTAGMGKSLGLSDGEYQWLLTIFYIPYITFEWLALMWKL IPPHIWAFITVFTWGLASTLQAAAFNWSGMMVCRFFLAIAEAGYAPGIPYLLSFYYMR NELGLRCGIYFAAAPLATCFAGALAYGITSGHAALDNWRLLFLVEGLPSIAIAFVAFY FMPDSPEKARFLNEEEKEAARARAIRQVGQEGATRVGSINLKEVGLALMDIRNWLTAL MYFSCNVSFSSLPVFLPTILEGMGYKSINAQGLSAPPYFLSFLVLISSTWIADKTQQR GLMIIGLSVIGGTGYIILATVTSTGVRYFAIFLAAAGIFPAIANILPWVLNNQGTDSK RGAGMALLNIIGQCGPLLGTRIYPKSEGPFYTKGMSICAAFMFFNGFLALVLRTLLQR QNKKLEEEERGVTQAAGGDEKEPTLAIENEGTFGYRYIL VE01_09023 MKVLIAGASGSIGGEILKHLLQQNEITKIIALTRKPIPDLPSKA ENILVPDFGDLEHLDDEKWEKIRDADAMVWAIGTYDLNEDVNTRYPLAFQDAFVARLP APQSGKASKFRFILLSGAFVEPDQTRTLFFLPQQRKAKGVTESKTIEFAEQHKEVWEA LVIRPSGILIGKSLQNSVASFVLGTMLVKGEELGAFVGELVVKGSEETVIKNSMIVAR GRELLHEAE VE01_09024 MSLFNLYSCAGAILDQTTNLEKLNLHMCGGIQHGAPIPTFPKLK TVRITHNRLNEKDLEGLLSSCTGLRTFVFEATYPYYIPIANLEVRQASADAAAASPQP NCMSGGSIAGIVIGCIAGTLFFVWLWSALRRNTTDEGYKHGAVATSSRPYDGRRRRRR HSSAGGRPVSRSYSTYETTERPARVVYRN VE01_09025 MAWRCSGTTNAELVENLFRNRIIQTTRVKEAMLKVDRGQYCPGH ASAYADSPQAIGWRATISAPHMHASALESLFPSTRSAVTGYPADRPMRVLDVGSGSGY LTHVMAELAGEDGKVVGIEHIKELAELGRENMEKSAEGAAMLESGRVRFVVGDGRKGW VEPDIGKKNIGQDTWDEKGWDAIHVGAGAAHLHEELVAQLRRPGRMFIPVDDATTGIG QHIWLVDKDVNGVVKKKQMYGVSYVPLTDPNLL VE01_09026 MADMNEYIATETIKAEEKISRYRPGGFHPIALGNSFKQGRYTVV HKLGYGGFSTVWVAYDDILHQWVALKIMTAAITETSRELRWYDALGAWRPDSLYSKYI VRLLDHFIIEGPNGAHLALAFELMGPNLRSIVKTEYHNRAEVDPTTILKMTEHVLKAL EFIHQSGFAHGDISTKNMAFKAEYLSKMTKEKLFEVLGAPSVGNVARVDGQPLADGIP HYLVGSATWDGWVSECDEDFRLLDFGECFVLGREPEIITQPGGLMPPETVLTEGYDYR VDLWRVGIVIYSFVFGGLPFYCIGDRDNLVIQMIEFIGDLPQEWKPSFDHLRIDFKRQ PLLEGDLRVDRGLEYKFKHQIHEAELQPLLPVIQGLMKFRPSERISAQQALEMMKSR VE01_09027 MALLNLPNELILSIANCLDQSESLSRFARTNRHVYSLVMPLLYQ HNITYGGCSGIKVQLTPLETKDFTSWYFTQLDEDLEEYNNPSNPTTPEGLPTPVTDKI RTRDVVISRFVEYGANIDSIRLDEFDQRQEEYDQEEYEEQEKTLLNYHATLRNSLAVF LIVKHGADVHISSGYEARTALHQAAIGGRPKIIRFLIQKGVDVNARDWEGRTPLHFAA DNGHVAAIRLLCENGADIDAQDELGYTPLHLLVMKRKPAPDSWYIQALKAMLELGPNT EIGVFEDNKTPLHIAILNRRDKDFVEILIESGMYLNSRTVVGQTPLSCCVERGSMAIF MMLVKAGADIHTRDEDGHSILQNALENGGRAYDCLPTLLETGLFALDSDAGYGKTLVQ VLRDLDWLYMLENRVNGVPEVDELFEPSRNVL VE01_09028 MKFFQAIVTGVVGAQVVLAAVSETPALVKESVASDILKDIENAA TCAACEAVLIALKGLAHLGNKPFVEDDDVCEGLIAAEGPVIAHDMRGIKIGSATSKTL CASLIGLCPFPDVKPYAIPFPKPKPATKRPVSSGQAPIQVVHISDTHVDLSYEVGANY NCTKPICCRSYTPNDAPGHNSYPAGEFGNPLCDPPVTLQESMVQAIKAIAPDAAFTVF TGDVVAHDLWSVDKAEVLTDLNSTYSILSNLDLVYPVIGNHDVVPVNCIPPTTISTTL GVQWAYDTLASYWNGWISTSGEANTANEYGAYSVKYPGGKLRVISLNSVFYYTLNFWL YEEPMQNDPNGQFTWLIKELQSAEDAGDNVYIISHIPPGSSDFFHSFSNTFNKIVNRY DATIAAMFYGHTHVDEFEISYSDYANRNADTAVAMSYIAPSMTPTSGSPTFRVYTVDP VTYGVLDFIDYTTDITADKLSWTKYYSAKEAYGSLLTPPVTDAAAELTPAFWHDVTTL FETNEAAFKDFFARKSRGFNVEACDAACRAEQICMARAAESQYNCDVLKPGLNISKRD EESHAHRDECEGTRLREVFGQIGQNHETFIKYIDSEVAAFKKANAV VE01_09029 MNRYACEECRHRKFRCSKERPSCAYCRRERRPCVYSAKIQRSPL TREYVTSLENRLQKLEAKCAELIPGVDIEKLAPQTPGIPGSSTWELPTNPWASTTSDA PSHPSYTDSFPRISTGFEWSEQGDALNQLADGMAFLSVNPEGAGYLGTSANVALLRSL HHNGWTIDSSVSRVIDSSRTVKPSLREAWAWSEMTHDADLDQLISTLIDSYFRNFHTR YPIVHEATFRAQTEDLAPKPDPESWLLLRHVILAIGGWCMGYDTKGFDELLGAKTQGW SRNTSILSSGSLTLVQAVALKGHFTQRQNNPNTGWNFSGLAVRIAISLGLHREFPAWN ISVFDREMRRRVGWCVFVMDAGTAITFGRPILWPTDGMMDIKEPMNVDDEKLTSTTLV APEESTGSTIYSHLIWQIQFHQLTNSMYTRRMASFDLPAEEILEMDSKITVWEKTLPA YFKYGHTDPTEPEYITTARCRLLWRLACFRIMLHTPLLLRWATEKSVGVLSPQADTEA ARRCRELCLEYAHLTVKYTEEYFSLHIFSPMHDWYAIYYLFQSSLIPVFCITTEPTSP DAAAWGADIEAAKRILETVNTHSDKARRFLDVLNRLYSSLDEMEGFMETMQQEGGDIM GMVYGQMQGAPESAQQTGHSIHPTGF VE01_09030 MAKSKSAKRKHNGAQNVPNKLQKTSLPTAAGAVTPPSTDTDASL IEPKNIASIVSEDELEITIETLQALSKYPNLIKSKACKDLRVAIYDFKQASTTGLLTA ADANLTSRISAALVDGKLTEARVLLAEMRVKGQQPKLGALCRWVRDLDVLSGLSGRVD GMSAVGERSDSEIELLKVMDAILRVTGPTDLNLKIESGENPISVQEVWNMRKGDVPEA VYAKVLDRSLIPQPEAIMAKFKILETIPGPERKPPNHHPAILYTSEDNAVHLTSPGPS RSRHSHPIVPNLGLIKDVLSPTECKDIIAAGEAVEFIPDAPVRDDGGEVSVLAHNFYW VIDQAFHDRLWERVREYVPENVGGKRVRGLNRRFRVYRYVPGAEYRCHIDGAWPPSGI SPAGVYQYDSSPPTKRQSSLFTFLIYLNDDFEGGETTFFLPSVKEGTMNAYPMKPVMG SVAVFPHGETNGALLHEGTGVRKGAKYVIRTDVEYDVDA VE01_09031 MSTNLIDIVVQRLSNPDLDVKLRVDAATQLRDSLEHYTTPQAYP TFLKKLVPIFIQLLKGQPVFISTNPEQKLRNCVLETLHRLPTSPPEPFEPYTVDVVNV LIGLVRVENEDNAILCVKTIMDIMRHQTKVLQQPGNNQVQDFLSLIQEMFDDMPAVVH EQLDNPSPSATNAVVPSTPGSSQNFQSPRPGSPVASVSDLGADPQQQTRPLSKGMQSF KVLAECPIIVVSIFQVYRQTVPANVKKFVPLIKVVLMLQAKPQEEAHAEAKAKGQIFT GVSPNIRNRAAFGEFITAQVKTMSFLAYLLRVYSQQLQEFLQTLPGIVVRLLQDCPRE KSAARKELLVAIRHIINFNFRKIFLTKIDELLDERTLIGDGLTVYDTMRPLAYSMLAD LIHHVRDQLDSNQIRKTVEVYTKNLMDSFPGTSFQTMSAKLLLNMAECIARMENKADA RHYLIMILNAIGDKFEAMNRQYPNAIKLSKTYAQQKDEAAPDNYLADLEHPPDWDEID IFTATPIKTSNPRDRGADPVVDNKFLFKNLMNGLKNMFYQLKACNIGSPVDPANATPH WQEVSYGFSAEEVQVIIKLFREGAYVFRYYEIEKPAAESQYSSPVEFMANHYMTSSSK EEKDLLETFATVFHCIDPATFHEVFHQEIPNLYNMIFEHTALLHVPQYFLASEATSPS FAGMLLRFLMDRIDQVGTADIKKSSILLRLFKLAFMAVTLFSSQNEQVLLPHVLDIVT KSIELSTTAEEPMNYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLEVLNNLLMAARK PADRDFYLMRPLVVALRAGSDLVGQGLRTLELCVDNLTADYLDPIMAPVIEEVMTALF DHLRPNPYSHFHAHTTMRILGKLGGRNRKFMTGAPALTFQQYSDDRASFDLKLNGSKK DRAFPVEIGIDLAIRKLMEIPKGAAAKKSDVYYKKQALHFVKAQTKLRIGYDNLPEDF GRLLRLQAQDLLARDSNADFSALEVNDRQRSVMKKDLEQEVLKRLIKALMFSVSIQDL KDEALATLLGVCRHLTILEVGNALAEFKLKRQPFDIKAGEGPVVIDSRVLADAITESL SSDNILVREAAERALREVFDSTAIIFGSSAQVGRLSFFTHLSNVFCHSCYEEEWFTKA GGSLGINLLVTKFDIGDSWISDRQFDYVKSLLYVIKDMPQDLPAKTRIGAQETLEILL KRVTKNSTKKDILPPAPLPPGVPRPQFTSRLPHLCQLFGSGLSHLNRHVRETSQKALT TISETIGAEVWELLEPQRDGILNPIYSKPLRALPFAIQIGYIDAVTYCMGLKPDFVQF DDVLNRLLMESLALADAADESLAGKPAEQRTHEHIISLRVACIKMLTTAMGFEEFQKG PNNATRTKIVSVFFKCLYSESSQTIEAANDALKVVLSQTTKLPKDLLQNGLRPVLANL QDPRRLSTHGLDGLARLLQLLTTYFKVEIGSRLLDHIKVLAEPNALQRISFTLVEQND QMKIIAAVFNIFHLLPPAAEHFKERLVETVLDLEDKLRRTRYSPFRAPLYKYLNRYPN EVWMMLLGKIEEQKYGRFLAQLLEDPDSAPLRSVVVNSVDMLIKNCGDMGAENKETRY AAVINAINVMHSVCKYPGTEAWMEKKENLMWFRLVGKNLEQRLRNYSLPSNLRLAAEQ AGEQLMIIFTKFLEIHPKDLDALFGLVDSVTCGDFKPSQQFFSYIYKNIICNESIDYW KSIVLRSLDVYASKTASQKTKAFLLHNIVNPIVAKDVMRTWPRTGSMKSPRLIDRAVI ESIHTKIWRASLGDPNEDLTQPGIDHTRMEVLQLSAMLVKHYHGILQDARKDIIKFGW TYIRLEDVINKHAAYVVIGYFIAHYETPAKIVVQVYLSLLRTNQNEGRTLVTQTLELL APVLRKRCNSAPGDRNPIWASGARRILAEEGQNVQQMTSILHFLVKHDELFYESRDKF VISIISSFRKIAQPTTASNESRRLVLQLMNLIWEWEKTRVEDKATSPARLMSESPNAK KRKIESTPVAESSSPSSQKASPQKARSSPPDRAEYQIPLVYRQKMIKYLVEFIAALPE RYPLQSAKQREPALYQIPAPPSSSIEMITKSISLLKNLLQPQYWSDLDVDLFPNVTDI MLASDRTTAALASGGTDKEKPDDKFITNMINTLQVVRVIVNTKTDDWIQKNMSNLQRI LEKSIKSDNAEIQDCLHSSCQNRDDGRPLKPLLKAILDAVPDDVQMEDADADGEAEAS TSEIITFLSTTATEDLSSGNYNSGINILWTLAQRKPSEMDQGIISAIMRALQTKLAKE HVAHYAAAVTQSVAAAVQQEHEPTGLMNKYDLKIQTGLMLKAIDIMSMRMEVLGDYRR PFLSVLATLVEKSQSNELCLKILDMVDGWVFKSEGSWPTLKEKTAVLHKMLTFENRQD QTLLIKFLELVIRIYEDPKITRTELTVRMEHAFLIGTRAQDVDMRNRFMAIFDKSQSP TASARLTYIITSQNWDTLADSYWLAQASQLLVGAVEMNKPIQLHHEDFKTIPASVLFG NYAKDTRQPNLMDDDKYDEFIAGHRRFVRELGDVTVRDIMEPLTQLQHLDPDTAHEIW VSLFPIYWSAMAKDERGELERGMVSLLTKDYHSRQIDKRPNVVQSLLEGAARSWPDCK IPPHVMKFEAKTYDAWYTALVQLENAAIKPTTDSAVVRESNLDALVELYAGLQEDDLF YGTWRRRCQFVETNAALSYEQNGMWDSAQKMYEAAQIKARTGAIPFSSGEYMLWEDHW VLCAQKLQQWDILQDFAKHENFQDLLLECAWRNPETWQTPEPRDQLDAIIKGVMDAPT PRRTFFQAFMSLLKFHNKTETTADFSKVCDEAIQLSIRKWHQLPKRITNAHIPLLQNF QQLVELHDASVICQSLAQTTQANLDVKSGELKLLLGTWRDRLPNVWDDIMAWQDLVTW RQHIFGLINTTYLALLPQQGQNANGASFAYRGYHETAWIINRFAHVARKHQLPEVCIS QLSRIYTLPNIEIQEAFLKLREQAKCHYQDSNELNQGLDVINNTNLNYFGPQQKAEFY TLKGMFLEKLSQKSEAEEAFGMALFFDIKLSKAWAEWGYYNDRQFKETPTNYEFAKNA LSCYLEAAGLFKNAKSRKLLTRILWLLSLDDANGTLAETFDAYKGETPVWYWITFIPQ LLTGLGHKEAAKAHSLLAKIAKSYPQALYFQLRTNREDMLAIKKSQESKELRERNARA AKQNARQTPVGDAIKQSGSPTQARSVTPSVRPDSSAGSRPGTANGVVNAALNVEGSAV KPEPAEASNNPNAATSVPNVQGQPSTTPAPAAAPPTKKPPWEHTEEILLVLKTAFPLL ALSMETMVDQIQKHFKCPPDEDAYRLIVALLNDGLSYVTRMPNSYALDVKLPSATETN ITRFAETILPAHIRKSFEADFVDKKPTMHEYIQKLRRWRDKFESKLDRRPLHQNLETY STHLSEFRFGKFDEVEVPGQYLQHKDKNQDFIRIDRFLPDVDLVRAIGVCHRRLKIRG HDGSVHPFAVQHPAARHCRREERILQLFRHFNATLSKRKESRRRNLNFHLPLMIPLAP HIRMVQDDTSYISLQGIFEDHCRKSGLLKDDPILFTMDKLRILAEAKTAKHPDHALNA RMEIFSAIQDKWVPHTIALDFFKASYPAYADFWLFRRHFSYQYAALTFMTYILFMNGR YPHKLNIARATGNIWGSELIASMAAGKPTFHNPEPVPFRLTPNIQTLMGPMATEGIFT CAIMAIARCLTEPEFDLEQQLSLFVRDEMIFWFTSSHRAGSMGEGQLRETVQVNSEII VKRTLSLAQAPMGMLPANQTIIDLVAKAVNPMNLAQADALWMPYL VE01_09032 MTTSTPSNVPTGASELESNQPTPAQTQPLTGATPWPRPPWYSFQ PATPNEGTSVSLPALPATPTSPETPLFLTPLYPNDASSMQQIMSNPRVAAALLNVPMP YGIAEANSWISLNGGDSSSSGLLTWAIRIHYPSDAGLFIGSVSLTRKTGADGGMMYEL GYSLSPEFWGRGIMKNVVFALMIWATEEAGVEEVFVRVESTNGRSKGVIDGIPGFVGE EDQEIDWKGGRKVVRMWRWKARKSTD VE01_09033 MALNQELSAVYNNFHNGGAPKEVSQAILQSTKELQSDFANRKII QVGEQLPAFTLSDATGKSVTSASLLEAGPVLISFYRGDWCPYCNIELRALQKRLPEFK AKNVTLIAITAQLPDTTLTMVEKHNLEFSVLSDVGSAFARQLGLVHKQPEGIQTLHKR IGVDYKKGYGDESYEIPFPATILVDAKGIVRNIYVDADISKRLEPDTALEWVDAL VE01_09034 MAGTVGNFAIHDSLDTAKWARTHKNPDFDLYHKQQEIKGLGQDM GSIRNEGKAESAWRLDKYKNLYTAQKAWDMAPGRDWYMYIDADTYISWTNLFHWLATY DASQPLYLGSQIDVGDPPFAHGGSGYMISKPAMELLVGSDREKLAKQYDKNATTACCG DQEIAKVLFKKGLRLKNVRPVINGEKLKRFNFGPDLWCTPVVTMHHIGSEEVQEMWDF ESHRNSTKEPLLAEELYYTMISSLMGIPRRDDWDNLSPNEQIRPEPLFKPAFVGNFFV NDSTKSYNTCHRACEEDQTCLQFLYYKDTCKLDTAFKLGSPAYPWKEEGEEIRVQSGW MVDRIKDFVTQNSPCKGPNWDPDS VE01_09035 MTSPTPLSIGCLLFPGFQALDVFGPLDALNVLSYTTPLTLSIIG ASLSPISTHPASQPTAIGQTIVPTHTFSSAPPLDVLLIPGGYGTRGSPPGLEEAIDFI RSRFPELKYMITVCTGSRLAARAGVLDGLRATTNKRAWLDTREMAPGVKWVAHARWVV DGKCWTSAGVSAGIDVVLAWVEEVYGREKAGEVAGVMEYERHEDSRWDPFAVANGLPV DE VE01_09036 MSRRCISSRVTMHPNAVAHLLPADTLLEEEKNPDYDPKRFYPAQ IGETVNGKYQIISKLGFGTGSTVWLAEEMNRWPWQSSKYMALKITNCFEGDWKSANEE LQMPRHISQIQLEDEGDLKADNFLIGFEDSLVIVEYVKAQIQNPGPYKEGDGRRVYQS QPDFGRFTKGTGVLKISDFGAAVFGDTTRLHYHDIQPEQFAAPEVLLGAGWTNSADIW NLGMVLWELLEDISLLDGVGPENQYDRHVHFAQMIRILGPPPVELLGRANKEVYASLS SEQGEFRHPHLMSPEDFTSESKTPSLQGEDKRLFIEFARRMLKWLPEERATARELYED PWLSSP VE01_09037 MSNEVKEVDAVVVGGGFGGTRLLNLLKNKVKLHSVVGIEKGESL GGTWYWNQYPGAQTDTESWVYRFSDEREPPKWNTRYLKGEALREQIVESARKNGTFED FIFGTEVRSAHYDEGSKRWEISTDRGHKFSATYFVTAVGILNNPNIPTYPGIDTFKGQ AFHSARWPKGLDVTGKRVAVIGTGPSGSQITGAINKIVKQLTVFQQRAQYITPVNNRP VDEIERAEIYKNYDTIWDTVFQSLFAMGFVESKKSALEASEEERREVYERVWNKGGGF RFFFETFGDLGTSIEANETAAAFVRSKIAEIVKDPETAKLLQPTGHYGGRPLCAEGYY EAFAEPNVSLVDIASNPVSKLTETGIELQDGKQLEFDVIIFATGFDSVDGSYRNVDIT GREGVKLNDVWKNGATSLYGVSVTQFPNLFLVSGPGGPFSNIPPSIEVQGDFITKLIL ESQARGTKTVEADKEAQGKWDETVQAISAVTIFSKVKSWVQNDNVEGKTKYSAFFLAG LGNYIAKLEEETGGKYPSFRFE VE01_08552 MKSITLSDPGKPSTYIFKEFPIPEPLPGHVVIEIRAFGLNHAEV FMRKGEWNETAEVSGIECVGVVHSCPGGEFAIGTKVAAIMGGMGRTIPGSYAEYTRVR VGNVASIDSSLSWEDLAAIPETYATAWTCIFRNLELSRGQTLLVRGGTSSFGQAAINL AVNAGAKVIATTRNLDRAPMLLGLGVKRVELEGPELSKRLPETKSIDAVLELVGNSVL LDSLATLKRGGHLCLAGFLGGLAPIPDFNPLLQMPSGVHFSFFGSFVFGEPGFPLSDV PLQKIANEVAEGRYKARPVRVFRFEEIAEAHKVMDENHAGGKMVVVVGKA VE01_08553 MASTSSSIGSSIHPTEPTSLQFVTFTSFDQTTTAETKRRVRSHA QRRVQDRRRQEKKNGIAKDISSLSNADTLGSLNVGLCRLGSGRSDPFTLYPIEMNLRA HELFDHLHGDTCPMFKTLNNIGFFKTVLDEAAFRQLLCTSSAHMTRLRDETENPEAIV LSTQAIQSVNSRITDPMLAISDGVLVTILAFACHAVMFNDIKGISTHFKGMEAIIQQR GGLQFIKSNPVLCTVIFWVDVNAAFLQDQVPRFPIPYDILPQAYAGEVTSLSGSRLLQ ACATNGMISAIHDLLVLNQYMVNKAEENDLWDNAVFAGLYIVPLLSKLLTIRHNAFDA ALATEEACRIGALLYLSGIRRRFGITLTSDIHVQNLKHAISEDKSSNPDPIRPWLLII GGAQSVQLEDREWFVSETANLLLRLQYNTWDELMAAVRGVLWVEGILETECDQFHADV TSEVWNKYGFLFS VE01_08554 MTKLLPGQVKRVAIIGAGPSGLAAAKYLTAEKSISQVTIYEQRA TPGGVWNATPSLTSPSYSIPQTTPDTTPAVPLKGDAKDGQEGSWDFQSAVYDYLEANI PKPLMNYTDLKFQDESPLFPAHGTVNRYLDAYADDIRGQIRFGTQVLDIQRHRHKEKA GEEVTTWHVKSKIIDTDNEETAIYDSVVVANGHYDCAFIPNIKGVEDWHRSYPSSVIH SKNYKRPENYEGRKVVVVGAGVSGIDIANQIAPHAQCPLLLSRRAAKGSSSPLPPEKT SIEDVSEIEEFIADNRSIRFVDGRIETGVDDVIFCTGYLYSYPFLQNLEPAVVTTGYR TENLYLHIFYHPEPTLSFLCLPIRIVPFIIAEVQSALVARFLAGRFALPSLSGRADWE DRLIQEKGSGKAFHFMGFPEDAHYIDELVSMIEKADGEDEGLGKKAQRWDRKSLWIRE SSGKIVAAVRGLDPEARDKIKTLEDAGFCYEGDTK VE01_08555 MAPKHDLQYPKGAQNTLNRYSDRGSYDLEKVHKIVNSTPVLHVS FQPDPSDPFPAILPMIGQMGSFERPSSSISDPLDCYLHGYISSRVMNVSRAAIASGKP GLPVCIAASKVDGLVLSLTPNSHSYNYRSAVLFGYATPVTDTEEKEWAMEMITNSVVP QRYDNTRIPPIPAEMQSTQILRVTIDSASSKVRDWIPSDSAEDMANKEVVDKVWVGVV PVYETYGEPIPSPLNKVEKVPEYIEEFLKESNEEGLAYATAAGKRPLPVKAKTNHDE VE01_08556 MSSANESLETFPTLPPFPTDIPTAPLLRLSLSELGKSEAQSASL FTASKDLGFFYLDLRGDQLGDTLLEESGRLFEVSKSLFDEGPEELERFDYSEQGSYYG YKGLGKKVVDAKGTKDRNEFYNAGKDDFLDQSPAPFAHPATLMKNKPLLTSYTAHAHE LLTRILGHLNTHLAVPASTLPRLHDSSLTSGDHIRFIRAPPQPPSDLATALGAHTDFG SLTLLFNRVGGLQVLLPTTNTWAYVRPLPGHAIVNLGDALVKLSGGLLRSNIHRVVAP PGEQSTSTRYSLVYFMRPGDDVPMKRLEGGVVPPLAEGEIDEDVTSKEWISDKAMAGR VGVAMEEEKYKKRITNRAELGLEVGEGSA VE01_08557 MAFAMDDDDRASGASSDNDQDELMEDIGSPPIDDMEGDVDGDGD ALGDQEDEDDDDDNDDDDQEETQDQRPESPKLLQSQPEQASLPNTDESSDDLPNGQLY TNGATDPTSHVQSNEIPATGSPTQTSIPPLPTLRPSIRPEALTASLYDIVPTMAAPQA TSINAITATPDMRYWFSGGSDCYVRKYDGAATVNGKTLLTVAQRHPFVDTVTKAGVLM SYWENEEPISKPGDEPALSPVYSLAVQSEALWLLSGLESGCINLQSVRHDEGKRIAFL QKHTSAVSVLSLSSDEKSVLSGSWDKNILDWDLNTGQARRAFTGSGGQISAIEIRPAS SLPVPEESGEPVAFSSTFSSNNADKPTTNGFIPNGVVTNGATTAIDGQGDAAGSPVET NSLFGDTDSLFGDGDGGGAPSGGNFAADDDDEFSKAIGGIDQQDQHDQLDSQADTAME DATIPGAGDQSSVPPPPAEVESESFAIEPLKEEQPASPRQLTNGLPHAEELVEAAGNS EAVPSTQDAVATSDSTFLAAAIDGTLRVWDRRMPNPVAKIPNRQGVPPWCMAACWSPD GNYIYAGRRNGTVEEFSLHKGLKGPERTFKFPAGSGAVSAVRAMPNGRHLICASHDIL RLYDLKQTEAVKHSTVPFLIVPGPPRAGVISALHIDPTCRYMLSTAGNRGWEGSSTEV LIGYEIGVVP VE01_08558 MSVFKAELPRRGPDFKPPPPVKVVFSKVFPADFEPILREHITRP YQLDDEHSQEAWRRLPEIPSGTEINPDSTHGATHTEALPENIMNGPWGSKEAYIGSQY QLLRYDAIYPLLDSVRSYKENPGTSDLQTTSIYTNVFIVGYTFANLGAAARIEFSTER AGKRIRWPQSSRLQQGTMVALSPVHDKFKTICKVAIVAARPLAAVEQDPPQIDIFWAS IEESEFDPTEEYVMVEAKSGYFEASRHMLVAMQKLMSETFPMAEHLIELRRTVGPPGD VQEQPRMNLSALLPSEATCEILTPGYCDTDVLQDVDILGPWPALEGSQLDASQMAAVR RILCKKVAIIQGPPGTGKTFVSITALKIMLNNWVVGDPPILVSAQTNHAIDQLLNLIE PFEPNFLRLGGRFSESNDVIQLRTLYRLRGQLAIGITGSAGKIRIAQDALKRISEEII HDIQDMNDQGPEEGSVFLKLNLITQEQYDSLNDDDWVDAGADAGGLLYSWLGLDQQLL PKRCPLSNNGFEDDEDEDDYEYETLNEAELEARKSKDEDDIEALKGKYVPYRREFIGR NSRARSNMQAEAILRTTPNLWDIDEEDRGAIYQYLKDAATKEYLASFRRHLTDYSRAM DRLKLARWQGDATFIRKTGIKLIGCTTTGLSKYRGLIACLKPRTLLIEEAAETLEGTI LAAMFESLDHLILVGDHQQLQAHCNVSHLEGHPYNLSVSLFERLVNNGVEFTMLNKQR RMIPGLRELLSPIYEGLEDHPSVLDRRVRQPIPGMGGRDSYFFHHSWLESRDDAQSTY NIEEANMIVAFFNYLVLNGIEDSKITVLTFYNGQRKRLLTLLKRVPNLATRGPFNVFT VDSYQGEENDVVLLSLVRSNAHGTIGFLENKNRAVVALSRARRGMYIFGNCINLLRSE AESYDLWLSAMKTMKSQGRFDITSGFPMVCSSHSTETVVESAVELDNLTGGCGIKCNG VMPCGHKCRYNCHSFAHEDLVCKEPCTKAMNCTAAHACSMRCSDPCACPCEQASHVAA TDSRSLPASPAVADDSVAPTLYPAVHSLESELAENVEIFDAAAWREWDAPASDFNARQ STRPPPSKKALVIRDRHRPVDLSNGARQTGRPNAPILHSPPDISIQVEQQRSPRGSPQ PPQSSAKGFVHQPNENLSAAPPRSSPNLDTYAAIARAPSVPHRSDDSTKVVASAQMNN NGQGTKKASTKSPLVSRPGRSNSRGPLATNTTKPSASTKMVTTTGPASAAHGKLFVSG VAEAESTASLKRLFMQYGTVLEAVVKDGAAIATATNPNRFGFVTMASSAQADTAISKL HGTRRHGQSLNVQKAWPNPHPSNAGPSNPQEYSTPVSKSKENNPSSMAQPKKDVPGLR TQPEELDQVLTEPHFSLNDTNVEHTPSLTNGPSDNNGGAITVITEKQEVVETQEDDLI SFD VE01_08559 MFLTPNRRYVTLAGAVLFLEWTWVFLGSPGHKDILSSLHSQHKE NKDIFDYGFVQSTALRDICDAVEWNDELIFTCDNNSGSVADVKNSILNCLRFTIAAGG RLVTPRIIVSNTSETSSVDSATQRTDFSSMFDLQHFLMSIHLSCPQLNTYKHVGDVPP RAWKHDPLSLRPEDLQSPFLSSGIPRPESWGGEFHNWLDNQTTTREPTSGLTVVNLAR SPPVYPVSSDEELAHEFGMFLKVQPEARILATSIFHALIRKHNIDVADSSAIAKNAYV GAYLGSNDAAADTNHPPTTLAQRILNETLATDLKVLYLASTDPSSGEGLAADASKLGI FVATKFKLLPASDVPALQALSPEQQALIDYLVLGRASQFMSVGGDAFGWSVALGRGGK WRREGGEGMEGEVMRDSLSRIYGKKGDDQFASCLWP VE01_08560 MTPTSSHHAAHLAATALVSGALVATSILAFQRLRRERRVDDLKH SIPPLTGEELPDLVAPTPQWSKEDERSAELAARAIRGEYDDELILEQLARNRVFLSDS GLAALRNAKIVVVGCGGVGSHCAAALARSGASHIRLIDFDMVSLSSLNRHAVATLADV GTPKVECLRRRLRAVTPWVDFDCRNEMFSEKDASRLLKDAEFVVDAIDNIDSKVGLLA YCHENGIQVVSSMGAGCKSDPTRIFVGDISASTEDPLSRSTRRRLRLRGIASGIPVVF STEKPGTGKAQLLPLSEEEFAKGKVGELAPMADFRVRILPVLGTMPAVFGCAAANYVI LKLAGYPTDNVETKGRDKLYETILAQVQGSEEKVARATMGWGAEDVKGLKLPLTAVDV GYLVEEVYRGRSVVSGVPTRLALVRWQAPEGKTIKEGEGQKSSRLRLGELVCMTKEEA KVHEREVVLGGKNVDDMYGKDIVE VE01_08561 MEAPLARKDAAPVKRDDVCGRDWKSECFLGTWSAGPECTETAWI STIVSQLVNPPATETAYTPTAYCSEVLQQTSTSTIRVTYSATSTISQNTYIYNYVTKT DHDHPTSTVWCPKPSQSQVCGIEFHGTIYATDMQPIWPQDTIRFVDVNTCHQRCLSDP ACHSFLIQRSSSGGDIIDCSLFGANLGINGTRAEHPPTPLATPSGKGWYDRNCPEFLP TECGPQQQSLAPRTEAPKPRDPMITAAPVPPAVDNAAALEKRYISHFPDYLSSDFAWA GGYFLTWGCSCLITSALPETTSTSTVSIPVWLGTVSTTSTHYQEYTVTVTARTTTVYP SID VE01_08562 MFPLPQLPQVVADASLAMWAQVKYKTAAVLFSSANCRSLFFEWI GDLFSYNMAHLRLGKLLTTLISFFLVASAQSSWDHDLFTSSPPVYPSPSTDGNGWEAA LAKARIFAANLTLDEKAGLLTGNIRGPCVGNLVPIARLGFKGLCMQDGPAAIRQATFV SVFPAGLTIAATWDRKLMNTRGSYLGAEFKAKGAHVMLGPVAGPLGRSAYAGRGWEGF SPDPYLTGVAMEETITGIQSTGVQANAKHWIGNEQETQRVPSNNGGIKIDAVSSNIDD RTMHEVYMWPFANALHAGVSSVMCAYNRLNASYACQNSKILNGLLKEELGFQGYVVSD WGATHSGVASVKAGLDMDMPGSINFQSPGPSYFGGNLTIGVNNGSLTMARIDDMVHRV MTPYFFLKQDTSYPSIDQSSASLNKWGLHPYLQSFVYGAKANVDARGTHAKLIRDAAA AGTVLLKNTGNILPLKAPKNIGVFGNDAGDNINGVYTLNVIRDKGFEYGTLTIGGGSG TGRPTYVVTPMDAIKARAAADGSIVQFILNNNYLASDPNLAFSTFAPSPPDVCLVFLK TWASEGSDRTTLEADWNSAAVVKNVADRCPNTVVITHSGGPNTMPWASHPNVKAIVAA HFPGQESGNSIVDILYGVVNPSGKLPYTIANSEAEDKFAPITDSPELRATKDPNAWQS DFKEGPLIDYRHFDYYNSSVLYEFGFGLSYTTFGMTNLGIAKIDEKITARAPAAKIAP GGNPNLFKTIFRVTVVVSNIGAVAGAAVPQLYLSLPPVDGITASAVRVLRGFEKVLLQ PGESQTVTFNLMRRDVSFWDTVSQEWVIAPGKIGVHAGFSSRDFKVESSFTPL VE01_08563 MSAAAPACHHSSRQLHTQPSRRAEAPAATDASNPAMSFPCLDAL ESKTATLEARSHASGPEPSYTSGATEVYHCKDPILLDWGGVLPEFNIAYETWGELNAD KSNAILLHTGLSASSHAHSTEKNPKRGWWEKFIGPGGCLDTDKYHIICTNVIGGCYGS TGPSSIDPADGKNYATRFPILTLNDMVRAQFRLLDGLGINKLYASVGASMGGMQSLAA GVHFPSRVGRVVSISGCARSHPYSIAMRHTQRQVLMMDPNWARGYYYDRVPPHAGMKL AREIATVTYRSGPEWEQRFGRRRADPSKQPALCPDFLIETYLDHAGEKFCLEYDPNSL LYVSKAMDLFDLGAEHQHATALRRAERSDSLEASGGDFSSSTCSLDLPDTPYVEQPGQ VPVDLNGPIATSTAPPADLVKGLQPMRDIPTLVLGVASDILFPAWQQREIAETLRSGG NKRVTHVELGEDASLFGHDTFLLDLEHVGGNVRRFLG VE01_08564 MAFPNFLTAFSSSLQAINPFSTPPNSHIDPTVADVLVVKAMLQS LSLPLELADAIIDYAEYWPHTSAVLPGIKPACAHGNSREDYFVTRTLPLGCLSSSPST DPSRAPPPASQGSMRPHVFPPTQSEASKAATAALYAAWAGTSQPREVHPCRKIVFKFG MRDQGWGGDYKDHGTYNGSFTWLDVGRERARAIDKYESETLEGSAELPQPKRQRKEGS ESDVEKTLAWNLESVDPPLKMNVSPTGRQAWLNEENRVRMAVELEHPFLPHDKTLQKN LVATKEAVDYTIVWRWDDDIDAESDDAEELQKAGRGKATGNGEFVRSLEVGDVVTLWA RARFPGWSITVIDVEVDMFWAV VE01_08565 MASSFVPRAVFPPNLNLPRSYFLGHHAAGLSKMVTMLSHIDLII ECRDYRVPLTSRNPLFEGSLAGRQRLVVYTKRDLGSRNRPADKAREAIIRDWHKPQQV LFSDHKSKKDVRSVLEFAKQHAQELDSLTGSRMLIVGMPNVGKSSLLNALRMEGVHRG KAAYTGAQPGITRKIASGVKIVDRDPEAGSEGVYLVDTPGVFVPFVPDPESMLKLALC GSVKDTIIAPTTLVDYLLFHINKHDPKLYREYCPPTNDVHEMLNAVCHKTGRLQKGGV PDIEAAALWLIQRWRQGNMGCFVLDEIEEDGLAKKMQEEVGTSLSAAKKAKKEAQRQR SKTRFLESKA VE01_08566 MKQDNDNQALTPNSLYNDEVTPGPRMSSCPPQYDAPGPKKLMTS AFGGLRVRHCICLTLFTLSLLFVFKYNARDNISLSSSTYSDTVEWESLSETDQLPEAA TALSLMEDSDNLSPDPASITLIKTLDHSLVPQVGRQGNSNSGLQGRLIVVGDIHGMRS DLERLLAKLDFDSTRDHLVCAGDMISKGPDSIGVLDLLMQLGASAVRGNHEDGVLKAW KKLHAKSVSGAHNSPPRRKTSGREDADSDVEVRGPAIEGRGKKHPERKQKHKELAKQL TKEHVKWLKDLPLILKVGDIKGMGSLVVVHAGLMPGLKLRRQDPFMVMNIRTINLKKL EPSERHKGSVWTKLWNEFQSHLPEDERTTVIYGHDSRRGLSLGDYTKGIDTGCLKGGK LTAFVLEGRKREVKTSLVH VE01_08567 MFNPAQYPHFQGADVFILAPTGDTWNLHSSMLSSASTKFSELLG INPPAHVTPKQREDGKTIKWRFHMRPDPRGRFVEFEFMAPPAAKQAIFNFNAVDTQIG TDYLHIYDNLFRCMCNIDPILFPGDEALVNDSLRLLQAAEPLKAVPCVRRDIETHLLR TGAYLWKRVLRDVEYWADIGVRLRSPVIFRESMIRIVGLWKVPSAINKQTLVNTANGL TLCDIADKKVQEQTVRKMEIEERLRTFFPRAMLHPPSNDPAAATPGRAFYANDVYYWQ ALTLVRQYIVKETMAGHGYAAVDGGSKFYTDIGTGGGSYCKAADLEDWHKKFDMTAKG KQRLRDSLNTVKEELRAVVAELMISRSNISRLPNDYPYLTFTEFKDEELPWYTAQTLG VQHRVPAGPATKAEKGNERALFVAQTPSSPVPGAPKGSVPRSSPLSSSPVSKVKNGSE LSQGSAKKARLS VE01_08568 MARNSEKAQSMLFRFREAQAADLGILDAGRSRRPKLITSETSIP SCEKWRGQVLKEISRKVSKIQDQALSDFMIRDLNDEINKAMREKHMWEVQIRNLGGPN YMRSAKVYDEAGREIQGAGKGYRYFGRAKELPGVKELFEAQSKPKEEKPLESRADLRK QVDAAYYGYNLDEEDGTLLDYELFKEKEAFDNLLKQGNGEVPEGWEPLPGDKGDGEGW RLPTLDQVQEELVERRRKRLLDKLG VE01_08569 MSDDGAYASKSPTWMTAGAAAEGYPPKGSSPKDSSRKRLSPKGY SPKGSSPKGYSPKPGSEHERTSHSPPSIYNIPLAGSPGADAPTAEEQAAADEDAAEAP VQAENGIAIDSDQGSFFDDGYETDSNPSISTSLASSMRNYKFENGRRYHRFREGRYNF PNDEMEQDREDLKHALVVKLCQTYYFAPIHHNMQNILDMGTGTGIWAIEVGDQHPEAT VLGVDLSPIQPEWVPPNVKFMVDDIESPWIHPPNHFDLIHSRHIVMAVKDWPRLLQNA YRHLKPGGWIELQEIHHFPQSPSDTPLPADLPLVQFWDLVAQGLDNLGVDFKRTLSLA SMVRDCGYANVTERVFHVPIGTWPKNRTLKECGLYWKTVLMEGLSPIALGPFTRGLGW SQAQVEAFLVGVRQCMKEGKDNAFMPMHIIYAQRPWDDV VE01_08570 MGATENITGSPVDDVIRPIDTVVTDDNVNVNSHVDSHEGTNGNV KVNITNGHVEDLPVDNTSLLVKLSQTIARETEKVDAYFRENSIPAPSFEADGPSDYPA LPDEIQKARMAVIKAAADLKDLMVGPKESVRWMAWDHNNSLSLRAVSQFKLANAFPVG STATFAELAKVANVDEMNTRRLLRHAMTNRIFKEVSPGVVAHTAASKTLAQDDLLQDW VGYCVQDLWPSAVGTLEALTRFPGSQEDTQTGFQVAFDLVDKESMFTALGKDPARGRQ FHRAMGSLANGAGYEVEYFVDNYDWKSINENAGTVVDVGGSHGFVSVAIAKKFDKIKF IVEDLPKALATAPKFEGDLAERITFKDYDYFTPQPVKGADVYFYRWIIHNTSDKYAIK ILQSLVHGLKKGARIVINDHCLPEPNTENPWDEKIIRTMDLVMLTLINAQERSKEEFE TLFRTANPNYRFLGVTRPAGCRMSIVEAVWEGEDYDGAVDAETVAVEAESEAARSAEK AETEASSGNVENTIEIVDAQVANNVEAVAAN VE01_08571 MSLSLAKVVKTEPLPEAEAAWTKLVKSTYTDPKGRSRTWEHAER RTRPKGSDIDGVGILAILEKSTGPEILLQKQFRPPLDKVVIEVPAGLVDAGETAEEAA VRELKEETGYVGTVSETSPVMFNDPGFCNTNLRMVHVVVDLSLPENQPDGLKPELEEN EFIETFSVPLATFWAECKRLEAEGYAIDARVGTLAEGIEVAKRWKL VE01_08572 MASAASDLPPLPLPDGISEHYIPTDDLTFHTLEAGFSASPAKPL ILLLHGFPELAYSYRKIIPTLAASGYYVVAYDQRGYGRTTGWDTRPYSEVDLTSYAAT RIVTDAVRLVSALGYSEVACIVGHDFGAVGASLCALIRPDIFKSTVLMSHPFGGVPAF PFNTYRNPAPPAPAKPDIQASLAELDPPRKPYKWYYSTEPACQEMTSPATGLHSFLRG YFHLKSADWAGNAPHPLSAWSAGELSKLPNYYVMPLGLTMSETVAQDMAREDAAEVET KAARWLPNTELAIYAAEYARTGFQGGLNYYRVATNPAYQADMAVFSGRKIEVPCLFIS GAQDWGTYQNPGAVEGMGEVCSDFRGVKIIEEAGHWVMQEQPGEVSALILEFLR VE01_08573 MSTDVVTTGGSPVKFLGMAGRPLGLAISTIATTGFLLFGYDQGV MSGIISAPQFNAFFPETREDSVYQGFVTAIYEIGCLAGALFILWCGDSLGRRRSMMLG GLIMILGVIIQVTCMKGHKATAQFIIGRTITGIGNGINTSTIPTYQAECSRTTNRGLL ICIEGGVIAFGTLIAYWIDYGSAKSSMDDFTWRFPIAFQIVFGLVLGIGAYFLPESPR WLLSRDRHEEGLTVIAALYDLPEDHPQTQLQKTIIMESIAASGHKGGKTPYSALFTNG KTQHFRRMLLGSSSQLMQQVGGCNAVIYYFPILFQTSLHSTHDEAMLLGGVNMIVYSI FATVSWFIIERAGRRNLFLIGSIGQGLSMVLVFACLIPDTTGAAKGAAVGLFTYIAFF GATWLPLPWLYPAEINPIRTRARANAISTSVNWSSNFLIVMVTPIMISNIGFGTYVFF AAMNACFIPIIYFLYPETKKRSLEEIDLIFAKGYVENMSYVRASKELPYLTDAEVENV ARSYGFGDEETGATGIEKSGNESSSGSDMRHRGADAEKTAEAASEEKTSATQ VE01_08574 MSAPPPGETDLPTLLSTMTFTLSPTTYVYLTTTSPTPALLSKLD PILTFREAEGTTLITTLDLATTHGHEHTYACRMITLEIHSSLAAVGFMAAIAKGLGER GCSANVVSGYFHDHVFVPEGMEGVAMEVLGGLREGAKGV VE01_08575 MAMQDPDMPSPRYHNVIFVETEADGSGAVHHLTGDITSGMVYAT RREGRPEESEIFVRKEFIGKVASATYPEKINTVLEALPPPPKQKKFNIKTMRTEQMKP DGSFYEPGEKRLRMVKCTEWTMEQAIPALYASSVLQKEADGILDSDIFDRKIGMQERM YR VE01_08576 MAALGFNPLCCILCGALISRDRQGFQPWMHSFRAIYTVNDSCDT ACVSGIGIRRQGRHPLRSHCRASLWWPRNDPSLEGGHTAGQDGAVTRPLNFNSPPYRF RQTGELFWGFPFHCACWDLLTKLRPWGLLDTQTIFDICRSFPARDRVLLFGHDYGGIC EPDSMFSTYPGEKPQRMLHREQPLSHEPVMNEAHEHPLEIPGLREIFEGDYADHCFAN FLMVGGSTENDPFSPLPLEILELIIAELTLEDVSQLKQASQVYANLVLPDTFWHSRFR RGGEFEHVFESMECGPQCKGRWRSICLRAKKLQNHPGMLNRKRIVKLAWDLLDLVDSR GKISCSMLDSSPLLLGLWEPSAPLHLLKWVTASRSLLSRDENFDHGTRCLYRLTVAVP YDVTSIFISTIDVFGLLYISGIRFEQKSGPSTEVGYIHVESELLVTWTDSKLDCVTGF QLAQDPRGIRGIAIESAAGVLSNWVGEYDGIPRRRLIPHGSKPDGSYGIVLIQGGFDA LKLVTLSVTGKDEIARDIEHQVPRDANVWYPEIPDPCLSFISVPELPRAYRHRQEEMP LSMILFGGLKGELLPHIIKMTVWIADTHPVNCVVWGIGFTFDCLVEGKSSLVLGYSRD DGEYDFHIDGQGGERICQIDKISQYSLACDLGFRIHTTRHRTFEFPPTSSRVRLREDP ITTSLSVPDGTIVGLCSRMSEQITPNDTGLVYIKDTVDEPVYIEDPMESPPMDERRNP CIEVKKEE VE01_08577 MDDSWTRHFDSNTQLWASTNISTGETKYEDDAEDPQQQEEPPLN DEYRLISIVRRIGGDPAFKHWALFIEDKDGESEGFECEIEGSRKRFTYAENRASPKTS GGTLDMHPVGYVDTENLERVRGFARESRIRNEDEWWCCQDWVWTLVEELEGVGLLEHG GDFERQRREIRELKGPHL VE01_08578 MDAAPASPSKPEPLHHPHNHYWKTTKKGRIIENWVLTKDSEGKT VRNVDLVNPKTGKRKRKKVRRYDRLPALVRIASGGARMERGDVEEDEEGEGEGKKRKK QCVVM VE01_08579 MPSPAPSSADLTHFLTHPWCAALLSAPNTTLLPTPSRVPKKSTE DSFFAVTLRTGDTIPFMLSFSSPRPVAAASSQASDGVAAASSAPAPAAPAPGTSTEQV STLFALEAGLNGYPLTAHGGLISALFDEAMGVSMMRLRCSSSSPKCPTGGGMDIVTAS LKVDFLKRLETPGTVVVRVWVSERRGRKWVLEGEMVVWKGGDGGEGGKEVVVGRARGV WVEVRASL VE01_08580 MKSQSLATLVAVAASLQGVVATGWNNAPIFSCPGKTPIDKCEPH QWSGFTWGDLNLGSFDHYGGFDFSGGWSCQSSFGGRKRDALLPRTFGSKCITGTTHSD KASCPKIKASTVDKFSITHLQVSVEFDCDLEFHYTLPDNSICKQKSSCKKTGTTVKNT QCGGAKDVTVVYPKPAKGGKKSCSIGIHSIGFDCPNPTATASTVKSTTTAAPTVETTP PATTEAPETTPPVTTDVETPPATETTAVETTEVETPTTTEAPGTTDVETPPATETETE VTLTTIVTDVTVTSCPVTLTHVNSGLTSIETTLTTSTVVLTSTKTFCPKCTRTKPAGP VETGDVPSNTDTVPEPTTTEGAEPVTTDVAEPTTTEGTEPVDTTIAPPQTTATTPGGD SGLPCPPVLPQCIKTWLPAGCKSNSDIACFCPKADFTKNLFECLTSFGATDAEINEAA EFFQGICAPYVPTNPGIITDCPDKGAVETTKPHTGPVTTIVVETTVTVPCEPTGTATE TTFTVTTISTQVTVPQVVLTTTNSQVIVVTGPATVEATATAPAPVFTTPAGAVPGFTT SRAGAAAGTGTGGLPQPTQPAFVPGSASKSAVSAGVLGAVMALVALVI VE01_08581 MHLSSAALLLLLVPATRAAAIPAQGGLLGGDKALIDSDKLANPV VNTVLGGGHVGGPATVKRDGATDLLGPVMEAVDFGKTVSEVADKATGVKILTRDEAAD LLGPVTDAAKLGETVSEVANGIAGLATTKRDEDAVALANNIRKLSYEQLGQLARLLKI ISGGVSKTSKRSAAFNPADLKTVVSIIGDLTQPGGNAKSKRSAPFIPEELRNELSLLI TGKPFDEQTNDVDAKRDITGTEVAGAIAYAEKLAAGPAGQLADLQNGSPLESIGRRQD KIASTVSTVASKAADAVVDVSLKVVDTVPGVGKSKRDITGGDAIAYAEKLAAEATGEL ASRDLD VE01_08582 MSMNCSVLNARQVQDIALLIVVDIVSMLGPEENGNGGPAASVPS KPSLSPTEIMANARKALSAAESAYMKHPNEITQAAYHKAIETVQALTKSGN VE01_08583 MSHHLLEPFLVHIRIDGFEVPTALLVILLKTFIYSAICSSVALV YFELMYLATRYLYFRGFLKRYAPLDSTRHISIVFWSLMSAFLLPIFTAVTCQSGMERS FAFNPVSCCAHTLLYMAIHDVLFFYMHFSMHKFQSLHLVYKYVHAMHHDAVHLMNAYA IGYADFGDDLLTIGVPWAIWTLIAGSNWWNWMLPFSFMLSATYIGHSGYRSASPWFTL FNPLFLPIMLIVGKQALSPADHQVHHTYRRYNFGLFLRLLDKWHKTYKKSDKIAYDVH YWKKWVRVKENRESLANNKWEGGIKFEELAI VE01_08584 MTVSKPLILISVSSIYGHVMPIRAIGKELVARDYDVTFVTGSVY KKRIESIGAKLIPLEGIADVTENTLKDEREATPAGPARFAFDLENYFVNAIPAQHFAQ QKALKILGEKHPGRPIIMLNESGCMGSIPCLLGAPGIKPTASIAIGIFPIALRSIDTA SFGPGVLPDTSLKGRARNKIMNKAMEDKILAKPDQRFKEVLGELGIKDSAPFFMDSPY LTGDCFLQMCTPSMEYSRSDAPPTIHFAGGLPKGHRDPLAAFPSWWSDITHDTTKRIV AVSQGTLNLNYAELIIPTMHGLKDRSDVIVVVALGRRGATLPEGTVVPENARVVDFIP FDDLLPYCTAFITNGGYGAVQHGISTGIPMIIAGATEDKPEVAARAEWAGVAINLRTG RPTAEQVQLAVGEIFDNGKYKARSLELEEESKCYVAMDIVEKTIQDLVAAKH VE01_08585 MPWQETVPGRYEREFGSIEQFYRQIAAAGAVIQKQQFLISCSIR LRESPSVAELQKAWKALRFLHPQIAAETDESGFKLTYTVPSLESIETWMQETFIVDAE CNSASNLYTSIKPTALFQIYFLPLSRELLFRTPHWRIDGTGLRILQNAFLQILADGPS NIVFDGSEVVRLAPSLNEAAFVPREPTPQISKASDAELDVFEAGPPSIPIATLPNILP TSPQRYRTTFSADVTERIIASCKARGITVTTAAHSALILAMQPYTQHNSDPSSRGTGR GKYTGITALDLRKYLSAPWNGPQSAVSLYHTGLPFCIDLNEHRDFNAIATEMDAIHTR NLRNDESHNAIEFLTSYVRKALGTLSAAPEDPLKAPAYQTLSSLGVVNNYLQSKRDGK AAMVEIEDWWLSVEIINRVLGTTLWTWDGQLNLSVSYNEAFYERNFVEQFIDGWGREL TTALSVEEHIISADISATAISGEKGQSWIRRTIALVRRLLCH VE01_08586 MSDLKKEHESIGDDEFDKEQKVVAVKVVSGDEAFQQAMIKEPPR PWSIPSIKLYFVVVVGFCCSTTNGYDGSLFSTLLANDAFKNFFHVDNAGSWTGIVTAM YQIGSVVVIPFIGPSIDTWGRRAAMFMSAFIIILGVIIQGTCIKTESIGQFMGGRFLL GFGVGIIGAAGPTYVVEMSHPAHRGVTTGLYNVFWPFGALVASCAARGGLTYAGTNTS WMIPLWLQMFFPTIIFVFVWLLPESPRWLYVHGRVEEARAVLTRYHGQGNPDSEWVKL QMMEYEEHLELDGSDKHWWDYRALFRDRASRNRLMVNCVVSLFGQWAGNGCVSYFLSK FLETANIKGETLQTNLAVGMNSIQIAFAALGASQVDRFGRRPMLIVVNIVCCLCWIAI TVSSSIADVHADSTDEYLATVPGSVSKACLAWVYIFQICYSVGWTPMQALYPVEVLSF EMRAKGMAFSSLFTSVGLLANQFGISVALDVITWNTYTVFAIWCAVQSVILYFIVPET KNRTLEELDEIFNAKNPVKASLQKKKLEVDANANVVHVDTVETNNGLNL VE01_08587 MATSPLPASMRALFQLDPNTQHLTLEACPLPVPDHSANEHLIRV HAVAPCAGEMLWPENAENPDTKRKEPIPCYDVAGTVVTAPANSPFQPGAEVYARTEFA RTGMAREYTIITTAELGLRPRNLGWAESAAVALSALTAWQALFVQGGLKAEKGAAKGK RVLVTAASGGAGSWIVQLARWAGAEVVGTCGPDNVAFVESLGVNVAINYRQVSLKDWV SDVAGRKFDLVVDCVGRKTLEEAWWTVKSGGTLVSIHEPPEEKKPKDLEVEGVKNLFF IVEANGSQLKNITELVEAGVCKPVVDSVWPLEKFEEAFARLDGGHARGKVVIDFEVGK VE01_08588 MTSTKSSVKQRVAIVGSGMAGLVTAHLLHNDKHQRYSVTVFESG ESFSLDSASVSIEDESQKLVDRIDVPMRAFAEGYYKNLISMYKYLGVRYHSQLFVYSF KKNAVSETWNKRSKEGYFVYSSNNHGFPPIWPEGINLFSWLIEIVYVAGCYLWWSLCC FWIPPTLATNSRLCESLEEYMRRIMLPRHFVNFYLLPLLSSVATCTHEALLQFPARDL TEYKRQSAGGHHYTVSSVHEVQKSLGSGLQTKFSTLVTKVEVLQDGRLEVVWNTSDNA THKDIFDQVVLGVAPDIAGRIFQPLGKSMAQIPTTMVHSIVQGNGMKVALNDPKASEF VPKGTKSAQTIHLRTSASLAQTESIHVHGSGAMVTTCPFSDVSSASNVLRSVKFLRAL RTPRSRHVVNNIFGENTAGPLVDEKQSSWRSGDDKIYLVGGWCWDGMVLLEGCVISAM RVASALDVDIPWNGL VE01_08589 MGFWKNTEDFPTACRTLLEEVLKSAQILEGVSSSNKSISNTLSI IDLGFGCGDQSLYIKNALDNQRQGKSDDQWKRNLKAYVGLTLESAHFSIAQERLGLQR PNTNTEFQIYCADAGRPMSWTSEIKKSVSLATQANNEKGNHENWLLGLDTLIHFQPSR WPVIEYANRELDASLMAYDLCFADNLSVKQRLVLRFMASFGQSPFANFVTIEEYRERL VMAGYAREKIEIRDISEHVFSPLAGFLRKKEVELQQYGMSIGRFRYAAKMFAWWGRSG VIRGCIIVARK VE01_08590 MAFSSPHTGLESYIDIPFNGWLSIILVLTYGCAIRSRGLLLLVV LGVSAVIVVFDKTSTVGEMIKIMCELPLGLGSVLAFLVASRSFQSRYLPAFTTYVNFA VYGNIGMMVGTPAGGTLRGMCSKVTCIVLFIWIVQQGYRARWKTIVLHDNLFVFTAAS KSWIFAHAVYRFVLLTLPCFGSGRRHRLLELYSLSLTFALSWASKLPFEYCFGMADTL VVPATAGWSAIATTFNLIPRDAKKNDLPSNHIGADADIYLSAVSLAVATFACFKIASA PHREPRRS VE01_08591 MSRANGGTLSIYVDCVSPYSWFGYTNIVRYRPLLNAHNVDVDTI PFFLGAARMNAGNPFAPTPKFREEFSAQDFETTGRLLGLKVVQPKVFPINSIFAVRVA TWVKDNYPQDKLDATFLALASGYWSKGINVSSAEGIAEALDGIFPPAELQKILENAVT PENKKRVIDQTMGAGAFGAPWIVAVNSNGEKKSWFGNDRWDQVFAHLNVPYMPVSIIP PSKL VE01_08592 MSQNSQKKPVIAVVGAGPGIGEAVARRFVAEGYVVALLARTEDK LQTMVQGIDADFGKGSARYYLTDLRIEEQVISSFKSIRAELGTVQVLIYNAGARRVNG RSILDTTSEEFENFTKINLFGAFWSTKCVLPDMLAAERGTIIFTGATGSLRGMPGLSS FSPGKFGLRSLAQVITREYQSKGIHGAHIIIDGPVDGKLVGGVTRRKWEREGEKEKLN EVDAYIMQPTDLAGIYWYLHTQPRSAWTQELDVRAQKETMFSKL VE01_08593 MLPHLRMLYNACGLAFLLMLAQSAQSHTVESRNNGGAASGRQRI SLNAGWRFERFTSNPDALSYDLLKQWILPSGNDFISGTKHELPSGTPPGGNISYVQDS FDDKSWEAVDVPHDWAIKGPFNAPGISGEMGRLPSNGVGWYRRSVTLTAQDIAAGRST FLDIDGAMSYSAVWVNGYLVGGWPYGYNSFRLDLTGHLKAGSNLIAIRLDNALDSSRY YPGAGIYRNIWLIKADATHVGQFGTFIRTPAVSKKSASLQLTVEVENQRSTSQKVTIS TKVYEYDPLTKKAKGKAVGTFSDVTVDVAAGGKASADSSATVKNPKLWGPAPAQKPNM HVAITTLSVGGMKIDTYETKFGIRSVVHDATKGVLINDEAVRIQGTCNHHDLGSLGAA FNIRAGERQLQMLQEMGGNALRTSHNPPAPELLDLSDRLGMIVLDEIFDTWSTQKKSH DFHLIFPDWHEPDLRAFIRRDRNHPSVISWSIGNEIPNQSDPATGKIAQELRNIVREE DLTRPVTQGMNNAKAADLTAQTMDIEGLNYQGEGHGADTSSTFPSFRVAFPDKLIYTS ESASTVSSRGIYVFPVTKENNAVFGPNSGEDVEARHLSDYGLYEPSWGASPDKVFAAQ DEHPYVAGEYVWTGWDYVGEPTPFDTSRSSYFGIIDMAGFKKDRFFQYQSRWRSDFPM AHILPHWTWPDRVGLVTPVHVFSAADEAELFVNGKSAGRVKPAKSSNRFRWDDVVYQP GDLRVVTYKNGKKWAVDTKRTVGSAAKLAISADRSAIGLDNDLSFISIAVVDSKGDTV PQASNSITFSISGPGTIVSTDNGDPTDMTAFPSLTRKAFSGHALAIVRATGAGAITVS ATADGITGAKVTLKAR VE01_08594 MTQQEISQETNTASPPKVLIACLCVAAVFVSMRCGARWYKTRGF PWAAEDLCMYLALASFAITCALYLATMPTFYNVTAIAAGKMAPYASLEADLVPMLKEF FAVQIFFWLTLWAVKWSLLFMFKALTNGIALYTNIWWGALAFTVLTLIGCIISNFTSC SSMDAWFTAGLCSTPRDARAKAISLWYCMAVDVLGDLLIMVIPIRVLVSLRITLVQKL SIGLVFIVGIITMVFAIVRVVSLNSSVNGGQVSTQWLMLWAGIEGVVAIIVGCLPSFA IFLRGRVEESNGQYNAYPPNSSNKGSNALSNLQQSRIKSAARNESVMLEDMESNGSGH DTGSRKSLVGDRIIITQAWSQKSYRETGTDIEGERRQKTGLTGVA VE01_08595 MVGVSTFVLLGLGLLRLRVVAQDIPEIPTLDDVDAQLSAYLELV GNGTVAAEGPQARSLTLPSGCDLACGFLGFSLKGQISYPGSLEYQFGESQYWSVQQAS NEPTCRFTPRSAIEVSLGVLALRLANCKFAVKSGGHAAFAGSSNIDNGIAIDLAKLNQ VTVSADKTQTSVGAGNVWYDVYKKLEPMGLSVIGGRVSAIGVGGLTLGGGVSFFSNRY GWACDNVNTYQIVLADGSIREVTPSSLPDLYFALRGGGNNFGIVTRFDLNTFPQGKMW GGAQVFLYSPETAAGLNEAFNGLAINGHEDPYAQVILAYAYVQYADMYVISSDLQYGK PVVNPPMLQNFTAVQGTVVSDSLSIASQSELTVELNNSNPGGFRQSYWTFTTGNSPTL MADIIAIYQEETNTIKDAEGLVSSCIFQPIGTNMAPLFARNGGNALGIDLTKGPLMLL NIAISWSNEVDETRILAAARNMVNRSDAAAQAAGLGNAYLYQNYASAEQDVFGSYGAT NLAKLKAISKKYDPSGVWQKLQPGYFKIQ VE01_08596 MPRSDTSEASALASFLVTASYGDEDEMKQLPLVVSRLYRREHGS ITCVILLAITVDISGIMAAMETKNSLEVTHGTEKAAEVISDTDKASDASFYADKPFPT EEEFATLPRVPGRIPWTAWTVAAVEFAERFSYYGTTAVFVNFIQKDLPPGSTTGAGFL IKPGSGALGMGQRASTGLTTFNNFWSYVTPLFGAYVADQYFGRYLTIQYAICFALVGH VILIMSAIPPVIVHPNAAIALFSVGLVIMGLGTGGFKSNISPLIAEQYKDEKAYVRIN KKGEKEIVDPATTTARIYLYFYLLINIGSLSGSLAMVYSEHFVGFWLSYLLPTIVFCL CPAILFYFKADYNLSPPTGSVMAKAYKLIRLACKGKWSWNPSTTKKNFSDPEFWNKVK PSNIPLATRPAWMTFDDEWVDEVRRGLLACKVFLWYPLYWLAYNQMTGNLVSQANTMN LGKVPNDIVSKLNPIFIIIVIPLMDFIVYPGLRKMGINFTPIKKITAGFMLASLAMVS ACVTQYYIYKMSPCGNQINALSKAGRKDCNAPFTVWIQVFPYGLIGLSEVMASITKLE YAYTKAPKNMRSSIQAFALFTNAVSSALGQALTALSEDPLLVWNYGSVAVIAALGGIG FWMTFRNADKDEDMLNNLKQSSFEGRGDRDEENVVINAVDRVDEKGEEKWVDAKGVEK TTL VE01_08597 MVFLHFSGFRALTFFFFLSLILQSDALPRRGRKRPNNNTGAAIG AATVATAGATAGLAATGGGTISQATDGSTILDKTVNINGLAIRYKISAPASLFTAASG VPGGAATAATAGQNGLNVLLHGDGGQSFVDFPNQAVQGGLMGVVVLAPNRNRFWGGGS GLDRTDGVAHSAAVNTLIQQQLPQDVAFDPANVFFTGVSGGSLMLSGFFMPAFGAAYK TGVMLNCGALAPQVAVVDAATLAASTRIHFQSTQNELASLQPAIPQAVAAYETLAANA GLSAAQVGALQTVDASPAGGHCEFDGQGFVSGIQLMADSFADVMLAGGSGQVSGIGNV LTTVVGNENIKFGTPS VE01_08598 MHITLTSIAPVAILLMASTAQACVNFGAVTSYSNGQMDGGITDN GAHTCTINTIPGFSGGTADENAYWPASCISGYSATIRKKGAEIAYCNPSNCFTFAANC DYDSDAIRCNANVFGC VE01_08599 MKSSFPVLALAGVAAAQVPIWGQCGGIGFSGSTVCVSGNTCVFV NDWYSQCQVGGGSVAVPTTTATAPGTTPTGGSTGAFRFLGRVNPATKELTWPGTGISF TFTGSSASIQLTSVTGTNSVDLIIDGGKPTVISNVAGTSISTPAGLSNGKHVVVLRKR SESLYGTIVIGGVTTDGTIGADVAPTRRIEIIGDSISVGYGLDGTNPCTNTAAVENNP KTYGALAAEQLGADYSVVAWSGKGLTRNIGNDDAIIMPILYTRYGANDADNSYTFPAA SAPDAIVINLGTNDFNYLGSRDPINAATFTAGMVGFVKNIRTHYPNANYFLMTSPMLS DGYPTAADAQHTTLSNAVKAAITQLGGKVQLVDWPTQGSDVGCDYHPNAATNAAEAPV LAAAIKAALKW VE01_08600 MAVSKQTVYLWYTSMVAASCMNLYGYDAAAFNALQGSANWREHF HHPDPNVIGSVNTAYTIGCVISGFFVSAPISNYLGRRWAMMIGCMFVIVASFLAAFAP RNIGAFIGAKALVGIGQGITLPAGPVYISEITPAKTRGAVMSFWQLFFSVGNSMGFIV AFACSKKAEQLGNWDWKIVMLCQMIAPAFIVSSLWACPESPRWYIQKNRTEEAVAALT RIRNTREEVDAEIEQIQLAVAFEQKNNISGSYGPLWKDPSVRARLILAILMNVGQQCT GQGSLNNYSTIIFQKVFKDNDTIQLLNALNAILGIFFTLTATFTIDRFGRRFLLLTGA VGMSTCMLVVAILVTNTPDLGEGMKAQPVAIGTVFIMFLFGFFFKPSWGATVWVWTSE IFSMNVRSQAVAMSTQAQNVANAVLLQLFPLFLANEGFYAMYMFFAMNLVLAFFVYFF IPETKGKTLESMDILFGGANHAEDTTPDDPPMLGPEPTVSETVGEKKTTVAVVTDDKT EVKVDDTPLEDKTNVIKG VE01_08601 MSDITLYDLPSKQGTCWSFNPWKTRLALNFKGLDYKTVWVEYPD IKPTFSPEIPPNAPPDTPYTIPAIRLGTTLIMHSRTIAARLEELHPEPSLHLDSPILA KLYKIQAKHLAPLYGFWMPLVSANVLGPRSKEYFDRTREEDEGMPLPEMLTKAESREE EAWENARGGLRELGALLEENPEGPFFMGPVVSYADLVVLAWLQFYWVVDKERLFDRAV KTEPVLDRLYEAGKQWLERNDH VE01_08602 MSSSHAQEEEGITNEKATNEPLLSYDERYSRSSLDSEEDAIDDE ALLSSDLPEVRDGAEAEAADPFLAFDDLPDEDRNILTFRAVLVGLLCGGLVNASNIYL GLKSGWTSGANIFGSIVGFAVLRSFSKYCSAIPLLGGEFGPRENNIVQTVATAAGGLS NVFVSAIPAMYQLDLLQTPLQDFWRITILTAIGAYFGLFFATPLRSFFVIHAARELQL IFPSSYATATTIRSIHLAVDGADMAKQKMRALSYGFGYAMICRVLSQFAVGILWDWHP FTWLYLISGSKASLAFESWGWFIEWTPAFIGSGMLVGLNVSLSFVGGSVLAWGIIGPI LVNSGIAFGHPAVSNNQAWDGLMSYSSLSDEFASANHPSPRYWLLWPGITCMIAVSFT ELACQWRIFILSGKVLYRGTLKVWARVTNRKGREAFTEKYDDEDNNKTIDPASPDEQV ATWMWLPGLIFVLVMACVVMKSQFGMPVGEILLALFLAFFFSFLAIQSTGATDITPLT AASKASQIILGATTSGQGWTLQQAQKLNLIGGALASIGANQAADLTGDFRVGFLLRTS PKLQWFAQSIGTFVAVFLAPSIFVLFATAYPCILDASPDTCPFAAPSVSAWRAVAIAV TSSDFVIPSSSKWFSILFAVFGVFMVLLRHCVWTGRWEWVRAYHPNMMIISLAFLLPS TVYGTAMFIGAGLAWAWNKRSPQSYAIFGSAVAAGFMAGEGIGGVINAALTVMGVDFD KIGTSFLCPGDKC VE01_08603 MPPKKATEATTNNVVAFGRVKSNLKMGCVGLPNVGKSSLFNLMT EQSASAENYPFCTIEPNEARCIVPDPRYDFLCKVWKPPSMYPAYLQVTDIAGLIKGAS EGAGLGNAFLSHIQAVDGMYHVVRAFDNDEVLHVDDSVDPVRDLDTIQSELCKKDLDI LVKAKVAEEAIVRKAGGKFKMLPLFDETTAKIKALLEQDKPVRDGEWTTPEIELINDK LRLITTKPVIYLINLTMKDYLRQKCKYLPKIAEWVKAHGGAGTDIIPFSVEFEEKLWN LRDDKPALEAFMAEIKVQSRLGKITNEGFNKLGLQYYFTAGEKEIRCWPIKQGTLAPQ AAGVIHGDFERGFIKAEVVAYQDFHDLCNGEKSMAPIKAAGKYRQEGKTYVVQDGDII HFQFNVAPPKKK VE01_08604 MAATDAEQPYADMAAGDPFTSSTSHRYSNFDNQLFALGPTTSPE QAKRALEAHLAETERRIRDASKLGTTLVQQRKELADRLKEIEKEQDEGEITPELRQKL VDIEKEYNEVGRESARAFLPKSRVSSSEMAESPFPVQGKRSVSPSKFESQATASPSKL SVPNRKQRNQPSNRVHDIEFATEISTSLLSQVRHLQALLAEKEESLRTVNTEKARLEA EAEGFNQRLRALDESEQRYKDENWSLETQLHDHIATAKEAADREKKLTQSLNALQAQK TTAQKDLDEMKLSHSKLSETHAAAVKLHDTELGGLKRNMAMVENERGALQRKIEDLTG QNHELAMAVARQRGIADEQESQDATDEDFETADDNVTPEHSPPPSPTKMTPRHSHLEA ETLKSSLHHAHRMIQSLKGNIHREKTEKLELKRMLQDARDELDIRRNDAASGSIKKSR KMDSKEFKKPSKGQLGALRNSRSEISMDDAQWEDQDGESSPTRAASARVASMPGGYAE SSDQFETSNETSDAFETANERGTETDDFHTGNEGNTDSDELTETESGANVSTIRGRPA PLNMAAKRSSFLSTASTSGDEYSYEDVRTPVQSQPQRLRLRMGRGSSRRDRIASEEPS IDSSPAASNSGTPQQPSQSLFAELGDLGGSDDESAMGSSVGGMTPGRNRSITPGGTPG GASARMSALDIPPVPALPKPVMVDSSMMTDDWEPAPATPQSAIPIIASGLAGAGLVEA GHLFADNRLSVMSDVSTQAGDLSESLAQFPTPPTRSAPLPPLSISTIQAEDMEPVIPA PATPVQMAFSSIGSSHTEPLSPVLHAPTPVKLVFAPIEGTETEPIERPATAVKMEFSG IEAVAMEPVSPVLRPVTPVKLAFVPIDALDTEPIEPVERAVTPIKMAISNIGAMETEP ISPVEPVVAPMNMAFSSIGHTATEPESPVKRPVTPVAFAFSSVSNTETEPISPVIRPV TPPRPVTPVAFAFSSVSNTETEPISPVVRPVTPPRPVTPVAFAFSSVSNTETEPISPV IRPVTPPRPVTPVAFAFSSVSNTETEPISPVVRPVTPPRPVTPIALAFSSITSTSTEP IEPPSPAHNHLLAGALGAGALGAGALGVGALLSDKSDKELSIQSPETPKGKGNNFNGL MNWAKAKTPVGPLIAEDETRQSPNQSPLAETPESQRPFKELSVNTNERPPSKAKPDMT SSTAQTSLTSEEIDEMLSKKSDRQYAILTEDSQKPVSPSRTIVPPTIRVRKSQDSMSS VRITRPKALDLSLDRENQPSSRPGSSGSHRRSISSQHPPLPPNHQQVIAAAQRVGSSS SNHTGIMGPPLLPASTYRNSNSQQLRPRTPSSSHGPSSPKNGTTPRPRYSGGAELNPS ITARSRASSVTSFASEVESRFNLRGGPTEIPGVASGTDPRMIQAITQTMIGDYLWKYT RKAGRSDMSSTRHRRYFWVHPYTRTLYWSDRDPSTAGRSELKAKSVAIEAVRVVTDDN PMPPGLHRKSLVILTPGRSVKFTAQTGHNHEIWFNALSYLLLRTDDAPEDTADEAPDA LTADDVDEFNPGFRSSRRGGAASLSSYNSRATRNESPAIPERPTLNNTPYNGTAASRG SVVSRLSNYWRTSNGEVYSARRSRQSTGQNSIYEASEVHDSAEDVRAMIEKQDRESDR LENVRACCDGLHDVGHLAHTPTSSVRNRLSQGTRSYTPGPGQRPGATSRQSVQ VE01_08605 MEAPTSPPKAAEEAPAPVALFKKRTNKNKSNIRKRPATPPPAGS DSDDYSSSEDEAGHKIKRRKRNTGTITASSKPTASSTAEITPAAHAASRAGITSANDA TKTTEWFDERPPSLSSKQLLGSTRALPEAPDGTYKGLAAQKQTTFIQRNPDAPNRNIG PIKAPTNIRTITVTDFAPDVCKDYKTTGFCGFGDNCKYLHAREDYKAGWQLDKEWETV TKGKKNIGGTTVARAGRGAAEEEEEADEDAELEGVPFACIICREGYKHPVVTRCGHYF CEACALGRYRKDPSCAACGSGTGGVFNVAKGLKKLLDRKREAAAKRRERAIEAGEEVS EDEEEGMEG VE01_08606 MKLLSLVACTAAFLSTGTLAYPITGTTVNCRSGPGTSYAVKKTY KKGDSVTISCQSEGPVVSGNSIWDKTTDGCYVADYYVKTGTNGYVKPKCGGTGGGGSS GKIPGPVTNDYPYKSQCGPADKWNYFKCQCTSFVAWRINERLGINFHNKYKGAAWGNA NSWDEAARKTGVRVDNKPVPGCIAQSNAGSAGHVAWVTKVSGNTVTIEEYNYKNYRAY GTRTVPKSTFNYIHVKVYV VE01_08607 MKFTLFAAAAALASTAVAFPITGDSVNCRSGPGTSYAVKKSYAK GHDVTITCQTGGTSVSGNSIWDKTSDGCYVADYYVKTGSSGYVKPKCSTGGGSCSAPK SNAATVDLIAEFEGFVAKVYIDATGHPTVGYGHLCTKSKCAEVGYPIPLSKANGKKLL ATDMAKAEKCVTAMLNSKAVLNANQYGALVSLAFNVGCGAVQSSSIVTRLNKGEKAAT VYPQEFPKWVHGGGKVLPGLVRRRNAEVALAKKAAGKALPC VE01_08608 MSTTQPQERKPVFLLSHPRTASNLFMKNFQSHPEVVPVEYPFIN SFLFGTEAQCDRKGPERDAARIQFQENKEAPKSYHEAFKKLEEVIRRAGEQKERVALV KEHIYAFLKPSVIDSNIETPRPPRATPQLQQNDAEVRISTNPTLLPDHFMLSVSPVFL IRHPALVFPSWYKISTSTFGADIDDCEFPVEVSFRWSRILYDWYLNTWSKATSNSPLN QHRKPAVIDADDTMSDRAALERLCQQLQLDPQQLSFEWQVAKTGFLPWLSSIQKSTGV DMSKLSKGVDTQQEFVKWEHEFGSHVAHKLLHFVELAMPDYEYLLAQRG VE01_08609 MEDCYILPCSPQLLCEDRFSLLDSYELQVPFWELFNALMGEEVN SPRDVVDLLESIAISLRQKTNTDYGFLRDFMKGLKDDFYTRTWPALVGLALEMPSLFP EHSLTILSPKSPQLKLSRQQTACLVVHQFLCTLTAPTWQDGYQDFHIWYNSEQPHAGA VDAYLTALFTYFDRITDTDDYSPLAADLGSWPISYTLHTNRDPLPTLQRKLLPLEVLE LSAASTSPELLGIPDGASVISANKFVGFGRTGTQEETHVGASPECCPAVLVTPPLADN QALVVVGPEAMIVIAGYGRDARCAEVLVPSGGSAIHHGKWAKRTMLFVDALELDMADR SGGLPDVLPGNVERELRKAYTGFRASYITCEGNRREPFSVIYTGFWGCRTFGGNPDIK ALIQWCAASLADSSMKFICSTSEQHEFAVNLRSFIEYTSTNGIRTDELLQILLDLTPG GLDGLQHPMEHVLKVLRSHE VE01_08610 MKLTLVFAAILAVAIAAPSIAAPGTEELGKRSDGCATCSNGKKL SEGFSPEFGMKLDVQIRA VE01_08611 MWHYAVLALLPVALGCTNPDTDPCASYMEAQPATASKFCATFTQ SVVTATTGLPAWASNCNNKPSAISKECSCYFTGGGSTPTTVNPPGTTLTTVTSDPTPT GSNDCGAAPINGLVGYGAGVTGGGSGSGTTVTSCSALSSAISGGGVIKISGILTGCGV LDLAGSTTVIGVGANSGLTDGGFRLKKISNVILRNLKFHDPPKGADLVALDVATKVWV DHCDFSTVGLTGDKDYYDGLLDITHASDYVTVSWSKFHDHWKGSLIGHSDSNGSEDTG HLRVTFHHNHFTNVNSRLPSIRFGTAHIYSSCFENNPTSGINSRMGAQVLVEQSYFLN TKLAITTNLDSDEEGYAVSKNNIFVGSTTDITKTGSLSPPYSYVLDPASCICDLVKSK AGTGVVA VE01_08612 MAPGEGSSFGTRLAKAFRPKASSKLQKRPRPSASASTLAEASGS PQYEIRSPSPIYHKEAVIDSQLGENLDHTALLHSLVYDDEVYDEQQLSRTTGIACHPE DHTLSKIPLPIWDAVLEYLTPFEAASLAFTTKGLLWRLSLRPWQALNHPENHQYKIQF LLTMDGYLPNHLLCFLCASYHYRIHHGSETLKPAATHNPLYKCPKAFDLPFPRTRITP RRNLPFSFVQLVTRAKRYGPAYGIPVESLVRRWKEDHWTHQSQFAIINGHLHMRVSSS CYAEPGLTQSEVRLLLFSRDDYSPYFSACAHWRDGMLMELCKCALSHIPKPADSMGQK VKDKLHQRHYDPRSIVTLCESCRPMRRCPDCPSEYLIELKNMEDRPTNSFKRAISVTR WCDLGDGLTPMSPEWAACNGELGEYDSFGAIGGRAISGMFEAHFTKEHIPGQRILNLN PRAERHSHDDPNWY VE01_08613 MSSTESSSMGSMEPCHPQDEAAQAMPTESPSIGSHHCQDETMRT IPMESSSVESHHAQDEAARSMEILHKATTFLEQVKMNYQNKPEVHKELFGLLTSYAAA RDEAHQHISTRVEALFRGAALQLSPVRDDLIQAIRNGKGTPYAAPLVDSAIKRVAKVT VASTATENSEEERIEESAMKDISRVKHLPEFSVDASLLKGFSQLLPANVYPSVAGRTM ATGGTSRFHKEEGKRYMEFLEAYFSDRPDVYEKYAIAIDGFERKRIRANRRLYVKSRI LLQDSPQLFEGFQKFLPENAKGELYRTSAGKLRWRHYHADHVTYENLSDSLVYKSW VE01_08614 MTALRNLAHSTFGGFAHSTLDMLRERYPGRIPDAVDVEVRKTGQ LHPEHFVAAGDYITKWFPVWQWKDLPKVEKEIGGKTDVEFEEKTEGEFVGKITGLPTD RHILVCRKIPCRRRLGEFADDATMQEETMVRDGEDFTRDVKSGSPGDDGGGWLRTGSL AASQEARAGDVRTVDESGNMADKEPDEPDDIPDMEIFDDDPDALIRDYGGDSDEEKKS SRFYDIFIVYSAAYLTPRVYLQGYDYKGAPLTPPELMLQDIMGDYTDKTVTIEKFPHY SGNIQMASIHPCKHAELMKTLFLRADNALKIRREKQRKGLVSDGPADLQSLIGDVDKL SLAHDRKGEPKGKGEDEWEVVDTDDSPDQEEAAISIDQYLVVFLKFLASVTPTIEFDN TMAL VE01_08615 MALDSSSNFAQQGSLDWVALSTNFVSLSYGMIQRLSGSNLDLHT LNTGCTLGGKFQLSRNGQKNINNALKDMKAYAGFQNILWFGFGMKHPARVIAMTQQGS ALVALCAALSECFPHETAAEILSDMASLEDNLDMTPACSEWLALIKSCAGILSTTVFP IRAETLMGLETDNKPADTTDIAKVLNAIAKVTKHELVSITIHGGKEARWIGALAEWLF DLTVTIINEQDDIVYTSCPDGQFSQVNICSVIRTHGGEDANPTRRTGEKLLELADRTF YIDTVSDFWDGRDPMYPQSCIGGRMDTPAMNGRVAWNTCLSAVFGVLFKDLMKSADCV GKIISSVARVYAGIAAAEEGIPTVVLETNMLYVETSFGTGFVQNLLYWFPELSGLEPY LDQDSSMQTYKEALKKYRKSLNALWKICGCIRCDKTRPVGGKSVTVNCQVVLIELIIR LGRLLSITECAGLCPKFTGLRKLYNMRAEEHRSVNPADPGYYLDPPGLHRYRCGDFKP PQHAPFVAMDNFPSHMEHALCLFTGYTSKDVNSTVLSSPAISVDGVCVYLHVLREIPD SLPDFAMLHVCPGQILYEGKKFTQLTDRLMRSKSDGRKKAKAEEALLMCATPDIISSV KLAVRQTVNQLYLHYDLFYCDGESAGLQLRPYSLCESMLHSYRRLHCGHSRASETDWG EGTGWVNPYADPSKYPNPGLWFKWDDENRYTTWNMDQSSFFGLCAVVQNHRELIDHGH SLLIVRDGECLSCCQQIRGKTKERYHAEHIVVVSKHDPHCSPLKTA VE01_08616 MFYSILSALAICLSLVNGHTVISYPGWRGDNLVTNETFPFGMQW MYPCGGMGTSRNRTTWPIGGGALAVQPGWFQGHSKGFFYVNMGFGTNGPDNGPNNFTN VMVPIFEFTGPSNGPYPGTFCLPQIPLPANATVKAGDLATIQVVMTAQHGASLFNCVD IIFAEPENVAEVNKSNCFNSSDIGFNNVFTSQISGADLSITISMAVLTPILLLSMWGL S VE01_08617 MAASESSRQYVPLTCHGHSRPVTHLSFSGIVGDNREEYYLISAC KDNNPMLRDGMTGDWIGTFFGHKGAVYQARLSPDASLAATASADFTARVWDTHTGETL YTLQHNHIVRAVAFPPNNGQLLATGGMEKKLRIFDLSSVAPITNGAPTNGDSSDPTII SADKGFEIGPDVHKGAIKAIIWTHDPNILVTAADDKIIRWWDLQNRVVIQELPVKGDI GSCEFNMLSAGADSADIGGGMPVLAIAAGRTVYFYGGADARHLLKSVNLPYDVASVAI HPKQRKFVTGGIKDTWAKVYNYDTEQELDVHKGHHGPIWSISFSPDGKLYATGSEDGT IKMWKNCAGPFGLWRAEKEV VE01_08618 MGDYKLSASLAGHDDDVRAVIFPYPNELVSASRDGTVRVWKMIA EPLATFEDTIIQHGSSFINAIAYLPPSEEHIQGLVVSGGKETIIEVRQPKRPAEESAE ALLLGHANNVCALDVGPDGKYIISGGWDAQARLWSVGKWETEVQFEGHQGSVLAVLAY DSETIITACADNLIRIFHISGKLLKTVKGSTDVVRALCKVPKGHPSGADFASAGNDTI IRLWTLAGNQVGELLGHENFIYSLASIPTGELVSSGEDRTVRIWKGAECVQTITHPAI SVWSVAANQDTGDIITGASDRIVRVFSREEVRQANEEAIQAFEESVRSSAIPQEAAGD INKESLPGPEFLQNKSGTKEGQVQMIKENNGSITAHQWSASQGQWINVGTVVDSVGSS GKKTSYLGKDYDCVFDVDVEEGKPPLKLPYNYSQNPYEAARKFVEDNKLPIAYLDQVS DFITTNTKGATLGATETQGPAPAGSDPWGSENRYRPGGGSSPSAPPAAPKILPQKEYL NILVARVDIMENKIKEINRELIDSGSKAVSLNPEELEVLSALRSHLEAAGSTSTSQDV TGGLELAIKLAKDWPYSKRLPGLDLLRLLAVAPNTATYQSPRGADIIEILESSVSAEQ PPAENNVMLAVRAFGNLFATPEGRRLASHEFDRIFSISSKSLEGKTTNRNLLVAVTTL AINYSVLVTSSEDAAEVSSATRFEQSVAWLECLAGILSEQKDSEVLYRAMIATGTLLG LGDEVRTAAKEVYGIDKAVTKAIGKAVDPRIKNVGKEIKELLK VE01_08619 MASDGKKIIVVFGATGVQGGSVIKSILGDSKAAAQFKIRAVTRD PSKPSAKALADQGCELVSADLNSEKDVARVLEGAYGAFAVTNFWETGDPDVELKQGKN VADAAKETGLQHLVWSSLIDVTKLSKGVLTKVSHFDSKAAVEDYIRSIGINASFFRPG YYMSNLQKGGIVPNGDGTYSVPLPIPTSAPIPLFDTAADTGKFAKAILLAGDKAFGKT YDAAVAYVTTAEIAAEWSAVTGKEAKAVVADPEAWKAQLRGFGLSEHAAEELYQNMRL MDEFGYYGGASLEESQKILDEKLTTLKEFIGKQDQWKNL VE01_08620 MAVTPIALSSIAGLIILGVFYIYKRFFTLRNNSGLPLPPGPPAE PFFGHFRSVPLVNPEFSYIEWGKEYSSDVLYFNILGRPVVVLNSVKAAVDLLSKKGSN YQDRPRFVLFEVMGWGMTLTFLRSGPKFQLHRKIIQSNFTKSAIVQYRTLQEREARIA VHSIMQDPTNWEASTRRFSSAIVLSIGFGITIDSNDHPYLKLTEDANFATTNGGSPAS TIVDYFPIFKYAPNWLARSRPLKHARDWKHAILNLHEIPFANLQKEIKEGIAQNCIAQ TLLEESAAREEKGEVNNLSTEDIKGACGAIFIAGANTTWSTIIICILNLLMNPVVLKK AQAEIDAVVGSNRLPNFEDRERLRYIDFIVQEAFRWAPLSPLGVPHRSIEDDTYNGMF IPAGTTIYANARAMCYDETMYKNPSKFNPDRFTPREEGGEGEPFAQGPFGFGRRICPG SHLAAASVWMILTTILRTMDILPAVDKDGKEIYPVPALSNGLSSHPEHFEVQLKPRSK QAEELLANWI VE01_08621 MDAHDLEKVAVTPSSTPVESSSFDEALKPKSAIWRKILGWGVEE NGIIPVPVEKRTDKRVFNLFTIWFTALLCLLPIPTGMLGTLAYGLSLRDSSLVIIFFT LLTTIVPAYMGTLGPKTGMRQMIQARYAFGFFGVSIILLLNAATITGFTVIAAIVGGQ TLAAVSDSTISVNVGIVITCIIALVVSFSGYKVLHIYERYSWIPVFVAILVLVGCGGK HLTHQTVPEAPATAQSVLSFASLIAGFMIPFGGTVSDFGIYIDPSASRLKVFTYIYTG MAIPSILLLILGAAIGGAIPNVPEWDAANLANSVGGVVTAMLSPAGGFGKFVAVILAL SVIGNIAISMYSIALNMQMFLPILTRAPRAAFSIITTAVLIPVSIEAAHSFFASLENF LGIISYWSASYVAIMIVEFAFIRKGDYMSYDHTIWRDWRMLPTGIASLGAGICSFGLI VPCMSQLWYEGPIAKSTGDIGFEVAFCLTAILYVPFRLLEIRIRGGRL VE01_08622 MVLHNDTDPVELATQSWIMYSVGMALIVLRMGAQIKRHGLKGLK PDDYLMCLTAGFYTALIVTLIGSVSGVGGNGFTADVVATWTDAEKKEHIKNAILVQVC EQFMLATVYSVKVCMLLIYGRLTMGLKERFAVKLLAGYVALGFIGTELSMFLLCRPYN QYWAIPPNDIDQCAFYRKYSLPQAVFNISSDALMLAIPLPLVIRSHLPTKQKIAMVLL FSMGLFVIIAGIMSKSFALLPQNLDNIVYAFWYLREASVAVYVANMPLLWPMIRVITK FITREKDTTVSKSTGNSAAYELRTRKMQSQRLPNEETETWDQNSSQEMIVDRGVIFKN QTFEVQVTENKNYTKSRVYDEREHGENVYRVDVDSKS VE01_08623 MPEILGKQIGPAGYGLLGLTWRSEPLSEEDCFKAMRAALANGSN FWNAGEFYGSPDFNSLTLLEKYFTKYPEDADEVLLSVKGGLKDWQPDGSPENVRSSVD NCLKLLNGKKKIDMFKCARVDKNIPIETTLKVLDEEYVKTGKIGGIGLSEVSADTIER AVKVCKIVAVEVEVSLWATDIFSNGVAQACARHNLPIVAYAPIGRGMLTGQITKPEDI PEGDFRKTVPRFQKEHFGKNLKLVRELEKIAKRLGCTPAQLAISWVRSLSRKGWNPEI IPIPGSATVDRVNENAKYVPLSVEDLAEIDSILQSFEVAGDRYGGHAAEFMDG VE01_08624 MGMTTEPDVDLEGLSDVEATIDFTNPKDFTRTLQALLYNASSCD PTSDHTVLVTGFPKKYISIDDDEQPLFPGSRKALYFEESESLLITMPGDPHEVAAMEF HNCLIKKIVEAGCFEDVISTGRATVSIGGVQKEPDASWGPFRAPGSGPGYKTCVLEAA TSKSGRGLSRDAKIWLEHEESHVMQVIGVKVCRRRPEIIFKVWKRGRQQQDTRASHPP RAVVDQEIIVSLENGRPVAEGTLCLSFEGILERKPRLGTAEGDFKFSARELGGIARRV WSTMVF VE01_08625 MTSPAPITSPPPSAPPALAPTPLTTPIIQIPLSLTPSQMSLTRH QLFSITRTPLTLPLSHFLALWPWIDNVYVRKKTRAATGRTPGYELWECRNRRKHPITA PATPTARRGRPGATCLVGLKLVWNRYIEGEERTVTIERYTEETHTHTLDDMDKQKRSS AIRHIAGREASAGMQPHEVANAMKADMEVLTAVGGAYITRADVKNASTSFETGAARGK AGVALSGVEGAERWQEQLFPGPAPWMGMQMEMSTSTSERRGDVDMEESAPVSEPQTHV PPPLAQAPSSTEDPAPIPASASAAAPGSLSTAPLTATTFLLIDSQTAFTHPTHWGPAR SNPSYESNISTLLSHFRALRLAHPGAGPEIIHVRHASQDPKSPLHPDAAGFAWMPYTT PIAGEHIVTKTVNSAFIGTELERMLRASGTRRLYVAGLTTDHCVSTSVRMAGNLGVVD GEGEKGEVVLVGDATACWEKVGGGWKAEIVHAVHVESLREFARVGWTGEVVRECVG VE01_08626 MSIHEILPFHEGETSLHHTLHIPDRDNPTQPFLSPFAASVLQRS PLIALGAVDEQGRPWTTLWGGEPAFARSIAPSIIAIKSSVARTHDPVMEILLGAATPG EVVQGGEKGALMSGLAIDLESRLRAKFSGRMIAGALQEPEKDSGAAEVQLVMKIETSL GNCPKYLNRKTIHPALPNPSLLSTSLPLPPAAISLIHAADLFFLSSSHPTSPSTNHRG GPPGFIRILTNTSSELTLVYPEYSGNNLYQTLGNYRLSPLASLLIPDFATGNALYLTG RVDILIGPAASAILPHTNLAIKFTTTEYRFIATSLPFRATPIEPSPYNPRVRLLAAEQ AAGATAQAGAAGTATLLSQTSLSPSISRFRFRLDKAANWKRGQYVVLDFSDELGMGYS HMRDEDPQSLNDDLVRTFTVSSPPATVEEGASTKEFEITIRKVGRVTGFLFKRDGGKR GLTVPVSGFGGEFVDDDDLTEGGREKTVYIAGGVGITPFLALIGSKVDKGVTLFWTVR EADLGLVADALKKIEGLGAALRLFITGVGAEGGLGGRVDKGEVLGRIVKDGGVVWERR IEKEDLVGEKGGTKWMLCAGKPLRSKVLEWLEGETVMFEDFDY VE01_08627 MSRYVNDYDDPSAEPLNHPGASSSPPNPIYPQHGSFTRQQRRPV QGANTQPSQQRGPPNVPPHAGQQQQQQQQQQQYYNREPYNTTSMASSTTPGADNFGQQ AGGGIAGIAMGVADNRPRESGMEAMRSIPEYGVDGRPLNYNQSQRQGPGPGYRQPTLP PVAGGQQRYRDADPVVNPAANPAHMGYYGGDNYSDEGYDNRRYDSRERSPYDGEMYGR QGQGQGNSRQGYPVAAGVPLMAVSRPPGESGTRAGDYAGYDPQQDAALHRYSQRIDPS WQLQDPNAIVDDGDDGLDYVQPSHHRNSLLSLGRSSDRLSTSTGGALAAGGALGAGAL GGIAARNGSGSAAEGASLFSGPGNPSTGSGAHAAFIANEREKDSWMAKENKKHKKSMW IAIAIIAFLVIGGTVGGVLGAMLAKKGGGSSSSDSTTAAGSGGSATDDTKANGDLNKD SAEIKALLNNAKLHKVFPGMDYTPMYTQYPDCLHYPASQNNVTRDMAVISQLTNVVRL YGTDCNQTELVLHSLKQLGLQDTMKVWLGVWQDKNETTNARQLDQMYDILKNYGTTPF MGTIIGNEMLFREDIDSWALGALLDQVRAKFKTLKYDLSVSTSDLGNSWDAALAAKSD YILSNVHPFFTGKPIAEAAEFTWQFWQNQDFPLKPDLKKNIIAETGWPTKGGIDCGYG VYTCDAGSVAGVSELNQFMEQWVCQAMTNGTQYFWFEAFDEPWKIKFNTKAEPGKPAQ EWEDQWGILDVNRVLKPGVVIPDCGGKTVSAV VE01_08628 MARCLHLTLSHQYKVSSSRVGVETPSMSSTRPPASVRAEDVQRT HLVQFPPLPHTNSKTASKVQGSKQWVPLVAGGAGGVTAALLTAPLDVLRTRLQSEFYR RPALPPFSYFSPSTSSGRGIASRTLSPTTHIRETLRLLLAIHRLEGPRALFKGVLPLI AGLGPSSALKFWTYNSVKRGLENHGVQGAWLHAISAAVAGGVVCTVMCPVWVVKTRIL RGEGWRGLWRGLGASYLGVGETVVQWVVYERVKRVIREREERREGAVDGGEREKGGWE RVCDGAVWAVAAGGSKGVAVGLAYPHEVLRTRLRQAPLVGGRRKYRGVVQCVRLVVRE EGVRALWGGLTPHLMRAVPAAGIVFGVFEVVVGVLGGEGE VE01_08629 MLYISGRASLEDIPGNAHISACGSAVAEKLQSDNTGPIENSVQY INSDYKCNAYLCRGYQFEDNTSRVMALNTDDVIPFHINLVAGHKPGRANASVVDTSTN KVVVALKTWDHWPDVTDGSTYDEKTKFNVTIPSGLGSACGTAGKCVIQWYWYAIANDQ TYESCHDFYIVS VE01_08630 MTEALVPARQRRRRTSNSKTGCKTCKIRRVKCDESKPTCQRCIS TGRKCDGYVSDILPSNAHDQQAAAAIIHRVLVRIPGTTQEKRGFQYFFTNTARELTGY YTSSFWEYLILQASAAEPSLRHAVIAIAALHEEFTNKRLGGISHGHENPESTFAINQY MKAVSHLRRSLSEGKQAPLTALMSCLLFVCFDYLRGRFDFAMMHLQSGLEILRDLGSR SEEDRDIAEQSIAPLFMRLSAQSILYVDTRNSFDKRRFAKQLMHIKTKEPAPIPESFE DLEEARYALDVATNGLFRVFYIWTKPMNVQPPEAYATYKTYSAQLLAWDSSFSAFMSL KSHALTALQIRGAALLKIHHTTATIMGRCVPDPTDPRSIVTAANDPLIFSQSTNDFQT VVSLSQSLVAAAEQDIQRGNGRLAGGLTFSTDMGVVAPLYYVCIKCTDVPLREQAIEL LGRCPRREGMWDSVLGVRMIREFWEMEEVHRQLRQGMVKLVLEDDGRWEWSWRDVHNG GEGGGVGYGVKEMMESQI VE01_08631 MFLLNQKNRSTSVLIALVLAAGSAALSFQATQTDAPTVLPTPIT TFVTTLVPRTQFITIDPITLPDETVAAKTITLDIPECSPTIAPDSNGYVPPGNCNAQY NYYPSFAAALVATIFFGVVTFVHIAEGIKYKKGFYWVIIMGSIWEFGSYATRTISTRY QQNSGLALISQILILLAPIFINAYAYTILGRMAHFYLPSFSILGVRLYNLTLWFVFAD IACFVVQLIGGAQATNNSPRDQALRGIRIYMAGISLQESFIIVFVGFAAAFHIRLLKA EKTGQFETTGKKDWRRLLYTIYMSLLLITIRIIFRLIEFAGGNDDSNPLPRREIYFYL FDAIPMFLAILIMAITPPSLTLVGPDSVIPKAMWRQRWAMRKQEKVRALKSSGDRSGF ELLRSS VE01_08632 MAISIIDTARYGWLSYLGIGCVIFFAYFIASAIYNLYFSPLARY PGPFLAKISAWPNFYYATTGYRHIWIWQCHEIYGEAFRFKPDGVLFNSPTAYRAIYQT KANTKKGKFYEIWSRNPQYINTLTKVDKIAHARKRRVLNSAFSEKAIRSAETFVVKHV DRWTELIINENDGKGWTVPKNMSDLSDYLVFDIMGDLCFGTSFNLKEAEENQFKHMPH TIADYMRFMYPITQSPILALWVWLKPRGLDGVLEIASPKNVKDYYKFVDESVAKRRRE EENLEKSGADESQGRKDMFHYLFKATDDAGNPAYSVDELNSEANLLIIGGSDTTSTIM TGFWFYLTRHPRVYDKLVKEIRTTFKSADDIKTGPALITCKYLLACVDETLRLSPAGT SELAREVLPGGLDIAGHLIPEGTHVGVATWTIMHNQEFYGDPWVYRPERWLTDSVTGV TTEDVARAQSCFNPFTIGQGNCVGQKFAMGELLITFAKTLHRMDVRLAPGDTLGAGAI ELGWGMRSKDHITLKDAYISIKDGPMLQFRRRPI VE01_08633 MSAEKSTQSLDKQVTPTETEHIEVRPIDSPHDEKYDNHGATDLE TGDGGAYSTASLSYSPHSVSFLMTVVGCSFALCGSQIFPLLYLTLTTTVAKELNAQSL TIWLFTASSVAMGAVAPFIGPLADLIGRKPLFIIGLLLSVVGAIVCAVTPNAPGFIAG HILLGAGAVTQELLAIAVVSEIVPTSRRPLYAVISLSSIIPWSPGSLYANWMAQVSWR WIGCTLALWNALTLAILMIFYQPPPRVNSLGLSRRELISRIDFIGGALLTTGLVFVLL ALSWGGQQYAWTSAHVLSFLLLGFALMFVFVAWEFFGTKHPLFPRRIIKAPRPFFCML FVIFAAGVNYVPLVVFWPIEGISVFGADHHQNGLYTLPIGICILGGAISSAFLLHIFK RHITVVMTMFCVMQTVASASLAAIDPHNVATALPAICFALLGVGGVLVPNQVIITVLT PPDLLASVTALTVALRAQTQVLGLAIFYNRFVAKVTEGGYALVAPAMIKAGVYDPEVI FNLVEGMSAVPYSALAKAIPELMADPAAYEAVGEAALQSFAAAFKLIYYITIAFGAPA CVAAAFMGDVGQYMNENVAVKL VE01_08634 MAMTPVDRTVGPIYQACDESKPRCTKCVKNSRECTYGATDPSKD WRRNIVTFNASEPTEETGEGSSCKELNHSAAFSSGSRAMVPRNASPSFSLPAQLPILM GEGYSIRDVQALSHFVEFTGNDLIGSHFLKSLWTQGGIQLAFNNDFLMHAVLMTSSTQ LQRLNPASYEEHRIESNKHLQASLRSFRGAISSPTYVTNNFEAVLATTFLFLMHSGSN PTFDPSQPGMDGFLQHACGLYDIVRYNPACVPRSPFAPLCTPMLLPDISPDSGPGYDL SLMIKTTNPHYANSDFGSYESIINSLTPILEIVESELPFGGASPDALVLYLVHWLSFL PSEFVILANSHQPKALVIMAHYYAALAFVLAKWHKGWWWLRERPVFMIEQTDAFLGED WEVWMKWPISVLKMCEEENGHWGRAVAADRFDEVGVEEEMGVLLSADFHPCKLGDESW ARKIASYR VE01_08635 MSSATTTVLSIPELLQNILTHLSVPDLLLTAPLVSRTWQHAILS SPLLQQQLFLAPAPATTPRTVNPLLAAKFPALFDNPHFGKRYDMPSSTQRAYAPFRYM MNRYMRRYVVKMEEVWEMECFAGEGAREVYFYPGASWRKMLVVQPPVSATEPRDGVEA YGLAKEDLTMGTLFEELVQVIRADARASVEPAVVRNSRWKQGREIVLDWGEPMASVTP VFREFFYSRWEREEKMSRLSTGGRFNRSNAGSSTHHDTLL VE01_08636 MFGRRKRAASNPHANNNLNADPSATTAAAQAFLARSKSNATLSA AAAAAALRSRPTTPTNVAEVQTKRTMRRENSGSSRASSVPARASSQLERRRSSSSMSE RTFRDPSPARGVARPSASDAPPVPTIPENLIERASPTPVRRPSSVQPAPTRVSSPPVN PGTGRGLSLQPTMRTPAQTRSGPRVTSMSNIQELTSVDRPSSRGQALNGSELVFDPNT RTMRTRADMLFYEQGLREASQKPVMRKKSLRQGQGSHFLEGSVGGRLKGTALDSIQRQ NVHERQAQQTSQGILSEEFPRSQRVASPRNSIRDSSDNESEASERTSKYNSRAASQQL SNKPSMVREERQREEDEDDSVKVLGRQAALRKLESANRDDRHISPSPLPRSKSGRARK QAGDIGSNVQQNQPRMEVTEAPVTQAETVPAPAPIPAPAPAQIPAPAQETAPKPEAPI ALAPLDTATKALRMEKQAVDRAHSLSPTRAHFLATPSPVSQFSIRHEPPARSISPRKS ALKPSPSPRGPSPMGYTPTGWTAGPSSGDVSDASTNQSEERIVPKKKSVRVSFDERNN VIVGEEPEASEARRPWTSGYTRGSKATSPIEDGPDEIMGPRPVLPSFGSVRKNQPRDL EDRPLVKPVGLQSTPPAISPSNSRSEYFTGQSSDYAVGSLLQQERNLRAAPSVSNSND PLPPEVTSVEGSGYVSDSGSSTHSFVVGKAAAVPEAVKDGDASKTVEAGGKPGFIEDL GVIPEVETPGDDAAREQLEEFPTEPRQDDAAAHDSKTNGNGNAPTFMVTQPTPLPEQG EFQHQSPSGLNGNTWESTNEKEIPLKDYIAGNEVAGGPVAVQNILGGHPVTINEESSD GDSIYSDAAEELSDNGDGFMSLDAVLDGTEPSVKTAETPKAPDSGEGWDESQRFWSGL SADKRRQMELDALLENDEEREESEDEVPDLKPLKQASVAKAQTTVRENQRAKNAAAAL AVDRTYQIAPGAKAGPDGVPSMRTSLRAPKSRQEEGHMRMSMRDNAGGSMRSNASSRP RGGNKLQAGGAAAAMRANAPKPAPTPKPTLRRSASVESDSSFVRDRPRKDSFQMKRSM RGSYSRETPTLRGPGPIDATPAQSSRFSIRSLSPSSRRPSVSSTTRPTPSLRSAPDEP PKSPRRLFSRTKAIPAPKAFKPSSRFADSSDEEDARPATTFRSRFADSSDEEDDVPPP PVTGSSRRTQSLRPIPRSSAVDGDSSDLPDSDDSSPFRGGASGVNAAALRMARANFQA GGNATEISAADFREAPRKKGGLMSILRRKKPEQSGRIQRTDWGESAARRDTPLERSKA DLEVVKSRDGNVKAAQSPRLQKRAGPSRTASFQAPPPVEVQADGRPATSDGVANGWKA NGEGVGVVNGAGVVNGAAASRPGVLRRNNTAGSVEIIGGDGKKRKFGRLRRLFGN VE01_08637 MDDQELLDNVDGRMHGPMSWFIKRYFGNLQCDPQDATLSTTPSP DDFLEWFPSHASGEFDGARGSWQIPEHDDGARLLLTIPASPASDDGLLRLCRSAYEVF TSQPTRLFLHGFYIRGSLTELWVFGRSGLYCSDVFDTQKNFVQFLSIIRSYQRLTDQE LGKLAIIEMDEGGSYITLDSVEIPALGKLYLEAQPIASRERLVGTGTTCYRARTPNSD RWDYVLKFKWRWVRDRPEDELLKLASKKCVWSLVSLDYYKEVESTSNLRRRSCFNAAG LVDYTEETGDFFQNRILACVVTSPVGRPLGTFHMLLELLQVFRDSIKCHRSLYHGAKI LHQDISPGNIIILDGQDEQKPKGILIDLDSAIELAELLETERGITGTRPFIAIGVLKK ELHSYRHDLESSFYVFLWTIITNHADDPPETSKLRQWSKGEWEELAVRKSLDMCQDSF HSILEEFKVEFESLKPVAKRLHRILFPMRDGIIWTETDGSPEAVDKLYDGMIEALEEA IALEGRR VE01_08883 MAPTVPPRFSSVLPAADREAISTLVGRSVSASSAFSSLSAASST EDFLQAKTESLAADIAANNKIKDAFYEARERRAIAENDFKEAVGNTEVELAAAERELV VIKRQKKMIIDDMDEVMPKHQTVGDAYIETITNRIMAATGHQKAEPFKQKVFRHDVLA YYGAERTNSKGHIEKYCHLTGWQPAKDVKCAHIVPKSLQSDELAYLFGVRETMLAEPR NGLVLNHTVEGALDNGEIVFIPDKPASGTDIVWRCVLIDKSDATNLVTSGTRWGDIDG KELKFLTANRPARRYLYLRYVITCIYQRRMGNSEMLDQALRRTDVQGYMWATPGPYLR KTMLVALARKVSDTFLPEAFYGTSTFTVADGCPDRGAEAEEDLAMGLSIRVRDAFDEA RDSRRADEETGGESDEDGDEA VE01_08884 MSQHHPEYVSLVWGAIKFCFISIINREVLVAQLSKALAQIADAL PRAALTLVLFPTEMMRNAVATIYAQVTKFLIWALNWYSEGRLKHMYHVITQPFSPRYK DILEEIQTCSRTIESVVSDAAQAEVRDMHIIIRELKKIAVEHYSLTSTRLLNTNRQLS ELQVSEIITFVATTPLL VE01_08885 MFSGPYGGTLSMGEYESVLMVASGFSIAAFVPHLKKLIYGYNTR AVQTRRIHLVWQIKNEGGIVEPLNIALEEDKLDDGYILLISVYVETMSLPKKPFGERP TMYPGSGPLLEIFLAELLGNNIKVYAAEVSAKGQKALEQSELTSRDGGMLVVA VE01_08886 MKLLYTLPAGACLFACAVASNAPKAYEPKYRLSPIDGSKIALPT AEQLAFQDKEIGVLIHYNIATDIGSDGCNYDPKLVPDQALFNPALINTDQSMERITAL GGKYATLVAKHNCGFTIWPTKSPAHGESVVESFVESAQKYRIGHGFYYSVVVNNFLNV QNAEVLNTSLAWGQVGISDSTYGQIVFDQLTELWTQYGNLTEIWLDGGYGSTQMNDIQ SLLEAHQPQAVVFGACDKNSTFRWIGKETGEAPEETWSTGTTSDGGDPTSPIFCPAEC DTTLQTDDRWFFGVDQPLRSIEEMIDVYHTSVGRNCVLELDLAPDRGGLIPARHAACY KKLGDFIRSCCDKPIAPKDTKPKNEAGSYSLTFDFPTVIDRIVLMEDQTNGLVIRSYQ AHAKIVDAEEANGTLNVPWTLVSNGTSVGHKKIDFFDKAITVTDVLVNSTYVDIPKWR SVSVHLCGSLTYN VE01_08887 MVSASQALYLALHPFQLRSIVQWKVWHDPVHTRDKKTECETLQK CFVHLENTSRSFSMVIQELNPELLVPVALFYLILRGLDTVEDDMTIPLEDKEPILRDF HNIMEKDGWNFNGNGPDEKDRGLLVDFDVVITEYKKVKPVYQAIIKDITDKMGNGMAD YANNAEHNVNGVNTIKDYELYCHYVAGLVGDGLTRLFVESGLANPALLQRPHLSESMG QFLQKTNIIRDIREDFDDKRRFWPKEIWSKHVEKFEDLFKPENQTVALACSSEMVLNS LRHADECLFYLAGIKDQSVFNFTAIPQAMAIATLELVFQNPKIFHQNIKITKGDACEL MIQSTQNLRIVCGVFKTYTRKIAKKNSPTDPNFLEISIACGKIEQFIESIFPSQDPKA ATLAATDPTAEEAAQKSEATTDTVYLMAAVLGTLFVISFLMLGAAYLAGARFDIAFQE LRGSKLFGGSESSLTQGEHVEL VE01_08888 MASTTATEKPSYLIWGGAGWVAGHLKTLLESQGKTVTTTTVRMQ NREAVIAELQRVKPTHVLNCAGCTGRPNVDWCEDNKEDTIRSNVIGTLNLADCCFLEK IHLTVFATGCIYTYDEKHPIGGPGYLETDKANFDGSFYSETKAHVEEVMKTYPNVLIL RLRMPVSDDLHSRNFVTKISKYERVVDIPNSNTLLHDLLPASILLAEHQETGIYNFTN PGAISHNEVLTLFKQYVRPDFTWKNFSLEEQAKVIKAGRSNCKLDTTKLITKLKEYNF EVPEVHEAYAQCFQRMAKAGVQ VE01_08889 MVADLTYYNALGVKPEATELEIKKAYRKLAIIHHPDKNPGDETA HAKFQAIGEAYQVLSDPDLRRSYDKFGKDHAQPSEGFTDPAEFFGTIFGGDAFVDLIG EISLMKDLTKTMDITMTEEAEGEDGDHAAAAEAAAGTSPAEKAPADEKATSSPPPAAS AADAAEPSTEKAYAFDHTTGKRYEIDPLTEKPLSNPTSPPASGTSTPGRGIPTRPAIM SKSDSEAQLDAAGITEEEKELRKKEKKKGGLSKEQREQLAEYEKERINIRKERVETLA KKMIDRISIWTETDKGDDVTKAFQEKTRLEVENLKMESFGLDILHAIGATYLSKAGAL LKSQKFLGIGGFFSRLKDKGTLAKDTWNTISSAIDAQMTMEEMAKMEEKGGEDWTDER RVEYERRVTGKILTAAWRGSKFEIQGVLRDVCDEVLHDKRVPMSKRLERAQALVISGE IYAKAKRNPEEEGDYMAFEQLVAEAAAKKEKKKGKDKKTDGAKPPVPKEAEAAAAAAD APNVPK VE01_08890 MRAPNGPLTSKSGNAMPVDKPNPHGQRPVMSPLGAAGMKTMNTT PLTPKIAGAIPANVVTPLLRRATRQDTTPNGPQRDERTTTPVGSFLSDNITPRSGSRK SRLDSANPTPTGTPTALRPTEPTLASGGNLVYSGGLGLSQLDDLPSKPTVSFSPTISD MGSAQDRSQHQTQQSQQAGKFFYASDAKSNNSQPARQRPGAPKQNSFLYANGNAVPSP TNQPGQSPTLPASEDKSRARFVHANGTPDTTKLPYTRAGSTVSTTPFSGPPRLAFQGR PSSPTKPADHNNTYVARRISTVSPPSIPVSYTSPPLAPAPYISPPLASAPYTSPPLIQ APYTSPPLYQNQNGAPTSGDEGPTPNPFWSRAKSPSVGQLDESRSNIESNEARNVPLP VTTDRTSLSSTEEGSEAALADDSSSVSGLRSPVKAGGSLEQLNELAANARRERKVLDL EITNSSLAAINRTLEREMRKQSAELRRYRRLSRSGRLSIATAATRSSIGSHLSLSGIT EEDQFSDVSGEEYDDEDDDDASELDSADDGTLSPTALAESDARHRKRDEERLQLDLEK HQQLLVDSQKMNQSIKRCLGWTEELILEGNKALAYKVHVSDVELGGRVLIADDNDDDT ETVVSGM VE01_08891 MTLIGVSILIATFTIFLFKVPPSTWFAAWFPKAADSSGDVSNGD LDKTKAGQVKDGGETKGDGKEEKEDKEDTTLPDAGVKEAKAELDRKAMPPPPSFLIKP PNAPSNGALPARGGAPQNGLAAPSQPSVRGQGLSAPALPSFPALNSAQRASDSRGAPR LNPIPSVQPPLRSVYSASPPGRSPAPNRGGNFSLAPPPTHSSIPPKPRLKVGLTPGHS PLDWAKLSEAPNANLRGLPADTPYLKVTPSQLRHYTGRKGKDAWTVLGGKVYNITPYL PYHPGGEPELMKCAGRDGTKLFAEIHPWVNWEGMLGACLVGIAVGETEVKEVSALESM D VE01_08892 MWVIESDGDTFNGKRLWLRPGKRFILGRTLADSGGFAIANKTIS RKHLVVEVDEVKPGDCGRLNTRSRITLEDLNTKIGTLVNNIQIRGQRHVLEDNDYDIR LGQYEKLFRIRWIPVVLTFSFTGKELRNNQISSFVELLERLDIKVLVEYAHQSTTHVV AKKRNTSKGLQALINGKYIVDHSFIHAIVNVTTADPGADSALESDFDSNWPKELDHLP PKGPEQTDRPSSSYQPDPARFTIFEGYTFVFYEQSQFDNLIAPITNGKGKALLHQVNP ASTTAEEFVRYVKSVAGEKGTGEFEDGSTGTGVVVVRYQPVKGPTLPFYSDFGQQVSL QLDHRLIEQSEFLDAILNNDASVLRRPLELESSVVPATLMTDEPRRAIASALEEKAEA KPAVVARGTGFSRSSEEKQPPSTETVEPPSRRVGRSRRAVTSRFTGFDDEFDGGNTDK PNETIVPASSSLPQDSSAMDYQNTTEVDRAVVSEAPRVRTKRPLPRVEESDNEDIMDQ LAPAAAKLKRLRLANGEPTPPPGQPLATAEPKARSVEPKEAAKKPRKLKKEADAPTYL QQQGVETSLANSQQDALGEGAEDVDAAEVRNLSSIKEMEVRHPGAAVHARDEARSDRW DEKWNGRVNFKKFRKKGGDRPPAAHKVIVSLEVAKQKDFGIGDSYWLKNDKASQLRKK DKSQRTSQNESSIQSQTLTTRSDVEESIMDSSNQGPSLSKSVRDTRKSESLPSSAHSG RSGSNKRPSTAALMNPPPVKKAKRPFQKEDSDDSDDGLGFRFQKRK VE01_08893 MSSSETNSLAKDISATPAVSQHIHHDGKDYSTIKEGLAYILVPG NGPLVPQTNPSGDNQSQSVFYNPIQQFNRDLSVLAIRAYGEGAVAKKEAESEKRRKAA SKNKKRKRVDEAVNKDGGEDAVAPGTTISDSVEAVTLATNEVPEQTAMEIDAPAAEEQ NEANKPKQQEQFTILDALSATGLRALRYAHEIPFTTSVTANDLLPEATRAINLNVLHN KLTSKINPVTGNAITHMYNFASETPLDSHRYKPSKKYDVIDLDPYGTAVPFLDGAVQA VRDDGGLLCVTCTDAGVWASNGYPEKCYSLYGGLPLKGMHSHEGGLRLILHAIATSAA RYGLAIEPLLSLSIDFYARVFVKIHKSPADVKFLAGKTMMVYNCDQGCGSWETQLLAK NQVRPNKSGKGTFWKHIFAQAPTTGQNCEHCGWHRHLGGPMWAGPLHDAKFIRRILDE LPKVDKETYQTTTRLEGMLSMALEETLPPPPRTDNLIPPPVPKGRADPSVVDPFPFYF IPSVLSKVIHCITPDENAIRGALRHAGYRVTRSHTKAGTIKTDAPWSFIWEVMREWAR QKAPVREGAVREGTPGWKVMGFDKKKADNEEAGNGDGGGNERLKIVFDESLGRETDKK KLVRYQVNPRENWGPMNRARGPL VE01_08894 MSDINLTEIHDLLVKVAHQAGKMIMSATPQTLGSDTKKNSADLV TETDKAVEAMVTSELRTAYPKFDFIGEETYYPGQPLTDAPTFIVDPIDGTTNFVHAFP SVCISLGFTVGRVPTVGVIYNPFLNELWTAIKGQGSFLSQNGGPKQKLPLKASPEPLK DLSTCLVGVEWGSDRSGINFDIKVKAFAKLAASKEQGGAMVHSLRSMGSAALNLVAVA AGQLDVYWEGGCWAWDVAAAWCVLEEAGGIMRSGNPGEEVSVDCRKFLAVRGAPSGQQ DIVDEIYRVLGDSRMDYHQ VE01_08895 MLEYFTYKKVKKHQAEKRAREEALTPPLKPVLSPDDERFIEHLV SEGTPPPLPERPTALNQELVIADENTPQLERSISKGKNKENEKGPEKAKKKENRFSSL FTKSKKDDKNAKANLPAEEVEKEEDDITRVLNDLNLSAVNNRALSLSKESNELMEKFT LVLKDLVNGVPTAYDDLVHLLDDSQGTLSKTYEQLPSFMKKLIAQLPEKWTSTLGPEI LATAAEAQKSGMAEGAASAAAGGGFMGAAKGFLKPNGLKDLVTKPGAVAGLLKTIMNS LKLRWPAFMGTNVLLSLGLFVLLFFFWYCHKRGKEVRLAAEGKTEVVDSEGRIVELDD DLALESSATPNAESRRRSRDSRRRDRSPRRLSHDSRRLDSGSSPKRRSRDSRRQDASD EASSSRRRHD VE01_08896 MSAGQYDDSNRAFLQAFMARGTLTFKEARPLLAAIFSVKDGETV RPDEVTMADFESYVHAASDALSPFDYEIRSTLHQVSKERIWALVNSTSDALTQMATVR TADEIAFIKRVLDAMFETYNTERQEVMAITSMQAMKVAKADERRMRQSTGAGEESQSA DKGLTHGQAEKLMLSLVDEGWFERSKEGWYTLSPRALMELKSWLAATYNDPDAEAGEW QHIKNCEACKGIVTMGQRCSEVSCTVRLHEGCLGPFWRTRREEKCPKCKTPWKGLWVG EKAVTTTEAYNRGRRISGGGNRRPAQEDEEEEEEGENGAEEGEKDDDEDEV VE01_08898 MQRVTSMLPSWDRTKKGGKQGFDKVWGWADKLGAPVNKFTNKIG SEAFWPTELDKESDKAARILRSFCKDGFYKEEKLPPSEFGPNQSAKQRVLMKIPPNVV KNAVGMAIFTTMRTGLWISGAGGSGVLVARLEDGSWSPPSGIMLHTAGIGFLVGIDIY DCVVVINSRKTLESFTKIRATVGGEISAVAGPVGVGGVIESDGKWKQINRPVFTYLKS RGFYAGVQLDGTVIIERTDENERFYGERISAANILAGKVRFVPRETKMLLETLKLAEG RSDYDQDLMEELEGQPAPGDVDVESTTVFGIPEPDDPDPFGVLALEQAGMEIKEAGSH SRPSSSQFEYKPSPTSPIFPHLNRLSMDTNGAGSRASYMSNSKRTSLRTVRSISMGTQ TDADDSSSVHAKTPIEAADTPVEKVDLEPPDVDYTQIDLGPYSGFKSPNHTSEFNGSG TTITEQESPESQHHEGAEKHAEPKHQEASVKHVQPQHQERSEKRTEPQNHEGSEKHGD SDADNYSDDGLDDDEPVVFEAAAATHRPTHINVVKARGNVVTIPPRPTPPLPPRNSAR GSRSLVNGVWENGSPLASPRSDRFSPLSSPRADGFEIAKLNGSPSSRPSSLQSQTEKP NGTTENGVKAVDEANGVKEKKSSEAEVPYGQYFSSGNQTSKESPKEETVPASTETKDS TPPKSAVEVLLPSSDLPEDADESQNEDFQDAVDGPAGGKEDAVDATAGGKEDAVDATA GGKEDAVDATAGGKEDAVDATAGGKEDAVDAPTGGKDTSTDTKI VE01_08899 MDTTYSSTDAPMGDAPQDTPASEEISHPSSIPQKRVLEDDHVPS VSSPLNPDFNKVPKDEAPAAKERAARAKKESFKKREAKGPAATEARDSPDPAGPAKQR KTSDVLAPLRYKLAPPRLADFEPPQGPVFTPSHTIKGPDGAPIQFHEASDYASNKKNY RYTHCIADPEFPSSLFYRQSETAPFTPRLNFEDQAGHMFVDPAGRHVSTDKGFRMAKA NVCVREGRYYWECKITSGIPKQGETSEGHVRVGWARREATLESMCGFDAYSYGIRDVT GQKVHLSRPTAFFPPGENIEVGDVVGLEINLPSLSLHSKVVEGRYNPAVDLEDDEPEH TVSDIIRDRVPIRFKSHLYFEQIDYLPVKELEDLMNPAPTGTGSGGSNQKPSATHPVP AMRTLPNSSIKVYKNGVYMGEAFKDLFAFLPPASLPQAQVGAREGLDDGMLGYYPAVS VFRGGAAEINLGPDFWFPPPGSDVDDDEVDMVGSDQQAPPKGKKAKPVSERFDDQIAE DIVYDLIDEVDFWMQDGFVVLNQARNTAMAHPTATNGNGELGENIIPHGEIKELVQDE E VE01_08900 MAIQHVYSLEPITALAYYRQAEIIGQNELLLVGEGAFLKIYEVK SSKLIAQCRVFNSQTVHGIAAQGDQGSGDGLIAIWGGRSFTLLDDVDLKNIISGDKSS LVSNEKVAPDWLLACAISPFDASGGCIFITAHSVPLHVLPLDGSKQLSVRRLSSPSRS ILYSANIVWSSESSIMVAAGTVFGEIEIIALKMSISDSTESSEILFTFTGHEGSIFGV QISPEIRGPDGQLTRLLASCSDDRTIRLWDLSPLNSNPTDPPLTKRMLKDTGFGGVVP DSQGMLSEKLCIATAMGEHASRIWQVKFITPKEPESEKATPVNVLSFGEDSTVQQWAL DGWSKASSDNATQDALGLEKITLKPKATLAHIQEFSHHTGKHIWSSSLLATGQLQSRL ATGGADGAVSVFDVFLGGQQADQETEQMAHGSLADELPERHRHPRSWIKSLDDLVEPL PPAAVVEEPVVEQAPVITESKDGSPEDAEKSKKKKKKKKPPAAPKDSFNKYALVGPNK VLFTTNSGRVVVQSDAPTNPWPELSLPVGSESDLKSYSVVTGIPEHGIAILAGANGKV YMHSPSRGVEQIADLKHKVAGLFYISRTSSDNLLVLATLLGSSEASVITLRPASLEKV DVQTIHLPPAFVVTSAGEVCDLIILGSRNGKIAVYNLDAGANELIVALDESFKCHDAI TSVVRVPESFETPGRFIVTARDGTYAIFLALVNPLNDEEALTLLVLIHQTSLPFGPMI EGASFCGTSLIVHGFRSKTFIVWNETEQREICRVDCGGAHRSYVYSLLPQYSGTYFAY TKASKLHIHEQYHPSHNVLKPGGHGREIKTCAISPDQQFIATAAEDTNIRIWDYDDDH DNALGERLQCHVTLRKHSAGVQHLQWAPSSDKTHYLFSGGGCEEFNVWAVTRVLGEEL GAVCEATLDDLSEDRDLRIMGFDINAMSNPVEVNIAKTESMYLIVIAFSDSTIRGYWY TKADGFEKFGEGRYTSSCITQIKLMVSVESGSSILTASTDGHLALWSLGDDGEDNDDD GDEVAKFSVTKRIKVHQSAILSFDTLTIGPDGFIIATGGDDNAVSLAYLNGDEFALQL TVPSAHAAAVSGLGFVSHSHDSETQTGIFRFCTVGGDQKLKNWEFKAVVEQEHGAFKL SELVWQEAGVSDMTTTTNVPDAAGVAIFV VE01_08901 MHRTYSLRQSRAPTASQLQNPPPPPSTTKSGRIFGRGGIGHGLR KHAAGFGSTELSKKLAQLVKMEKNVMRSMELVGRERMEVAQQLSIWGEGCDDDVSDVT DKLGVLLYEMGELEDSYVDQYDQYRVTIKSIRNIESSVQPSRDRKQKITDQIAQLKYK EPNSPRIVILEQELVRAEAESLVAEAQLSNITREKIKAAFNYQFNALREHSEKLATIA GYGKHLLELIDDTPVTPGETRAAYDGYEASKAIIQDCEDALTNWVDSKAAVNAKLSTR ARTLSQRRRNNIARNAEGHDLSGQDSAYKDRESGLWVPASAHQGVDDYDDEEEEDRHS VQESEILNGESRGRLHETSVEV VE01_08902 MATTNAEAKDYLNGLLNKSLRVTTTDKRMFLGEFKCTDSDRNII IAHTYEYRLPSESSLPQASGAGSVTLEMTSRYLGLVVVPGEHITKIELEQFASQMKSK GSRWSGNMLESQTGTTITTTSEAA VE01_08903 MGVTQLWSVLHPCARPTKLEALNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLYFGIKPVFVFDGGAPVLKRQTILGRKRRREGRRDDA TRTAGKLLAMQMQRVAEEAEEKRKREIEVRGRPTETVEDEELPDDQNLVYVDELGMSN QERQQSRKFVKKDQYHLPDLNGDISNMGQPNDPRIMSAEELEEFARQHNSGENVDLYD FSKIDFDGDFFKCLPPADRYNILNAARLRSRLRMGLSKEQLEEMFPDRMAFSKFQVDR VRERNELTQRLMNLNGMNAEEAMFGVGNGRIAGERGREYMLVKNDGVEGGWALGVVSK DRDQGERNKPIDVDALHERKQVKPTDEELEDDDEFEDVPVEGLNRLPTEQARFQRQMD QVRALQQADEDAAQKVRAPTNSLFVEQDGAEDLFEESEQVDEEEELNRAIAMSLQKSF AAEESEEEADFEDVQMPSYEQKSAKDHRPLQKSSGNGLIHIVNNRANAAVPKRMAAES SDEEENLQDILARARKQKAVAKQMAPSVPTSSLGAAGNPFGGALPFEKLDLKKSIFSG YAKARPNQAKSTEKEKDNEDLAGGFEREGSVEKARPLPPWLASTGDIRSDVKEQMAKD QRVNAADYERRLEEEKMYRRDHGVIEIESSDEGSDVEIVEAPAPKVAATDGRLSPSSK EKSVQGSITSISNRPVDISQQHAEKSTPPMLEERVHETNLPSEEEPVEWSESDRDEPS VKQRQSESSGLPREVLPSKAAANEKSPSPTFKDVEIHEPTTAAAPPTVQGQEDIFTAE NDDAFDQQAAHTISDDEFGDFSDPEDEELLAHLALEAEEHARFASSLNNKAPEVNQED YERELRQLRNQQKKDRRDADEVSHIMVTECQALLRLFGLPYITAPMEAEAQCAELVTL GLVDGIITDDSDVFLFGGTRVYKNMFNSNKFVECYLASDLEKELSLPRDKLIEFAHLL GSDYTEGLPGIGPVTALEIISEFPSSDGLQEFKDWWYDVQHNQRPKEADSTSSFRRKF RKSQASKLFLPPAFPSKAVTEAYLHADVDSTPDPFQWGVPDLDNLRSFLMATIGWTPE RTDEVLVPVIQDMNRREAEGTQANITRYFDGAVGAGARSGAVGANRGKGSKRMSAAVR KLKATSGGGGLDRTFADEAAEWARKNELSEAAQVAKQGKKKTRKRGVREEEEGEADDE GVDGVEESAGAEGQTKSKRAKRGKA VE01_08904 MSTSEAAVNGEPVGFSYENNALTWIKAPGTVSNGEDKGSILESD ILAIIPTSTTPPAHTVYTIPTVSTPENTALPVPDVQLHQTTLLGAPEAFISKHLLSSP TPHLSLPAEDIHVVISSKSGTGKAAAFFESVLAPALKVLGLGEDGYQVVHTTSSETIT ELAGGTLREKAGRGVRQTVILLSGDGGVVELLNGLVGAEVSSTYARPSIALFPFGTGN ALFHSTHRLPTPPSSPLYHALTTLLLPSSRPLPHFRATFSSASLLTSEGRIATPLPNN TLHGCIVASYGFHSTLVADSDTAELRKHGDKRFGIVAQELLGKPHRYKAGLTITSGGK ERVVERETHAYILCALVSNLEKTFTISPHTTPLDEVMRVVHFDSGSGDEIMSVMTDAY SGGKHVNRNDGLVGYEEVEALKIDFKEAAVEGNEGKWLRVCVDGLIVRVESGGWMRVE KVANGGEVLDIIV VE01_08905 MARRAAIAAVLASAASLVAAASVGTEPCAIVRNLYLRGDAIPAA TGLACLSSVPFDADVGVATVQNFQKLSEFHSTLGDIKNPGKGYANAPVDIIGLLDQLI VDIKAGKYTNQYQFEEQMALITGLSFDGHYTFLGNTFYSSLDWYRGGTVNGAYEGSLI SVSADGKSLPQVYFATDLTNPDASPIVSIDGQTVVDYLTKESKHQLFHDADARWNVLF ARQQSDSVGSFVMPWFYPGSNFTATMANGKAKTIQCYAAIPKALKEEWSQVIDGKSFY STFVAPKTASTSSTSSTSSTSSRSLKKRYVEKRLVPPNYPQPVIQHAEKEVELGAFFL GGFGLNQVAVLSMNTFDPVASGDSVEFQALIQKFLKYAKSKGRTKMVIDVSSNGGGSL FLGYDTFKQFFPSKEPDIGARMRYSTALDIIGTAFGTITLNDAATGSFDNNGLTPADM YLSPIHYTNSVDADELAFASWADMKGPVVINSAPYTQIMRYNFSNPYLYQGDTIESVS GYGPLADVPAQPYAAEDIILLHDGYCASTCAVFSDLMRRLGGVRSVVLGGRPAFGPMQ AVGGTKGVLVEPFAAIESSVAATLADFGTTEQKKKWLPYLPGKFPIAMSQPPTVNFRS GYHPGSDTPMQFLNESANCRMFYTPAMLANVTNVWETVAQLAWGIGGGSGQACVQGSY SDGEPIGETKSVGKKVSSPDAVVGADGKEGGDTSEELGLAAAGSGKGDTAATGAKEGA GVARAVVSWGVLGGLALVSGLVLQI VE01_08906 MPTTLEAKIVVLGAQGVGKTSLVHRYIHSTFLPPHPSTIGASFL TKRIHDTDSNTLVRLQLWDTAGQERFRSISRLYYRGANACIICYSITDAASFEEMGRW LAELRRELGEGVVLHVVGTKADIVAREPGKREVPFERCIAYVAENLYPALSSTPPLTA TADGAGFGGRSRATSGGTGELRSPGATSKRSSGFWGQDVGWDCCHEISAESGEGVDEV FRVVTRKLVEQARKREEEGEGAAGAEEGGEYFGGEAGRGSFRVGRDRRSWLGFPTGIG MEDVIEEGGGPIGGAGVGGKKGKGRKCC VE01_08907 MAPSAISPNAPEVSTGRPEAGPAKIYPVKEAHFDGYQAPQPDGY KKARQIGSDNVAIVIDNGSSAVRAGWSFEDNPRFSIPPVMAKYRDRKLGKTFAFAGSD VYADTTARGHMRNAFEAGSGVVCNWDVMEYVLDYLFLKLGIDGQNGAIDMPIVMTETL ANLPYSRKSMNEILFECYGAPSVAYGVDALFSYAHNGGKTGLVVSSSHSSTHLIPVVD SRAQPAQATRLNWGGSQSAEYLLKLIRLKYPGFTGKLNSSQAEHMVRDHCFVAQDYMK EVGEVLDWTGLEDRDVVIQYPYTEEVIIQKSEEELARAAEKRKESGRRLQEQAAKMRL EKLVRKEQELDYYRSLQTRLASENKKESKRLLDAEDLKDENALAKTIKELDLSIRKSR QKDVGGPEIEDDAEPPNYDLLEIPDEDLDDAGIKQKRHQRLMKSNHEARARAKAEKAA EAARVAEIARLDQERREGDLEGWLEERRAARAALVKKLKERERLKADLGNRKSLASQI RMKSIAALASDTPAKKRRRGGDDDTFGADDDDWGIYRQVANDGDNSEDEEEDLGASLK ALEAELLEHDPDFTELHTHDAQSDWSTSLIHAFLRGPRAFDAGSAKEGHQLHLNVERL RVPEVVFQPSIAGLDQAGIVEIAADILMQRLGGQVDMRDVFMTGGATLFQGFEERLAR ELRAVLPVERQVKVRRAKDAVGDAWRGAGGWVGKEGGAEWKRGRVTRGEWLEKGGDYI KVCLFALLSVFLPGGVEDFGMDIG VE01_08908 MESPHEHQQNLLLSRIITNVEKLNEAIVLLNKGLQEINIQNMNV ELVAQMFKNYQSNVLFHLEATDNLKEPS VE01_08909 MPPPINPAAEEIAAAQAAHQALIEKLDINHVPRSFRNPSWKPNQ RRNKNIKTILGDSSRKEASSLMPTQDNSGAATPGGDESGGTSTAGDSTPASSAPDRRQ PPNLAQASRNLSKLVLERNMNAKATTVGGWGAGPGATYMNIESAPTLAHPKHYCDITG LVAPYTDPKTRLRYHNKEVFAVLRTLGQGVAEQYLEARGAHTILK VE01_08910 MPTMWLSDSQKVGVAFCSGGAFFLIFGVFLFFDRAMLAMGNILF LIGLTAIIGPAKTLLFFARRQKLKGTAAFAAGILLILLRWPLIGFLVELYGIFILFGD FIGTILGFMRNIPVIGPYIGMVVDRVPGLGNETLPV VE01_08911 MDSKQFKEAATSAIDEIVNYYDTLPDRKVLSSVEPGYLRKILPS GPPEKGESWQDIQKDIEEKIVPGLTHWQHPNFMAFFPASSSFPGMLGELYSAAFTAPA FNWICSPAVTELETVVMDWLAKLLNLPDCYLSTGEGGGVIQGSASEAIVTCMVAARDK YLRETTSHLSGEEQEDAIAHKRSKLVALGSDQAHSSTQKAALISGVRYRSVPTTLENN FAMTGDALLKTINELRAKGLEPFYLTCSLGTTSTCAVDDFCSIAKALKTAAPPGIGEI WVHVDAAYAGSALVCPEYHHLTSHFGEFHSFDMNMHKWLLTNFDASCLFIRKRKDLID ALSVTPSYLRNEHTESGLVTDYRDWQIPLGRRFRSLKIWFVMRTYGVEGMQAHIRRHV KLGDLFHGLVKKRADLFTVIGEPSFALTTFALTPKDGEDREEVNRVSKQVYEAVNNGG VIYITSSVVGGIYILRVVSANELAEEKYVLNAFDIIVRTTEEVRTLKIANGSNGVNGV KPVNGVNGKVPQQLVEEGGAKGLGEVTDVNELAK VE01_08912 MHATATVNGQVIAETDNYEVVEGNIYFPPASVDQKVFTKSDTHT HCPWKGDASYYNITTGKTELKDAAWYYPETFEKANHIKNYVAFYKTKVDVKSE VE01_08913 MAMSNSSRDNSPAASESTQGKHYPMSRDNFAIDLPSPHLSATSN GVIKSPNSLKSPTDRRGLSFSREGILGSAQKARNLSQSSGDRDSTTNGNGLQNRKDSD DGINPLKRRNTDAGSDYPRRRATIACEICRSRKSRCDGSKPKCRLCVELGAECIYREP GIKLDAGDKLILEHLSRIEGLLQSNLVSQTNQLALTSGSPAVSSSTAISNEEMIQNHV NFVSMIPVTGLGTWTSPPTNISTMPKEHTNAALHLLQWPVIRDLVSRPYDPQILLQLE MSREPLTLPKSLRLDLSNTAAYIRAFFSRVNVWYAVVNPFNWPSHYRVALSNGFREGP ESCIVLLVLALGQASVHGSISRVPLSEETPGLSFFASAWAILPALMTRNTVLSAQCMV LASAYLFYLVRPLEAWTLLSSTSTKLQLLLSGPGRVPPEERELSERVYWNALLFESDL LAELDLPHSGIVQFEECVGLPGGFVEFEHFDHMEDPEAADNAQFVGRDELWYFLAEIA LRRLLNRVSQLIYSKDSVATMSALEPVVAELDFQLGQWYESLPLALQFPYTRGLLADP VQTVLRLRFYACRTIIFRPYILAVLDNEAAALDPAVRENCRKCLDAAIRQLENITAHH AGHMPYLWQGALSIVSQTLLVMGATMSPSLAPLLPPPDQMDAIINGVVEEIARYSRLA PSLALSAEIIREAEARRQDALGGMGMR VE01_08914 MFPDVHRAARRRYSILPVPKDLIPSTGESKAQILWVGCSDSWIT ETAALDVLPQETFVHRNLGSVMSNGDLSSASAIAYCVDLLEVKHIVVCGHYDCVLFKE ASEAPTAIDGWYEDLSRLRAANDALLPDLETVQRDRHLAEVYVQAEVEWVRSQPTVAK AIADRGLKVHAFVFDKEEEACVRLVEEGGEEGGVAEANGGPNGVVA VE01_08915 MASPRHFKLRGSGWRSLTQGHSAPLPHAEGKAPSACSTRCQFSK REYEFSKPSLSVIPSRQSPIKPPPRIGTPTTHARRVYSTTTTTPTAVKPQRPLPASYY RGGTSRAPIIHLSSLPPTRSSWPPLFRTILGSPDPFGRQLNGLGGGISSLSKLCVVGP SEREGVDVEFTFVQMGIRDGVVDYRGSCGNMTAGVAAFAVDEGLVGLPTSGEGEGEAT VRIYNTNTDKLIEATVPLIAGEAAAMGDFAISGVPGTGACIKLAFLDPAGSVTGKLLP TGSGTDVFDGVEVTCIDASNPCVFIAAESMGVPGTISPAEMSSHPDLLRRLESIRCQA AVKMGMCSTVEETPAGVPKIALVSPPPPSPTAAGEEDSGVDIVVRAVSTGDPHGAVPI SVGVSVAAAAGVEGSVVQRVMGERGGEGCVVAHPSGRMVVDAKFVGGRLERAVVFRTA RRIMRGWVYV VE01_08916 MISRLLPDLRAQLAAVINNPPSQHQSQQQQQQLQHPHHQPPPPH LVHGYNDVASNSASPHNIDPAIAGAAHAHHALDMMGGSAGGDSGDDNGDGRKGGKREL SQSKRAAQNRAAQRAFRQRKEGYIKKLEEQVRELHTLEDNYKVIQNENYSLRDYILQL QRRLLESQGEYPQPPAAVSVLLNHPHQHQQHEAPRRGPDPTPPAAHAPTAVMGQSPEQ QPGSSLQSQMAPLQAQLQASAAQAVADLRESAAKQRQVQEEYLARGTYKGEGEGAAEE ELSRQLQGSADIPGGMGGM VE01_08917 MAGSRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFSNVEQHATEGVNKILIGNKCDWDDKRTVSTERGQALADELGIPFLEVSAKANINV EKAFFSLAADIKRRIVDSAKTEESKGVDVAQGSSGGGKCC VE01_08918 MGSIESAPPLSNGRVNESPLNRADEVADLLNSVQELIIPFIRRA DEEAAVKHTGRGLSGSSGSALVESHKPAELVKLLNLQLPETGGGKTALLDVIQQVLKY SVNTWDQGFLDKLYASTNPVGVVSELLLATLNTNSHVYQVSPALTVIEKAITKKFANL YGFTGPHAGGFSTQGGSGSNLSALIIARNTLYPETKTDGNGTYKFVIFTSAHGHYSFE KAAQMIGLGSRNLVPVPVDDAGAMIPAELERLILAAKDAGKTPLLVNATAGSTVLGSF DPFEEIAAVAQKYGMWMHVDGAWGGSVIFSEKQRYKLKGVHLADSVAVNPHKMLGAPT TCSLLLGKDLRQFHRANTLPAGYLFHGADSDGEVWDLADLTPQCGRRPDSLKVALSWT YYGAAGYGRMIDEAFEAAGYLAGLVEGNKDFVLVSQNPPPCLQVCFYYAPGGLSAVPE KNTVRTAGVVERLVGRGFMVDHAPGERGNFFRVVVNVQTRRGTLDGLVKAIVDIGKE VE01_08919 MSIVADQVRRVDSQLDRLQLAHLKSATSSPILTYRRLSTTSEAV EQISTSPQIEELQVLIKALSATSSSRALLTAWRISTLLEQAGLSEGEVNALGETKSPY EKELEWLLVSKATVQVYGVLLNTLLEQTIPLSNDIWYWDEVLGSYTFTAIYTIQTSPL RFWDWTKDIYEDSRERFSRLREAPEETLSATAIGTRVTARWKTFYGLVRDSIRERSVT DIQARVLSPLAKSRSEARRKQAGLKRLREMSASGLGVLMDEGLNFDISEDNTGIQSTD GSDWNVVVERSVALMDTVLRNVTALETGVSEFEDIVFASVANDPEVSSRSMGMGELRD KPAKLCKRLQNILGTHIPAHVQAQQHLRSQYGRPNRLVRYWLPATALLLSSTTILRIV VNRKAAILQWIQDLGSTMQDFWMNWIVDPTKKIIKTIRHDEGSEVAIMSRESLKGDRD SLERMVVDFAIDNPQAAGMGGGSLSDAHIAEIRAKVKEGDLTPVLRAYEKDLRRPFVG TVRGDLVRTLLIQVQKTKVDVEVALSGIDALLKSQELVFGFVGLTPGVLVCIGVGRYI SGMFGERRGQKYGHRAGQMIRVLRNIDRILTTSTPSPSGILSYKDHGLLLCEVHVLRS RAAKLFPGEVEREFFEDVNELGDISIGIERQLKVVQRIRWAYGKWLSK VE01_08920 MVAISSGTNATIAAATYWDQYNGITHYNGETLNVFERLWAAWYA YMQNDVLATGIMSFVMHEVVYFGRSLPWIIIDSMPYFNKYKIQAGKIPTAAEQWHCTK MVLLSHFTVELPQIWVFHPMAVFFGMDTGVPFPPMWKIAMHIAIFFVFEDAWHYWTHR AMHWGPLYRSVHKIHHNYSAPFGLAAEYASPIEVMILGAGTVLGPVLWCAITGDLHVL TMYLWIVCRLFQAIDAHSGYEFPWSLHHFLPFWAGAEHHDVHHERFIGNYASSFRWWD FVLDTEAGPEAAKARRDRKLAKDAKKAKKAQ VE01_08921 MSSTTPPLPAISVSSNSDPDAVTAALPPLLERWSLTPTNAGLQR SFKFKTFKKTWAFMDAVAAEAARTKHHPEWSNVYNTTLIRWTTHHPPGLSSADITMAQ FCDTCAAEHDELPAEKPAAVVGTDVPTGEGGDPLQALADGVVVEGGECCVPKYARKGQ GAVERMEGVVAEELHDKGP VE01_08922 MSWQAYVDSSLVGSGHSDKAALISAAGDSVWAKTPGFEVKPEEM QSIVAALAGGAAADKLWTEGLHVAGERFVVFKVEGRSIYGRQGKNGIVIAKTTQAIIV SHYGENVVAGNAAQTVETLADYLVGLGY VE01_08923 MTDLQNAQRCAEVKIRTLPNVERSDRKDVSRVFLSMDTLLELRL EAGQPCSVWKVEDADSRREAIAWPSAQKINRNVMQMFKSFQDHCGFRLEDRIAVAPAG PLVTADIVVLRDVSGGTALEEKDRAHWEWYLEDKLVRAESIFTDMLYEITLKGRRSFI VESFLLSGRPLPNKIAHFSPTSRVQIIDEQAAALERPTTGPRPTLHVSGIAGIDSALQ KLNDVLADFSDVAKTSPAWGRRACGVLLHGAHGSGKTLLLERVAATGWGRVFRIDADA KTQAIREVFKDARLTAPSIILLDDLEGLAAADPPKPAITALLSDEMARLSSDAVQVVV LAAAASASAVPLPLRKRGRFTTDILLPIPDADARRQILRSLGPKEEGEIKLLDRLAER THAYTAEDLALLLDRACFLARHRRRGEAASTTPATPPGQLELPTTDAIIVAAPSTPLD TPAPSSTTPPTPSLTQPDLDLALLSVRPTAMHDITLRPPKVHWSDIGGQHALKRALRR AVETPLRHPALLARLGAAPKKGLLLYGPPGCSKTLSAAAVATEAGFNFFAVKGAELLN MYVGESERAVREVFARARGAAPSIVFFDEVEAIGGKREGRGGGGVNVLTTLLNEMDGI ETLKGVMVLAATNKPEALDVALLRPGRFDELLYVAPPDLEGRVEILEGRRRKMDWEAD VEVAWLAERCEGYSGAEIVGVCQAACDCVIDRVLETGDMGAKVGRGDFEVAMGRVKKQ ITEEMVEGYRRWAKGVGNGVEAE VE01_08924 MPVTDMGRSAVPGRGAAAKGEGSGVSAALGDGGLHREGGRVRDG AQRLARRPPPKFRRKEGVAGGDGIGAELKELGSALRDASLVDSPAPNPPVTNTKTLRA NEKPNTILEELFPEYKEALSSTNNTTSKPSTDDLPKLDPSALGLPPSTSWKPLNTPKP DRPFLHPDHQPPTSPSLDPHTLRQRLAATVLVISSTSRHLALSDFIRLSPRGSHIEGW TSGIQRVIPGRDPTTLARQSHYFVLFSTAAAAQAYKDNIARLHYLSRKWTPTCATSRM APVPGVLVDGEDVAALVSAFTIVPSSQKLLFSKVVKKPYRPAVARMIETGGYHPLITP EAGRQGENLVLVGLDRGKMNAFELGLAIGLDGKERNLQWRLEGGKEDIVLLGSKSDPK VAQGEQDEDEEEGWDSEGGERQREQKKGKGFRGPPRFIVKFKDSAEARRFVRAWHSRI LEGEALERLAKAGVGEQAQVSAEVLW VE01_08925 MGIFTRRREEPVPGQTAPVETVTETKQPTQRRGLFGNFGNRQTK GNHEPYVLAYSRRPTFGQWLKCTWLDILTMVIMGAIGLGVYEAHPAPTRSFPIEFRDG EIVYPEFSYPLRKNIIPIYAAALMAALIPIFIILCMQVRIRSFWDVNNAIIGLLYSLI SAAVFQVFLKWLIGGLRPHFLAVCKPQIPEGTNTGTGYKQIMYTDAICTGDRDQIDDS LESFPSGHSTAAFAGFIFLYLYLNAKLKVFANHHPAMWKLIAIYAPVLAATLIAGSLT IDEFHNWYDCVAGAIIGTMFAFSSYRMVYASVWDFRFNHIPLNRNVPFGYGAGEMEGG EAVFTRKVGWGVEGAGMGGAPFDASALGGMGMGGGLTGRHSQGGVMTEGYAHNGHNGV MGGNHAGGVMGHTQHGVPGAHTGHNGVPGARVAEPSIARRPVGDSIV VE01_08926 MAQASSQDWNRGEKSGLVSIGSHSLYMAVSGPDRREGEPIVVLM TGLGSTIDEWVAVRRLIEPFSRVLFYDRSGLGKSEGPANAPKAISAVSIATELDTLLK NAGIDPPYVILCHSRGGITAREFLHLRPNDVAGMVFVDANQENTFTGHEDFPSPGFEA MLIGIDYYEIAGIKSNTPLSKEEFQTVLDIQGKPSTAITSKAEEAGAKGDSSVLAAKK QLQNQALGNRPVSVIHANTARDFQRLYEAGIEAGNGSESDRQEFRDIVEFWRETSTMR AKEILGLSSVHNFRDATDSGHNIQLLEPELIVDELKWVYNHPAKKD VE01_08927 MADPPADALATSMRLNLSKRAAKSSLRALTLSPPTSTDFSSNDF LSLSSLPSLHASFLAHLNSSPQRLGSGGSRLLDGNSAYAETLESDIASFHGAEAGCLF NAGFDANAGFFACVPQPGDVVVYDAAIHASVHEGMRLSRAGARLSFRHNDVEDLKHVL EGVLAGDALVRTGKRSVFIAVESLYSMDGDLAPLAAIVEAVEKLLPLGNGKIIVDEAH STGLYGENGRGVVCSLGLERRVFARLHTFGKALACNGAIMLCSPLTREYLINYARPLI YTTFMSYPSLVAIRTVYDLMIDGGTVTPAAHMWALVSHLHTRLLALSLSPSHPLLRIP HLCPESPIFSLLTPRPRDLARECQAAGFMVRAIVAPTVPVGGER VE01_08928 MPASGITGSVLRRSLRAYQIYGANTGVGKTVMSTILCGALHHAF PQEPVWYLKPVSTGPLDDADDGHLARFSPSTKTKTLFQFGEPVSPHIAARGATPLSDS SIREKIQAHVTSCSQDGKGTLLVETAGGVHSPTPSGSSQADLYRPLRLPVLLVGDHRL GGISSSISAFESLHIRGYDLNSVLLFEDEQYQNHEYLRDYFGERGISVLSLPPPPPQE SSKETDQARMADYYLEMSERKSVIDMATSLSTSHTSRLDRLDSMADKAHKHIWYPFTQ HRGITPDKLMTVDSAHGDFFQTVSPPSSETVLQPTLDGSASWWTQGLGHGNPALSLAA ANAAGRYGHVMFASAIHEPALALAELLLENLRNPRMQRVFYSDNGSTGVEVAVKMALT AASVRYGYEESQEVGVIGLKGSYHGDTIGAMDCSEPSTYNERVHWYRGRGHWFDFPQV KMKEGEWVVEPPEGGEGEFGPAMRFKSLDEVFDMEARDESLAAETYKKHILETLDRLV RVEGKTFGALVMEPIMLGAGGMLLVDPLFQRTLINTIRDSHSLFSASPAPTDPNNWTG LPILFDEVFTGITRLGPFSPSTLLGTQPDISVHAKLLTGGLVPLAATVASESIYDVFL GDEKRDALLHGHSYTAHAVGCAVAEASVKELLRIESGEEWEAFRAPWGKTKVDSASGG KDGVWSMWSPTFLDSVSRHTEVESVVALGSVLAIKLRDENPGYTSTAAIGLQSALSRV EGGFGIHSRVLGNVFYVMASQTTTVDVVRGIEERVIQAL VE01_08929 MSGLDIPGKVAVVVIGLVSAWLIQRAVTGLSNLFCHPLAAFPGP KAAAFTAWYKTYQELYLGRSWIDVLQELHTKYGKIVRVGPNELHFSDPAAYSEIYSNN NRWNKEADLYHSFGEDRSSFGFLTYAESKQRKDIMAPLFSRRAICELQGLVQGNVDRL CKILAINNAAGKSSDLFFGLRCFTMDTITYFCFAKSVDALAEPDFKAPIIEAMEASLP AFVVFKHFSLIRKAVFGMPPWLSVLTSPQTAGLIHLQQLLGAQVNEVVKNPEALKDSP HRIIYHELLSTKASKGEPLPSAGSLYEEAQALMFGGADTTGNALMLGTFHLLGSPGYV DRLKEELYSAWPVLDEPPKLEELEKLPFLTAVIKESLRISPGVASPLLRVVPATGATV TGAYIPPNTIVGMSGTFVHSSKAIFKNPETFDPERWLKKDSASLEKWLVAFSKGPRMC MGQNLANCEMYLVFAALFRRFDLELDGTSVDDLTWRECFLPHFQGRHLQAFCRPVAN VE01_08930 MVEDSIGTSITAAESTPPTATASIDPELATGKLPEPSFETINSA APPVEHQPGRPSSYQGAPSPQPSVGSDEEITIDDTFEEDGDSAYDSGSLQSDTTSLLS HITKYRYENNRRYHAYKDGEYWGPNDEQQSNQLDIAHHLFLLTMGDRLFLAPIGDNPQ NVLDIGTGTGIWAMDFADQYPSARVTGFDLSPIQPQWVAPNLRFEINDACDPDWGYSK NSFDFIHVRAMYGSVADWPAFYQQVLDNLVPGGWYQQLEMSVVLKSDDGSVKEGSIFD QWGKVSLEAGDKFGKDLRIHEQIKGYVTDAGFVDIVETVYRYPVGPWAKDPFTKSVGE WNRIHWQEGIEGWSMALLTRVLNWSYTEVQAYLGKMREALKDNSIHAYHIV VE01_08931 MPQTSEGRLRPGEDLKKFSCLTCRQRKVRCDRHNPCSHCVKAAT QCSFIAPVRGKRKLTKPPKEGLHSKLKRYEEMLKAYGANIEQSDYENLSDADVASEPD VEMTEAEGAKSHKEGPDEPFTFDKSKSRLVSKNGSSRYFDNEIWSNIGDEFHHPDEAG FVECVGEMTVRISDVFVDTADENGLLLGTYSKIDNITSLHLSSHILKRVFDIFVDRVD PLVKLLHLPTFWTTLTNAVENPHDVLKSVEALIFGFYLMTISSLDEDECRSLFGEDKS IVFTRYRIAARQTLMNAGFLKTTSLVTLQAFMMFLMGMKGCYQSDTMFILSGVAVRLA RRMGLHRDGTSLNLSPFDTEIRRRLWWMIVFIDCRTSDFSGTRPSMDLFLSDTKKPLN VEDEDLTPDMVEPPPERTGITSIVLSLIRFDIIDFLRKVKSQHSYDVGWENLTGQAVT PAERDNLIKQVEGILETKYLRYFDPSNPLHYFSSVLARSAICKMNLYAYNPRQFANCG AKVPQRARDIIFTNGMKLLEYGNLLHRDPNLRKFSTQTSSGYIWDTLLYVLIEARNRK IGPDVDRAWKLIGEAFENYPLIFAQATEALYAALGNWTLQVWDDCVAARNAEGIPEPL TPYYIEALRRCRTPASKPDAIKNLEGAMGSSVGNDKPQSQRHDGNYSAGFEPIESYDF SSILSFDLEPNEWAQWERLLSAQGM VE01_08932 MSQHTTPRDDEKPTEDAPLPEAKKEEIIEYPSIHKRILIMTALL LSIFLVTLDQNIISTAIPRITDEFHSLDDIGWYGSAYLLTTCSFQLLMGRIYKFYPAK PVFLIGIILFEIGSAVCGAAPNSNAFIVGRAIAGTGTSGMFSGLMVIMFHTIPLQHRP IFQGFFGAIFALGSVIGPLVGGTFTDKVTWRWCFYLNLPIGAVTIIVAILILHLPNQK LDEQATGWIGRLKQLDPIGNLIFLPGVVCLILALQWGGAQYSWKNARIIVLLILCGIL CFAFISVQIWKDESAMVPPRLVRQRSIGASMWCSFFNGAGMMVVMYYLPIYFQAIKGA TALNSGIMLLPMILSTVVGSLFSGFLVAKLGYYTPFFILSSIITTIGAGLFTTFTPST GHDKDWIPSPTVLDRSDIATGTAVVTFMRFLGPAIFLPVAQNIFLSRLISKLANIPGI SAKTVLDGGATDIKSLATGDNLERLISDYNDSIVSVFYIVVATCSVTIFGSVLVEWRS LKARAAEQSGETAKKEASLTEESV VE01_08933 MWSEEMLVHSLLWQPQQELPRVPIYEETNALIYPSWSWAGWSGP VKYCNYLDWNGLPALHDPWKRALQSEYGETVDIKKDGALVPMYHLQLHTRVASFGLTL DDRSGTPKPKSLSKAHGKLSTRFGITTVRSADLDTEEEWLGTILLPASYQQKLSDEHE FVVLSSAYCFVADELSLDASSALKSYSVINVMLITRQGTTAHDGQPVVVRAGVGRMLK KAWDMAEVCWEDMLVA VE01_08934 MPRVRIPLRLSHGSRAVIPSAKPQWVAQRAYGTVVDGPVAQSSQ ASTFEKALTATAPRHNWTKQEIKEIYDTPLMKLAHAAGAVHQKFHDPAEIQMCTLINI KTGGCSEDCSYCAQSSRHNTGLEASKMIQVGTVLAAARVAKENGSTRFCMGAAWRDMR GRKTNLRNIKEMVKGVKDLGMESCVTLGMIDLAQAKELKEAGLTAYNHNVDTSREFYP SVISTRTYDERLQTIANANEAGLSVCTGGILGLGEEAKDHVGLLFTVATMPTHPESFP VNTLVPIKGTPLGDREQSPFDVTLRTIAAARLIMPKTIIRLAAGRTILSEEQQVLCFM AGANAVFTGEKMLTTACNGWEEDNKIFAKWGLRPMQSFQAGKEEGASAPMREGAEKKK SQVELGR VE01_08935 MMSIRAEMRELLDTWSDWATPADNRIQSCLNNIWASFLFILCFL LAVVVKGYLISAYLLSFIWPWSSRNRKTNGLQQFAFRAGNTISVVPHQEQNQDITRKS ENILFHRIPFEIRRQILMQAFGDQTVHMDLQFRYPLELADECLFYDYHARIEGTSMNP WDWYVAIDCFLMEKQGKEETRMHRADVEGLKCWRNTPGRIEDVSDGVLDSGVEGRRKG FWIHICIYDVELDKCRNYSYDQDRHDLYNLGPERYRFREETVIDTNSFVYHSDY VE01_08936 MVATPDSADLKSSVIIDSPGTASASIRDLEKSSGITESTSGPPS IQAASDNVDTAASLHARSRVDLSVLPLLFLGLFVFQLDRMNLASALTAGLKADIKITQ ADVNLGNQMMFLGIVVLEIPSNMLLQRIGPRIYISIQVVIFGLIATLQIFVRDRTGFL IIRSFLGLAEAGYIPGAIYTLSTWYRHKELATRVAIFFFGMFGGNALSPLLASGILKL GGTHGRPGWQWIFLLEGIFTITVGLLMGLLLPGSPERPRPLFFEGLVRISESDGALLQ ERIAADLPNKSYEPQHIRITPAKVWKTLSHWRRWPHLVSTSLVFSTWSSLTTYTPTII MSLGFDRTSANALACIGPVIALGIVFLFARLSDYTNRRGLMVMIAISCYITTLVVARA TQPHVGKWSRWGLWTAVNAFAVGYHPVQNTWLQLNCHDPAERSISIALWVMSAISGLM YGTQYFQEKDLPLYSRGLEIMIGVSVAGLVLAGVQV VE01_08937 MPGIKRVAVIGAGPAGAIAVDALAQEQAFDVIRVFERREKVGGC WVQDPAHRSPQLPDFKTLASRTADKPHDIPSSLPTYVPRSTQYRHDETSVYPLLETNI DYHTMEFSQEPIPVKQTSRSIQRHGPSTPFRHHTVVQEYIEGLLDRKGYKDFVECGVT VERVEKVNGSWKLTLRKAGSERDYWWAEEFDAVVVASGHYTVPHVPYIDGLEEFASAY PGSVEHSKGYRGAEKYRQKNVVVIGASVSGMDIARDLIGVAQHPVNAVVRGKWHPYFG KSAFDHTDIKTRSAIKRIESTGGRRTIFFEDGSHIDNVDNIIFGTGYSWTLPFLPDFP VINNRLPGLYLHIFQRQDPTLAFVGAIAAGFTFKAFEWQAVLAARYFAGRVELPSIAE QEKWETDRIAVKGDGVPFTALYPNFEEYFETVRQLAGEPAPGQPGRRLPKFGKGWREL FDGGHQRRIEAWKKENEARSGAGNSIAVKARL VE01_08938 MSTTDRAVIPFSEPPYLSGFPSPYYSPSHLKWQKTCRAFMEENL LKHAMEWEREETVPEHVFKKFADNNFLIPNLPAPLPVAWLKKLGIHEMPGGIKVEDWD YLHTAIYVDEMARSGLAGPSASVTVGMAFGVAPVIKFGNQQLQERFLPDLLTGRKRTC IAITEPDAGSDVANITTTAIKTKDGKHYIINGTKKWITNGIWADYSAMAVRTGGPGPA GISMIQVPLKGYPGVTMRRLKVAGQICAGTTYIELDDVKVPVENLIGEEGLGMKYVMT NFNHERLTIAIGVTRQSRVALAAAFEYCLKREAFGKTLMDQPVVRNRLAKCGAELESQ SAWVDQFVYQMTKLSKAEADLKLGGLTAMVKAQAGRVFDECARCAVLLFGGNGYTRTG QGELVEKIYREVPGARIPGGSEDVMFDLAVRQLN VE01_08939 MVYQADQNYTVPDVDVLSLLFDSKHCDAAEDTKIHIEAGNDANS VTKSQARDLTQRIAHGLRRNFNIGASGPGKDVVVCISSGQPLLPVFFYGVVAAGGVYS AASSSFTTPELARQVTQGGSNLLVCSPDTQEVAVAAAKQCGIPLNRVLCLKSSPEWGL TSLGNNQNCISNEKLDWKRITNKEELENSLVCLLYSSGTTGPPKGVLLSHSNIVSAAF ITGELGREYTAQRGTPFEYRTLAHLPAAHIAGVQGYFINPFYMGGPVYWMPKFDFQKF LDFNKRYRITFFFSVPPIYLLIAKSPAVTDQFEHLEIAVSGAAPLGKELQYAASQKLG RGTTFISQTWGLSETTGSVTAMPWGMNDDTGSVSPVLPNMQLRLVDDDGKDVAAGQRG EVLVKGPVVTRGYHNSPKVTEEAFVNGWFLTGDIAEIRNGLVYIVDRKKELIKYKGLQ VAPAELEAILLSHPIILDAAVIGVEQDGTEVPRAFVVVGKKISEEEIKSFVKSKVASY KQLRGGVVFVDAIPKNASGKILRRELRDVSKKTTAKL VE01_08940 MASKSFEEALAAAERFAEGENAGKRGPWDELASWYWGLWDELGS TISPDGQYGHGTQHNTMYNTEHNIDSGSSATTESISQGMGYGSGQEQLVMESRPGIDE MEGLSQDNPFDLPPKSFRDELVILYFKHVHPLCPVFDEVEFHNAYYRRGDMGFLKSIT LVEFKALLFAGAMHLDYEQICKTKYASIIECHSDLFEAARKTYHSSAKESPISRARAA ILLSFWSPFSAEEQSNCYWVDQAFIHARVAILQELDYAHISVSPGRRKIIWWCCQLRD SMLAFALRRINRLHTEPLTQIIVTPEDFGMESAEPCFMSPISKTLSIDNFIKQCELSR VMARIMMFQGRLGYAKYNDRRRNVDVNEIMEVISFHSEVTALREDFEFSLDISRKMSM DRCDVPCQLSKIIADSVIAVLYFPYTHLNPYNYTLPASKFIEGAVQEVMDSASRIARS AEDLLSQSDNNSIPLALGAYITFPIVLKLTLLGDTRKPSDSAIDLQEMRSLMRVLYIL KSRFSGGQFVSNVIDAVIKRVVHGGDDNEPGRLLSLLHDAAGYIQEDVDRELVTIT VE01_08941 MWSKALCLLLFTLIAAVVAQNEAIHEARDAMAQLVAKLSSKVSL ITDEIAKNKKRQDEGSASDAPDAMSQLVAALSSKAGITGNVPIATTMPTCIGGFDPPD ATLARQMLTAIPGSILGQLGNAGARSSIASAFKAGQTPSWYNDLSPEIKNYVLSVQTH ATTGCTPRQTDDGTGTGGAAGANAKVAGDKGGTSTSEALASRPTAAIVGLSGALGMLG LMLAL VE01_08942 MRFFSFVPFLVLAPFAFASPAVQGSQAEAAAPLDLTNRAVDLSG LLGTLTQDLGAITSLLKPATFTNIESVITHLALLLDDKTTNQTKSLLNTASTLLAGPL LPSLTSLITPDFITKISGLINNANNLLTPTFVKQTTGLINDVAPLISAVAQLIGGLLS AILGG VE01_08943 MTTDVFVAPEMSGPADTINEKGALAATDALDSRTMTPGSPEKQS LAIGKTRKRLDPLDPSFQPKEMQKVVQEAILLAGGAVAILLQVANPGVGRGVNEHSNF AYRPVDRLRTTMTYVYCMAFGSVDEKKTIIDMVHRAHAPVQGATYSANDVDLQLWVAS TLYAVGLDITEKIFGKFDDAKADEIYQEYAVLATTLRVPPEMWPVDRKAFWEYWDRSI ASFEISAHAISVGKDLLWNKELPLWAKINMPALRLMTAEWLPPHMRDAYGLKTSKSRK RAYKVLLGVTKTVYPQLPMFIRTYPMKFYLKDMRRRMKNMA VE01_08944 MGNTGKDSIEEKTSHVIGANRPDFTAGRRSGKEKTSRGSVQQIE NAGVMEEDSHPAKKRGCFARFWAHYKRHWCLYGLGGVIFLAIFLPIFFLIVFPAIAQR MVDDASLPIYSASITNPTPDSVQYSLFAGLNVPKPFSVKLKPIALNLLVDQNPPNRNP YITVQLPEQNLKGNATITVTNQTTNILDKEIFGTFLHSAVYQETFILSASGATDAYVG KLKAHISLDKKVELKGLNKLKGFGIASARAVLPAEADGTNLIAELTIPNASLVSFELG NVTLNIIVGGILLGNATMKNVYLVPGNNTVSARGVVNLKTAIMNLPAILSSQVGALTS GNIEMSASGNSTIYNGHHIDYYEKVLNALVLTTQFPLLSILMDSLTGVLGGNGGTAIP FASGYNVTNIIPLLQNLTAIAGF VE01_08945 MSKVFSQSDVASHNKADDIWIIINNDVFDVTKFQDTHPGGKKVM QTVSGKDATKKFQKYHRDAILNKHREELQIGSLEVVETKPKSSSLFSFLKKS VE01_08946 MGERKIGRRACNGCKIRKVKCSEVPPCKSCISARIECTFTERRG NRGPKALRPKTLISIAQTQQKGYPSVEDEGSFADESPESRMDVPASPGPSIVPSSIPP EVPLKTPSSSLIVQLCVYRLRLYPIWPIVAVEEIMGSLQGDVQDMEMYALANAIGAAT IAQLKLNQTGISTATGSSMAEECQRTKLMGKNGQSANLNILRIAFFLHIYYENQDQGG VKSLLFLREAITIAQIMGLHRESSYLSLPPSEQQLRRRILWLLFVTERGVGILYKLPV ILKPNVLFPAMDGDDETQILPAFQKLVDLFWTFDQSRAFEILEGSSADVFETGDMESA RQNSLYALHKKLQEVSLDWDSSSEVQRADICVTRQWMRAVLWKASNNYERLNKGSRIT SLDHPIQIAREFLGFISQLPSTALEAHGPTMETKIYEIASTVADAVANNDTVSWISPY RPIDILAQLQRILASIRGGNEALERMLYSKTACVQGNLLMLVEPSSLIEDLDDEWLEN NTLFPNDPFYLNLGPISTGDAEAEMMSG VE01_08948 MEKDIAIQRTISDNGEPYTSKISAVRVGRENLSDALPPHEDYEG RHRYDPGATWTPAEERRVILKTDLYLLSWICVMFAGLQLDRGNLSNATADNILDDLNL TSDDYNNGTTIQLLAFMVCEFPVQILTKRYGFKRILPSMMICWSLVSWAQSWMTNRTS FYITRALIGAFEGGFIPGTILFATYFYTSKELSIRLAAFWSTLNVARVISALLAAGIL ELRGTSGKPGWFWLFLIEGILTFVIGIISFFYLPSSPTSTSGVIWRKPWYTEREEIIM INRILRDDPAKGLTALKEPATFADIKRAWSDKSMWGLYFVGLVAYIPATPVQAYLTLT LKRLNFTTFNSNMLTVPSAVIQIITMLTLSYSSEFFNERTFHCFFGEFWILPLLAALL TLPDGGREWSRFSLITLISGYPYFHPIVSAWISENTFDVKKRAITAATYNVIVQVGSL IGSQIYRKYDSPYYKQGNKVLISICALSLLTFVAQRLYLQTLNKRKAAVWDEMTVEER SIYQADATAREADGNKRLDFRFQY VE01_08949 MPSQEAIVPAGITATIRGGNTEAQTRCLKPLVNSGSLDKFNHAD LTPVIGREFTGVQVVDFLGADQQLIDDLAITISERGVVVFRAQDITPQQMKELAERIT QAGGAPESSGLHIHPLTEAGSELGDQISVISSEKQKKGGGLTHQLSDVSRFASAGWHS DITFEPVSSDYAMLKIHTLPASGGDTLWASGYEIYDRLSPAMQTFLEGLTATHDARFF LDEAERLGNPLRDGIRGSPLNFGSKLQAEHPVIRTNPVTGWKSVFVNKGFTTRLNGVT KDESDLLLGYLFNIVTQNHDAQVRVKWNKNDLAIWDNRSNWHCATYDYEAARAGDRVC SLGEAPYLDLNSKSRREALSL VE01_08950 MQLTPFLAAAILSLTSTTIATPISQRDYSTLSLSAQLSLADTSA DRIALLPDHASFLFNFDVNRGKGGLGGDITLANRKTFPALVGTQSAMAVGFLGPCGFN TPHVHLRGTELQVVTQGTIHTEMIVENGVFTTAGDAKSGRRLIQNDVNANQMTIFYQG SVHTQFNTGCEPATFVASFNSEDPGTGQVAEELFALSEDVVSAAFGQAVDGAQVDDFK GKIPASIAKGVEQCLITCKIAKRSASA VE01_08951 MGNLEIAQGAEANDPPNSKTCDVQAVNTPEIAEENTDIDQFGTE LGGVEANDASQIAIVSREITPPGDTKNKLSNPESQAIEVMGATEGFQGETCTNGGSGP GSQGAGQLDGNKQELVLWENKSPKERLLSREYCTQACLLGLKRGSNLDESCPNTASHR VSEGSIHHPIDEKAFTRLAREDLAQDLAKSTGVYSVRLNMNGTTGTLFKLMLPRYEYV FVGKGTTSEFVLNLQHEALVYEHRLKSLQGQSVPVYLGSIDVDLVIRWAIGADQIVHM MLMSWSGDTIPETEVPILEVNRTLQEVILRGVIHNDVFKDSRDWASVRKNIYIWSTDN VKKHLLSQMRKAVCYPNVRRRNMLWNSERKRVMLIDFERSIILDDELDGPDQADQPKK SEQLEHPEHPRNPGHPEEHGQPGQLSPKRKANPQDALSWKKTKVYGANFPGRSLGVLG TLPKELRKIIYEYAISVEPCSHGEPNSGLGLLATSALIYKEVIPLIYQSQLFGIEIDT ESRDFAYKHIKPGREDISWGVSIEHLSTDLVDFVQNLHVRVKPGDEPFHTSLHVAMVK FCHELQNNTHLQSLHISIEFPTSSKKDEPWAMFYLLEPMKMLLRGGEFEITMTSDISE DNARNTRLIFAYNKRQ VE01_08952 MHLKTCALSVALLSGLASAYVPIGYGQQLQNNDQANHWVVWVEG KSACPNSRTLGLLVKSPCGQKFKYDGVEYKLADCDQSNEPKSLVSGGVSWSCKLDHDK INCHSGTHDIVKHGYCK VE01_08953 MPTITLCRFGVDKQLSLHEVTLESSSYIAISHIWAQASWTKIPG IAEKVLVSPQKARFIQDRLPALVGHLLFWMDVLVVDQSNKDARLAVVEHIPAVYMNAT KTIVVREDGGFGPCCESLLDLIDDEKWNVNRVRGANGQLEDYDIASNAAKHLKSHHQH GLDEIWSHRLWPLQETNLSQTIQFTVCENAKRAKSSVTWEKAYLELTELDKAAEAWVE RGQFIWPVGEEENPDEQEQTMVLKKEFMRAMLNNGTVTRSSEPVPEGKASVFHTLVGS LTSSRETTKARDFVLALFPSYSWYTIPPNVRDMEFGELWVDCVTQFQTFHEQPFLNLS VKPKLTLGILGHTEISAEESQKPSADIPYPRCLGDFARLAYFLGNREPYLDASRRGTW RIQKMTELDDLNDILAIVSETVYRTRIFIFNEWISQYSVWATQQKSSDEQLLFKLRLK RMARAVAGRGDKASDESDDTIRRLEYELAVLGDKGAAKCFTAIIGMYVRLNRELDERT QVPRVAKASWDQIVELAKEHDTPFWRTSLLHLAAIVSCGLGFSSLSWSRDRLSPYLAS YHEDGQRNALIQTLMIASPNLTIHRSDEINLFTNPHDPYVVKKSTEENGAPRPLVTMQ LKSAVLLLIASIATVNAASLEPRACSSNGCACVTGIKAGIYCGNCVVGAGTYAVKTKR VASHAFQCGAGGACCDYGAATDCGKTSARCAEGSGV VE01_08954 MGVLSKIVIAVAATTAVFAPAALAQRPMENLGRGVVAVRSNETA VLVGWRLLGLDDESIGFNVYRATGSGNPKLLNSKVLTGGTNFHDLNPNLAESNTYHVR PVLNGKEAADSGKFTLAANNAAEPVVRIPIKSGGVIKYLWVGDLDGDGEYDYVIDRQT APSTLEAYRSDGKFLWEINMGPNSVNQNNIEPGSSALNVGHWDGITVYDFDSDGKAEV AVRIANGVVFGDGKTFSGGNGDDDQYIAIINGETGALRATAHIENEYLSDGPLAARFG VGYLDGVTPHLVTYMKNRQPGQGAFNLIMAAWKFDGKAVKLAWRWVRGDQDASDGHNT RIIDLNGDGKDEVCEIGFALNGDGTLRYSLAGQGIGHGDRFHISKMDPSRAGLQGYGI QQDNPSLLMEYLYDASTGKLIWEHFGTEVGDVARGMAGDIDPRSPGMEVWAFDGVYNA ATNKLTEEDTTLAPWPQLGLWWDGDALMELYNDGKFEQWDWLKPSVSGKMPRFLKISD YGGSVSTRNPLFLGDILGDWREEVVVTNANYDELLIFSTNIPSDIRLYTLPHNPAYRN AMTLKGYMQSHHVDYFLGDRMKTPPKPNIYYVGA VE01_08955 MESVNRPVIFVLSLMFREFLDESYSSLFNRLNESTQLRRAKVAS EAIQYLEESSPRAILVTDEGLTKTENRVVLDKVVLYVRNGGLVIFGLHFPNFTRMDDF DNFFNRAFGIPWKHGDYHRSDFKLNPTCSLPLGAASDSLPAPYSMKVLHVKNAQPHEK VFIPVSGAKTQSSVFPTEYVDQAQAAVVAANVGNGYLVYCGDVNPGDASDSVILSLCG L VE01_08956 MSGVEVIGLVLGGLPLIISVGEHYKKGFEPLRRWKLFKLVFREF ITAVDTQKQMFRLVLINLLAPVQLEPEEKQRLLTIANYEGWHRSDVVEALRSRLGNAH DACMDILRTMNEDMVDLQAMMSLKDGTVDWAVSGKNQWKYQLKRIELSFSENGKRTVQ SLEKKIKDLERLLRLLDGTNDTFEGMKPVPNGTSWGEFKLISMPKFKPKKTLRFVPDL PTEPRNAQGAKPCLDASQQSCLVTPPPPPPAPPLTKEEPLVNIKIKDLCSAMKGLDPD VEFFGCLSDNVHQEHEVRWIKDTRQAPPSLGEISLEKLLTSNGHLKLSRQKRYKLASI VASSLLQLHATPWLAEKLEKKNIFFYHQGPDILAEEPYISHKFVASKPGDSVSQEPNT TGILPIDPRKTLRCLGMLLLELCFGEAIENQQSLRKAHLSSDGKALDGTDYLCAIDWL EMVAEEEPKMEPIIRWCISCPLNGTLNWKDTTFTQKVYGFVVKPLEMLVVPT VE01_08957 MQDSCAKFKAWGTSIAAFRNVHVPTSLDFRLRDALEIRSTLLGV LEYLGEYLDDAYEIMLGNSPNQADDESISSDSENEPEQNASSKALNPAQETSYLQELC MAISNSNASLMKLSILIRKSSNRDDYLKAASRYSTWNSSPFISHVREKYGSAKGSKEW LVERLGKAIMRRRQFLTYREEHHGKLTGDWGEDLDQVIEEKGPDTPKLAKTVASTKAT TFIVDGNFSKTEVSENEASDVMGASFGSQTSYEATEFEGDGTAPTKLTVPPPPKWAFP DVPFEYGEPFQCPYCYTEQEVNNKAAWKKHIFRDLKPYVCTFAECAMRMYRSRNEWFA HEMQCHRRQWVCQHCQHAAFASASEFSCHLEVTHSKVLENTHLKALILQSEEPVGKIR SSACPLCDEWEVDLNNLNNLNQDAKRQHLRGGKVVEPYGTIKQFRRHLGRHMEQLALF ALPIKESDDLEGDSDDREDDSEDEADGHGPESFHGGRVVPYFCDYQNCNDREGFDRKD HCLEHYREYHSEDLVKDQWRNLGLLLQKRDIMSVWWRCSRCLKKNQAESGWKCGGCSQ MCEKVRIIARETTLSPVESELKARLLKLRADMSKKEAEAAESKEEAKPLTSATSEKVI EKMEAIMLHYRTAIKPACDRFIFAPQATDIKKMDLGHKMLSERLMNEALMKIDGIETE GDSEVRQRRKSFVLELDIALNQLDEAMLIRNGSIKEVSRDGQEGGDNSSSLNRGKPTT ENKEGAFSFGSDDEETKAPELEHRSLQDKWIKLPRDRNKKLGAVSSSDDPPAEESVVV LESEPVPEPVPEPVPEPEPKPLEEDSWGGPWGSATKKKGKKGKKRNYPSEQGETAKTS EHQHSLNSPSSAQADDSSIIDKTEDDKHQGRYSIDRYVKDPDSKEAIEPAAESPAKEV QIPQETDSLDRDFKEAVEPAAESSATEVQMPQEPPILPLRPRTPTTSRAWICKGCDTV NRSTNNCAACRYHKTQRWVKMIFCNNCGDGPYRANNNISCAQCSQFFDQNCQIKWVPA K VE01_08958 MPSSKTDPSPSRANTSRTSSMSMNSGPPPTTRRSQTADTRSTIS DGSSIGNGDLANGGLLSSFSSTGRHSSNSDSLHSLGELREKNGIDKLLPRRIRSRRRH KKEQREAEEAARGRAVAERGTLEDRPRDIEREEMGEGSARTDDVEGDRASLLIFESED DEESSFVAPPLKSHPSHSGYLTTSSPLVKSSNIPNNALPDGQSSATTSPTKSPAPAIR PSRLTTASTPVLTTASLQAPHELKSKRSASPVGKLREAFTPRQAPSPSSSPERKSKAS TPVRKEKESTTIINRRGSVAARLGSIFPDRQSPQQSPEVRASPERLKNPKVPYMIETN GRPSTPPTTIENAAPAPAIVNTPPTPTETASPRFSGSPISTNPNVVTSPSGNMISHRR IRSGSLASGNSIGPSKLSNITSAPLTPTPENGSVTPGNTQQTGFFSSVFSAAQNAANT LTNSINTTLPGQQRTRSNTQETIVTTPMDDVEVESIDDNRIRSDSEAKEPAVKTLGMG DLSLSHLGIVESTSASNTPTAAKFNENQLNRGRAATMGPEETARSGAASPLLTAEKVD LPPMNGEGLGTKPWPAFEPSVAGDHSPQNGSVFEGRTGIGRSNSIRSALRESTRRKRG SSAATGTTLGAGMATGAAATPKVTGFAVASKKRNRDFHQLFRSVPDDDYLIEDYSCAL QREILAHGRLYVSEGHLCFSSNIFGWVTTLVMSFDEIVSVEKRSTALVFKNGLMISTL HSKNIFASFTSRDSTYDLIIDIWKLGHPSLRSSLNGVRIDEPGGGDKTEKDDCASVSG GSGSESDTTEDGVYDEDDDGDDALSFSARSGSFAQSEGSPEKPVPRKASGAVNGSGAE KKEGSPVGSDAPGPATHAPTECSDQDTHYDKILADEVIPAPLGKVYNLMFGPASASWM SRWLTVDQKCTELSMEDKRGLTNDTKTRTFSYIKPLNGSIGPRQTKCVITETLDALDL EKAVSITVSTQTPDVPSGNVFTTKTKYCLSWAEGGGTRLQMNCTIEWTGKSWLRVPIE KGANDGQTAYARDILSALRTAISHPARTTGAAAGGKRRKTRKSTTKTTPSPSRSPKPT AKTADWGLLEPLHGVLGPVADVFGSMGSANMVYGLLVGLLVAAWFGFGERGGARGVER WGSAERMIAYEEVWRREESELWEWLEERVGKGGVGGGGAGGVGGGEREREVSPLEGGR EMDVAIRVTEEKLRALKGAVEKRKRV VE01_08959 MESAPLSQAYDHAQAASIATHASNHPAAITAHTAAATSFAAAAT TTTSPEALRTLALLATHHSTLSSLLTAPNPPPTLTDADLSTGSDSPITTSAAVAELRA KSSSSSSDAPQTTDGQQPTSSSRRTPPTNSLQPPRRMPPRELSSSIASNLASARGIRT AHPLSPGLTTTNAPGAISARRRTQDTTPSSGPSWLPPVSHDQIARTDAVPSRAAMSTP ADVTLPASDTDEGFTRFYSTFEGLLSKLSAPLAFAGLPLIAEEPADQPSKPPSRPSTS ASANPDLSKYISRAALRASASNPGAAADSFYVVPTTGGTASYASILSFAEKEKRRLAD QRPASSFEDDDFVDAREHPSPPSPTKSRSFAAAVSTSRSGGGKSAKEVDREREELGIE NKSLKACIDMLSKRLHAFEAAAQSSTIALHESMRFLKPAGAATPVGPLVSEQTRKLKE KGNEMTENDKRILTARAEGLEARLVAVEAQLADEKRARRVVEAENEKLRGVVGRYRER WERLKEGAKSRRDGGGGGKDGKDGKDGGGGKEGKEGGNGGEGKQPVDGGRFVAG VE01_08960 MAHGILIRSGSAAHLVPFIPTRRDTSATALTSHPPSSSRINISR PHKPAFARAANYFTRTPPDRPRTSSGPNKQTIIHLGPAKQQQKQQQHRRSASEDSQLP ANASYFANRALPPTPPDETTAIAEARRREFEKYGYASLPDTPTSVMSALQRVITDEMP IGMALGSPSQAPQYQSSPRAHHLTNVRFAPPSSASQPSLASATTSTSRAESPVATPPP AQRQKGKWKLFGGLFRKPQPQAQEAFYKLEPEAAQETDPEPDWLHFPEPPAAMEAQRG WGRTKSERRPQTAKPRLARSATAPVDGPDVSTPPRETRRLEKRERVPVDTPMQTHVED EWAAMTPKPERSLLAVEIPTTELERYSVMFSSLLDKKNGTQPSTSGLLARRQATLDRL KSVNETIAELERTEQRLDAQKPTRPRRATSPNPQYSPRHSPRHSPGLSQSPRHSPSFS LFPNGATLATHPSQPNLSPTLTRHPTFNPPSPTKPSHPHRLAPNRLTRSNTSPAVLTP LQESFTPSLPQPRRHATDSETHTIVLVGTPPSHAPPLPSSQSALPANPYPRHQAETPT TPWTDGATLLSPLSTASLPATPAVHLNARPPPQTEPEWEMVPPPTTQHETRDRSRDRY PRRYASPGSSAGSGSSGRGSESAGTQGSVSSASSAEAESPIKAPAFAIAPAPTGIRRK PSKPIMPIPTSHAMPSPQPHAQAQPHAQGYVHPQQQQQQHTPRRPAPPPLTTMSSGSG NVQRAEQVPHVKVKGSVAVARQMSLARQREREEAEAATGGGMGVGVARQMSVSRARIT TTVGAAPTGVRRTRTREGHGEGGGERERERGQERVVGDAGGDGERVVGGEKIVVRNRA RTAQRVVGVEGGARKSEYGVLVG VE01_09038 MASHLITQNALKPSPLIALLNRITPCSQEFLTNICSFWSEPQTD DSLVVCAIFDKRYSFLNGYVYTPISISTVDESSGEIILDYGRCEGGVPVFEISTATSE NETVEFDVIYSETREGIGNEQGDGPFFLFSNAMNTYRRESYKLKTSDAPTRLEARYTQ QSQRYQKLILRTPKSTLKFSVIGFRDLRQKTPIISSFRCSDEALNNIYDDGVRTVQMC TMAAGETLPSWEVTDSGTRVFGQHWAPCRYGTRWADITVQFDVLIEQSGASWGIHMVA NGLIFSLDVEKRLVTAFEGLSHVNSVFPSTPRGSWTLGDENLGNWIAVKISTIGASVT LEIDGDMVATLDDLNIHPLLGGAANNTGSIAFGGPSSYTALYRNLIVADTDGQILYEN NLLPENKQRTMVDFAVGTNQVPCTIDGAKRDRAVFGGDLYVMGRSLYYSTGRFEAIRG SIDLLTSHQMEGGYLGNLSPAQAPLHDENTEPPTDKFYSLSYSLLLITAIKDYWLHTG DENVVRGVWHKLEKLLHFTETFLDSRGLVVAPPPLSMDWFPLGGPIFGASAKINMAYY EALASMATMSGELHMTDCYTSRLEPLKRSINEHMWSEKGQVMKMSCTSNPLGICQDVN AYAVATGIAPPKSDRLASDYTENPTAYQGIEGWDRIKIISPYATGFAAEAHFIDNDPE AAIKLIKHVWGMMADPSNENYSGAHWEAMKPD VE01_09039 MTSHSIQTTHSDLTLSSSLEDTPTQPGLETSDATWRHVANLPFD FSFLDPPSPHVEGRSMDNWFTGEFYSALNETGIGWDDADTSLGQSSSTDRWNTSRARQ KHSQHNNYQPTNGATHATRLARATPRNDPAVGHVSRAPSPPNVVSDEDGWPFAWNPRS SQVLRTELISIAGDDPLFLAHDPKYNISVNTYQAVIDWLDWTHDHRLAESSTPLNIPS LDVINLFIALFFRHYHPQMPVIHLATLVMDEDLPPALLASMVVIGATYSHQRHTRSFT IMLLDRVRRGLLMNTEYDHGLVREPMIIYAFLLVCHTGLWCGNKRSFELSQSLRGSVV NFCRRKGFGNDFPQTPILNQNNTGASSLEIQWREWVFDESQKRLCWAVFMLDCQFPTL LNLPSTISRGELSSLECPCDEEFWQAPSSRHWKRLLGPASVPPSISFTTALSSFIVPH IADVTGPGGLQNDRRDLQPELSPMKLNPSSQFIVLLAILSQLYEYSQEVVLAGKICGG DVSYDNRDANDDSTVTTEFHRDAANQMREMLSGPSETEGWAIWKHLAKRKMQLLESLN RWSETYNHYSKNIPRPSNALDHFQESSILLYNLGRLLLEIPLTDIQNAIGKSGPSKIP EAMSKVSNWVRMSPQSLDAALLCIEIIDSLAPVNHNSSADKHSTVRYSVHSIITVFLC HITLWAFINVARREQKQGLMHLIEINDKINSGHFTAVVKRGLIENSEDALNCMVSSSD ASRLIFRSASEVLTRMSTWGAALDLAVLLYKRAEM VE01_09040 MSTSIKGKDDTVVEMVENSLSNSPSNLDQKAEEHEFMEAENQMT LWQAAVAHKRILLYCIVPYLCGMTYGYDVIANGATLAMPSFLIYFGAFDSAGNLFIPS IWTSLWVAMTNLGQAVGSFAGGPLAHRFGRRYVIMAFSIVSIVGVSLQFTATTRGILL GGKIINGFAVGGLLAVGTTYASEVAPLRLRAPVQQGLVFCAVVMQGCGLGVIRAFVTR LDPAAFRIVFGLQWVVGSLPLLAFFIPESPTWLLSRGRTDDARASLNRLYKKNNVELR LDALQATLTAETAGEKEGSFIECFKGTNLKRTATVSLLFFGSGGLIGASFLSQNIYFL LTVGLEAVHSFDIGIGGFFLACVAIAIGWFFTDVIGRRTLWLVGVSGNAVAMAVIGAL SFDSSRAGLWAIAVLMNVLISFQIYTCVSTSWTMAPELSSYRLRQHTQSFGYIIQALS SWFFQFIVPYMYNIDSGNLGAKTGFVFAGTSVLLFCISWFVVPETSGLSVEDIDAAYI DRVSPRKFHLRRQAAIGV VE01_09041 MSESIPTLTTPPGSSTPYKLPCFTGELWTIPTSKSTMRLLATAK ETSNAFAVVGTGGTFDNPIGFHYHREAHDVFLCLKGTLNVWANDSARSLGPGDFASVP PNTVHQYQIASAHTEFVGLIIPGGWEEFFRFVGEPFSGPLFPTHDSRSPFEVLIPKLI AATDKFDMVPVREKASFDPQPWDGTESQLPGVCEIGGYFLKADTGPKFATGGTIVRPL ATRKESDGKFSIYCVEGSKLHDGKGLEKTTFKFEKTHHVIQVIEGLLSVVINGKQTIV GSMETVFIPAESEWRCAVHSVAAKYYVFANGGGIGEVLTGTGSPFEWVVVPEAGDLTG WDEKQLEGLQKELEFVIF VE01_09042 MDTIAKFPSKAIASIFMPTVEAFKPLLLANKSEILSVPSTTYTY GQHKRQQLDVYEECTNSPSSPIILFFYGGGLNRGDKIMPLVPSSLVYHNFGAFFAKRG FTMIVADYRRVTDVALGTGEDAVFPSGGEDVRAVLDWLESTYLPSCGDKAQKKRDVFL MGNSAGGVHVSTYLLDARFENRRYALRQSQLCSLRGAALISVPFDFKAATPDRSEILQ TYYPPHLSGTQQESSHDLFCPNGLLRALKTRKESMGTLEIARNGMPDILVTLGEFDPE DEIVETNDRFFSLWDDCFGSGQGIELKRMGGHNHISPPFSLMCGDKKAEEWAENVVEW MKNLQN VE01_09043 MPHLQIIVVGAGLSGLSTAIACTISGHSVTVIESASELQEVGAG LQVTPNATRLLKKWDLPASFWATAAEPTFLAVHRYSDGKVLAMEKDFNTKIRDKYGAS FLDFHRVDLQLALYQRALALGVKFELGQRVQSIDFDLPQVTTASGAKFSSDLIVAADG LWSQSRNCFLDVKDAPLPTGDLAYRVVLSLDDIEDPELREWVSNPSVHFWIGPGAHAV GYSVRAGKMYNIVLLVPDDPPEGVSRQSGSVEEMKVLFKDWDPILTRFLNIVQTVDKW KLMHRSELDSWINDKSTFVMVGDACHPMLPYLAQGANSALEDGAVLGHLLGKVKTRSQ VPRALKLYEQLRKTRGEAVVRETFKQRESFHMPNGPDQEARDELFLSQLETGLTGEPF PSRWTCPQVQPWLYSYDAYEEAEKAWTSGSNAEVL VE01_09044 MFPGRSPMDVVAVVDPAAANLAAWKRATMQAPNNYAPAAVDCPS TRPTIRLAGSLSDNETAWLEVRRNNTIWAMRNFLGRANITGLDTNAYIDNIARNASEL PNIGIAISGGGYRALMNGAGALAAFDNRTTNSTNQGQLGGLLQATTYLTGLSGGSWLV GSLYVNNFTSVQDIINTGSLWQFDNSILKGPTTLSTTDYYNTLYNDVNSKADAGFNTT ITDYWGLSLSFQLVNPTNGGPAYTFSSIADDPGFAAANEPMPIIVADERAPGQLLIPA NTTIYEFNPWEIGTFDPTTFGFAPLRYVGSNFSGGVLPPSEACVRGVDNAGFVMGTSS SLFNQLYLTVNATSGVSDRVRSSISNILQNVGESNSDIADWPNPFYGYNNKTNLNSQS KSLTLVDGGEDLQNIPLHPLLQPIRHVDIIFAVDASADTSANWPNGTAMVASFQRSLN TSLENGTVFPSIPDQNTFINLGLNTRPTFFGCNASNMTGPSPLIVYLPNHPYVYYSNV STFDLSYNNTERNNIIQNGYDVATMGNGTVDEQWPTCVGCAILSRSLSRTGTNVPDVC AQCFNRYCWNGTVNSTTPAPYEPITVLK VE01_09045 MTPRSAATASTSMLDTISMTPPHKLRKGATFHNPPSPVSDHFIV PCLPRRSQTSLEDVVEAHKRRVALTLGDIDRGLSAVDLGAPTPTTQNFRDDSLPVPQG FLNHTVDTNRRETRSTMSPIASQSDFSIGRGSLRPRRHNRRQSTHHASDSGLGSSIMS ASSEKRGVAAAGGLTCPTDEQGAKSTVSASAITRSAAPHRSSQDTTPRLSERATNKIH EFILKPLLATPSLKDFHPIVADCPRRINEKEIVCLRDLEKTLMFMAPVSDIHNDDDAV RAFTHWFSSHLKEHARTAKLYLEFCVTSIRCIQATVEFLNEREQTRPNDRPYTQGYFI DLVDQIRNYAQSVQASREKEEGETDDMDVQPYVSLPQIEATALSVSTMTLRIESSGAR APSRRYKKTTANHLRSRSEQIKLYGGVAKNGRPAELVRVKNDGTAVSLATGKQIKMES IEDDEKNSVMMKRSLSQELDDEESIMRSMARRKRSATAEIQAKKCSEPGCTKEFKRSC DLTKHEKTHSRPWKCQEPLCKYHEYGWPTEKELDRHVNDKHSASPRLFKCQFPPCPYQ SKRESNCKQHMEKSHNWVYVRSKNNGKNRDQATPAQQQNALPTPQTTTIRTPDSEAQL SSPDEMNWEMDAGVDANGFNNDFNRSPLDFPTYPSDFAYNNAEQTLHNNNYTLSPVDS HLNSLPSSNTSPYVDTSDLFLDDVAANFGSAFAPSGNDFTLYEDLYSARVDVPHQLPT PDPAIFQRAYDPSYGCVETVTPARAQGVQHLSPVGQGNTMLYTPDSSCETFEALMPGR QHADTHNNNNMGAQFSDFQLFGSGAPQGQGALFGEVAPLGGHQHEGGNTASQEIFMAF YGAGGAGAAEWGGDEGFDGKWGGL VE01_09046 MAPLDNAVIPKGSLVLVTGANGLLGSHVAKQFLEYGYKVRGAVR DTTKSSWMLELFQKDYGKDSFELIQVDDLTAKGAFDEAVKGNKVIPFAVDFAVNALRA AYKEPSVKRFIFTSSSTAAVVPSPDSPPANITEESWTDFIVAAAWADPPYTRERAMAT YAASKNRAEKAVWEYHQENRQERPDLTVNTVLPNFNFGRSLDREKQGYPSSSGLAPSL FRGEVTDFHKGITPQYFIDVDDAGRLHVAAAILPGLEDQRIFGFAGRFNWDAVLDIFR KHFPGRTFPDNFSSGEDGSEIIPRGRAEQLLRDLGRPGWTSLEESILANIEGLY VE01_09047 MRFATVLLSVLLSLLGLSTALTIGDVTRNSITAFARQEIKERSL LSDILTDIENLAECSACEALLGVLKVLAHFGNDDFVKVIVTVCQTLNVEDDDVCSGAI SLEGPILAHDLRQMTIGTKTSTLFCLTVFGLCQWPAVDTSYSPSMTPKPATSRPASSG KTPIKVVHISDIHVDLDYTVGASWNCTKNICCRGYTPADEPGNNDTPAGEYGNVRCDT PLSLEESMYAAIETLVPEREFAIFTGDVVEGAVWSVTNAEVTEDLNSAYARMRAMGKT YAVTGNHDACPVNSFPPADVSTTISTQWAYDAMSAGWETWIGSAAASEVSSNFGSYSV VDGSGLRIVSINTNFWYKQNFWMYDKKNWERDPSGMFAWLVKQLQTAETAGERVWIIG HMPMGASDAFHDASYYFDLIIQRFDATIAAVFYGHTHKDQFEIAYSDYTNQGFSTATM MSYIAPALTPTSGNPTFRVYDVDPVTFGILDMTVYYTDLTSSTFQIAPTWEVLYSVKD TYGRLLGITDTAAELTPAFWHNLTALFETDDAVFQAYIGRKTRDYATSTCTGTCKTGE ICQLRAAQSQYNCATVKPGINFKRDTTSGVVTAGECDGSQAIPVLSSIADSSGVQALQ DALVSVLGSSILNTEVSSNYTVDGATV VE01_09048 MASAAVQQPLAPTLDQVIEPLAQKTKVPKHDVATELNYYQDPGD GSPPVPYYVGQPNTYERPTVAQKVVIHDITGDEGEYTLDSHGFQYTKHESREKEFLDE EKIKAEYYPEVEQLLKDVTGATRIFIFDHTIRRSPADSRDGTTQLRGPGKRVHIDQTY QASENRVKYHLPEEADELLKKRFQIINVWRPIKPILRDPLALASAPTVPESDLIPVSL IYPDRTGETYTVRPNPDHKWYFKYAQRPDEPLFIKCFDSLVDGRARRVPHSAFEDAEY AEGETRESIEVRTLVFYDY VE01_09049 MTLLDRVKKKVGSRREKRVELGSPASSVKLEQTSASAPVSPEVR TKLPPERAEPSETQSPSRPQSPSHPSTTTLSIRPADQLSASATPSTSDSLDLWKSAYD QFRKEGPQELLLAYDTHVLGSAPVDTDLSSRESIETALEKLLKDRENRQWKVSIRGRD VNIRAQVIRLTKILEWSDPLVKSAVSTQPYAALAWSGVSLLFPLLTSGTTENEAMLEG FSSIGELQQFWQIQEKTSLQSKHQHHYQSLTERLVKLYSLFFTYQAYVICHLSKSQFS RAWGDLSSPGFWKSKVEEIDSWSENCSRLIGVSRESEIQENRDSLLQGIQNLRESNER HIRDEQETRLLGDLAEAAGDYERYKDLNPKRVPGTCEWFLKDDRFRKWRDNTTSSLLW VSAGPGRGKSVLSKCLIDENQLAITTITITSFSNEPATGRQSTICYFFFKDGGDGPMD SAQALCAVLHQLFSCHSTSRLIKYALESYRNHERTLTKKASELWKILLACATSLDSGE IICVLDALDECKNESGQKLIKTLEEFYSRSARPLTNDSKLKFLVTSRPYDNLESSFRK FPKAVYLRFDGDDKSKEIQKEIDLVIDYRVPHITSGFADTDQQKIGECLKSMEHRTYL WLHLTFDIIEKRPSEYSRALDIETLLSSLPSQVSDAYEKILSRSTNQSWTKIILQIIL AATRPLTLDETNVALALAIRKEEPESQTKLKSELWPADKFQSVVTNLCGLFVSVYDSK LSLIHQTARELLKEAMKRLLRGHKAVVELLLKNEADIEAKDDNGQTLLLLAAKGGHEA VVKLLLRNETDIDTKDGYGQTPLLQAAWNGSMVTIKLLLEGGADIEVQDGSDWTPLTV AITMGHKAIVKLLLEEGANIEVKGDKGQKLLLLAAKKAYDAIVALLPEEGVDTLIADM ESHEAIVELLLEMGVKVEVQDFIDQTPVSADTVKAREAVVQLLLSAAEENSTE VE01_09050 MDFSPQAHVTERSPLSRRIAVTILIYLVDLFFNLIAGHYAALTG ARINSWSRSSSTAQTPYDGAEVAILGGRAGLFKSGILAVWHFGTAYGGVNMPIAVLFA MASSRFGVAVLVTCFVSTMVLGTVNDSLIKPALVASLFLGSETSPATAATSISPTSPL WDAFSGYLFARMARREGFEICSNKAGFAAGLVYGIFTTISRVIIVFARGPTVSDSRTS MMGSTTRVRNNFESYTVVDPGDRRTEGVFTNTWTFLGQTRSNSYGSSYSVGSVSGTGL FIGNQRVG VE01_09051 MLSAACTIEPNPDVTGTGIRISIYALALGGRVISFIASQIGNEE DTKELREAIDVTLSVQGLALLFTAVTQAFLNKLTLFHAICVIHLLALLGINLPRQAKY RNVGVLRMYVWLGIKFCASGIFMAFATYIWYTARTFGVQPECNADIIYVVFGISIPAT SAALRWIMVGTLLLTAFWSLVGAVLMVCFYAFFSWQARRHPNGWETFRYSEQIPPTSD GETKVEQWMGMIVGVGFNIYAMVSLEQTISRNTIGIGEREWTFGQILSIFMLVGVANE SVNFVLAYLDRNERERTHAE VE01_09052 MPSPPLIYAELLPNIRQISVLAALPTPSDGTTRVSLSGDRAILT LVHNGATASLQLPGAIAPTYNPAQPHPNLAQLSWRIPLAASLLQPRAAPSVTAPWSAG ALAGTSSFSCRGCGQVILSPGRVTTWRDLPSENWAEMMEFWHCHKPDVPVGEKGDEEG GDNTTKGYGANTRMMAQKGVGKVDLTYFLLDGEDCSGLETNPSSLTLSCTSCAAHIGI STPAGNQLYKWSLSLTAPLTTATSSPTTQLAPTSPPLPHFLLPQLHAQSSALGVSKFI IRPPPPPADAKSESNADAEVGVYVWLFAPSLTVSFSSISSHPTSSSPALTPRNSSPPP PLPASKLLFRPSPPRDEATAVLDAPNSAVEEVRLPASVVRAFATLLQESNARLPEGAR VFAGGWDVGILEVWNGGEGVV VE01_09053 MSAPMIAWAPVVNRAYRFMTSFSMASHPSIDIPSVDIHDVETAP EKRARTLKHLIKANHVNFAVLFHDLQFDNHMAHILCSAYILGANEDHLNHIYSEMSKI LDEWTDSPAEITDQDWRQFLGDRRYQRAYVDFFEDELALKHDYDWKIVVKEFFFEGEN PLINCVISGLGHPLIQLGYAFEFNSKEIAMEALGLAAVSYNYLHKYSDDTSYTKPSEN PTTSIFEILERIGVDKRFDGIFKNKSGSNSTYLFEHHESLVLEHWNSWAIEDPVKQFE ESQKAAASLLVATVAAGAEDYDFFLVHILTTSHAVRILLPFIPKKFHIGVVRQWFLLT IAVYIGQLRPKIDWEPVEKTQVGDKGWKYVVHKAVAGSFSTDAHYVKALRALKEAAST WGDADGLYLSGAIRFADEFNGWHGFGADGKEH VE01_09054 MPSPSSKYDTSIVGPLKLLTFFGNKSLDISENGPGKARAFYYAK KHTFGRPSITLHAGSKTGPVLGAVELHSWGSDEVCLGNPAMGNKTWTQVTRGSIFTHS EYSFVFRCGPDQEDMTFLWRRVTTRTFRPMGDMELVAAARPDEVLAEYASSGMMSASQ AVVKVRGDLGQELDMMVLLTIVSLHYSAQRRTRNAAAGAGSGAAGGGC VE01_09055 MPLGDLLKRKDKEKRPDPPVSSPSNPEFAFHRPTSPPPDYTDTN ININTNTSPPLSPEPRRNRLSLSPFSRPRANSATSSNSASSATDPENRTRRRLSARLH LRREVSSSNIPTDLPAIDVAPDAEGGGDQTERERQWEKRATLLARSNGAIIRSASGSP ERGVGSLLATAGVGMGQTLGQELRRENVGVVGDKKADDNIQEAIRLHEEGSLEEATRM FGRLADPGGDNNALSQVLYGLALRHGWGCTADPAQAMQYLTYAASNAASIEEAALRAG SAKGGAAKGELVLAIFELANSFRQGWGVKKDPVAAKQVSGVACAS VE01_09056 MAGFQMNNFYRPSQLSIDTQNERFFDDDDASILDENILDGSALD SGLEMSPSMSRRDSYALFSPKTEDWQHVDMQPSNSNNPFGEHNPNNPFLNMGQASNNY PQQQPHNGWSLPNTSGSCTPMQTFDGLPAEFQSNSGFRTAPVHTLFGNGATQPPLFSP ASTVVESMPASPQKDWAVPESMEHRGMPKRMRPGSPGLRSHSPLMRRDGIRKKNARFD IPAERNLLNIDHLIQQSTDEGEIKELKQQKRLLRNRQAALDSRQRKKQHTERLEDEKK HYTALLTELEEELADVKIALDACTRKEAQYQQYIENLRMEKEEMLRAHTIETGELRKK VSVLSDHVTKLEGAALAQPQQQQGFSSDFADIDALTMDGAWDGISFLHDFPSSPTIKA ENPSQALTVSKSGDSSTVLSDADKPAAQGLLLMLLLFGAFVASKGSSPSIPRMSDDVR AASATILSDILHDAGVSASAVMPAGAMSSVAASAQAHAQGHADWTQPSHVSLADLDPS PLAAYADSLTAPSAQQQHEQLFSLSAAQYNAAASPSFSAAPAPSSSAGRRNLADSLAA MREGARASKTEVYTRSLLWEQVPRDVVRRFARLVEECEGGGGEECEALG VE01_09057 MSDNSIVSFETLIYVGLSITKVEELWNRWTHWERGEYDPRRETD PDDGGLTVMFDDFIVGWSVTNRVDAVGDNDDEWRDCLDACGINMPTQDAIMDPNFAHI RRSNSCLYWAKETIEMRYRGLSETQPSTSNSQQPTTPETDFNNQPPLNKPGYTTLFKS IDRGQITRLLDQNGKLDRTGAILTPAPSDFSGTRSLYYFTPDHNLARHQAAYAKRRAP RESIAIISLLIPNTAIETLPSPDLQIVSWPSNEWKELLWHSRNQKFLPPHLRKYRDAT LVIGTAAYGAGAVYQGMRTWEEVGKENVFCVGKRGKGEGAVQYVFSAEREGYDFLTEH AEDVKVIPFTAGALEEFLADPAG VE01_09058 MESEQEMMTRISKLAGQINRHKNQQPASTSASNFQAQPGAPYPT PNYNAHSSQGRPPSRGGYSRGRGYYRGGKPNTIHRNRTLVLNNANTGSKADGAQDDSS GATATAPAWVTKTDRHMQLINPAIYEKQSQDRARAIEETRKLRLKQRDDREKYKLSKH LERLSGNMGPHGVNQRAPQALPNYEITVEGIRFRVMKDGSKLAKVPGDENAAKLTPKS ATVGGVRFYRSKNGNLYRSGILKAQRQPAAIKKIDEPCRLFSTTGICPKGPRCRYTHD PAKVAICKDFLQTGTCAAGDSCDLSHEATPSRTPACLHFARGNCANESCRYAHVRVSA SAPVCRAFAVYGYCERGAECDERHVVECPEFSRTGECKTKGCKLPHRLKASMLRKNAA MEGEEGSDLESEEEEGEEIGSDDVDSDDLEVLGLEGEGDAEMLEKDYVQL VE01_09059 MAEPHIKQDPYIKRDPDTIGASPASFADSEAFEDAGDLEFSTDP NFQNVYLARVPKYLWEQWNDLDDDAEIRLGTVRKTVNTNSDGVKDVSLTMLLRPDLAQ HQAVPKEFSLDITAETVDNTFVFTEQDLPGFKSKSRKGFDPATANLPARLTRPKFDKP TDKQPWDPKKRFQPYYKRAIPKKTTLAGRVAHEINCVPVDNPETNRLLAQRTIAAMQP RNRTVFLSGTRSREAGFIQPGTIRAQEAFGGFIKNTGALKSKSGQDTKTARMPQNELL DLIFQCFKEFNFWSMKALRAKLQQPEAYLRETLEKVADMPRSGRFAMHWTLKKEYKMN IDESTADAAPETEELDDSEMADVDDDEDDDLKFEDVA VE01_09060 MPSILKSATGAAKRKRVDSQRKPAKRAKSESSDDEDDTQAQILL LESEILESKKNYNNIPKLIKLLESEDAIIASVALCRVFSRLVASGELTKKAGSSEKDE VVVAWLKERYLEYKTGLYELLESPEVSQTILALCMRMLKTEGTYVKNGQDYNFPSRFL TDLVRTLLGLEDGEDVRKEFAEKHVEEYDDIRFYTFEAIAKVLEEEGQLVDNAVGILA IIESVPEDKDELEDFYIAAPTRTSHTLYSLSAHKRQAQAAWLAVLKQDLSKEQRKNIL GMMSSYIAPWFIKPELLMDFLTDSYDTGGSTSLQALSGVYYLIQERNLDYPLFYRKLY SLLDSGILYSKHRSKFFRLLDTFMSSTHLPAVLVASFIKRLSRLTLYAPPAGIVAVVP WIYNFLKKHPTCTFMIHREVKGAEELLEEGMEDPFLEGEEDPMETNAIESSLWEIVTL QSHYHPNVATLAKIISEQFTKQSYSLEDFLDHSYGSMLDAELLKEVKKTPVVEFEIPK KIFTKQDASSEVKDSLLVKLWKFE VE01_09061 MASRVAKTALGAARLRPTATVRALPTLASTARYNSSVPAEEPKK KAQSILDSLPGNSLVSKAAILSSGAGASIFALSNEYYVVNEESVVGFSLLAIFFAVGK YLGPAYSGWAEGQISKIRDILNSARADHTEAVNARIQNVKQMGGVVDITKSLFEVSKE TAQIEAKVFELEQSTALAAEAKSVLDSWVRYEGQVKQRQQQELAQSIIAKIQKELENP KTLQNILQQSVVDVERIVAQKA VE01_09062 MGKSEDAKALAAESPSSHVDTHGLIVTTMNDIPGYRVTNVLGTV YGLTVQSRNWGADIGAILRSIVGGEIKLFTNLMYRTRNTAQERLVGEAMGRGANAVIA LRFDAAELCGFAQVCAYGTAVVVEKI VE01_09064 MGATSVARFENGTTIPLAKIRGSAAYTALMENLISKPTTPHWLS YRGTVGRWLSLFRSLMKILGLAPSYKCAVLSEMVAALKTASEAALQIQIQVEAVAVTA PWMAALDNQLPTDSVINDALLLADLEPWTLWADGEIYLGEVNTILVSEDRSVCKNVWC TGHWMEVEGDIAVVGLVFFIR VE01_09065 MPRNANTLCIEACRADDVSLMEQALAMAAEPNSRFTAQQVAQLG LSRSASRRAVHVLKYLVDHLHDADIAALTPGQITTNEDMGKPSLEVLEILVAHGWDIN TPGVGSTLLWDVVTYHDLVKWCLDHGAKVDIPDYQPEVIGNVTRRNHMPRPTILGAAA SQGSIETLELLAEHMAPLDPRTLHLAVERATILAPREGEEPSPSYTERLDMIRHLIDV AGLDVNAREPKVGSTCNTALCIAVGRLNHQNFQELVDVLMDRGADPNLNCKTQEDAPG WPSAMVCAETHGTKKFLQAAMDRQAEKQGEKRGDTD VE01_09066 MVFAHWTLAVLLATSLTQASWIPTRNRQQHAERDVVISANTDTS VEVHLSDFATLEELAEELIIDFRCLLDANPLLSTLHPGDHCIVPYSCCGTSCKGKFCS ERPECQGHSTSSHAPVVTTVHTTITKTTCVTSVTSSIPTTQSTSNDTAVVPPPSSSSL PSSSSATTPDSPTIPGIVTTTNSLGQTVISDSSGAVTLPTGISTASVITLPDGSVVTF QTSTTAPGSSSPPTTIPGVVTTTNSLGQTVISDTSGAVTLPSDISTASVVTLPDSSVV TFQPTTEATGSSSLPGILTTTDTAGETVISSSGEQFTIPTAVTTPITLTNGDGSIFTF LPTVSPPPDSSSQISSSGPTEIITTTDPAGETVISSSGEEFTIPPAVTTPITVTNGDG SIFTFLPTVSPPPDSSSPVSSSPPDAITTTDPAGETVISSSGEEFTIPPAVTTPTTVT NGDGSIFTFLPTVSPPPDSSSPVSSSPPDAITTTDPAGETVISSAGEEFTIPPAVTTP ITVTNGDGSEFTFSPTAPPSSSSTPSTTIPVGVIFPVTTTVDAPKATDGGTVIPCNLW FFNICIRFGKIDIGGWLFNLPEGIRPPGPPPGITFPPSLSISIGISGTLPPWPAITIG PDKLPTYEPKPSDGPDDGCKTESAEICLTSTSFEVDTATVTTASSVISTCVTVFGCDV TDSATSTAATSTASSSEYPYSCTPGCEACVAKRQVATATAAPSLPTTDTKKAKDLFSY AQNLTLAERDIPNRADADVISEYYDMINNVPGRVLITEDNMGQLGISSSRFEYFDNSE HTIYLRSLRGCTSVIVVSRLGVYLSHLWEPSFSLDVTADFQTGVIDYLNTGRQPDQTG GVTEDGVTEALGALVQGGVFGDVATTKIFIMTPATYDLDLDLEKTPNADQRASLNNGD FQPLFDGTTVVSGQTIPDRLTPLKAELGQLMPGVPISQFTYRRQTDDDRLKNDGYGKA TVVYSNDQKIDPDTFEDIGPPQQAIWQCWIQGKMMDTDRWDALPGQTGSCSANQKRQN GGQCSSPTASSGASTSAPTNSPTTAPTPSTVIITPTGTEASSPPPTDLPTLTTAQSTP AGETCVSTATSTQCALGPHADQTACVTSTYCASFAPTETTPTSTAEPTPTGQVYVDIF TDSDCINVLEQITLNFIGDCYTPKDKDGHPITFECFSLTYISPAAENSASLTAIKGAG CFSQFDSDRQVYPDLMAIQYDDEKPFTMGSISLDGK VE01_09067 MIETIVQALRKLVSLGNGKQDAYLDLDYDNLLFVDLDGKPVGGR TCNIIYEDDDPHSEVRIVLDEKGKVVDMYMGDLDAGLWTREAHKSFNSENVTVDPTTV TVTTDVLAGNVSRPTFPASTAGAQTRSGSVVTPAPFAYHH VE01_09068 MDLSQLPDITSLLVRLDNPPRDDVEGMDYLRCAALHNYLIQYAW LAEGRPLATLNANSNFFTAFGDEAEAEACRPRLDPSLAAFLDTAMISPFPFDNPHEYL PFSVFAWGIDGPNRLFEEFTADIQDQPVDSLVRLYAVETGLSAVGGGGGVIYHQRFHR VAIFMHLDEYDCGFPVEGNPHVWNPLETLLTNWIDLIHIGKVVASPHKEPALFDFEKI GPWEWRPYSEAQVTTCVAEWDRLCQAIEARTSQLPNPPLLISPISRSNADNPEPLVAS TVLDAASVPNPSFARAFLTRARRPQFCYIAPGLLLPPADSAGFVAAQPFSVLPRSEYT APPVCLFPADTGDQRPIQLTRTTTPFLLSDFYSRSTETCTPSRVSAGLYTQAVERNGL DVAEEGFQLLLPFTFNDDWDKSVGARKSDRSLVDRGRFSELFQHGYKPFGGDYYRSQR LERLLGCWRKLVEKGVWSVGADGVEGTIDTFKDAESDRWEDYYIPPTW VE01_09069 MSVLHLDSLANSGLHNTQHIPLRSFYTSAALSLSIPSNIDSNRP SRGEMFAEFAGRPYSSSASSVPAGPRLPGPSRRKNLSEMIGITSEEEFERLPIAVRRK YFSTLERLRLSQNSSSAPSDFDFPVSRKRPVAQRRLRKKSIAKSIPQPFTLLSNSQQL RGSRSKPVTAAEVAWFMSLPDKIRRKQFTKEEQLHFTSRRDSVILDAADEAIYKSSRR NRTVTPISQLPPSPTRLSMDSSIRSVMSERPTSIALAMEESFRWIDEDNDLDLRLVLD DYHANLPGTVIPDPSSSVRPSFRRHISISKSPFGRSSLSSPARAASVSGSSQPPPTPS RAPSRTLSRAASQSQSHTRQRSRAVSLISPRYTPESPRMSIDPYATHYQDPEARLKLR VYLASPQKFDEAIEFGFPSTDGVSDPSAEKKVKHLPNIRVTRDRAHTVRPAVLAPSPH TFFDDVGSLLDDDVSMPDLDSPLTPMDGSFQAHRGSHGRLMSLGGSGADEFLHLGIRK PMLHKHHESYSLASAGSREMTLRMTLTRPDLRADEGTLYGWQGQGHGLGLGIKEKNKS QITLDSLPMEEKLPIMRGPLEGPDGWGLQDKDDSVVKRLWNRVRSSQRKSSTGSGYLA VE01_09070 MRLRVAEAVPGWRSLLLATLLYGTSCAAKDGPVVTSNKFANSPY DLRYFDDSDVLLMQDFNEDAIFRSSNAGESWDKVAAIPEGVSAALVMHPFDNKRAYVL TEDVTHFRTEDRGKTWTKFDTRSIPDVRDENPLSFHAGNKDKIIVNALDCMLGLYCER TSTYTLDGFQTKAKQLRDNTAGCQWAKGTELFAERGSKVDDNRILCITEGKYSRYSKD YKLKISDSFFSDEFEPALEEGRAVTGVSRIAAVKSYILAASVAEKTDEMALYVTYDTL KWHRAVFPHDHKLVEEAYTILESTNYSIQIDVMTTKKSNPMGVLLSSNSNGTYFTRNV EHTNRNKGGNVDFEKVASIQGIALVNVVDNWEDVEKSPREAKKIKSQISFDDGRTWRG LKAGEDDLHIHSVTDASNSGRIFSSLAPGIMMAVGNTGKHLKAYDKGDLYVSEDAGVK WKKALSGPHKYEIGDAGSILLAVEDAVTKKMKYSLDRGRNWKEIDLPHKVSPYVLTTT LDSTSPKFLFMASARSADVEEYYIMSINFEGMVERKCEDKDLEKWYARVDKKGEPTCL MGHKQYFMRRKADADCFMKKDFVDPEAKSEKCDCSDIDYECDFNFVRSEDGKKCVAHG PPLVPEGACKKPDDTFKGSSGWRLIPGNDCIPPKEGAKDALVTTPCKDTIAPPATGKV SHTSQSLEGKILQDHIYFERTETSSGVDETVIAQTDKGVFLSRDHGKKWKEILKDKKI KYVVRHTYLNDRVFFLAADDKVYYSVNRGEDIQEFDVKVPHPADYGYGTPMSFHSTKK DWIIWTGKSCSTGGDSCHAVASISKDRGDSWKTLARYVSKCEFLYAAKTAREAEGEKL IFCGARTKESNNPKDNPWKLMSSTNFFEDSVTHVADMQDYATMAEYIVVAAKNDKGEL ALRSSVDGKTFADALFPSNFRVPHQHGYTVLDSSTHAIFLHVTVDTTTDLEYGGIIKS NSNGTSYVLSLNGVNRDRAGFVDFEKMSKLEGVALANVLTNYESVSKDGHKNLKTMIT HNDGAEWRYITPPSKDVEGKKFSCSGSLQKCSLNIHGYTERADKSHTYSSPSAVGIML AIGNVGESLQSFDKSDTYITRDAGVSWTQVKKGSYMWEYGDQGSIIVLVNDRKETDVV HYSRDEGDTWTEYKFSDSKVRVEDITTLPSDNSRNFLLWVKGSDGVRTINLDFTGLSD RQCVLDETRDENEDYYLWSPRHPTQEDNCLFGHISQYHRKKAGADCYNGHIIEALHNI KSNCTCTRQDFECDYNYQALAGGECLLVPGLTPVDRIQECKANPDQIEYYEPTGYRRI PLSTCEGGKEMDKIVAKPCPNKEDEFNEKHGLGGFWIFLIVLFSIGAAGAAGWWVYNN WADKFGQIRLGEQSSFDEESPFIKYPVMAVAGVGAVIIAAPSVASSIWGWLMKTVGGR RGTRFTTRGSFARGADYTAVDEDEGELLGEDSDDEV VE01_09071 MEVVKCSCAKCGTFIGDFENLWNRIGKRHFSPVSLKRNDWGVGL QHSGDVRIAPTETTIEDSYLQDLACVGCEEKLGLLCHDAPPGHILRKDQLVVDLGKMK VISGSTRKPCAPVIKHTYPLKRSGPKIGHDDNTQENGNEMNGHDGNLAVADSDQPLQA DDADRLQHLTQFADWAEGAIDSQKRDIDRISVSVNKIETDMRSFKDFMAMVRRELAVR PTNIEMDDVRASVHSLRDEIDESHSTNVAKPAEGSLSFESVDLITESITSLSQKVNEI DSLKLEIQFLKIKLKRSEDITRNVGRYVDSQPSTPLSATTRHQDESSAYVRPLVDQLQ RNSTGFEKHMSSSPAVDDKRTKRARLSGKDMRTTVAPNVQPESTLRKPSRLSHVLLPV SQDRLAADVDELDDMALTGDTTDDACEPKPAGIDPATTTGGVARPGSPLNGGQPVTSK PSWRTANLRTSQNRKAKSSRKSRGGSDELDPDFIPLTAKGTKDRRFTKGRLSNRRRAS GNPQGGTDGMENGEEDIVQSVERDDAPIIPQAVMLDPAHQQPMTDEEHQKSRQEILQA RERLVKDTIEREMNMAI VE01_09072 MGYNDGPRRNKNHHKQSGNRNSHQSEHDGGNKRKRDDRDDTDSG IGSMGQTLALLQQPDLPTFNLISKEAPKKDGKGSEEKKEDGAEGWETVKERPAKKAKK IPKPHSLNYPSITFSADSRLQSQIKISDLQNLVLYLLADGSGPQWVSVRHRPEIRKVV VLMVPGLEREMFGSKSSSDGDKKEKEADGNNGANRGNGRHTSPDEYYPVKLATDKLPL QLAEFGNMFEYLWPVKTPGDDKFSKMHSPLHAMLTAPVSKSQDDKDKKGARPAKEPHG WANKRTRVTEYISGPDELLENKYTLHPAVYTEEAEKQHLKEQRANAGTATKDGWVDTL VDSWEDGSPPETEIEQGSITAGREVIAMDCEMCMTGEREFSLTRISLVAWDGTVTLDE LVKPEKPIIDYVTQYSGITEEMLRPVTTTLQDIQQKLLQILTPRTILIGHSLNADLNA LKLTHPFIIDTSLLYPHPRGTPLKSSLKYLAKKYLGREIQKGGGTVGPGAGHDSTEDA RTCLDLVKQKCEKGPQWGTGDAAGENIFKRLARTGVRYKNQGGTAVAGQAGAKTSAAI DWGDPKKGAGAAANVIIGCKSDEEVTAGTIRAIVGDKDGLEVPGGGVDFVWARLRELE ALKGWWNINKPAMPTILAETSARGPATTDDLTPPVDDSAIDPTSDKEAASHLDSLSET TSPAADASPIAEATAALTARIRTIYNSLPPCTAFIIYSGSGDPREMSRLQGVQQTFKE EFKTKKWDQLSVKWTDVEEQALKAAAREARNGIGFVTVK VE01_09073 MASSRHGRSHEDGTRPAQRTARTWDNIARSSDATQRLRNEESWV EISSQPSSSSLSSIDNEIVTAGLRVQSSTLRRGRRHGPAGVSRISQVVAQRSTSSQDE YDESESSSDDRVLTSSNENITSGRVSPRQQMRQDIHFPPPSEDEDDEDEDGTALGITA PAFTPQPNAFSHPPNQAQTSNRGSFVPPRHYRSPHPTHQAANDAALRASLTTLLSCAK AARSLPKQPSPTMQTPGINNRNEFQGLRLVPESELISTPPAPTSPNLAPGLGNGSPSR RSRSSATVSDDENERGRRKTTQQGRSTKKKRVAVHTGREQTQQLLSPTLLTWLVSAGV VIVVSAVGFGAGYAWGYETGKQEGELLRGCGSEIAVPRVKRFRWGGGSGIAA VE01_09074 MASNAAPEGLMPAPLGVIPDFDVNHYNSTQIQFILAYSITLFFA VITLLLRLYTRIFLIQGFGLDDVFIILAMISSVAFFIVCVEIMKFGFGRHLWEVTGLQ MANYLDNLIAMVTTYIWAPALTKISFLILLHRLNPIPWFRVSLYVLGMIILIYTLTIN LVIAYPCSPLKPNTGDCLNHCGLWQAILNIVTDFLSILLPIRMVLTLKLPTTQKAILA GIFSTSIFVMVICVVRITYIMSLANNPDVTYSQGRAAVWSCVELNVGIVCASVIVLKP FMRHHFPGVFSTYVMSVDNSDATPIKSFPRGFSESKKANPSEYQHPNTFQMARVKGGS GTNDDGSGLGHGRSSRKGSMSTKSDETPMNGITVTKTVRMNSRTRKESRANFLDTEST EEINGPGPNYTPA VE01_09075 MSAGDPLPISIVLGSAAAVGTLLHQFFRTVEADRYPLSILGSVI GSHWAVAYGLQSTSEQYASFWASHGLAFLIVSTAVVSLWANILVYRAFFHPLNRFPGP FGAKLTKLWSLKQVVGSDIKWYRVASELHKQHGDYVRIGPRELSVLDTAALIPMLGAR MEKGPFYGAMERSVHTNRDAAFHKQRRKVWDMAFKQTLADYGPTIEDFTDSLLVRIDS LVGKATVVNDLCIHYSYDVMSSLAFGSSTGFIDGTSSDVATTILNNIKEGIVAVGLFL HVPWMLTIVETLSFVGPMKLFKSWSSEKVAERRKMNNNRPDIMGYLLEHTEDNRENRA LLDAESRVIIGAGSDTTASALATMFTILANDPACQEKLRQEVNESFEDGSYTCARPQN LLDGVISETLRICPPVLFAPQRMVPEGGIRIGDVDLPGGTILSFSTYNFHHDKRNFVE PGKFIPERWTTKPELILKKNACIPFVMGPYKCPGKAIAMMELRSVIAKTVCRYDISLA KDAKFDLNEFFLGVKDHFTAGIPEQELVFTRRKE VE01_09076 MDDDATQPATQLVMDPRRLGDHVSDLEDDDLTDIFCILHPVSLS ALNAVTHIGDVAPEHTVSRASAPIKIRGGPDITDPNTMDLAEQGLVSRDIALRLSAKL IDPLAGYTFGRNTQRCDFVLRYPTVEGTSRISNVHFRIFITIDGIVMIEDQSTNGTVV EGKKLRFKDKENDAPHKHTLSHGSLVSLSMNAPDEDLRFVVRIPQREGIYEDIFSQNL ADYFARMSLLRKNRDAQVKTDVAGQAPDIFAVQNYPGGNGHGPDQTLINRRPKEWRGG VKYNKSRVIGKGAFATVYMISAKYDGTVYAAKELEKRRFVKNGILDQKVDQEMRIMSS IKHPNIVQYIEHVDWEDHLYIIMDYVPEGDLGSLVSSRGTLSEVSTKTLAKQMFSALK YLHDKGITHRDIKPDNILVQSFDPFHVKLTDFGLSKRIENEDTFMRTFCGTLLYCAPE VYSEYLSYFLDPADIQAQARIKSKKFKRYDQAVDLWSLAGVLFYCLSGSPPYPAKSGT TYQQLLHSIMRGPLDIRPLQLAGVSEEGIDMIKSMLHNQAPYRPSIEELEESQWINPG STRQADVRLEHTASQLSIQDQSDSLGTSDEIDLINAMVDTKNLEIPSSFISGDEGSSG NSEKFAVNNTTSNQPRLFGEVNLSALRSSGVIPQERLNLPLSDTGDNNTAQSSFVNVD AAPLDFESQNNSYQSSILPGQHGNMPPSHLGTGTAPSLLGAESMVGNLAMNSPSPTTV IDVASPQETFTGAVPDFRESMKSLRRQRDPNGDDEPLAKRAKSSRAIDIELSKTVFWD ARDRSTHHLDYPVMRNSEYQEAKALAKMYGEEFKHGESVFETVVGAYRRSASATPEPP TRAQSEPVAAGGPAKLNRDERGFSEDRAVLPTGSWINGVPSPEISQYLPGHSKAAKAA SKPEEKPVISNDVFQPPHRILAKLVGTSDSVLPNLTLNITSLFTSWGRGFDNKLRHAD RTDNRIPKYALKLALWQPGTKPSASPPTDKDNAYAFYIATKASHGIKVNGIVLPSHDC RSPQKSPAKYWGKLQHGDVVDVWTQDSNSEVVLRFRFDCFWGESKEPRRSGQFFSAMK EGPLKDELESFCQWEESAFFQAKYDAEVKAKGIKEGGK VE01_09077 MPALTVDTTSPNSSPHPGLRNGAPSYAPHDSASSQHLRPSSPPQ PPYSPITPTLAAARLDTIVPPLPQQPLRTYTHSRADATFIPPPPAPVEVIDFDSNTDV LAVKSAISVLQLQKKKAAQDIRTLQRFKNQAMQDPEAFLRAADMGEIRTDTDATFPED SEEEDEDEVDGDVEMNGTEERATKVEEHKEASMKNGTAHTKTHSSFPPLPVPQKVVKV PPINWAQYGVIGDSLDKLHNDQLQHPTPGSPARLGPDGQVLNGYTGNEFEMRDPSPQS PAGTLKGTGSPAKKPGKAAPPAKKKGK VE01_09078 MSEEELKTYHGSCHCGNFAFTVTVPEIKSGARCNCSLCHRKGYF WLKIGSEQFKADEGTGELACYQVSGGCNRHLFCATCGTGVMAEKPGEPFMVVNLNTVK DLDRKALEVKAFDGASIGEPYKTFDVPTDVIDALDLPGYKTYTGHCHCGDVKVAFKSP DLYDPNTFVVSDNCSICTIHAYVIAYPERNHYQITGTENTTAYFMGDKWIAHRFCKRC GTPVNLDTQTGPPAHVLAKIPEFYHPRLKAYPTNLRVINGLDWKELGINYLAPGEGAD AN VE01_09079 MKRKSEAIEGAKNGILKSAKQKRAKESKPVDITGCFRDGLFDTK NLKTYTKDYATSTPYKHAVIHDLMNDSLLRAVRTEVRENVHFTPKETDIYKIHQSGDL ANLDGLDDAALERLPSLLKLRDALYSPAFRKYAEAITGAGALSGKKTDMAINVYTPGC HLLCHDDVIGSRRISYILYLPDPDIPWKEEWGGALRLYPTTKLPGGDGGVTTVPSADF AKSIPPAWNQLSFFAVQPGLSFHDVEEVYHAPTPAQQEKEGGRVRMAISGWFHIPQEG EEGYIPGAEEKLALKSSLVQLQGKADEYDFPKETATPVPAAETTAAAPDDSEDEEESL EAEDIDFLLKYLTPTYLTPDTIEQVNAHFQENSAVSIDHILSAKFSARLRAHIEAAEA TPLPSTAAEIEASSPWRVAKPPHKHRFLYLEPSTSGDEKNPVQELVDDLLPSKEFRKW LAIATGCDIENFNVLGRRFRRGADYALATSHEGESRLEVCLGFTPTKGWGVDDDEEEE GEEEEEGEEEEEEAPKSKKGKKAAKAAAAAAKAKAAATKAAAAAKAAAAEEEDDVGGH EVYMAADDEDASADAAIYKAATGDEEDNVLFTVPAAWNKMSIVLRDEGVLRFVKYVSR NAQGDRWDVVGLFGVGGDEGEEDGEDEEEDGDEGEDEDREEEEGSEEEFEGFSD VE01_09080 MVHYNENIVTGESASDEAKIGYTEKEDALPQGIVTERYNDDDPN HHLHRGLKSRQISMIAIGGAIGTGLIIGTGQALVNAGPGSLLIAYAVVGVLVYMVMAA LGEMAAWLPLASGFTGYAHRFVDPALGFSLGWTYWFKYIIVTPNNLTATALVIKYWVP PEKVNPGVFIAIFLVAIVLINYFGVKFFGEFEFWLSSIKVVVILGLILLSLVLACGGG PDGDPKGFRYWHNPGAFKPYAAVHGVSKEAAQFIAFWSCLTTAVFAYLGMELVGVTVG EAQNPRKVIPRAIKLTFYRILLFYVVLVFLLGMILPYDDKLLIAATSESTSAAASPFV VAIQISGIKVLPALLNACILIFVFSAANSDLYIASRTLYGLAVEGNAPKIFARTDRRG VPIYALGLSSLFCLLAFLSVDTSSMTVFKYFVNLVTIFGLLTWISILVSHIYFVRARR AQNVPDSAMAFVSPGGLWGSYISLVFCCLIAIFKGFNYFLPKYTGKGAYGQWDYKNFI TAYLGIPLYLIMIFGYKFVMKSEGVRPETADLYSGKARIDEEEMEYLAAEKAKNGGKE ETKWGRIYRHTIGTIF VE01_09081 MLLHANTYVVTKSEYLSLTTHKAFFVLLPVGVEAAAAAAAAAAA AAAAQRAAVALLDDDEFDNDNDDDDGELRDGELRGGDPEVEEAREQDRTIWRS VE01_09082 MLSNDTALLFAEISAKSSENRTPESQLQSASRFLHEAENHSTPR PGVPIVRDYYSDLYTPIRHQTSPVGNLWVELPKSRRFSLS VE01_09083 MTSPSKMVEVIDYFRFLPDGDSPLDDEVAKATATGVAQWEMDPV IGGGPFRPYMVPRGVPVSDGVLVMMRLFSLLPFQVYGASRSDLQKIHGFTKTGTMQRR DSGADGLAKLPQNNGSTVYDAGDYLITIDQTVMRGDKDEDATNPPGPINECTFIYQLG LKHQHWFNRLFNGADTASLEKGAAFAQDWLTKYSSNIMEYDRVLGINVRRQDNSKVAQ YEHYIRDYYYSEIHTRSTEYPKPKLAPTIKLMLPLKAAQKPKRKPKPDMAGLAESDKK NNGEGSKREMALAGEPGNLLPRPEVPAVAKKPRIYSAEESNESENKESEVKAVSTPAK TVTELAAEKSPPTVEKSMLARPNNMAPTPAETATERITRLRREVEEKSKALEDLRRME ADAAEQAIAEAKAEVKKATMERAAVERAAAEKAVAQEAAAKLAKEKAKLNTGAADNSL KLTGETVKYAIGAYLAQRRSGTADKTLVGKLNDELAPYFHAALNVFANDPQKRKLTEE ESDDERLAKIAKK VE01_09084 MIYAAAALFVALPLVSAQAAVWGQCGGSGWTGATTCASGSCCTF SNAWYSQCLPCTGGGGGATTAVTTPPATGVATSTAPGATCNLPSTYKWTSTNALAQPK SGWVSLKDFTAVPYNGQHLVYATFHDTGSTWGSMNFGLFSDWSNMASASQNTMNAYTV APTLFQFAPKNIWILAYQWGPTAFSYKTSSDPTNANGWSAAQTLFTGTISGSSTGVID QTLIGDDTNMYLFFCGDNGKIYRASMPIGNFPGSFGSSSTIILSDSTNNLFEAVQVYT VKGQKKYLMIVEAIGANGRYFRSFTATDLGGSWTPQAATESAPFAGKANSGATWSNDI SHGDLIRSNPDQTFTIDPCNLQLLYQGRAVGSDTDYGLLPYKPALLTLQK VE01_09085 MKSVITISLLALVQLSAVEAVATFLPSGFNGWWWQSALTVKDRL YIDGGEVYSLTKGGFYGSTYVIDLTKSWTTSTLTGTGYTRDSSFAAARRPQLFYDESH DMVYSQAGQVYGSLFRPTELFSYAPPQVWGFKPDDKTDGTVTWAEQYSKALTPTYPYI SSVDNALWASSEKKHYSLGGSIGFLFNASDTGSRGELSMNQFLTYDYETQTFENRTHP AHYIQGGAQYVPTYGEEGVVLFFGGKTPVDRNVADNNIGDMGIILVYDIHADKFYNQS ATNAPAGRFNLCNVGATNAGNNSYEIFVYGGTTGINSTNTAVEMSKVFILSLPSFHWI EAPQPADTWRTSHACEAIGPATLGKHNKRQMISIGGTQDPSPNGVSPTKVQDAWTSGM KILDLTALTWADDYDANAKAYERPDVVNTYYDENTGYPKVWGDPALEAIFKTDRSLKV TAPASPTTTGSTADPTSPGAGGSGTGTGSPDSTTTEKKSTNTGAIAGGVVGGVAGLAL VGLAAFFWRRRTAQKDQKYSTAPNNPALAGQGPTELPVTYTDQPKAELPVQEYYRSEL P VE01_09086 MGKSKDTKTSKVKAQAPVVSKKSAKEVVAKAAPSKKSKKAAPPS ESSDSDSESAESAASSESESESEAEVEVKKTNGAAKKEVATSDSSDSSSSSESEDGSS DSDSDDSKPAAATEESDSDDSSDSEEEAAPAKDAKATESGSDSSDSDDSDDSEEEEST EEAAPSKKRKADDEEEETAAKKSKTEVEDTGSKNLFVGNLSWNIDDEWLYREFEEFGE ITGARVISDRESGRSKGFGYVEFANSADAASALKAKKGALIDGREANVDFSTPRDNAA PKDRANARAQTYGDAKNPESDTLFVGNISFEANEDMLGEAFGAHGTVVNVRLPTDMDS GNPKGFGYITFSSVEDAKNAMENMMGADVGGRPVRLDYATPRPDRDAGGGGRGGGRGG GRGGRGGFGDRGGRGGGRGGGRGGFGDRGGRGGRGGGRGGSTNRGGFGDFAGKKQTF VE01_09087 MAPTPESAAFLAKKPTVPASFDGVDYDDTPRLKQAQDAILREQW VRSMMARLVREEMGKCYYREGVNHLEKCGALRERYFELLKESKVRGYLFQQQNYIPEK VE01_09088 MICSRCLHRASALPQRIQHTFLRSLTNSAPSALPATPATSTGPT ISTPLPPKPKAKAPLPVSAAPAGTILKGLNYLKGRDDPTALAEEEYPEWLWKCLDVDK KGKDGDELEGDEFSKSKKTRRLVAKRQRKLEARLIASGDTESLIPKVPLQQQTIDLPS NEQGSVEGALDAVAKRDELTKAMRGERRAKIKETNFLKGMN VE01_09089 MSKPTITLKIGGGSTPGFNLTGRSPSTAGTPTPGSAAPKIRLNM SNGSKPTTPSEIVVKPLPKTKAGRASKPSAKAAANKNGKRIKDESDDGDDHKSRAIAE RAPKKLKLSLGGPKAPTVLKAKFKGKPPKRPLGEGYDSEASDREEDPLVVEEFILRMI PGPDCDYVREAIQEKTIGVPRHQNGADIQMKFIHQEGRRAIVTVRKHHYAATLVDLPC VIEGMKSWDKRGWWKSADICQMLLVFAPIKTEAEAATIELPKIVDPHTFQYPHGLTPP MHFARKRRFRKRISRTAIEAVEDAVEKLLEADLKAESIRHELIEPEVRSRQGSRSFGS PLAYDEEEGYSEDEDADGDIDDGTAYYGHINGNGLHKQEEGEEHDLDADLEADLEAAF EADFDIGTPASNAIAETPIATITSGTPDASGNVNGDSGDESSDASVEDDEADGGSDDE IDDDERARLAQLQGAKEDIDEMEKQLASLQASWEMQVNPILKTRIKGNIEKVKAELQL KKSAIGEGDED VE01_09090 MATPSPHKPRRKGKKAGGEVSFASNVIKHSAGRVKDSTGNLSAS STGIKPTYPLAAFMWSARGGVSQWTVLPIVLMVVGMIRWAAGLWGYSGYQNPPMHGDY EAQRHWMEITTQLPISQWYFHDLEWWGLDYPPLTAYHSWVFGKIGSYIDPKWFLLHTS RGLDDETLKVFMRASVIISEYLVYIPAAVIFVRRYSRLQGVQTWDSSVALVAILLQPA TILIDHVHFQYNTVMLGLVLACASSIVAGRYMWSCVFFVFALGFKQMALYYAPAIFAY LLGVCIFPNINVPRFLGIALVTVTAFALLLLPIIAGAIYDQRHGIDAIPELNGHAPIF PIFSSYTTYLDPHAWYFPPVHQVAQLVHRVFPFARGLFEDKVANFWCALNIVYKLKYL PIVQLQRLSLLATLGSIFPPCVAIFLNPRKELLPLALAATAWAFFLFSFQVHEKSVLL PLMPMTLLLASKNGMHPYTRSWVGFANILGAWTMFPLLQRVELRIPYAVLTLLWAYLL GIPPVSWEVYRKPAWGKGPLEQGTVFIHTIFYLVMILWHGLEAFVDAPKGLPDLWVVL NVVVGAAGFSICYLWCLGALILNSRLMETPSSQKGTPKKKTK VE01_09091 MASSNQPTHTLNKTFPLFPRLPIEIRLSIWHLTLTPRVIELQLS SLTSNDLYATSDLLYKTPLTRPRLHPLFHINRETRSEAFAIYRPLSPQPNGVFMSSHE HPFDLTSHSLDTYVFLLPLYYLQQQQSDPAVMFSANAALAIGLTREFVLDRLASANDG LDVSKIESMGIMWSDMHIKDPSTLIKALQPFKSLRQLFICFVEQTVEGELFKKGNRIG GLMMSLVHREGSQIRSRFLFRILDEYEELAKRMNVALAEAGISTELGIRMVRLET VE01_09092 MTAVPENDLAPIAVRPKVLIPEKVSPDGLAILQGSLDIDQRSGL SADELKAIIPDYDALIIRSETKVTAELLSAATKLKVVARAGVGVDNVDVAAATDLGVI VVNSPSGNIVAAAEHTIALLLATARNIPAGSASLSEGKWIRSKLVGVEVSSKTLGIIG LGKVGLKVARMAVGLGMTVVALDPYASPEIAAANSVSMVSDLQVLLPLVDFLTIHTPL VASTMDLISTEELKKMKKTARVLNVARGGVFNEEALLEALESGTIAGAGLDVFTSEPP APGSAAQKLSRHPKVVATPHLGASTVEAQENVSLDVCAQVLTILSGGLPTSAVNAPLI LPEEYKKLQPFVRLVESLGSFYTQHFGSRGAATGGKTFDLIYEGELASISNTRPLFAA LVKGLTSSISDSGGRDVNIVNASLIAKQKGIVINESHRRESSSLTYASLVTLRSSNSD GTGEQMISGYVSGNSIFISKLDRFTTSFIPEGTLIICHNYDSPGMIGHVGGILGSRDI NIRFMSVAALDGPGNTAPGQNTNGKIQKEALMILGVDGIVGKDNIAELVAQEGILDVS VVTI VE01_09093 MVAIKPLFALSLLAGLIAPALSAAVRINALGDSITGSPGCWRAL LYQKLVQAGVTNIDFVGTLPGQGCGIAYDGENDGHGGFLATGIVANNQLPGWLAVSKP DVVMMQLATNDVWNNIATATILNAFSTLVDQMRASKSTMHIVVAQITPMNPTGGCATC SAGIIALNSAIPAWAAAKSTTKSPITVVDCYTGYNTATDTYDGVHPNDNGNVKLANAW FKPLQAAISAASSGSKATIA VE01_09094 MGFLGIYKAIYDYTPQGEGELTISEGDVLYVLEKSTEDDWWKAK RKATTEEDDEPVGLIPSNYIEEVKPTQQARALFDYTRQTDEELSFKEESHLQVFDTSD PDWILVGLDGDYGFAPSNYIEVEEEAPPTPARPVPAQRQPSEESEDFNAPEPEAAATP ASALAGVLQGRQQAAEPPRQLPPRPQLTPESSDGEEAPTPSLPARPQSQTIQSPRSPP PAGPIISPPHNRVSFATGDHPDNDNYAPRTSGGFHLYNINEMVSVMGKRKKMPTTLGI NLATGIITIAPEKTRDGPQQEWTADKMTHYSIEGKHVFLELVKPSKSIDFHAGAKDTA QEIVFSLGEMSGAVRAEGLREVLSAATGQEKKKGQILYDFMAQGDDEVTVAVGDEVII LDDSKSDEWWQVRRLKNGKQGVVPSSYVEITGTMAVPDSHKGVNAGMSSVEQNRLEEQ KLAKEALKAGRGDGDRAPEVGPGMILPPRGSSLHAPINNNRADEQRSRRESRQPESGS SRGPKAKPDPSKVRTWTDRSKSFSVEAQFLALKDGKINLHKMNGVKIAVPVSKMSIED LEYVENMTGVSLDEDKPLSEYKKSKARESKAGASIEKKPDHDWFNFFLSCDIGVGLCE RYSQVFNRDNMDESVLPDVDATVLRNLGLREGDILKIMRHLDTKYNRKGKKGSDEAEG EGGLFSGAGGALRNNTRKGRPAPTTQTSDTVDPKAFSQQNSGEPQTKTLAESVPTPIA SAPAPVSKSASGGFDDDAWDVKPAKQAQPQPQQTAPTPVQPPPPTLTGSMQELSLLSQ PLQPTKVEPVTPQPPQQQAQAQQQLPQPTGASPSFFTNLPQPGTTQQQQGFQPQQNIA RQRPAAPQFSGGQGSLMPPPPARPLSAPQTAQQSAFGPPPPLQPQMTGIPNQPTGYQS IAPPGQSLAEMNQMRMLQQQQQLQQQYNQQQMPFQPQMTGFPNQQNMMMPQPTGFQPN QHFMQSQPSAGPFADPRGVQPFSPIQSQPTGFQSPFNPQQQYPQQTGVNNYLPPALQP QKTGPMFSQPTGMNNFGQGPVPPLPPMPQQAPAPLLPQKTGPPPPVRFGVKPDAKKLA PQPTGKRANLAAATPDNPFGF VE01_09095 MSFMGGAECSTAGNPLSQFSKIGQDDKSLQRDRLVGRGPGSAQG MRSTGMNGNQDNMMNEFLQQGGQLPQAPVDPFMMEAMHHAGPGIQRGTSPQAQGWAQE FQPGMPQESHMQPNFQTPQAAGFNPAEFSRFQQMNQPAAARTASPATQMPMSGYNSYQ RPMGMGMGMGMGGGFGMGGGMMYQNQSQMAMNQQPQEAMDKGKGKMIELDDEHWEEQF KAIDLAAEEKEQNDTIEAELNQMDRSVENLESETNEFGDFEAIWRGIQAETAAARDLV ADMPSPNELDMGSFDQWDGFDGMGSHAAFRDPHLGDYTFEADNIFADVPNAFEEGVRI MEEGGNLSLAALAFEAAVQRDSSHLAAWVHLGSAQAQNEKETPAIRALEQALKIDPSN LEALMGLAVSYTNEGYDSTAYRTLERWLSVKYPAIAPPSGLSAEADIGFTDRQQLHEK VTNLFIQAAQLSPDAETMDPDVQVGLGVLFYGAEEYDKAVDCFSAALASTESGSTNDS SSVHLLWNRLGATLANSGRSEEAINAYERALSMRGNFVRARYNLGVSCINIGCYEEAA AHLLGALAMHKVAEQEGREKAKDILGGDGAGKVSEAELERLINQNQSTNLYDTLRRVF SQMNRRDLAERVVSGMDVDSFRGDFDF VE01_09096 MPSLQTLIQASAIAWLAGTASAAYTLQDVYDSTNFFDGFNFYDG RDPTNGFVDYVNAETANNAGLAGLSQDGVYMGVDHTTMSPPNGRASVRVESKKQYTLG LFIADIKHMPGAECGSWPAFWTYGPDWPNRGEIDVIEGVNTQLTNDVTLHTSGGCSMN NPNSQPGSVLSNADCSGIGGCGQTTTDPSNYGTGFNSIGGGVYAMEWTNEAIAVYFFP RYAIPDDINSGNPDPSTWGTPLTNFVGNSCDIGSHFRDHYIVFDTTFCGDWAGGVWGD KCGARAATCEDFVSQNPAVYEEAYWLVNSVKVYTNN VE01_09097 MSKILEVTSEDEFNTHVTTLDPTTLLVLSFHTPWAAPCAQMNTV LATLAASYPATTPPRTLWLSVNAEELPDVSDAYDVTAVPFLVLARAGATLETVSGSDA GKVRAAIERHAAGGEGKAEDVVIPPKQDVTYRDTTGPAPRVDAAGVAGGEKDADANGT AVASGEGKEDLNKRLGELVKAAPVMLFMKGTPSAPQCGFSRQLVALLRENSVRYGFFN ILADDEVRQGLKEFADWPTFPQLWVGGELVGGLDIIKEEIANDPDFLKEYAVVKA VE01_09098 MSNQNYYGGGAPPQQQYGGQQPYGQPQYPQQPQQSYGPPQGGGY YQQPPPMQYPPQQQYQQGPPPKKSSGGGAGKGCLAGLFAALCCCCVCEEGCEACADCA ECAEGCC VE01_09099 MSGTVFQGNHPSGLSSPHLEPTQLSVDDNSDADDNPYDDQDLDE GSNSTLADNKTNNALPGAALDEEGDEDATQDGLLPRDFQRRTTFYDYAAEKQISFTDA KLFYQRSQAEAQRSGEGGWGSQQSLPPDSPRLSERKYSNISGTEAENPQRSGSLRSIG SGLNMAQSHGKFKSAQPTGLVNPEKLPESNTIQEPVFGGNDGVGRAGGFQRQEVRQPE NAKLPAELHAPITPEGLHGAGAGIGVGNGAGGFANTEAHVTSELSAIYSNIQKVLDFR HKYMRLSLQGPEDNPKDDPSWNIYPPHPQPAWEEEKRRATMANGGDSLSNSTVLPTSS SKPTRKPGQDIGEDFDMEDLLPLPEAGEMTFRLDDNGVYQVYQHSDAGALEEPVINIP TIREFYMDLEQILEISSDGPSKSFAFRRLQYLEGKFNLYVLLNEYQEMADSKSVPHRD FYNVRKVDTHIHHSACMNQKHLLRFIKSKMKKCPNEVVMFRDGKHLTLSEVFESINLT AYDLSIDTLDMHAHTDSFHRFDKFNLKYNPIGESRLRTIFLKTDNFIKGRYLAEITKE VITDLESSKYQMVEWRISIYGRDPDEWDKLAAWVVDNKLFSPNVRWLVQVPRLYDVYK ASGLMDNFEQVVINVFRPLFEVTKDPSSHPNLHVFLQRVIGFDSVDDESKVERRLFRK FPVPKVWDTKQNPPYSYWIYYMFANIASLNVMRKQRGFNTFVLRPHCGEAGDSDHLAA AVLTCHSISHGLLLRKVPFLQYIFYLEQIGVAMSPLSNNALFLAYERNPFLSYFKRGL NVSLSTDDPLQFAFTKEALIEEYSVAAQIYKLNAVDMCELARNSVQQSGYEASVKQHW LGDNFNKPGVAGNTMAKTNIPNIRQGFRHETILQEMAMIDRYTSASTPIPSADTSSYE TPVGLQSPTSSMKTNLSTTTIPHDQSPFPAQAARFPHAPPTASLSSTTLPPAPTNVST DSLQPINAGLQDLHLSGHEPKFFPGVLSRSQRRDGGEGRPGTERSEKGWGGSVKEERE DEDGSEEWA VE01_09100 MARRPRTDSGSAPSSSMTGQVTPRRRATLRDLQSSTVDGIEPRP KEINAAPSLEKAQMYGIDNERPTSSKIMALIGRIFIETIPQWLAVGVMLGLIFGGCCS NVFALEAIIKPNPDSGTLLTFVQFIFIASIGYTSQFDIKRPPLFLKPNRVPIKRWLVN IALFFTINVLNNHAFSYNISVPIHIILRSGGSITTIGVGYLFGKRFSRIQIVSVLLLT VGVIIAAWSDVQSKEKTTDTKQGLPPFSVGLIILFIAQVLSAIMGLYTEETYREYGPQ WKENLFYSHFISLPLFIPFFPSMMRQFLRLAASEPLTMPWSDPAALGGLPQGFKAGVS GIYIPSQLAYLVVNVLTQYACIRGVNLLASASSALTVTIVLNVRKLVSLLLSIWLFGN RLSFGTLIGATIVFSAGGMYSLDKGPRGRLRPVTASVSINGKAG VE01_09101 MVEGEANSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCKRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDLTPGTAAGGGGAPRSRNNVHFNSV NDLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRVNLWNLNI QDQSFNIVDIKPANMEELTEVITAAEFHPQSCNWFMYASSKGTIKLADMRESALCDQH AKQFEQEEDPSARSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPIKTI PIHEHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPDKEVEVVL QADKSAFKAKKVGVPTPINMSTSPGANGKKGGSRAGSPAAGATGAGQRMRKETDADQI DFNKKILHMSWHPFEDSIAIAATNNLFVFSAL VE01_09102 MSNGKGKGPAKAAGEPEDQVTSNETTTTSSDSVLDRVAASAIGL TRSAFGATNSNEVKDSAAAALATSGKGQGQPSSSGHGSVWAESSQTSRQQPSQGAQQP GPQVFRSSHQEQHTASAEAEFSSFLDGIDTFGPSTEDGTRPVLDDEDTEAFGSAPTAQ VSGHNLEPYQFRSVEEQESRDGEAVLDILSERRQEVDYFAPQNIEDEIEEWRLTDNQT AKIQALVKDLFPSSDMHTGTDVSHPLNLQPDTFRSSDMSTWLPDEYAQESYSQFGQVL PQEEASRLWVEQWEGVLTRYADEVWGGLLPLVRDAREEIEEIKKAEDPVDYRPKALRR LGQVLAHFRS VE01_09103 MLFFKTLVDQEVTVELKNDIQIRGTLKSVDQYLNIKLDDISVVE EIKYPHLSSVKNVFIRGSVVRYVHLPGAVVDTQLLEDATRREAAQQASKAKAA VE01_09104 MSRNPLIVRGGNPDNPVNTGPWRLPLRNAMFRKPREDATRDSSL KPSTGRPPTPLDGRSTDRTGSTTAMAGPSSSTKPLTPRPNTKTNVRKVSSQGAKKAFS AKAPGKPNGSILNFFKKVEAEDDGGLFLSGGRYEVSPTKKALAVRAPTPDDLAGAAYD DLYSATDSTERYNESGHSTKRRRISDGHADTQARSTGAGVSPGGKLLPIEKASLHTGI AARAEISEACTPPPPDADNPQPRRIGAFLDDSDSEDDEAPVGIDVRSQTIQNAAVQAE VALSTEGLLGMSKMAKPEEGDCNDLFEDVPDGPTDNPADEEQTPPLKPEETSYSDYGA FEDMDEYPDIEDEFADGEKFMERKWMEEQEKFENADASEINGPSLSDSFGIPDESSIS KCPICDSGLGESTADEITRHVNACLDGNPEPLAPTKESTVSRIKVEHGSNRFSKASIA RSGQPNPFQLDIPDPSTSSAFSKLMSSKAEDAAWENAAAAETSAKGKPAYKRVCPFYK IMPGFYICVDAFRYGAVQGCNAYFLSHFHSDHYIGLTASWCHGPIYCSKVTGNLVKQQ LRVDPKWVVTLDFEDKIEVPNTRGVSVTMIPANHCPGSSLFLFEKVVGKGPNPKVQRI LHCGDFRACPAHVEHPQLMPDIVDSITGKTRQQKIDVCYLDTTYLNPRYSFPSQDDVV RSCADICVSMSKDKGDNAWEIAGKDRAGASMARFVDTGAKSEESGTKADPKKPRGRLL ILCGTYSIGKENICLGIARALNCKIWAPPRKQKICAALEDPELSSRMTTNPHEAQIHM QSLMEIRAETLQDYLNGLKPHFSRIVGFRPSGWNYRPPNSRLVDSPSVAAVLTGENWR SRYTMADLSPQRGSTHEAACFGVPYSEHSSFRELTMFCCALRIEKVVPTVNVGSAASR AKMKVWIDRWLTERRKKGVIRFGEGKDMQASDVCVPPPQHTSIPLQISIKTVASPLVT SALAQILGRQGVQWWGDPVSDGSSTTFLNDWLRIVDATTSLQRQAQLSMLRMIPVWWA AVVNGGGWLNFQDFQDFQDTGHGRHVRNLLALDGISGAEHTGIGSLTYLQVAAVSGGV LSEQSEQSDASWNGNR VE01_09105 MSNDDGLYHPRVHDPAAGTIPVPHGPDTGTDHATLPYDRNAANE KAIFDYLIKPDDSYNSDGVYWADLPWMKRAAFVSAVDAAEARKELGAIGTMMKADPLS PIGWYFRNAVLPGAGLGLEGYVLFSIGNLTPLFQAAWGSCWKKYQVCSENWIAAVTYM EILGIIVGQVLVGVLGDWFGRRWGLIQDALIMFGGLLMLTASWGLDLQGWVICYAISL FFYGIGVGGEYPMTATAAMENATGAGRVSTKEDRLHRGRKVTMAFLMQGWGQFWNQVV LIILMLIFNHSGNPPYSVAMAQWTFRVSFALPALGTLWLVYYRTYKMPLASKQLNMAK KKINVTGYDTKSLGLTFTYFGGRLLATTGTWFCNDVFFYGNKLFQSQFISVLSPHGAT IMTGWTWNLVNVVVSLAGYYCACFLIDNKLFGRKNMMQLGFLMDFILFVVPAFHYKYY TSIQGVTAFQAMYFLSSFFNQFGPNSVTFLIAAEVFPTPVRATAHGLSAAVGKLGALL ASVLYNYIDTQMKFYVVPWFGLAGMLLTLLFLPDTTGLDLKEQERRWKYIRSGRESEY HGVAVHPKHLSLWERLRGAGKYYDPELDYASKIEDMREDWSEKQEARRQAEVNGGVFD TEDDYSQHIHDHFTATTQPDKIVASSAASDEDALRAGEKRAL VE01_09106 MERKEASVSPELEAHTTPYESPIIVLSVGPKDDNPVLFHVHKEK LTHIPFFKAAFRENAFAEGHQGEVNFEDGDPILFRRVVEFIYEGDYFPRQKLLPEASL SFEVPLRVKGIALDSKEEDYVPSLAVEMASGTYLATAETHQLFMMVSKLLCVADRYLI EDLVEMSFRKLKSFPIGTKELIALAEHIVRSIPETRFDIHKFLADQVYLHLPRLRNSP EFRSLLESETSNLGQGLIGLTMNTLLSGQGRYFEEVLKTNTKVVICITDVTVDECIEK YGSDDNFPVKFGAAVAGQVGHVSFHNKLMFGAGTQFRGLQKPALEAIMKHDSPILIVM GTGVGKSMLFQIPAKSVSSRTTIVFTPLVSLQDHMVKRCQQVGISCTKWDSQRIGEMQ AQIVIVTPESAVSKAFSTFLNDMQGCQELVRIVFDEFRGIIHAGGADGVFDGNTLPKH TKPEFMRIMKIKLEEVQTFQGPTTRRNIAYSVHKYAEGSDETEAICQLVGEKLEQYTA PAKIIVYGGTIERT VE01_09107 MSIAAPVEVFKEGFGNDGGGVMGPVQELAGREVQEETEYRRWLE QKHPKLVCGQEMTNAMAVFELVLR VE01_09108 MDHGNETHQMLGCHPSEFIKFVIEERPKILWRHLVKEDGYIDDD DNYNKEFAEGVLLRRERFMGDDESGKQIVKEAREIYYGENTFSVESHCLRVFLIRDTR ADGKPMAVEPFVSGLLLCADSRHIKHG VE01_09109 MALPTRTLGRHGPQVTGLGLGLMGIGGIYGPAGSDEQRLALLDH AHEIGEHFWDTADVYADSEDVIGKWIQKNPLKFNDVFLATKFGLGLAHPQVIDSSPEY VKLACQKSLDRLGVETIDLYYCHRVDGKTPIEKTIEAMVELKNEGKIRYLGLSEVSAA TLSRAHAVHPISAVQVEYSAFAMDIEDPKIGLLQTCRELGVAVVAYSPMGRGLLAGRY KSRQDLASEQPFLVNMPRYSEDNFPRILELVDKLKSMAAGKGYSAAQLAMAWLMARGQ DIIPIPGTRSIKYLEENVGALEVRLSAAEAEEISNAVRKTELQGDRYPDR VE01_09110 MATTSLEALESQRQQLLKQFVGTNLQDAPVPSAILDLAKVKRNC TRMLESIDALGFGWRAHIKTHKTTELTLLQLGGPPPRPAHVITSTLTEAEHILPLLLT YPPDSRSLLYGLPPAPSYAPRLASLSRSLGAYGLRILVDHPSQVSVVRKIHELSGVPV GVSIKIEMGYQRSGILAASELFKELIAALLPLIEGGKCKIVGLYSHAGHSYAGSDPAT AISLLNDELRALLDASNALRALAPADQLTFSVGATPTTTAVYNLLHPSASASASETTA LATLQGTIEEVRKADAAIELHAGVYPVLDMQQLATSARPLSQLSTDDIALTILAEVAS IYPHRRTGEALITAGSIALGKDLCKSYDGSGVVSTWGAVGGEGWVVGSVSQEHGILKW TGREGEGTGLEIGGRVRVWPNHACIAGTGFDWYLIVDSEGEGKGDVVVDVWMRWRGW VE01_09111 MAQPQDAAAAAAYYGDLLDSDKKPSRVMVALLTGIAKYIVKNLG DKDTKCLTPPKLAAFYKAVGGNYDSVFIDLPNPQISFIYQSIGCQHTLQPTPDDFHPP TIPALTTRGFVRWQTIEILLDPSGHVPFLQRAVHDFPILNPETGDRFPADLPASCLPQ EPDAAIEKWHNKCAERLRRDSAADERPRSQNQAQDERFQSPYGKTSHTPTPRDSPRGG DYSNPKPEQHPRRQKPTRGGAHPPTKPRDTPPPPRRPATPPLEYEDARGRRRSVPKDY YRNIPASSRPSKYASDVPQQEGLRPHSGGPGGRRHSHPRHRRERSSSSSSWSSSSESV TTDPPTSPAYGARRKGKVKEQPVPQQQPQQQQGKSRRNAKPTAVPFAGRYSASSSPHP DPLAAAASAAAAAAVGGGLGGGLQNEKLRQDHRNRGLSMPNFRHAGNGGGPQIASYKI AVDPPPPADGKFNPATGPFAPSYPPNAWRSESRGGGANNVRWRDLVDIDIPIGGWVNK EPPVAPDAPPAVGGGAGGFGGGGNGGMGGREKYRREDFGNGKRERRSVSHEERARERE RERRWERERERADSETDARMERRLGGRR VE01_09112 MEQTKALNALEPFLALSKSATSPTASIDLIQRATSAPNTFIFSP LLLTPPIQALRSSPATAPHFQLLTHFCYGTYNDYTSSPDALPPLTPVQAQKLRQLSLL THAKDPKNLAYARLIELLGLGDKRELETLVTTAVYAGLLTATLNPHDGLVAVSSVAPL RDVEPAQIPVLIDTLEEWAGRCSATLEGLEKQVASIKAEALKRHKEEVEWEGYVGEMV EKGDEAGEGKTERPHGRRVGRGGKAPGAKRGFMGFGEGFGRATGDGDVDMQDEDGE VE01_09113 MAPTYTPVASDDTVETLLAAPPQLAVTTLRCGGMTCGACTSAVE AGVEGLPGVQSVSVSLIMERVVVNHDPSKTSAEKIAEAIEDRGFDAEVLTTDVRTPTY DKAPPYDSIEESEVGAQTTTTTIAVEGMTCGACTSAVEGGFTDVPGVKHFSISLLAER AVIEHDATILSAAQIAETIEDRGFGATIIDSQLSTPKHAVAHSANETQVATTTVEVQG MTCGACTSAVEGGFQDLEGLVQFNISLLAERAVIVHDPAKLSPEKIAEIIEDRGFDAR ILSTTIGTSEQSNGISSQFKVFGLRDAAAANGLESALRAIPGVTSASVSISTSRLIVN HKPRIVGLRALVEKIESLGFNALIADNDDNNAQLESLAKTKEIAEWRSAFRTSLCFAI PVFLISMIIPMFLKPIDFGKILLYFPGLYLGDVVCLILTVPVQFGVGKRFYISAYKGL KHRAPTMDLLVVMGTSAAFFFSVASMLVSILCPPHTRPSILFDTSTMLFTFVSLGRFL ENRAKGQTSKALSTLMSLAPSMATIYADPIAAEKAAENWNKDKNEESASDSTASEEKV IPTELLEMGDVVILRPGDKIPADGVVTNGETYVDESMVTGEAMPIQKSKGSMLSAGTV NGAGRVDFRVTKAGRDTQLSQIVKLVQSAQTSRAPIQRLADTIAGYFVPCILVLGIST FSVWMILSHVMHDPPKVFVDEASGGRLMVCVKLCISVIVFACPCALGLATPTAVMVGT GVGAERGILVKGGAALETATTITQVVLDKTGTLTMGKMSVAEAKLEPEWENSDAKKKL WWSAIGLAEMGSEHPIGKAIVAAARTSLQLGPSDAVDGSIGDFQPVVGLGINALVEPA SASRTRYRVLIGSVRFLRSHSVDVPKSAITASEDINALATTSSKPTGSSGTTNIFTAI DGIYTGHICLADTLKPSAAAAVAALHRMRIKTAIVTGDQRSTALAVARAVGIPAEHVY AGVSPDQKQELIKKFQAAGEVVAMVGDGINDSPALATADIGIAMASGTDVAMEAADIV LMRPNDLMDVPGAIALAKGIFGRIKLNLAWACGYNLVGLPFAMGVFLPWGMHLHPMAA GAAMACSSVSVVCSSLLLKFWRRPGWMDDMEVEGKGGIVKKGSWGVVGRVREWVGAIG GRGGRKEEGGYVPLSTLEEGGVV VE01_09114 MFKPPKNIKWTDWAQEYAALLGSFASFIAMVALLAAFDGKVVAT WNGVTLNAVVSILSLIMKASLAYVLAECLAQWKWVLFAREARPLIEFDRIDAATRGPL GSLRVLARTKGPISLWLGAVVTLLAIGIDPFTQQLIQFRSGLVSEPSHAARILTLTIR LAASFLRTASPRGTYMRWSRLLLSLRANSRYEMEMTESY VE01_09115 MAGFFSRLKGKDGPTTLTKTKKGQQAASQVLPAKPKWSDAWTRT SVEAEEVQELLKGCTVELKSRALDVPFFLLPFRPTSDPSAARTFIRNYFDGKQTLQGD DLLQELRLTEPMVLCSVVKWCWSRLAGGVVTWDSYEMFRIGELDSEMARDSFTAFIPV GADSDARSKIIFDFFDLLAAVAAHGKKNGLGGRKLSRLAGWWAFDHGSKVEGFDGGYK GWSAAADATSHLFFAYLRSMSPESVKGVNGISTLPISLQKLVQETEYPPMAPTLMLYS TPKVAMIVESVSPTPFALLRRANNFVYRDEDHALQEFSNYDDPVKALSDECRRVLRSI SSSNQTQVSNSKNSTGLKDASWSRFEDIGFSGAFDEAEEDQEDQFSAKRRENAQSLRS APHSKAMDMGRPTTPSWADFLSSGFVDEKMNNPTPLLLPPDKILPPIETSRGRSSQSH RPRLESDRTLEPGELASITKFDLDDSFWWVWISSLAGEETAERKAAFGRCALVETVIP HGKWLVIEEQVKGAAPAPEAGAYLAEKKSRFGWTKRGKGVSRSKSSTAKTEDKTTLHP PFGTAQSTGSRTNIGPDQYARIQATAVQLQQKQRQQAAEQEIQARRGRGESDSGSHMK TNSVLTMQPVIMTELSPAMKWARKYDKDAVREAYLTNDSTGKGAQTNGNGHVSAANGA AAERDLPAVPPKETTAAQDTIAPAALPPTPPKVMSNLAAEKAAEVGLPVDAHPTERAR PTTPPLKRNPASQSHLITPPKKTQQQSLAQFLETTEPDNSAATKQNPESPDQHNKLKK KSGGGGFKKMFGRNKNRDSIQSKPPSAGPANAAAVEKTQGQLQAGGATLGRRFSGFRK KSQQDVSTFGKPAASMTASQAPASIAESEDRTPTQSPDMGAAQQAFGQERSYNPSVQE SLSRVDTADAHEARQAFSSFDQGPLEDVPAFAPEASPVASPRHSVDATRSAPTGGKSP AVLAAIEKLEKKNQAAAAVLTKAPKTPLAASGKGEVGEEGKTVSPVGDRWAQIRKNAA ERAAARQSEDQKSAGTEGDGGETSGEETIESRVARIKARVAELTGNMENGAPVAGSPR R VE01_09116 MSGHDGRRRNEEFATRAHDHRHGARVDEEPYLGPPVGPAPGRDQ NGNIEITTGQKMLSAVSGSLFTSLIVTPLDVVRVRLQSQPNPSLNSAVTNLTRATTVE GLGALPANLGVTACCREVFFAGNAIEYCYAGPSIGTIRPANSLGAIDCSMEETQRRTF NSTLDAFRKISRNEGISTLWRGLSPTLVMTVPANIIYFTGYDWLRFAPASPVNRFFHD SYAPLVAGAFARVVAAFVVSPIEMFKTRMQASHGAVVGGGGHFAKTLNSVREMTKTSG YASLWRGLTLTLWRDVPFSGIYWWGYETVRGQLTDARERARGREFDRDGPRSRERSRS QSRENHTNTFTDSFTAGAVSGAVASILTMPFDVGKTRQQIFKDRVGVTGGALAPEQLS MPRFLMHIFREEGVPGLWRGWAARTMKVAPACAIMISCYEVGKRMSRVFNEKAEEKRV GGL VE01_09117 MPASFKEQVSCPQPCLRGGNLLRAETVTDKYRLLKSPLVQFSIG QITDDGKRTLYLVHQEAVAQLSQPLHDTLKGDQAVIHTVWPDVTRRTFERLIQFAYTG DYAIPRPPRRRVAVNEQEAVESEPSSSTLNEIPAVEEGDELLAEDVPPEEAPPPPVEE YIEPAAVPSTSSWGRGWHTSQAAAPEEPTEEPEAAADSWYFSEAAPKKKVKTKKVRSF YPVPAPEPEPVPEPVPEPVPEPSSFASLSFDLLAPRDNYKDACEPSELFIAERNYSKV FLSHAKLWAIADIHGIEALKALALYKLHKTLCVFEISSENAGDAIDLVRYVYSPECGA LGDDKLKALVAQYMACNSAILSHDSGFMELLREGGLFVEDFLRLVMQRMQ VE01_09118 MSLKRKAADAALADAKKVKANGSITSFFGPPKVAAAKTISTTTK VVAKSAGGETTETVVETVVQEEVKSSRPKFDKKKWAEKLTAEQKELLGLEIETLDESW FAVLKDELLTKEFLALKRFLVQEHIAKKQIFPPAEDVYSWSRHTPFHTVKAVIIGQDP YHNHNQAHGMCFSVRPPTPAPPSLKNMYKALKIDYPGFQPPPNNGGLLTPWADRGVLL LNTCLTVRAHDANSHSGKGWERLTQKAIDAVAARRGKGAVFIAWGAAAGKRVAKVDKK KHCVLVGVHPSPLSAHRGFFECGHFRKTNEWLRENYGREAEIDWSLDVDPKVAGV VE01_09119 MPAPQYGALGASYTVARGLQGVSLISIIGMTANFIAQMVSSKTN PPNVLIGTIAVTCIAVLYCAISYILFWDRHLPFLIATGLDSALLIAVIVVAVTIGKPL SYLDCKVLSKDGGSAEAFLSSVGANMGKVDYFVWAGADSLTCYEMKSIWGLSIALCIL FALSAAMSALLWKRTKGGFTDLEK VE01_09120 MSPNQFDSESISDPDDSTIYTPTTTSNTFTADLPGNQDQSSSVP SHDSTFIIRSVSSGHVITLLGGQVVLTPPGGGGSIHWACVETEGWLGFRNRVSNKFLC HDWKGGLKCSAEQHDRWRHFSITPVPQGGYIMQMLDFSTLRPIVIKVEGGLQTLGRTG NKLSDGIIWEFVRIW VE01_09121 MAPALVIEDYYMVLEVEQTASLELVIKSYKRLALKLHPDRNTKH DATKAFQLLGEAFETLKDENKRREYDLKYPTIRRSGPSSQTTQTPASTPRTGALSEDE QIAALLKSKQERSARWRTEKNVFDSSIFELRREVRRLEQEIKNLDSIVAAEAAAEARK NSWGTWLLSPIYRKAEDTEDEKERKGIERQERKLEKDWKERVLGVRKAELKKEESQLR NAKEKVDAADLADNRGIQATQYRIWHREQMERQELARIERERAAMIRKQQQEEWERKT READEAWRKRQAAEQKRQDEQTRMWQKTADDEAKEFRERYANVNLPSYSFATEGSTYG ASTSTCLHDGWWPKIQGRTACPKCYVSWSYLLQCPGCTMQACPKCQASIRPRIQRNAN RRAPLRASSPDYFYNDW VE01_09122 MAEPAFEPALIIVDFQEDFCPPSGALAVPQGRSILTTLNTLLAY PFVLKIATKDWHPAGHISFASSHPGKEAFTSFATITNPQNPSEKYESRLWPDHCVQGS PGAELVKDLDLSKVDRIVEKGQMASVEMYSAFYPPLSSPRVGDSGLSALLKEKGVTDV YVVGLAADYCVAATAEDAVKEGFKVVIVEEGTRAVEPEKWGEKKKELEGKGVRVLGMK GKEVGRVMLNTKA VE01_09123 MEDPREFIEGIARSKGSFTESFRQQADEEARQGRRGMLQAIQGA EEIREDLSKALNIISADLYTSRARFLMEVIQNADDNQYLASSTPTLCINVTRRLVKIE CNETGFTEANVQALCRTGRSSKPPGQGYTGEKGIGFKSVFKIAKRAHVRSPPYYFQLD RARMLGMITPQWDEEYFAAHSQDYQTTIILDRICDASTNFAAALELDIEAIHPMVILF LRRLERLQITLHPSASLQNEARMSRRFRRYTNDALFPGITTLENEDTGTKEHFYKVQY TSAFNGTEERRPNLTQTDIVLAFPVELVSNTWTPRPKQLHTYAYLPLGKFGFQFIVQA DFVTTSNRQSVDEDSPWNTNIARAIPQAFVDAVAAFNRVFPDSAQSAQLSKTWLVFLG VTSAEPSEYWRNIRRGIVKRLKRREVIQTRSGSYASPPSLMFLSWAKDRDGEPIFGSN NGYVSSAYPASVHGILGILGVGTPDSEWISTELQDLQRSGSLHDRSRSSAWYSDLAKV ILTPGKSARHPTYKYELRKIPLIPLVDGLWSVAPTVSTPIYFPQSLGARIPSGLPLLL VDEAACKCKHRTKLFELLGVKYCDASNIVKEIVGYHTRFTRANHSDIVGHAKYLYHAK DQLIGNDLKKIYFAIAEKGSFLKGSDLYTDSPPSTELSELFSGYGDARFLHPDYFQDL HAIEKRQFIDWLKSEADIATVPRLTTQYDGLHDDFRWILENRSDRVLDIIRRHWDVYS SKFTSQIQRQFAHRTFLCQTGNLVPLRQSFIPLPGLVQKSHELCGSDSCSFLVLSGCL PRDWRFLSTFDVGTEDNLDFYLWILEQPGFKVNPSVERAKRLYSEIQSRAGSNAEKVS VRNSFCKNVIALPDNTFVSAGNCVYYAPRGFQAKPSLLAIYGNDLSNLFQNILYIKFA SHTEALEYLYLIRSNVSTTMQAVSSVYEYLQVFCPAITIDPRNRIIAIPSETGSLEWK MPSECVWDDSEFSQNELQLRSKIAMEQIIKQHAPAAASFFTAIIKLPNAGIDELLSDL RLLQQDGSDDSATVFRLYERIEVYRRSSVEKIKDAFQTKPLVFLRGYNGNRGRWLKLE DCVWSRTILRTKYALMSTLNQYRSLFRDTLDVPNVTLKMLVQGFISTSDTPWEYSKDL LLDISRKRETNNELRLLQGVKCWPCRLPTGEPAFCAVGDFFVNDRQNLFEIFKDSQTF LDLDFDDSRRVTDLLHKLGCVSFLSEQVKVNTEAHLPLQSDHEMSQNYQRRADALNRY FEHYKCRSKYFLKPLLETVKVWISPNIETHYHLARGLSPQIFTVTRVEGGSSVRVNDT SDDAKPVLEIYLSSEQKKRDCALVTDFPKQLLEALKVRPFNAATELHQYLEVPLESLN MLLVRKGIIGEILSSSASSDTSESDEMSDDEDQLQWRGLVAPLATPERRSGVTPFATP LTELSRPSAVVTGQSVPSTQSPRNPEFISRILAVETSFRNPFSRSGFLIPPERPEEAP PTEPVTTAGIYSTSNRSRNTDRLRQFAQHSRTGLNASRSGTSSAVNTPIAAAFDMTEL GSALEDSRASVVPATLVSVWSARRPQARLIPDRNQEDRARDFEIGFLGEHYVFTVLRD QLRLPNFSGQVHWTSSLRSRAGFSTCRDGPSDFTYPDTEGALTRYLQQMLFPYTKPTW LDTVLSDGNKPTYLLEVKSTPSRNPTTRFFMSGHQHALAKRLQVTSTRPTQIYVIVRI SGLDALEDGATHKPQWRVYLDPYSLGEQGVLGFYAPTYTVTAVS VE01_09124 MSALNAVEDKAGEEIFKNDDGEKKDGYRVAEEDVSLEQRQMEAR IMRKVDWRLIPILGILYSVSGLDRVNISNARVAGMDKDLHFNIGNRYSIALLVFFITY LLFEMPSTLIMRYIGPRVQLSALAMSFGAVMLGMGFANDWRVIVVCRMLIGMFEAGFL PCCMYLLSCWYMRYEVQKRMAMWYVVNLFVSAFGNILAYGIVKLDGAHGIAGWRWIFI IEGALTIGVAIIGYFIVLNFPDSILASGKNGYFTQAELEVVMDRVERDRGDSLPDKLT KEKFFRHISSWQLWVYGFMFLCCSAPIYAFAYFIQTILKTMGYSTAVVLLLCAPPYLF SAIWTVAVAWAADKTKLRMPYMVLNAVITLVGLLLTAYCKNNGVRYFGIFLGVSGCNG NLPTIIAFQSNNVRSDSRRSVGSGIQFAFAAIGGIYASCTFIQKEAPSYPTGIWCAVA TQFLLAILVGVMTLHFKRVNKKADEEGFVLQEDVHFRHTY VE01_09125 MPPKKSPAINGKRKGPPSAESAHSNESHKKPKVNDDSINPLSAS HPKAQEAERNGITLRAFYGPEMSNTHALAYKNGQIARPIEVLNSALFETKPKREQIEV SNAVVHWFRCDLRMRDNRSLYAASKKARENGVPLITMYIVSQQDFEAHLVSPARVDFI LRTLRVLREDLAKLDIPLYVETLEKRKKIPGRILELVKEWGSDHLFTNAEYEVDELRR DASLVRECLEIGVAMDVLSDTCVVKPGDLVSKSGTQYSVYTPWYRAWVAYIHENPLLL DLSEAPNKNLNGARQKYLKLFESTIPNEVEHKKLSDEETERFCSMWPAGEHDAHRRLI KFIDERIGGYKAHRNNLSEQSTSSLSVHLASGTLSARTAVRMARDHNRIKRLDGGVEG ILTWISEIAWRDFYKHVLAHWPYICMNKPFKLEYTNIEWEYNQDQFEAWSKGRTGYPV VDAAMRQLNSCGWMHNRGRMIAASFLAKHLLLDWRIGERYFMEHLIDGDFASNNGGWG FSASTGVDPQPYFRIFNPLLQSEKFDPEGEYIKRWVPELRGIAGKAIHDPYDRGKGAE AKKCGYPERIVDHKESRDRCLARYKEGLGRKSYS VE01_09126 MELSRSEKDATVYDTKPHLLSVEENDDQWIHRPPLHVPLDGGAL PSLDSSATSYRFERYIFRAVLNALGPIIVTLFYFLILRYYLWEPAENGIIPSRPVNSR GVFFAWLILSIFVLDWAKSGLAGFEAAALMKPEYAPLDGRKFMWHIDRGWGSLSSWWQ VLLLTFRYVYKKMRAGKEPVEWEGPGLLWFYLAFCSFLFYAVVPLSGLSMEPTEALQL AKRAIAISGTNQTTFQMQPSNAVADLASYSWRQGRPTTPEGATILYAPDGTPDVSSTF YEDMIQSDYQSRLLDNSGSLNSTITFFSGPEVSERAHGRAWGMLTSISCMPVHPYRDL ELLSVTAINKWSSPVWTTTSKDYVNGTSGPYAASGFEPVHFAEGTGLGLKYQYLMASN LGIFAGLARYDNETRLPVLGAIELVMWQAFDTIVGYIPDETFKNMSSHPLVESSFSSR DNKTYLGYGVRCSVNSTVGAATISAVTNTFTDFKTQVASLKATRVGAQITTTSGVMGL QSLVFSAFTSVLLGYQGFPKCSSFSVFCTGPIGANLATNGIPSFAPIQKSPPGSESEY TGGLMQYPSISPERMNLAMYKLFGELSMGVMSTGPGNWTSTPNATSDLGLVGLEPAHD IEQGRVPYRVVLVLLLLWAVVTVLPQLLLPGLLVERRWGEKLDGFTMFRFGAEWRDSV HSLRSRELGGPGAAALGEIPGMIGDMRAGEMETGFVGLSREKAKLRRVYSYAR VE01_09127 MAATKILTLKTEDTTLEAIPVSSPSFKGRLNLSSFAFEAPATQT LRRSPRSLTPKVEASNSLSQTSVVKIEPSASPSPPRKRGTNTPSLSSSPSKKSRSRTP AGYAHPSKYAHLNELVDILEPNLICAFVGLNPGLRTATDGHAYSHPSNRFWKLLYSSG LTTRLMKPVEDRSLPALYSLGNTNIVARPTRNGAELSKQEMDDSVAVLEEKMRMFKPE AVCIVGKSIWESIWRVRHGRAIKKEEFRYGWQDDSEAIGKPDGLKEEGEEGEVWKGAR VFVATTTSGLAANPTQAEKEKIWRELGVWAEKRRREKAGEVVEVVEVVEVVAGGVVGE VLLN VE01_09128 MEAAQTLLHKLTSSSPSSPPQPTATELQQLRDTYERASQSHVFA FYDTLTPTEQATLYAQLSQFDPARINEITDRALNPPKVAEDAPHAGLEPLPESATASI LDSAPEDLQSWNDAGLDLIAAGKVAVLLLAGGQGTRLGSSAPKGCYDIGLPSKKSLFQ IQGERIRKIQRLAEKKSGAAIGSVTVPWYVMTSGPTRGPTEAYFQEHEYFGLKKENVH IFEQGVLPCISNEGKILLESKGRVAVAPNGNGGIYEALVTSNITADMRERGIEHIHAY CVDNCLAKVADPVFIGFSASKNVSIATKVVRKRNATESVGLILLKNGKPDVVEYSEID TATAEAKDPKQPDVLKFRAANIVNHYYSFAFLETIPAWSAQLPHHVARKKIPFVDPTS GETTKPEKPNGIKLEMFIFDVFPLLDLEKFACMEVRREDEFSPLKNARGTGEDDPDTS RRDVMAQGERWVREAGGVVVSEGEKKGVEISPLVSYGGEGLEKLKGTEIVAPAVLEKE LE VE01_09129 MKFSAALILSIALSSTANALVLRAELVVRDSEATVQQLEVREAE GTFDAPEELWKRKGGGGGGGRGGGSSGGSSGGSSGGSSGGSSGGSSGGTSGGRTGGTG TSSGGTSSGGTSSAGRGGLGSSSSSAGGQTRTGSGPSPAYGGGGFYGGGAAVPYRTGA ATRSGISPVLLGVGVGLGAGVLLYGLAGSWHHPVYSYPYKNSWTFYNITVDANQTKPV QCLCEEYSECGCDDNNDAQYQKDILGNGSYAALNKSVVSVADVSGKSTIILNGTLPNG TTAAGGTEEADGSTDSSSGSSTTVTDGAFSLTHGMMQSGVYWIMAAAVGSAVFLA VE01_09130 MSADELKALGNKAIAEKNFDEAVEKFTEAIAIEPTNHILYSNRS AAYASKKDYEHALEDATKVTEIKPDWAKGWGRKGAAMHGLGDLLGAHDAYEEGLKLDP ANAQNKNGLASVNKAIDAEAKEDGVTGDPTGGLGNMFNDPQLLQKLSANPKTAPFLAD PAFMYKLNQIKSQPSMSQDLFSDPRMIQVLGVLMGVDMDMMGGGGAAGQAGPGAREAE EDVPMTDARPTKSAPAPAPEPEPVVEEDEEAIAKKAAKEEADKEKALGTAEYKKRNFD DAVAHYTKAWELHKDITYLNNVGAAKFEKGDYEGAIAACKEAVEYGREVYADFKMIAK SYARIGSSYEKQGDYVQAIANFQKSLTEHRTPDVVNKLRAAEKTKIDTAKKAYIDPAK AEEARELGNAKFKESDWPAAVAAYTEMTKRAPEDPRGYSNRAAAFMKLLEFPSALDDC DQAIKRDPKFIRAYLRKAQAYFGMRDYSKCLDMCELATGVDESGANKREIDQQQQKAL QAMYATRDGETEQQTKERIQKDPEIMAIMSDPVMNSILQQAQNDPSALQEHMKNPAIR AKITKLTYAGVIRMGR VE01_09131 MSGIGPQLPPHLAKRKRSTDDTTTLNPPSPPTKIRATSRDTDTQ PRRVLGPSMPPTHNEEEIGLDSSSDDEPGPSLPPGVMPATESKPRRVVGPAMPPPQNT DEIPLDDSSDDDAGPSLPPSTATTAAPAPHRVLGPAPPPASLSERPTEDPDSSSDDDY GPSLPPAPGSAAAVAAAASATRARETARASAATAAAASSAPKRADWMLVPPGADDWTA RVDPTKLKTRKFASGKGAKAPGEKSGISAIWTETPQEKRQRLEDEVLGRKEVATNSGK NETRGARGAGGEDEAEQAAIAKRIREYNERMRGKSMVEEHTGRQAGKEEEDDPSKRGF DKEKDMGLGGRIGHVQKQEMLRKAGDFGSRFERGKFL VE01_09132 MASSYLPRFAAISAAADLPGHNRLPVWVAKNEKSYLFIALECLS KETTPGGRARIASSYEAYLQTPIRTLDVNELPIDDSHALQSQSD VE01_09133 MSSSSSETDGSVVGAGDNGRPGDRGDEEWEEQHSDGGEGRISNM LEEEIAASAAPARTGNGVHGDGYEHMDEAGSDFGDLSPAHTPIRNGSPADSMMSIPDD TPSIQGSVMSSPGSSVLPSMASRQMLGSPTPSFRPFDRRFSSRLAPPSGVYSPRALSP AFYPSHSRQVSLNSQVPLDGEEVDTPSPPWEVVRWTKLKKMNGQTFSEVGKRNFGTPT CIAVSASIVVGTSKGIILVFDYHQNLKSIIGQGTKAVESGAISSIAISADHSTVAGGH VSGDIFTWEIAKASRPFLHIPAVEVSTLQARSLDGHVPNVAITHLGFLGTRHTAIVSA DDRGMAFSHLATRGTGSVGRRVKTTRILGRYPNDSAITGKPRKPSTVLAFASLPLGNV ERGTDTLGLTAMLTPYLLVIVSTTPVAETQHKAARPKEVAAHGAMSGCLAWFPAVKLK VKDPETGDTVSKVKLVYCWSNVLTIMDVEEFEAEGDKQPSLNFKARSRWKSDEAIVAV QWLSRSVLAVLTITQRLIILEDHTMRMTEAFDLLYKHIYHKDLFSDQLHSLVEQLDEE DSSMHGVVADAFYMSFRAYKGRMFLLGFNDISIGAVSNWADRLIALMENGDYIGAINL ATSYFTGDADKLTIGLPEDSGLRHSIVRDKLLEIMTASLKFAFGSSKTSARRSERETE LKELAQACFAASLAIDDTDYLFDDVYEWYEHGDFLGVFLETLEPYILEEEITTVPPIV VKSLVSHFVSEGLESRLEEILCRMETATFDIDQITALCKQNNLYDALIYIWTQALDDY IAPLVYLLKLLVPMVQEGGHLANGELMDDPLYGVNALKMFPYMSYTLTGRVYPTGQPL TDEQAKKAKSEIYWFLFSGNTITWPVGSSKPFLTMPHADDEPSFPYLRMILKYDAPSL LSSLNEAFEDPFLNDTHDEADPAEADPDMREEQLFGQSVNRQYIISILLEVMNRADFA PEDTIYLDMFIARNLPKFPQYLLLSGSSLHKILTGLCNYPGDDIADDAQLSAEYLLSI YHPTDIQSLIPLFRKAKFFRALKNVYKSAKQYGQLLQTYFEDPEDQESVFDVIGDCLR AQGGPSPKQIEDIHGVIRSHARDLVAIDAVKSAQTVAEYCLELHQDILDALSEDPEHE YRYLQAILEPEKVGNPEAKPVHEKLFIERYVLLMCSFDALHVAEFVEGIQASDLRLDK VLPAMEDGGVVDAAVILMAREGLIGDAMKRLTEHLGKLEGALTGLLQSNDQDMHPDNL EEAAEVLLESLQKYTGVGIWLCKKNSKSTSGKAVNGTQRRKSAMNTGLNPQESLWLEL IDAVVQITKNISSSLLNLDGFQATNLDGGKLLFSLRSLVQTTFTALLTATSGPSGGNI SFLRILRAFLTRASVSSPTITDLRAVLTSIFSAYAYEESILGLANRLLDKDLFVNVDS ASHLRKRGWRPRGSVCEGCHRRTWGPGVAGDVLGRWEGKQAADAIKREERWLLKSGAA GRGKERAKSQDGGNMDGKGKAKAFDGHEDDEDRGDGFNGMATSKVPDLGPLVVFACRH LYHRQCLEAALAKKAEGTWREPAHDGREFRCPIDG VE01_09134 MDGRVKKRKAETLDVNNDRLSKKLSTLNLDHNADHLHACVEQRP NTLPVLKTPERQPVDEDTMRLDDTKHKVYIYDLDKELEEIESSEDENKLVFLPDIEKR LLNRRIPSSVLANKDGELAGMNKSQAMVLYDIPHSLTLTDEKDSVRKAILESRRRAQE KLGVGQPGDHKETSEIPANQSASKPLVSARPLTNNNVVIDPAVEPMAVEEGEADGMDL D VE01_09135 MASETAQEWPAPLVRKTFLQYFADRQHEIVSSASVVPHNDATLL FANAGMNQFKPIFLGTVGATEAFASMKRAVDTQKCIRAGGKHNDLDDVGKDSYHHTFF EMLGNWSFGDYFKKETIEFSWELLTKVFKLDPDRLYVTYFEGDKDLGLEADLEAKELW MAVGVAEDHILPGDIKDNFWEMGETGPCGPCSEIHFDRIGGRNAASLVNQDDPNVLEI WNNVFMQFDRQKDRSLKVLPARHIDTGMGFERLVSILQDKTSNYATDVFTPLFAKIHE VTQARPYTDKYGKDDTDGIDTAYRVIADHVRLLTFAISDGAVPNNEGRGYVVRRVLRR GSRYARKYFNADIGNFFSRILPALVEQMGEQFPEIVRMQSEVAEILDEEEAQFARTLD NGEKMFERFATRAIKSGTNRLTGDEVWRLYDTYGFPEDLTKIMADERSIGYNDEEIEI ARLKAREASKSTKEGVIAFAKLDVHQIAELNKSEVPPTIDDAKYTGNVTTGKVKFIYD GKDFLKSTKELVAGQNFGLMLDRTNFYAESGGQVADVGRIGIDGGAEFKVVDVQAYGG YILHNGFLEQGELSAGDEVTLEYDEIRRQPIRNNHTGTHILNHSLREVLGDEVHQKGS LVDAEKLRFDFSNKVQLTLPELQKIEDFSNSYIRQNCKIYSKDVQLEEAQGIVGLRAV FGETYPNPVRVVSVGVDVDTLLADPKNEEWRKVSVEFCGGTHVDQTGEIKDLIIVEES GIAKGIRRIIAYTGQAARQVQLAAKDFSQRLDALEALPYGPEKEREIKAISSDLNGLR ISTLTKEQLRERFSKESKKVVDEQKKRQKAESSTATDAVKAYFKDPENKDKTFYVARL PISANSKAISDVINYCKKEAKDKTVYVFAGGEEHGAVIHGVYVGTAHATDVLAEDWSG AVVDILGGKSGGKQPTRQGQGEKPEKIDEAVDAATKWLESKLKI VE01_09136 MRVTILPYDESWVTEFSTIRAALSAALTTVKVISIEHVGSTSVL GMAAKPVIDIDIVVAEEDITAATTALELNGYTYHEETASLDRYSFRYNNHQGHVKGTE EQMTGEIRRNVYICGPGSLSLKNHLAVREALRNDRELREEYSRVKMELAKDDHATLSD YVDGKDAVLRKVLSRGGLSKEEIDDVEKANIRTERMTPNS VE01_09137 MDSPVVTQLFRQLFRHRACEAVRRHSTLPLRLGHHARGGQGKTW TAGRRAVSSSEGSNSESNWQQRTDLLPQNMSHEFSKYPMVTSEQLKTRRERPTRVKML TRDFIEDSLYNPSYGYFSKQVVIFTPDEPFDFLNIQDEPEFHRLLGQKYTDFEDKLDR VQYNETRQLWHTPTELFAPYYGEAIARYLVANYKISQFPYHDLIIYEMGAGNGTLMLN ILDHIRETEPDVYNRTKYKIIEISSNLASLQAKQLVKTADSRGHHSKVEIINKSIFEW NQTVPSPCYFVAMEVFDNFAHDAIRYDPVTEDPMQGTVLIDGQGDFHEFYSPSIDPVA ARFLRVRHAATGGRYPHPLSSSRFIRGLKTKLPFMPNLSDPEYIPTRLMQMFDILAKY FPQHKLVTSDFHSLPDTIKGVNAPVVQTRYQRTTVPVTTPLVHQGYFDILFPTDFTVM ENVYQALTGKLTRVLSHEEFLQRWADVEGTETKSGENPLLTWYKNASVMTTV VE01_09138 MSVSNHPKAYTSAALVVAFTAGICLTLGFKDLYPDLQRRFEQRK RSKNAALYGHRESSFLPPVELEDHTKPQTPGPDSPTPPTIQIGVEGLIGQTKLVRIKS LSEATGCEIVAKAELLNGAGNSPKDRVALHMIEVAEKEGLLTPYNGDTIYEGTVGSTG ISLAVLARAKGYKCHICMPSDMSMEKVELLHHLGATVERVSPAPITSQDHFVNLARRR AEEHADKWMDGSKGFFANQFESKANWTAHFETTGPEVFEQTQGDIAAFVSGAGTGGTI SGVACYLKQEVGLKDVSIVLADPQGSGLYNKVKFGVMYSSHEREGTRRRQQVDTMVEG IGINRLTNNFEKGMELIDDAIKVTDTQAMRMARWLVEKDGIFIGSSSAVNCVAAVSTA LRLKKEGKGGRVVTVLCDSGNRHLSKFWKEIASQGLEEEHGNLGLLELLELES VE01_09139 MQRKDIEEQLDLLKTAINEKQPADNVINILTKLKAEVVPTEDIL RSTKAGMIVAKQRANPDKAVARLASEIVSKWKTIVEAEKRRKVGGAKPGTASPSKNVD ASSPAPPQPATESDEWKGADPSKRKWQDDGVDIKRTGMPTRDNCVGLLYNGLAFMSKA SPTKVILKAMEVEKAAFTKYKGDTPEYRAKMRSLFQNLKNKQNKELGPRVLSGEIPAE KFVVMTHDELKSAERKKEDDELQKDNMKRAQVPMAERSISDALKCGRCGQKKVSYSQA QTRSADEPMTTFCECTVCGNRWKFS VE01_09140 MRNSVVLSIILGLAAVAQADNLDSHVRLDVGKIQSVLPRNANQN QNEDGNKNGKASKPKVDAQVLAATSSIDTGEPCDHRTTVIVTETPAGAAAAVVTGLDS GSGRNKTVGDTKNNNKNQDQGENGRAVNKNKASQNDQEKDQGNVVQILTVDISGNNGN NNPHAQGNEKTVTVHAQGAEKTVTVHAQGAEKTVTVHAQGAEKTVTVHAQANEKTVTI HAQAIEKIVTVQAQGNEKTVIVHAQGNEKIVTVTETQAIGNGKANENQSQAVQLSFVT ETVFGAGNAPTVTITPIAEIVTQHVTITEKGGAAEAVTVTMQAHPVTITQHAAAAAVL PPSTVTVTEPGVCTTTDGLAAASPVVVAQPSAAIVAAEVAPSPVSTGAGNGMGIIDAN GKCGSCHCLCNAQDFPKASIQIAAPVVNAGAVASPVTTLQTVVTFASQAASTGAVAQA DAGIAFQPGADAASTSVAAVEAQPSTDAAVAAAQSDTAPSQVAQGAASILLDTPTTTD VASQSTDTAVVDTATQDAAATTAAQPSITESAAAVLAESAQSPTATTDASAESTTSTS TDSAVTIAPPVPGALAVDNGGGPPGPIDINTYTLASAIALGHLRRR VE01_09141 MLYDLCIPWTNDTQSLQRVLSFLSELNYSVIALDHSITGAIPSK IVSPIPSPLPFPTPPNLKILTRCTITLSDPSHNHRLPSLAQAYDILAVRPTTEKAFLA ACLTLTDAALISLDLTQRFPFHFRPKPLMTAVKRGVRIELCYSQALQGGSVDRRNVIM NVQSIVRATSGRGLVVSSEAKSVLGVRAPADVGNLLAVWGLSGERAVEAQTINPRSVV VNETIKRTGFRGVVDVVDGGRKPESEKAISKEAANGQANGKRKNEQAEEAVPAMSKRK AKKLKLEVLKASKEATPGSATPPSKDSVTS VE01_09142 MARVYADVNQHMPRAYWDYDSVNITWGVLENYEVVRKIGRGKYS EVFEGINVANYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNIVGLLDVVRDSQSKTP SLVFEYVNNTDFRTLYRKFVDYDVRYYIFELLKALDYCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHQGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFIKEPFFHGNSNSDQLVKIAKVLGTEDLFDYLDKYEIELDAQYDDILGRFQKKNWNS FVNAENQKYVTNEAIDFLDKLLRYDHQERLTAKEAMAHPYFAPVRAAEAAALEAPTKS VE01_09143 MSSSSSKSKAHLRLRSDSNRTTFTNMLASVEAAAAESLSEDALV HLKSYKYSSVDESYISNYILKHYWNACVEFLPLWLAPNMVTLLGFFCIISNVIFLVIW QPEMNGQDPSWIYYSFAFGLWAYSTMDNIDGKQARRTGTSSPLGELFDHGIDSLNCTL ASLLETAAMGLGNSKAAMFTALVPCIPMFFSTWETYHTHTLYLGVINGPTEGLIIACL IMMLAGHFGPVIWTQPLTDFFGTAWFPSSLSALTFRDVWVGIIILTLFGTQIPFCVLN VVRARRRDNLPVAPVFLEWTPMITFSVAVGTWLLSPHSTLMAENHLVLFCLTMAFVFG RMTTKIILAHLTRQPFPYWTVMLWPLVGGALIFHLLPSMGFSPLTADMELLYLRAYFV FAAVVYFRWAWLVVNSICGYLGINCLTIPEVKARDLERERKLAETGRRGGSASARGGY VGDVADARGKVH VE01_09144 MFWSKVFGVAALAVAVAAQEQYYIAPSSVLLTTREVWCNDQTST CPLLCTQYPGGSMTTAANDCDPETLTYNCTCGNGISPNMTEYSLTIPFHICIEWGQQC VAGCGGVNTCQAACTEDHPCGAQDPSRGNATATTTSGAPAATSSAPVVHDGFGDSSTT GSSTVAKPNAARAALDLGRSYGLAIVFAGIFAAFGFVM VE01_09145 MDVAGDRRLLIHGLKSVDHGDDDDDESRTFPASATPLPPLTETS SPTTSPPYWVQSHKRSASQVSVDSVLHGGITLHDNTTGYSDSNDACWARSVTIDEHAV VNGNRTGIGAFVVWNITIETLSGSKINLRKRYSEFDTLRRNLLMTFPLSEASMPPLPP KGVVSKFRPKFLEQRRIGLQYFLKYASEIPELPYRTTNDTPC VE01_09146 MASNSPSSPGHQPPHDAASSSSSTSLPPETRRHSHHPSINSRHS SHQEYIDSLPVPPPSELARIEYVQIQKEEDEKKAHRQSLSADTPEHRHSEEGRGRNAA AELIQRNYRGYRERRQMRGWGLDPGSRWVEAFKEARYRNLTTPRPRGSVDETGSRPGT AGGEDSPVSASGKRLSGAARANWTKVGTIVKRAANDEDSSSESSGEDETAGEIRRLRA EKKEERMRSAKMMDIQYWLEMVDVRHRYGSNLRTYHQEWQRAETKENFFYWLDYGEGR RIECAGCSRDRLERERIRYLSKEERLDYLVRIDGVGRLCWAKDGRRIDTTTKYRDSLH GIVPVESDAPMFKPEDGDHTIVPSHIGTTSSSDSDSEKEAEAQHYATDSFDKARGMKK VKHVSPSTIFNKLLRSSVKKNTWIFVADTNFRLYVGIKQSGAFQHSSFLHGSRISAAG LIKIKDGRLDELSPLSGHYRPPVSSFRAFVHALREGGVDMSHVSISRSYAVLVGLEAY VKTRKRGKKVVGKLLHGRDKILSPEEVRRREEEERDKSESAERERRVLELQREEGEGG LLRRLRIGGSPRERREEGSG VE01_09147 MATHPAPSRPSRRPRGVAPSESELNVYPPRDHITVDTKPVKKTL KRPRRLSEAEQQQQQHDHFGLKKSRFATIEDARPRAQQPRKLAVAKPTNTPTAAKPET AQRQTRNKEEDTTEERVLPRYAEKASNGIKHELERLQPGGKDTKSSETRKLRSQEGTR FKSELASYFPDYDVVIGNEAAEETHSIDAGTSIIISDSNPPTQQKLPSNSSPKKKQLE NGAGKMAYKDFSDSLFYDLYQAQKVDFTFLDRHTKTNPQSDPLPDSYYDIPHRRGKRL EMSIRNSEKGRAQHEKDQVARLLEGLQGHDWLKVMGVSGITESKKKEFEPAREHFIKG CQGILDKFRSWKDEEKRRKLEKDKALAEAAQEGEDESEESDGDPPDYSDVDHAAAMQL HEEAIARSAPHTSTKRKAEKRAKVEFEELPMDRVEKEFKSFYKKPHLRQAALGKQRKS GRSVSAWGHPIPEVSEVDFDLPEELKEEAAKDTTARRKRRVRRESLSKAG VE01_09148 MDGAAPRAATAPVEEYSPGFVVSHQKLEIEIDFVGRCIRGRTEI TILPQRRDLRELRFNARQCEIKERGVLVNGRAAEIQYEDPYERVDIPDYIIQNAHQHE VQKDRVKSLRDSRIVPGELTIYMPKSVKIEDINPFSEQAPSAVKDRALVNARASSVTA NGTSITASTPILTPKSSEDQSIRFAPLTVSIPFSVKSFRDGLHWVGVQDGDTKYPHVY TRHSLFGGVASCIFPCIDNPAMRCTWEIVIKCGRTLGDAMRRTTPLKANGIHSNKKGL ENHRANGVHGVHGHSEPSEFVIDLSNEDSLLEMNAVCAGELIHEVQDPKDIAKKIVTY HCSTQVGPEHIGFSVGPFEQVDLSEFREDEGNDKLGQKAVKVYGYCLPGRADELKNTC ETMAFAVDWFSLNFGSYPFPDYKVCFVDDQISDTISVAALSLCSSRLIIPEDIIDPEV ETTRKLIHALASQWIGVSIIPNQRRDMWVVVGVSYYITDLCMQKLCGNNDYRFRQKAN ADKLVDLDFQRPSIHALGEILHLGQFEMDFMILKAPLVLFILDRRLTKLSGSTGIVRV ISRLLYNASIGEVSDRAVTTEGFRRLCEKIGHYKLDAFFNQWIMGAGCPRFQVTQKFN KKKLAVEMTISQKQDTQPTQRKLEKDQFQREFKEEAYGVYAGDVQPVFTGPMTIRIHE SDGTPYEHIVEIREGIQKIEIPYHTKYKRLKRSRREKERATAGPGVDITSENHDDVLL YCLGDVLQSKDDVQEWGLVDWDSEMEMKMDQESYEWIRMDADFEWICELSLNMPSYMY LSQLQQDRDVVAQQDSMLFLAKSPPHPLVSTILIRTLMDRRYFWGIREMACRYLATHG VEAHNWIGRVHLEKAFQRMFCYPGSSMPKSNDFSDKTDYRMKLAIPKSMARVRNNEGK CPLEARQFLLDLLRFNDNGNNEYSDYYYICTLMKALTDSLIPVKNETPGGMSFSFADE DEEELQKFQQVALEEIERYRRMDEWILSYQNIYTKTALECKRRLMKNKITPSDPRELF AYTHDGTMDLVRIQAFDALTDLGYLSSEHLLRYFLNVMSTDASPFVRDRLCQILFSGL GAVALGEHSKAEAEPKQEEDMDTLIVENETSMEAKKALVARTTSIEGAMNALKEELEK NATLKQGLWDAVRSRQIAVSEQSDYLDICTVLYDAVESMVVRMKYPRYWKAKYLGKGK IRFRQTNKIRTKPRPVAVPVAAPVKKTAPPIILAPQRPTPSPSVARGDTIKTEHGSMK PPSTKPPSMKPPSMKPPKRPAPPSFSDKSRPSKIVKLRMSHEKLKRFPRGGPARSPLP SSRPSQSPSLSRPSPSPSLSKPPRESPTPSWSSASPGPRNTTPGTPSSTGQKIRVPLP SGARVPLPSGPTPPPQAPVKKPSLKIRLSFGSKKAEPKTEPKTEPK VE01_09149 MFKWHIQSAVSRSRPVANDLKVVSDGIAASLRQFHASVSRAEGE KPNPPVRTLRITRTATATAELSSLKPSKPRGVDARSLAAAPPRTLNITRTFNDTPQRG GGGFQPRGRGGFVPRGRGGSGDRGRGGFVPRGRGGFGAGGRGGAMSRGRGGANARGRG RGGARGSRGKKSQGPKREAEDYEDVPLSPEELAYAAARDMGVQRAALSGTTTHADLEY NIPSLATGSAPLGLVETVRDHIRKLTGQHGNELLDARAHANQYNYGRGTLFLDEAEKN SILGPNYAEGTPKEYKTISKEEREAIMKALVGGQYPSVSSPKADDILGGVEIKGNMNP TYLPANTASLKGKVQQLLPVLKPRKSAPAKAGAKA VE01_09150 MPPRIQLRRNALAQILTSSRSPLRLHTLRPRAPTPSTTAILPTL SLRTLTTTPALYKKGKNTAPRDSQKTGTKEAPEEWDPEDFSALRAGIVKANDKLSADL AKLRTGGRFNPELLENVRVHLDKDSKKTHRVGELAQVIPKGGRSVMLLVGEKDHIKPI ISAIQNAKELNLQPVPDPHNSSQLNVPLPAPTKESRDAALAAAHDVGETAKAAITAAR GVMQKKLRQMEIKKTALPDELKKAHKEMEKIVQGAVADVKKTFESKQQLESYISRVEE IISDPTMSLKIKRGQKEKIESALSEAMAQLEIEDSSGYSKIN VE01_09151 MADEVYDGAVGIDLGTTYSCVAVYEGATVEIIANEQGSFTTPSF VSFTDKERLIGESAKNQAAMNPTNTVFDIKRLIGRPFDDPHVKTDSESWPFKIVDVDG SPSVQVEYLGEIKTFSPQEISSMVLTKMKEVAEVKLGKKVSKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGAGKSAKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFFRKTKKDMSGDPRALRRLRTACERAKR TLSNGAQTTVEIDSLFDGEDFNAQITRARFEDINSKAFNGTLDPVAQVLKDSGIEKSK VDEIVLVGGSTRIPRIQKLLSDFFGGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TADLLLLDVVPLSLGVAMEGNIFAPVVARGQTVPTLKKRTFTTVADNQQTVQFPVFQG ERVNCEDNTSLGEFTLAPIPPMKAGEAVLEVVFEVDVNGILKVTATEKTSGRSANITI SNSVGKLSSAEIDNMISEAEKFKSTDEAFSKKFESKQQLESYISRVEEIISDPTMSLK IKRGQKEKIESALSEAMAQLEIEDSSADDLKKKELALKRAVTKALSTR VE01_09152 MDSKRKANGAASTADELDDRGAKRRKVSDSVSSYGETSESTSQV GLELLEQIKNTRDKRGRPAVNDFLTLPSRDAIPDYFKRTKMPIAIDMIEAKLNNREFP TLTSLESYWKRLVQNAKDYNERESLIHKDAERIRKIVTAFMQKKNPAYKDPKYQSFPT PIPDDGTTAIAVEDIDAEGESDHEVTGTEIEASMTPTSEVAVPGSAKRRPGRPPKNPA AFELERQRRATSTPGGQDGVVKVGGSFNGLNFQQAQEKIVEELTHYKEDEDDEYPTFE PFIKLPDRKLKDYYQVIPHPVSFNTLRKHIRGSHTRSETIGTSDFKSWDAFEEEVSSI WKNAKHYNEDGSAISALADNLEIFFNKRLAAAKKVVQEPPQQKLKLKVSAPEAGTKIM LRMGAKGSPAESPRETPPTPLANGASSREAAPGGSVRPASAVAHIDRGRSISGSVNSP TLSVSAPVKSEDRPSPAMQPSSVAGVQGLPATQINGVSMAPPTGLGPAVAVNGHHLNG TTPGYGHIQPSPYQPPPVPTFDSKWRLPGKDASDSMITNLHLSTHPGLHLTRHFHMDL PPSPTMTQQSITINLPHTHYYLQIKPTIANSLMERQHKLFVTSGTQRLVAMPQVPGQP IDHKNPLFEARLLPGVNRIEVELIAALPKGASRAAIGQEVELEKVTIFANLLR VE01_09153 MADTDYNRRNKAPRPLSEAEKERLEEYIDSIHYSPRYSDDDYEY RHVQLPKAMLKAIPKEYHDPSKGTLKLLWEEEWRAIGITQSLGWEHYEVHEPEPHILL FKRPINYVPPAQ VE01_09154 MAVLSAPSSRSRSNSPTDPLAIIQAALANGQGPQLIELLATQLK ANQFIGQPIAIPHDFDLSHGSQEAMYVTFRHGPRKELVFKIGPDTIMKHAIDWCLETW RNDWGGRFMAWDLFFYLPDNMRIDYYKPLTARQLGIENHDLIDVFSASHGG VE01_09155 MRTAAQLFYLAVFALLHTSDANSEAVARNILPEAADECAIHPKA IVSDACASYSTIDNLNKAISPAVEDLTKHTDFFAYYRLNLFNKQCPFWNDESSMCGNI ACAVNTLDNEEDIPLVWRAEELSKLQGPKAVHPGRKQQAERGPERPLQGMLGEDTAES CVMEYDDECDSRDYCVPEDESATAKGDYVSLVDNPERFTGYGGASANQVWDAIYRENC FSRSSFPKSASLGKPKTASMQFPAANELKFVMQGGAKHAPLLGNAPSAETGFENEDEC LEKRVFYRVVSGMHASISAHICQDSLNQLTGQWGPNLTCYRERLHEHPERISNLYFNY ALVVRAVAKLGPYLNDYTYCSGDPTQDAETKSKVLAISAQAAREPNIFDESLMFVNGE GPSLKEDFRNRFRNISRLMDCVGCDKCRLWGKLQTNGYGTALKVLFEFDNPHALEGDL QVAPQLKRTELVALFNTLARISSSLNAVSKFRDLVDAEDSAAEKGKGEKYHVITDRER LGRQQVPGKSFKDTSEMTEKERREMAEDDEDEDEDEDIQKVIGDHRRLRDTTEETVWE AICNETWLIYRIVIYIFKQWIGFPKVAWHIFTNELNRLWHNYIGLPIPMRTWGWKRVP APEEFY VE01_09156 MGSTSEDKDWASKYLLDPLTAPEPSQLTGPGTHFGSTLDKKLTP TPPASTRSSQSKLSSRNPFRDPQPQQPLIDVGQQAQGGQALNDKPLPRGPPRQRHGSL SQRYPGDVSHRPLDVIRKQNKLASQAPHLKKKHLPRADLIDSLDASMDQLYHHEGPYD ATLLARNTSKYQSPIDAVRSSNAEALKATPQENIEDALRRHVPLYGVGIVPPGGRLES GQVMDYTEGDDLMRDSDAPGGAYKRWDGVKYLPEDYKGKGEPSFSADAARGKGPSHRR IASENNFVYEMQPTPRSRPSSHQRSTSSIGPEQRGSPSDAARYPEFERNGRPSSIGRK FGDGIKRRFGSLRKNKKPTEE VE01_09157 MALVDYNSSSDDEELQDGEDSSAAKKEGNPTAAAPSTNTPGTLN PPRNTLKRKHEAAPPSPLPPLPSRFLDLYASNSRASARDDPSLHGGRKRTTPHIQGNW PTHLYIEWYPNPTEHELLTSLVDSFRDQSRYGPEYERDLDVQSLLTSGLGSPLPLHIS LSRPIGFSTATKDAFLTSIQTAISNSDIHPFPITFNGCDWASNYEGTRWFLALRVAKP LEDNLNKLLRVCNDTVQSHGQSPLYASARPPDPDTPAQNKLPPRPPSNSPSPQKDLSS SFHISIAWALTAPSKTSDTPKYPSASEIEKLNSASMPIVASVIKAKIGNVQIFQVIYP HIPIGDLIPRDFGLLSPEQLKKAAVDVKAKMVSYHAEKHQQSSAPSSKFRRRSRHGLL VPLLVPPLLIV VE01_09158 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VIIFGNNKKLYEYSSSDIGEILQRHNFYGGANEHKGPSDFNGKRDDDDEDDEGSVMDE GHPQEGGPMMRPHFQSQAAFQHMRQAPSASPPIQNGAHFQNIQRQHTPQPPPQMGSRP ASRNAPHRVNSNMAQQHMQQGPQPSPPMNGYSYMPQPGMYNPQQQHGQNMPPHGQNMP PHSQPPPQQQQPSYQYQQGPPPPSHQLQQVYMEEQRRSSMPPVFPKQERQESGPMNTP PQPQQRQIPPQQQQHQQQQQQQQQQQPQHQLAPPPPQQHHPSPQQHHQPPPQPQQSHN TGQMLEPKRPSVKSRSIFTPIDESRSILSQHWISSTSAPEPSRSEPALTNRTSTSSLD SRNGTIKSPPLHPTNSIKPPPSSDKFTPPTGPPSRTNSVQLSGKRPRLTVQIPDEPSD GGEATGASTNSPRESNDTGSHPSRRGGPGTDASHSSGVVLPPPSPSASALLSAGASGP PNPFARPHPPNQGGGGGGGPGGGGRGGGNEIETPVSALPSRFMNNELLPSPSSFYPEW NFRGGDGNTLPSPLNFATPVVGSGPSFLHEPAGMGGKRKSPDAEDGGGGGEAKRVKHE P VE01_09159 MATEGSRPLLAPQTRRLRHLQGIYLRNLTLARPRGHTIDDATLN KTPEKLESLRRSLHEPSLHHSASSDDLNANIRPSGRRRRSTIWAGQSPDYRQRKLEDV IDNGMVDAFFSLHCAGEDEPIYISEVTEKAMNPNFRFFDLTNYGPSITRLSRFTIKLW VKRKEYSLFLEQEIELSSLHFLGSLNNRQLPPNCVIFHLIDGIYALDLPSVTLPPKHL PPVSTSSYSALMRLSSLDDSIQDALATRERLAAQINTILQEQPVDETPLAEEEAALAA KYLKSEQRLVKLAIKQRDELQASIAARRKAIEEGTKSQAQAEIELVSILEQVEEMMVA QQANTEQIHGQRRRICEELLHIFPIEPTARSLTFTICGLLLPNTSFDDSDEDVVAAAL GHVARLVDMLQYYLSVPIPYPVMPYGSRSIVRDEISTLVDTQRTFPLYGKGTIRFRFE YAVFLLNKDIECLAESQGLKLIDIRHTLPNLKYLLYVCSAGTAELPVRKSGGIRGLLA GKVTPLPSRRGSEEGLADAARKALEHGSSANGGTKGNLSLQLPFDAETPTSLRTRGLR ENRAR VE01_09160 MDGKRGGYGYRREKSPRHDITAPRRDTNNRISKPSRAEPSRSFQ EARPRRNQTTEDEQTRKWVSEEDKFVLKQAKKKADIRVREGRAKPVDWLAVILRVIDP DRDLLDDDEEEVQADVVDPEGVFEGLDDAQLAELEQDITSYITLETNMRNQEYWKTMQ IICNDRRQKLKPRGPEERAVSSVAADVDKLLGPKTYEQLEALEKQIRSKLRSNEDIDV DYWEQLLKSLLIWKAKAKLKKVYQSVLDSRLEALRKQQQEDAEGVCNKLQSIIAAVEP GVPAVAAIPYSQVYDPEPFLKLGTEHKTEILDESDFLRKVSAERVRILKLGYVPMPQA TAEKGKSHTEKPSGSTTVGIHPPGTQRFSTLVNEDFSQATKHLYEREVARGIDENEEI FTAEESVATALVPQWADKYRPRKPKYFNRVQMGYEWNKYNQTHYDHDNPPPKVVQGYK FNIFYPDLIDKVKAPTYKIIREHGRKRGESFAPAGEEDTCLIRFIAGPPYEDIAFRIV DKEWDYSAKRDRGFKSSFDKGILSLHFQFKKIYYRK VE01_09161 MATNNFQNARQRFGDSPLHDQEIRASESPFYTRGSCSFLLDNLQ QSQQDHYYMSEQGSSFHGLLRQDSPLSPDMNSFGLGGPNYSNTSHGGYYSGYTNNQNA DVFYTGTSGTTACPRNHQQSYSPKMIQGLGLSDPSLQCQLRDTDQNLRTGNSGGYYRR QVSMSRTPSLPDAASCKAEDDAEDDVASNTEESKIGEPYAKLIHRALMGAPSHSMALQ EIYQWFIDNTEKGSSAGSGWRNSIRHNLSMNAAFCKTDRTIASPSDAPAKKTSEWVLA DWAIADGVTPTTRYRKTTSKKSARPDSHGAMNASRQNAGKKGGSMAGRTKTLRMTQGR SLGFDGQALRARDAERDREARRERRRYHQLRTMATPPQEDSEQRPFSPTTPTAAAGMN VANPYYFKDSSFEGMDVAVYDMGHVQGVYTGDDGPVFTHNGLPSFDGEGLWPGMHGH VE01_09162 MAVPPASSPVGTVPLVSTVELADFYTKHFSGHSKDHFATTFLAE GVTEEEDDNLGYYDDGVKRTLTDEQIAMFRHTEIETLLREKRKSDEAKADKAERASDL ERKAGEYPGSQGASLLSTQASKAPLTQSEAGQLSQTKPTEDNKKKKSHFKRNIKPDLR KRTWDQVDHGLETLDYEEDVARPSPKRNAGPQRRTISYDDV VE01_09163 MAVSWRPFMISAIDSRMPPLLISTTFTTDSYKIYLTDLAHLWCE DLDRRAILRRSLAEDTSIDPSEDAGQLKLFLEKISLGLEGGKDVDLLLGLDTHAGKES STGARNLEINMSIALPKPLQPLNWTIRLSSCPQSDFAMHFTIPLLCAQNARLKELDSL VGLLRDKDNVIQKLVDKLEATGAELGHLFPAVAGKGGRKTPRRVVEEKVKGLEVFAQE QWRTAMRKAEAEDEHDGVKTIIQGAFTKNSPVGSHAHGAELLGRFEKWWDQLKDGAIP LSTPKDGGNVDDAAAEDVKAEPADADMDTTEDEGTDGHADGFQVAATPPRQQILSSRA KPSSSRKPTRKQELSDDGTDDSDDLGASQSQHVTIRDSFPAPKEAVRPETKKIGAIGG GGKAAKTATKMKPEAEPEPDPEPEPVARATRSHKQDGADDDETETEDEGPKAPSKPTT PQKKSPPKPAAKAAKKGGLGKIGGKKSDPPPVPASPPKEDAPDAQKSEAPPKATKSRL GRIGHKPPKEEPGADEESRGRTEVKEEEKPRETSEERAQRKREELKRQLEEKAKAPAK KKRKF VE01_09164 MALAPKFYGQLFTSLSHAPKVHTLEVYLDYVCPFSKKQFETLYE KVFPIIKTKYTGRINVVFRQQVQPWHPSSTLVHEAGVAALRLDPKTFWAYSAVLFKHQ TEFFDVNVVNETRNQTYRRLAKLYGALGASGPGTHQADEDKLYELLVVGEKAGEGGAL NIGNKVTDDLKLLIKLGRQTGIHVSPTVLWDGLVDNSISSSWTVEQWDKYFEEKVHK VE01_09165 MAQMFRAFRATSSLFRLNRLPLSVHARVRRDGGNALVQGVRLKK APKSRRYILGSAIGILMMYNIFTKVAFAPLDKLADEFEKEGTISDSETPEELEDALFI PFPGTIKQIPQSPYRGSDPEWQEYIKFSKDLELIKKVRIELAEITRRSVENAKGGKGA RILKHWLDVIYPSGPPPAFVHSGIEITDDFVSWTTVPIDQETVLRLHQALFPVAVAKA SWKFVQVLFSQDPEEKTRSIMRAHYRLPDPAEVKKTYPALASSDKKVTEEKVRQARVT GGVGVTTQAGNFNNSTAQQTQESANKQPGDIGEKALQTQSNAASAAKNGNQNASDPPE HRTIRDSHMYKMMTKRCSHALFTFRFTNTANWKQVKVIPRGSIVVTGWVEIETQLDFV TLEVIGVWDPKTKTYDARNCQLGVRRTRRKKQSPRPF VE01_09166 MRLSFGLLLPAIAGVAHATSSRIDGFVFTASKELDAGSVSLTPE QVRVVLAQQLDVTQYHSLGAGDRSEKELKLINTFGGQHPKLFDEDVAGTTSPRHLVVF LDVSLEGSKALKAKWSEKGRTEPSFVMVGSPGRESVGTLVRDIQQQISPRELPNEQCT LTKAIDVSASECWHNKNSHIMHKFTEDSSDFDTFVRSQDSLFSAIEKGDLEATFLLMT PGQTNRFKKQPWGSYSLPGSDSDLRKREQEQPMSDVVSSSSSSTLSGPQPTSTPIGRL PPLCYNTLESCTTSTQNCSGHGKCFRKFGPAKGASGASCFACKCYGPDPTDKTRKGHW GGAACQKQDISAQFWIIAGFSALLIGIVSYAIGMMFSIGSEKLPGVIGAGVAAKTR VE01_09167 MVRKAVDSRIPALIRNGVQEKKRSFIVVVGDRSKDVIVHLHYIM SSMDVKQNKSVLWAYKNKLLGFTSHRKKRELKIKKDIKRGIREANNEDPFELFVSLHD IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGLVVLLLKGMSSLKQ LYTLSMDVHSRYRTEAHDDVTARFNERFILSLGSCESCLVIDDELNVLPISGGKNVKA LPPPDLDEPKTEKQKELDTMKDTLQDTQPVGSLITLAKTVDQAKALLTFVDAIAEKTL KSTVTLTAARGRGKSAALGVAVAAAVAHGYSNIFITSPSPENLKTLFEFIFKGFDSLG YMDHVDYSIIQSTNPDFNKAIVRVNVHRQHRQTIQYIRPQDAHVLGQAELLVIDEAAA IPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIKQLREQSRGTTKSTGEDAEMDR TSGKAIKSDNSFTGGRSLREITLSEPIRYTQGDLVEKWLNNLLCLDATLPRSKLNTQG CPHPSQCQLLNVNRDTLFSFHPVSEKFLQQMIALYVASHYKNSPDDLQLMSDAPAHQL FVLVPPVSEDSARLPEPLVVIQIALEGQISKQSVLNSLARGQRAAGDLIPWLVSQQFQ DEDFAGLSGARIVRIATNPDYIGMGYGARAIELLNDFYEGKFANLSEDAAPLSAEQSI TRVTDEELANATLLDDDIKVRDIRKMPPLFSKLTERQPDNLDYIGVSYGLTQPLHKFW KRSQFVPVYLRQTANDLTGEHTCVMLRPLQNGDDKAWLGAFARDYHKRFLSLLSYQFR DFASITALSIDESARGGFKLDPEHEAKALVKTELDGLLSPFDLKRLESYANNMLDYHV VLDLIPTLAALYFTGRLPVLKLTGVQAAILLAVGLQRKDLTAVESELNLPSSQLLAMF IKIMRKLSSHFGSLVTGAIDAELPKQETLGVSRADASAAHDDEIIDERFVALETNLED ELEEGGDEAMKALREKQRELIDALPLDQYEIASEAPGWAHAEQEVLKATKKGAKPSAI SVKTLKSKRKAGESAAEIYEEEMGEKKKDRGNKKAKTGKKY VE01_09168 MASAALLSAAAFLYLIVHPFQITAILSTPSSFKYSIFIDGMALT ALIFCVAYLNSDLRPFAVVMTIVFTTVMAPLVANAWSEKRPFPPQSDTSRILGVASLV FGYMSFIIIYKYGEAAKQPQNILNRVHAIFYILLAVAFIYTETVFIWRSNKAGFHPVR LLVYQGQVNANAWSKQASSSKNLTAAVDEYKSRYHRLPPPNFDKWFEYAKDRNCTTID DYDQIYEDLLPFWGVKPALIRKQSTIKRKNSSFISIQIDMGKVNTVHVSEEYSHLDDP IINMIKSFATWLPQMQIVLNPSPSPQIALPRSSLAKLESAGHRSEHIEERETIITTWA TLSKWGPLTAVESGLPVPPMARHISRWDAYMAPTCSSNSPARSHPHSSRTLCTSCFAS YSAGQFIRDISHSLDPCLQSDLRTVSSFLSSPATVPNTGYPADKLIPIFSSRKIEGYN DILFPLPGTYVPSGPASNPDLSLSVPGQKPFAELRDVLYWRGEAPPSGLGAHSWQGVS EQRLVTLAHQAPSNYKIPILVPFDTEGKKHEYGYVRLAAISDQIQVDAGFTGVPEKNC HREEECQEQRKHFGTNATLSDSDEDRYSSRYILTTDVSEPKEFLKNVVSGSVAVRSGI FKSWYEKRLTPWIHYIPLDMRWQGLHSTMAYFMGLSGTVKGEQVSMDAQVREAKLIAE QGKARAESMVREADAEVYLFRLLLEWGRIVDDYRDEVGFVLKE VE01_09169 MATRTRCVTFKIENWNFNQVIIAASQAAFKVEQAVGHDGSATTQ QDVSNPALDRSKYADPSGEKMKALIWMGKNHVEVQEVPKPHVVEPRDVVLRVTGSTIC GSDLHLLHGTILELQKGDILGHEFCGIVESVGPQVKNVKPGQRVVASFQIACGECGPC KKKQSSQCEKTNENSLAAAMYGTQTAGLFGYSHFTGGFAGGQAEYVRVPFGDVNLLKL PDSVPDEKGLYLSDVICTAWNAIVDTGVEKGDVVAIWGAGPIGQMAADFSLHNGAARV ILIDNNWRLAYAKSKCLRVETLNYTALKRGEYAKGWAHYFELMLGMETDTSELVNEML TSVKSYGRCGITGVYTGFTNHFNIGALMERGIRLIGNGQAPVHMYWEKLLGMVEKGEI DPLKMVTHRVSLDEMDTVYMKFDKKEDGMQKVFVQTKFSAPPAKGSPVLTTWKE VE01_09170 MDDLYDEFGNFIGEAEESEDDSQHGIDASAYVYDQEYPEEEEAP EVTGQELMEIDEDGPSNAVILHEDKQYYPTAQQVYGEDVETMVQEEDAQPLTQPIIAP VEVKKFLLEEADLPPVHFDRPFMADLMNFPDQVRNVAFAGHLHHGKTALMDMLVLETH DIADRLENRVGKARDEQLRYTDTSIMERDRGLSIKAAPMSLVLQNTKGKSHLLNIIDT PGHVNFVDEVAASLRLVDGVVLVVDVVEGVQVNTEQIIKYAVLEGLPLVLVVNKLDRL ILELKLPPTDAYFKLKHVIEEVNTAIENALPGQGETRRLSPEKGNVLFACSGMQWCFT LQSFARMYADTYPGVSATEFARRLWGDVFFNPTKRSFTRKGVEERSKRSFVNFILEPI YKLYSHTISESPEDLKETLATLNIHLKPSQYKADAKVLLNLVCAQFFGPANGFVDMVV EHIPSPVEGAQKKLQQYYTGPLDTKVAESMAKCDQDGPLVVQISKLFNTSDASGFNAF GRVLSGTAKPGQQVRVLGEGYSVHDEEDMAIATISDVWIAETRYNIPTDGIPAGNWCL LGGVDNSIVKSSTLVPLKLEDDEEAYIFKPIKQLTESVFKVAVEPINPSELPKMLDGL RKINKSYPLITTKVEESGEHVILGTGELYMDCVLYDLRRLYAEMEIKVSDPVTRFCET VVETSAIKCYALSPNKKVKITMVAEPLDSGIAEDIESGKVSIRDPVRKTGKFFEENYG WDLLASRSIWAFGPDDIGPNILQDDTLPSEVDKKLLATVRDTIRQGFSWGTREGPLCE EPIRNTKFKITDATLAASPIFRGGGQIIPTSRRAVYSSFLMASPRLMEPVYSVAMTGP ADSVSALYTVLARRRGHVLSDGPIAGTPLYRCQGLIPVIDSFGFETDLRIHTQGQAAV SLVFERWSIVPGDPLDREVTLRPLEPASVQGTARDFVLKTRRRKGLSEDVSVAKFLEP ELFSSLKESGLLDG VE01_09171 MSQFPPVYIVSAVRTPVGSFLGSLSSLSAIQLGSLAIKAAVERV PEIKPEDVEEVFVGNVLSANLGQNPARQCAIGAGLSEATVATTVNKVCASGTKAIILG AQTIMTGNADIVVAGGTESMSNAPHYLPVLRNGAKYGNQTLVDGVLKDGLTDAYGKQE HMGMQGEECAQDHSITREQQDEYAINSYQKAQKATEAGLFKAEIVPVEVSGGRGKPNV VVDKDDEVKNLNIEKLKAMRPAFQPNGGTITAPNAAPINDGASALVLMSEAKVKELGL KPLAKILGWADAAQKPSKFTTAPALAIPKAIKHAGIDASQVDAYEINEAFSVVALANM KILGLDAAKVNINGGSVAIGHPLGCSGARIVTTLIHVLKEQKGKIGVVGICNGGGGAS AMVIELV VE01_09172 MPQAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDDA VEEKEGGEKVRIGMVVIRGNSVVMLEALERIGGDRQDRG VE01_09173 MSSFGGKRKARKILVNDDDDETTTSPAPVEPKATVEQKPTTTSH ARSKPFKKSALRHSIAFDDEQSQDTSGTDGGSEPKPIREVDFGPSRSSGKRAGQTKKK PAASRLSFGPGEIISGDDAAALEEDESFTPKKAAPRRGIEGNNVRLSLPAYQRGREGE EEERPTYSKDYLEELKMSTKSTPKDLQKFPAQDADEGGLDASELEGATVVEPSGELIS RRDEDKAYIPTEAEIAEKKQRRARLAQEQDFISLDDGGDMSQIGQISLLPSRKKETRL VRDDEDVMEGFEDFVDDGRISLDKKAQRKAQRQQKKIIAQAIQEAEGSSSEESDDSEA ERRAEYEAAQTRAGMDGLKKLDATQTAALIPPKVTPIPSLSECLARLRTSLSEAEQES TKRSWRMGELVREKAEIVAREQEVQRLLREAGERYSALRGDSNLPPVDTADPMAFPSV GDGFREATSRNRGLESFGNTPVGTSGVEDAE VE01_09174 MEGAIELPGEAAPLTPQEVLMALKSASSHQQNLIQSGTQQMQTW EGRPGYYSLLQSAFLDTSLPTEIRYLAVIQLKNGMDKYWRKGVAKCIQKAEKEEMRSK LLEGGMAEANAQLALQNALVISKIARIEYPNDWPDVLTSLIALMRVASESNQLRLQRG LLILLQVVKELASARLRKSQTALQSVTPEIVFFLSNIYTQKVNQWYGFLTAGGDDEGG AMDAMDSSLLALKILRRLLIAGYKYPGQDKDVQELWGHSQLQFGQLFGMISHEPPLIS SPAKDLVEKHLLQLSKLHLEMAKTHASSFASLPNSVELARGYWSLIEKYGEAYGSSTF TSKTDADADDADGRHVLEKLALKGLSLLRSCLQMVFRPKLSFVYRSAEIKEAEKGLMD VIKFQLLTDELVSQMASVIVTKFFIFRQADLEAWNEDPYEWEIREDAGGDGWEFEVRP CSEKLFMDLVINYKHLLAQPLLSFFQSVTGTDNGDIVAKDSVYTAMGIAAPVLSDSFD FDSFLASTLVNDVHKTSPEYKVLRRRIAILIGQWVTIKISVANRPLVYEIFKHLLNPA DPVNDQVVRVTAARHLKSAVDDFSFEVEQFLPFAEEFLHRLMALIRELSHAETQLAVL ETIRVIFIRLELQAAPFADEIVSLLPDLWTASGEEHLMKQAILTLLTNIVMATKVHSV RYHPLIVPLIQRAVEHGSEMAVYLLEEALDLWSSMLEQTPEAESGPVIPLVDSVFPLL EIGSDTLSVVLGILESYVLLAPEVMLADTTRLRVLSYMTSALEISKRDLVGKVISIVE NMIRQAEILGGTNGVVAVAKDLVESGFMEKILTELHEAWEAHQTTGPNRRYPKISDVV ETDYFTIIARLAITDPATFVGTISSIGPLESVWGWLSTEWFLHFDSMANPHRQKLSCL ALTRFLELPPPMTGLVLQKLQDYFAMWSSVIAELLDGWGEGREALVWSEDTPQEPKEW DSMEDGRKKALERNDPVHTIHAHQFTKERLGGLVQNAGGEEAFQRDWLVNVDGDIVKA FQKLGQTQVETD VE01_09175 MSSADFQAAQQRIAARRAAHAASVASQPHPPASSPLHKHLANLP PSLNRLGAAGITAWDTIRGRSGTSPAFRVGQADAELLDEELLSLLRGQITSGLKYFGD IQEEWGEEVGLALRGILFKVSVWDHDATYGAALQNLRFTDARHTGLVPLPPSRSQKAL YGLLTVFGPYAWTRWERYLSSPSFSLSSRLNTITSRLTTVYSLASLASFSAFLLNGRY RTLLDRALRLRLAPRTNQLARDISFEYLNRQLVWHAFTEFLLFLLPLLGIARWRRWLS RTWRRVSSLSSPSDGPAEVKQGPLAHLPERTCAICYEDQNKAKSEAEIVAASAAGQGG VAGGMTDVTNPYCGPCGCVYCFVCLAGRLEGEAGEGWVCLRCGEVMQECWAWGGDVIE EKPVRVGREKKVGFVDGNGSSSDSGSASEERDEEGSEVYEENEMPDFDE VE01_09176 MSQSIYIDETPADVKNAKGLHLVTQSTPNGQKVQILLEELKDKY GLQFTTTVIDISTNEQKKEWFLRLNPNGRIPVLIDNNQSPPFPVFETSAILLYLVRNY DPDHHFRFKDELQTSQAEQWLIFWHGSGAPYQGNTNFFRRQAEQIPFAINRFRNETLR VYEVLEIQLSGRYTGVEREYLAGNGKGRYSVAELGTWPWVKGWKNTGFTDEELAKFPH LLRWIDRVAAREAVQRGIGEAYQKK VE01_09177 MPPRRIAELRQEAVDMFYHGYDNYMNIAFPDDELRPVSCKPLTR SNNPRNIDLNDALGNYSLTLIDSLSTLAILASAPPDNERTGLNALHDFQDGIASLIIH YGDGTEGSSGQGLKARGFDLDSKVQVFETVIRGVGGLLSAHLFAIGELPIRNYKPRRA SSWPWKADVPGEEAPILWSNGFKYDGQLLRLALDLAQRMLPAFYTNTGMPYPRVNLRH GIPFYHRSPLHKGSGAREGGAGSNEVTETCSAGAGSLVLEFTVLSRLTGDPRFEQLAK RAFWAVWSHRSQLSLLGAGIDAENGKWIGPYAGIGAGTDSFFEYAFKTHILTSGLAPP NTTVPAGKRSDTWLDPNTIFKPLTSEENASDSFLEAWKEAHSAIKRHLYSSTHHPHYV VAHLATGSPQTYWIDSLGAYYPGLLAIAGEIDEAIETHLLYTALWTRYSALPERWSVR DGTVEGGLGWWPGRPEFIESNYHIFRATQDPWYLHVGEMVLKDIQRRCWAECGWSGLQ DVRTGEMSDRMESFFLGETAKYLYLLFDPDHPLNSLDAPYVFTTEGHPLIIPRKSSQQ KNTPKAPRSSSVESYTSPENAEVCPVPPTMQPLTGSPTAARPDIFHAASLVGLHRVPN YNAMDEIALDTTKAVENQWSQPANHSIYPWTLPSSLVPPNAICARLPIRDTFWIEFPS SSQLASQGFNIAVGSQPVVRVNNEGVLIQNLAGLTVAMIEEESSAQFIYDEGPVSKSW RILNIGTTPLGRDEKVFISRETIGPIVDPSFTRVRDPLTVDLVIQVENSQVNKTDGMP SSRLPTSGKIEEALHSEAAPESLHLEALADLPSDYRTMFSSLLQQVTSALRDPVATLL PQPNEEPKYQLLSLRAQCAVGTGAAPIPAAPEAPDSNAVSNDPLSWTSIYFASHNCDG KLPDRVVRAYNVIVMRRGGCSFSEKLANVPAFYPTAAGLQMVVMVSDDDDNELIRPLL EVVQKTPAGMRRAHEVPMVMVGGGEATWGALRRARSLGIRRRYWVESAKGLRVKNLIV V VE01_09178 MIEHDLAGTTPLWSTESTAVLLAELARRQEEGERPACGSAQGGD YSTPAHVGALVLILVLSIAGCGFPLISQRANKHKGPNDLVFYSQHFGTGVLIATAFVH LLPTAFVSLTNPCLPWFFNQGYRPLAGVIAMISALIVVGLEMFLRTRGVAHTHSHLET WEPETTAIPEQGQADQDREYEESTVGLMGARSWNGEPSPKENGNKTTSDYRGDAEDSD LDLDELDPATGTNGGTGSRPHAQTLSPEELQKKLMVQCMLLEAGIIFHSVFIGMAVSV ATGPPFVVFLIAISFHQTFEGMALGSRIAAIKFPKGSFKPWLMVLAYGVTTPIGQAIG LAVHTLYDPKSQAGLLMVGVMNAISSGLLLFAGLVQLLAEDFLSEGSYGVLQGKKRVQ AFTAVILGATLMAMVGAFA VE01_09179 MPTVIDGFEALYYMNIWRILADLTHSLSKCILIFAIHRNRSSEG VSVISQALYALVFCTRYIDLFWKNPKDNYWNFIFKIFYIVSSLYILLLMTRIFARTRE REVSYRLGAYSLLGALVLSPFITMIAEKAWGPSFSYIVINFSLILESVCVLPQLLLLR QTTVPTVIDSYYLLALGAYRALYICNWIQRYASADPVKPEAVAVIFGVIQTLLYVDFA WVYYSRQRVKLRSGGIVDADDLSKSWMLRRVLRRGRDSEENDEEARPALGDDGEYEQN VSGREGSRGRAGGWGRRGISVSADDDVLAAERGEGSPIAADARMRDPDELARILHDED DSDAEVTGAPKATPIGGEEWRDRI VE01_09180 MLSILSQVAYYYATDRTGYRPRFLPAAVLQACYLSRLLAQERWT LGIPNDATVNKKVYIDVGRDAIFYFGPPQHDDSSFRDLPVEIKIMIWRFAIHAVPGRD VIIHHRPLPYIPLPIPDVLPRTEITSSTPIPAVLHACHLSRLLAQERWMLSLGAHAAA EKKVYVDVETDSIHFPVQTLLMIWHHDGDWEDLLRFAGRENIHVVGGWHGLNPELTH VE01_09181 MDANQARRRGTDLVSRPVSGTSTASSQHYVETFTYSALPQDKDC TRLVRVEPAGNNDDPISCILIDVVFGDRPKYQALSYMWGDETSKLKILLNGTEFRVTQ NLFDALQFLRREPKRGPVWIDAISINQANIPERNRQLQMMPYIYVRAHTVLVWLGKKY AKYESADSKECVEAGPEPARKNTSDEAIRPPTSSTVDADCSPIPAGDGRAGGEAAFAE EVRTDRYWDRVWIIQEIGRAYRIQVCFGHRRIMDWESFIKLIAYKAPKERFGGPYHLD ELRKQKYKGGHSLRNLLENHRDALCTDQRDKVYGLVGLAADARGFPMDYGKREIDVWT DTMRFMNDHGLLQSSDLVEFGRMVRGLLLGPGMEPVHSVAHPSPWDSTRLVLDGQVSR NTDVFTLRAYIYGYIQYLGPTTTDVVSSLQLADEWAMALQANFPEDLSHAHWESDNLM RKILDADEASLAKSCHNHMSCLCWRPQTDDFNNQFRRIAYKLPRIRQMQEKKSLPKFT AASKLRDDNDRYLFQLNCLSSVKTPYKTGIASSQAEPGDLVCWIPGVKQAVLVRVGFG SGDEVHLQVCGTARITCDLEKEAALEDKKRACELLELDILVDAHTLFVILAS VE01_09680 MPPHILLLGAHGKIALQLLPLLLTRSWSVTALIRDPSQTAAILA TKPPSATGTLDVLVDSLDAIRTTADATRVLDKSRADWVVWAAGAGGKGGADRTNAVDR DAAIAFARAAAGEGRVKKFLLISALSVRRHAAPWWSKEAAEKVKKAADSLAVYSAAKL EADEVLTVVGEERRREDKGFSYIILRPGTLTDGEATGRVEFGRTEGPGKVARGDVAAV AAEVLGREGANGWYDLLGGEGKVEEEVERVVREGVDAREGESVEEMKGALERAIAREE VKA VE01_09681 MGPPMQRFGGGPNSMAHYQQYPPHSQGHATGLPPPTLGGNPAFM NPNSNINPFAVNGNALSLTQGFGGAGGGMGGGGGTGLASHAAQISFAHGAALQQSAHG GINEQGGRGVVNKGRIREVWKHNLAEEMDTLRRLVDRYPYISMDTEFPGVVARPMGSF RGKSDYHYQTLRTNVDLLKLIQLGITLFTEDGDTTPARPQSSDSGIDMSLPGSRKYGT GAATLPCTWQFNFRFSLKDDMYSQGSIDSLQQAGIDFPALERDGIDPFDFGALLISSG MVCDEDVKWISFHGGYDFGYLTKLMICQPLLDDEVEFEILMKKFFPSIYDVKYLVKQS IAQHASGQVTPADASTLEVLQKFETKPSLEVLAEALKVKRQGPAHQGGSDALLTGKVF FQVRDRLWNGEIPDEHLSKVWGLGNPDAGAQAYNANAAEAGQNGTYADGVPSTPNNTH ANMVTTPAPATSASTGAGSMTPGGGGGVFGAFQFGK VE01_09682 MYPTSVRRAARPNLTGFDPKAFAAAAGDRRGDPWARREAWRYNG PFTRLKRFRGSFPGLGIATVAFTAYCAYEHFFLQDDHHHEAGHGEGHH VE01_09683 MASMASTVPYSTVQSSFGSELHSPAMRYGNDNAAQGLEAATRAT DGFEVTSLLSDKHNQQRSNGGPHSFEAQSRYPSDPEKDQQLLRLPYELLRKNFKVAQL SVERDSTAVKQSLKDTAQACLNNSSTPDEVLKNLDSMIARMRGLKRKLAACAEEEKRL QSHSQARVRHLGELYSMQSLDDVKYEAWSRTRLDRLLVDYMLRNGYVQSATALAQEKQ IEELVDTGTFMQMGKIRDSLRNGRVNEVLAWCTENKKELRRMGSKLEFMVRFQQYIEL VRTRDQAKLQDAIVHAKKYLLPSKDLYPSEVKQAAGLLAFPPEAGLAIYTNLYAAHRW EDLAKLFMETHNTLLSIPAVPLLHIALSAGLSALKTPSCHSSHLSSSASPSSSSSITS SVCPICSTELNALARNVPYANHTSSRVDPDAVLLPNSRVYGRAKLEDYSRKAGLDKGF VKDLTTGMVFEIANAKKVYIS VE01_09684 MSIEMADQADFDHSGLQEVANAVRNNSGGDDLLGSTNQKRKRDV TDQDGSADASRRPGSKRSFQNAQKNDGSDQSANAFLDAQHEGNAGVESLQEYSNLQDQ SLENQNGSSEHANATSTAAAALGIYPTMTIPQPTGVQFQTQGSEGDANQDSFMDTSQQ NESYMDNSTAGTGRQGSGSKPAVGSEEWHKVRKDNHKEVERRRRETINEGINELAKIV PGCEKNKGSILQRAVSFITQLKENEDQNIEKWTLEKLLTEQAIAELSASNDKLKAECE RAWREVETWKKTCQSAGLAPKKEDAAES VE01_09685 MLGSDSPTTQRILASGSFSGLHNEIGALGKGYLTATKERLQPLC GNEEGWGPLSPFRYDFTPCFMDVWVSSVAVYGILFGAAAIWYLSRKREQDVKRDLHFW TKQVLIIAIAATVLAQLIIQVISYRDVWAGDFRFWTSVLTIISLGVIFQIQWLEHTRL RNPNGVVLFYWLLLIIAFSVKLRSLVSQQIYSKSLPYFVTYCVGLGLSIVEFALEWLV QKRMSSYDALGDEDECPVEYATVFSILTFGWMTPLMRYGYKEYLTEDDLWNLAKRDTT KSTGSSFEKSWNYELKHRKNPSLWMAMFHSFSGPYFRGSIFKIVSDSLAFVQPQLLRL LIVYVGSYKTSTPQPVIKGVAIALGMFAVSIGQTLALHQYFQRSFETGMRIKTALTAK IYGKSLKLSNEGRASKSTGDIVNYMAVDTQRLQDLTQYGQQLWSAPYQITLCMISLYQ LVGFSMFAGVAAMIFMIPINGFLARLMKTLQKKQMKNKDSRTRLIAEIVNNMKSIKLY AWGSAFMNKLNYVRNEQELKTLRKIGAAQAFANFTWSTTPFLVSCSTFAVFVLTQDKP LTIDIVFPALTLFNLLTFPLAILPMVITSIIEASVAVGRLTSFFTAEELQESAVLALP AVEELGEETIRIRDGTFSWDRHEGKTALQNITFSACKGELSCIVGRVGSGKSSFLQSI LGDLYKVGGEVTVHGSIAYVAQQPWVMNATVKDNIVFGHRWDPAFYDRTVKACALLED FAQLPDGDNTEVGERGISLSGGQKARLTLARAVYARADVYLLDDCLSAVDQHVGRHII DQVFGATGLLAGKTRILATNSIPVLMEADFIALIRDGSIIERGTYSQLIAMKGDIANL IKSVSTQAANEVESSYDSTSESSTVVDRTTNDEDQDAAEEAQERLTTLQPIRSSPSKV KRRAGSDGTLRRASAATMRDTRGKIRDEEEPTTRTRQTKEFAEQGKVKWNVYKEYAKT ANLVAVAIYLITLVGAQTAQVAANVWLKNWADYNSKNAENRDTGMYLGVYFSFGIGSA LLVVVQTLILWIFCSIEASRKLHERMAHAIFRSPMSFFDTTPAGRILNRFSSDIYRVD EVLARTFNMLFVNAARAIFTLVVISAATPAFIAVIIPLGAVYMWVQRYYLRTSRELKR LDSTSKSPIYAHFQESLGGISTIRAYGQQERFTMENEWRVDANLRAYFPSINANRWLA VRLEFLGSLIILGAATFAIIAVSSGDGPDAGLVGLAMSYALQITQSLNWIVRQTVEVE TNIVSVERVLEYANLPSEAPEVFHKKRVPISWPAQGAVEFDNYSTRYREGLDLVLKNV TLNIKPHEKIGVVGRTGAGKSSLTLALFRIIEAAEGNINIDDLDTSQLGLLDLRRRLA IIPQDAALFEGSVRDNLDPGGVHDDTELWSVLDHARLKDHVATMTGGLEAKIHEGGSN LSQGQRQLISLARALLTPTNILVLDEATAAVDVETDALLQATLRTTEFSSRTIITIAH RINTILDSDRIVVLEGGRVREFDEPAKLIEQKGLFYELVREAGLLETAEGAARK VE01_09686 MVTVPSFDRVNWSSETERKPEIQSSTMGNYFEETRTVAEQPPRN MPINTALNEYCGIESTAYALAVIRPDGSIATFMSDEVKTDPKYLFTPAFKNEFLVASG HAPLYPEIGDSIFKRECDAQMACVEVDYRTARYNVREFGGSSYRKRTPPRSHNAYDDD SSDSSMSPGSRKRLRATGTRSRDRAIPTLPTRTISIGDDAARDDVYRQCLKDMQQNGC KVLGKAWVKLLEPKKQSTYPYTKGASKRPPWWPAMTGPNKIRHKEPDHLHKRERIILL MHILGLVVNQDSKSQARLRGVTVAKLEEVTREAMATWFADREKPKNAEKRGFLTQLFR VLYNEERYRRGELDGSATVSVNDGAGTTDDDDDDEDSDPSPQHREAHTISPFLPTPSS SLSPSHPISSTAAAEMQDYYPPRLPLRSTYIPTTPDTTTGDDYADAPYPIHHHNGLTH NPHPQQPPSYPTRAWPAQEINIYTGGSPWPTPPAAASGPMQHYPFGMSTSSPPQQGSF GMPTATSSPPQQQGQGAVFLPPPMAPAYEDVNGARGSFDGGWEVI VE01_09687 MAHMMYPQHHAHASMAPPQKPETFMLSSEAQQSLPQDAQVALQQ VDNLKYFLISAPVDWTPDQYIRRFLLPTGEYVSCVLWNNLFHISGTDIVRCLSFRFQA FGRPVKNSKKFEEGIFSDLRNLKSGTDASLEEPKSGFLDFLYKNNCIRTQKKQKVFYW YSVPHDRLFLDALERDLKREKMGQEATTMATNEPALSFEFDSSQSLFEQLTKAQQANS SSFSAQQQQQQQQQQQQQHQPSYSQSQSTSPVMRAIDSMPPPQMIPQAMPMQDDMSQH LAYAQMNMPTMAQHVVKREHDFGRVQYNQNGVPLTQTHQRHASMPAYGMEYSPAPSFV SSHYEDYTSRGLSFEPITPPQASGMGPEPAYIANEDTGLYSAIPEHMVNMHGMMSMPP TSLPGPSYTRPYGASYSVIEGSPTYKQRRRRSSIPPGLSALPTPSQGQQAQQTTSHPP HRPSDLRRSVSHSVDPVPEDAEPRSPRLSYPAHAMSHHHKDLLALSRTGTPDGSNNGS VHPLSLHHDFTTDLSPDFDSTSNGVVDSRRGGSVTASGNSVGVSGAVRRARSATMMEL GSPYPQKSHSCPIPTCGRLFKRLEHLKRHVRTHTQERPYVCGVCRKAFSRSDNLAQHR RTHERGDGSSDGGAYASLSGEEEEEEDYEGEDELSSLQQQVSANLDNISSTSHPSHPG HSNSHSHTRHASLMGLDEGGGLEIVGEEGLEIDEGQFEGGMMGSGVVGMGIVAGGGLM VE01_09688 MAAKTMAKSVSTKRKREGGLSARKIRVLEEEEATKEVDEERSSV EDEDEDEDMEDGEEEEEPDAAEIFRRHFEARFKPLPDVKEKKGGKKGAIVVEEDEDED EEWGGLSGESEDDDEEEDSDGDDGVKRRKIDVVEHTSAVSAPKMSKAELKAFMSARPP SSATTTTALTTPTPTDPTDKDNTANDLALHRLLTESHLLTSSTASSSSHQTHTLEGTN RHRATDLRIQALGGKGSLFVQAKMPMHMRKGIAAKAEEREKGRREGAREGGIILERMG GKSKERDRRKGGEKGLGRGDVGAPGVGRFVGGALKISKKEVREIEGPKRGGGKGGRGG RGGRGGRGKGRGRGK VE01_09689 MTRFRPCIDLHAGAVKQIIGGTLSTSSPDTLKTNFVSTLPAEHF AKLYKENRLDGGHVIMLGPGNEEAATKSVAEWRGGLQVGGGVRGDNAAQWIERGAGKV IITSYLFPSGAFSQERLDEVLAALGGDKEKLVIDLSCRRKDGTWFVAMNKWQDLTDME ITEESIKSLEPYTSEFLIHAADNEGLQRGIDTDLVRALGSWCSIPVTYAGGGRSIADL ELVKSLSGGKVDLTIGSALDCFGGALPFEECVAWNRKQEAEEGR VE01_09690 MGGPDRSKAVKYIQQLDQAQCGGDWEAVPNLVRKIRKHAPARAC LTLAANATHAVQQAIRHQPSTGTNPEITSLVQYVPPLIGAIDAEHDHPEDVFQAQVAL GWVHWALGEPELAALRLPKDIEGDFSLLDGTSKQATGWTRTCALKAIYIKGVALEKSR SLGDAIEVFESGMPIVSATASELKKASELRKWTELLLTKFCLITSQSIKSNASPRMEA ETLVAFRAWADFWERLASSSTAAVGGYATEADVPRRLIWKEYYATLSFIVQGDLPYPS TALGATSNNHGMRIIQRGELARVEARYEFLLLKEAHFPKAEQSSEEIEELVELVLGNW AVLCGGCWDEHDLGSGGRVGISRSVLEILYRAATKTFHSTQILRGLFTVHLALADFEL AFKAFDTYFEIVNKGKQRVQKTGEPEHALDSNEDVLVTASKCIRALCQFGGVSGAEKA KKLAHFFESWLEQHDPSHSQEELTNGDANGVTIGNKKLLDSGSAAEHTSTVSPRVYAH AWRAIGISNAQWARLTPDAKSRPEYQTKAITNLRTALKPEYGCTDDVDTLFALALVLS ERRQIGEAIQIVGAALAPQRNVDKASNPAKYVRERSLIRLWHLLALLLSAKDQFTEAS HACEGAFKQFGDLKALFGEGEGDYQSEHLNGMQEKHSAAIDDMDDYEKEAIIEVKMSQ LLLIEIQDGPDVAVNGTEELLSLYSRLFGQTQIPFAVPRKSQQIPPTTGTSTLRSVKG SIFGRSKRSNRDASGATTFASSTAENVSTPSRPPTSHTTASAAPKIQVTGESGAPAKL TRRSQHPSTKSNKGDKLAHKLSHASIRNRSVATSKAASNPASDGEISPTNVVDSISQQ AREAPPRPNGQGTKSLNSYSLLPFSSPATRLPPSKSRRHHIGILVRVWLLIAGFYRRA EQYEDAKVSIEAASELVEGLEEDVLRDESGQLLVDAREWGVGKSVEELWADVCAERGQ VSLAESWPFVALSHFEAALTHFPDHPAAIVGLSNILLDISSEVLVYPPSIPTIATPPS SSASATPTSHKPSTHTSTTSTPLGLPPPPSQGPAPPTTKLSLFDRLAARDRAHGLLDR LTKLGGGWNDSEAWFGLARAYEDSRMEGKAKEALWWCVQLEEARGVRGWEAVGGGIA VE01_09691 MSIPPELRVLNHQLSTVPTAQLPQITPLLLRNVLRCQGPLSAPS TNAAKDDSSEASAVHTLKTHVSRLLFGKSAEGRFAAIILAKGIIDVGGWEVLRGALKW VQGLLAILTKPDPVASKRLCIITLTSIFVKTHQYQSIVREITTPHLSPFVTSCLALVS SKSTGKVLEVPASLTEAVLDAFSTLMPRHPAIFRPFANQIRQVTRVYVAPTFCDGFFV PESLKESARSLAVLLHQTAPKNTSGEEWGKNVRELVKEIHATTDQVYRAVVEDWESAA GYVPQPIDVNEELHGGGKSKDDYPAWTGIDAGLERLQGLLGYLEEHFRHHTATAVTVP LGIIDDLLTRLMSIAAPQPSKNGSGQGGMRLHPAISREEREGLWSGLPQTYVGVMEVY GILIERLQHNFTSLAQGCLEQITWTFRSGKQDEQYRAKAYDVIAAILPLCASGLPKPS IDRMTSVIVACCKELRTVEEVVPAIALKDSSGKATSNGVANADSFLKNKSILSSADVH DTTVVSSAKALLPLFFTLIPQQHLEAYTRAELDRTAILSHHKEAMLASVLSPFLGRNG KSLPSILPHLCRAYPRDAAVEALLRPRLPVIRQSGPVMGAPLEEELEEDDDVAMDDLQ PVDEQEELLENIVNTTATSMSTSNDATSTDAPITSTKTREQETNHWGTSTSATNKVYT SKEPQGKDVAAPAASISEGAPRAETTTRTTVQSTTTTATVDAVEEEDSDDESVHLEAG LSDSEEEEE VE01_09692 MAEFRFQAAVTEPTEQATASRLSETASVFNNPLAMPLQGAKASI QGMPVHELHPIEVYNMQHPVEQTVAPGSEHFEFGEGSSSGEEGPNIEPQMASIMGERP YKAQKSAKQKTPAMIKNGQAGAIAREDAARLKADQRTADEIYGTDGPVTEVSHPHTFS VQFLEHGLAMDADFMGVRSFQNCLERYVARESPKKQRSSHRPADTLQRIKEKNPIPPL HYPRNKGQVCASWRYELKTKWVTYEGDVYGVKDGVRADVPEVPTEHWYTLLAGIHHDH GHQGRDAVFEKVKPITASISKGFVAAYVACCCLQSKSSTRKDKNVREAFAGQELAKSG KPSKGKKRAIEEADPESPSPPARRPRAHSTEPVMVNAGDEQVASLRNDYSPPQMGVEL PIAMADPYRDVAGPVENNGMVTAHQAAPQIPEGLNNTLSFDVAGIAAVAPVAPGSEST PDDSWLWLEDVNGSGAEEWQGDDSDWLKQTASDVLGLPSLGGSFHTEVVASEQASQAT IDPRLLTLPAQGDNTSHPQSNVNNPGLSLGLEDPSVDTYGSFSDAEFGQLVDDMSEWF IAEHPLDPRP VE01_09693 MAYAAEQHKWINVQQKTFTKWLNAKISAREVEVKDLVKDLSDGV ILIHLLECLSSESLGRYAAKPKLRVQRFENANLSLDFIKSKGIQMTNIGAEDVVDGNR KIILGLIWTLILRFTISDINQEGMTAKEGLLLWCQRKTACYDEVDVRDFSASWNDGLA FCALLDIHRPDLIDYDALDKKDHRGNMQLAFDIASKEIGIPDLLDVEDVCDVAKPDER SLMTYIAYWFHAFSQMEKVENAGRRVEKFVSSMQGAWEMQSAFERRMRALLKAIKEKG QSWQDSDFEGTYVDAKSQSAEFGVYKRGQKREWVAEKSELAALLGNIKTKMSTYRLRP YDPPQELRLDTLEKEWAVLMRAEMARGQLINETIRDIKNALRRTFADKANDFALALNA MQLAVSGLEGDVEDQLRHVKRLNESVAPLDSYLETIAELDQKCEEANIEENDFTTYTY DELSYELGLVKGSVSKKLAFLDNQVVARNMTNLTPIQLEEFESVFRHFDRDASNSLQE LEFSAALASLGLVFSEDEMHDYFLETSRGRDRVTFEQFINFMVDVTEDQNTAEQVFQS FREVADGKPYVTEMDLRHSLVPDDVIEKLTKIIPAHKGPDLQEDRGMPQYDYVSFMDH LIDDPEEDLRADAGKGSKVLGEIQNAPGVKTHASPTKSNGNY VE01_09694 MHPVIILLAAIAVAALPRNVDHIEPNETIDSNPGTGYNNTWEAS NKSTVSNGTLTSQRNRVIVNMHMATVGFDYGRCGSGFGSCKTGSCCSDYGYCGIQEPY CGDRNSTKFQNSGALYPPHTNANIYKRSTGPTTSIIPRRKVGSVPYAGSGEIRHCTEM GTVALTFDDGPSAYTDDLLDLLDEYGAKATFFITGNNNGVHEIDDCSTGYPAIIKRMY DSGHQIASHTWGHPDLSGVDEEEFDRQMYRNEMAVRNILGIIPTYMRPPYISCDYNCA EKLDDMGYHNIYFDVDTVDYWNNDAELIHNSMNNVDAAIAARGEDPSQGSFLILQHDI HYHSVYSLTEYILAAMAEAGYGTSVTVGTCLGDPRRNWYRDAQPATVEC VE01_09695 MDTPEAITGPVAFHRWPQDLAQTILISVLQDHLPHSNPLYNRIL APQNTPSRHCLFAATFPPSSSPNPSSTPTILFADRSRHTEAQIWLFNPLTILPSLSAS ESETLNSHAKAAIVFLRDTVVPAAPGWPFLPLLKFGCIPTHLADAITCVARPFDAVKH DTNWTHFNIPLAGPHASSLPEGFQIAPVPREQLGLVIATSGIPREAETLQGLPSVAIL DEGGEMVAWAFVDVDGSLATLFVVAEQRGKGLAKAVAGELLGKLGRGEFRSISGRGKG VVDGDDGLLPFGQGSGWVHSEVKEGNSRSENVVGGLGGKRCGMSRYVFIASDLIP VE01_09696 MEAANQGLDLERELTCSICTEVLYQPLTLLDCLHTFCGACLKEW FAFQLNSARASGASSSSSSNPVTCPSCRAVVRATKNNATVTTLLEMFLAANPDKGRTA EEKEDVKKKYSPGDDVMPKSEEREKTMRERMAEDDDGRLIQQVQMASLREVGVELPEE RRERRRRREERARASGRTSSRTASREPSSDPAGSGQDRDRRRAEEDSGSESRRRREER REERASASASSPTTLHPESTRALERRRRRSEEAAARRSQDDSRRRNDDANRTAVRQIE HQSSLRSLISSSDVDSREMEEEILRQIREEGLLDGIDLENIDVNQEDQISERIAEAFR RRQRERAEQVVAPEPRNRSPSDRSEHRSATSSRTRQSTTTAPPMATARRLPHSRSLSA ASQADEATRASPRTSATRLEPQSSDEGRRRRRTASGGHRSTRSATSPAPSSSPVTRPA ARSATDLTERSTSSHIAPTRPLMSVETRSTTEPVPTSSNVADVVELATGRRRPPTLPP RSQLAGEAEIAASPVELQSSHTRTASQAPAYPPPPVPVATTPQDSQPGLSPAAALSHH STATERASALQAVSRPTSSESTASQRVSLPRFPEPSIQCNRCHKSHIEYELHYSCSIC ARGEWNLCLGCYRSGRGCEHWFGFGYAAWAKWEKARTAGHIPPNAEKPHMLFAERYLP PRITPGGAEGRRTLTTEDPARRLQSGAFCASCQEWANECYWRCDSCNEGDWGFCNNCV NQGRCCTHPLLPLLYKPDNRDGEPMSPPHDHQTPRTATLLTGPGVVELGSFKPLSFRV ECDACHYPIQPTQTRFHCFQCTSALPGREAGDYDLCTTCYHSMVNKKRISNENGHQGW RRCLQGHRMVIVGFEDARGGQRRVVVQDRVGGRGLFEEPAKTQDAVDAGLQQWSWAEG TRARLVTNNVSSTAPTGNPAMAREFPPDGGVGMRAVALWSWYPKEGEGANELLFPRGA EVREVVDVNGDWFHGSFMGAKGLFPAPYVRVLDSAS VE01_09697 MSSQPPTTIAELLADRTTVLSFSLVLALIISAYVLSLATLRRGT SGALRFLFIWHAFDALIHFIFEGSFLYNALFTYVSLPSFASAAPNWLGYTDRLYGSNF GGPENHLAALWRVYAQADSRWGGADATVVSIELLTVLLAGPVAAYVAILIARGDARAS IWMIALAVAELYGGFMTFAPEWLTGSPSLDTSNWIFTWVYLFFFNTLWVWIPMYAIYV SYKDICNAFNVRTEVIVASEEKLKKEESKKAR VE01_09698 MADASGETVTMATANEDDERTMELSALQAIFPELVIDEKQQFTA TLELPIAPSESVPIVFKDVSDDSPIEADRQLQIHRLSYLPNIHIGITLPEGYPAEKPP VLELSATPNWLPRRKIHELLGQCKEFWEDIGHDQVLYMFIDHIQDSALGAFGLLDREE HLQLSPDLEIELLDHDKSAKQAEFDRQSYDCGVCLEPKRGATCHKLNDCGHVFCRQCL QDFYNNAITEGDLDSVKCLDPGCAKKREEEFAISDRKRKPKVTLLPSELLLIPLEHEM VKRYVTMRHKIELENDKSTVYCPRSFCQGAARSKKHTKPIITPTFATATADEEADEES DDELASIDPTKPPNRSSLLRVCEDCLFAFCSRCLQTWHGEYVLCGPPRNTSELSAEDK ASLEYIKFHTTPCPTCAVPAQKTHGCNHMICQRCGTHFCYLCSSWLDVGNPYQHYNTE TTGCYQRLWELEGGDGNDVGYNYAGGDHPPDEGDFSDDGSDDEGPADMDGQLPMIEDM RLEPQPFIAEPGQDPIVDGEHEGDPGDQPQAQNDGPLVLRLGPVPPRPAPAPAPRARR GQPNPQAAPGRLGGRFARAAARRAAAEVEEAERRLNARNAAWNRRMGRDARPEHGGPD M VE01_09699 MEDIKPEEPGSTGTSLWNGYRVPLPAPMSRFSHHYEQSAPVSEP QEILYSNSAKDPYSPSTQHQQPQQQQQNDMLPRRGSESLAVFGVSKLNSGLTSHRSYP SLKRPHESFDEHSYANVASSLHESIPEFDDSTKPTIQSDHRLLSFEPLLPHGHTVLDQ YGAPAKLEVVAQIHGMFFLSEIATHAGDNIIMQPELTCYRRNLFQISGSVTCPAGPLS VVTGHGESMSIVSHEITVSATESVDGHDIRLIVIPWKTPPANSPDLPSGQEQEPAAIS IDSLGNDDKNNETVSQQIAWRRLQFRVATANNGRRKELQQHFVLRLKLISTLSDGSRV CTVESATAPIVVRGRSPRNFQARKEIPLVGSSASPRGHNSRVSPSQKKDPLMADRPGI AKPTMMDLPKRPFQFDTVNFPPSPLIIRGGYPQWNQAQQHPGHAASVTSTFPQPSSSI SAYHNEPQRIHAVQDPPSHHGLPELTSPIESSSRHFYTPPTSSPAQFDPNPRPMKSPR HTEVPHSSVYQQFETSYGNNLAGNQNRLYYPHAPAPPPWSGTEPDGPSYSTAMHLPPQ QPLQHQTHQTEHQRQPSQDYNFASEAYVDARDDTQNIGYTWSAAS VE01_09700 MFDLPGAKRVRRDDLYNSDDGNEDAASQIDDSRARLLQDQLAQL YGPITIPSQDPVAPGGTEPSPDAPPAEAEDEEFEFRLFAPSTTAVASNDNQSSKPDET TTQRIILSNSDDEDLGDGAFTVPQRRLSWYIAAPATGTKKAEFEEAAVSAEMVQRERE HRAWALEKPWRVTVIRTGSASTSQPAAALAAKSVSVRTAEGDGKTKRTKPNKKRRIEV RIRERALAEKLEAERLRCAKMEEEKASRGAEDAEKRTARNRERKIKRREREKRKKAAA AGMPEPSGEGDSGSESDAN VE01_09701 MRKALISRIGWNQPQLVSWRHHGRLAASRSSSFSRLDHIIIASQ RTYAHGAKLDLVALDKKWQHIWGEREGPLTTPLEDEKKMYILPMFPYPSGNLHLGHFR NYTIPDVLARFRRMQGYNVLLPIGWDAFGLPAENAAIERGIDPAVWTKDNIAKMKHQL KGMNGSWDWSRELATCDPEFYKHTQRIFLMLHERGLAYQAESMVNWDPVDKTVLANEQ VDSNGLSWRSGAKVEKRMLKQWFFKISEFRESLLEDLDVLAKNGRWPERVVSMQKNWL GRSKGAQVRFPVLSFDKQDYEGIQAFTTRPDTLFGVQYIALASNHPLVIELAKTDNEL QKFLEAMPTLAADSKAGYVLPSVRAINPLAFDPKTPDATKASVPIYVAPYVLGDYGHG AVMGVPGHDNRDFGFWKQNSEDPVRVVIRSASEPAEVASNSSLSEPFPHSGVLTDACG EYAGKTSAEAGEALVKLLADNGEVAKATETWRLRDWLVSRQRYWGTPIPIIHCDSCGP VPVPVDQLPVTLPSVEGHWLRGKAGNPLEEAHDWVNTPCPECGHAAKRDTDTMDTFVD SSWYFMRFADPHNTENPFSADSADSTMPVDLYIGGVEHAILHLLYARFISKFLSTTPL WPTGALELGEPFKQLLTQGMVHGKTCTDPSTGRFLKPEEVDMENPNKPIIIATGQTAN VTYEKMSKSKFNGVDPATCTEKYGADATRAHMLFQAPPTDVLEWDEGKISGVTRWLRR LHERMERNRGVLSSLPTDPKVYFTNKPSDLNSSALLDKETATWLAVQNTITSITESLS QTYALNTVVSDLMGLTNTVLDLPESSPVQVHALATLVKMLAPITPAFAEESWQILHDS TSVPSVLMEAFPVEDGTAESLKSSRHVCAVQVNGKLKFTLEIETPSDKLKGDVLKDWI VDKITLQASSNPLVEKLKGAKKVIVVKGGKTVNFVI VE01_09702 MSRYAQVNYDTRDSLELASLASSSPDGRASTDSSSSQGVSSSRK LSLEAEDPLDTHNAAADARPAGHNRTFSVSSAFEFTSNLFPLSSTTASGYARIGEAIA PSDPATGLGGVSLEKHKTLTYLNGLSLIVGLVIGSGIFSSPGQVITRAGSPGASLIIW VIAGVLAWTGAASYAELGGAIPLNGGPQVYLSKIFGELFGFLFTWCAVVVLKPGSTAI IAIIFGEYLVRAIVGAEAESVNPWINKGVALFGLLSVTFMNCLSTKLGTRIGDMFMFL KFIALLGVTIIGIVVAITGYSASGEANRDWKTHGWFEGTSTDISSWAVALYSGLWAFD GWDNTNYVVGEFRNPGRDLPRVIHTAMPMVIVSYLLANVAYFFVLPLDAIKSTNTVAV LFGAKVFGTAGSLILSLIVSASCLGALNATAFTSGRLVYAAGKEGYLPSILGKVGVGN QVEHPSLSTLRTRSWLSKKLAVLFGDSETGLFFTPVNALVFNALIAVVYIIIGDFSTL LTFYGVAGYTFYFLTVLGLIVLRVREPHLERPYKTWISTPIIFCCVSLFLLSRAVFAE PLQTLIVAAFVVVGIPIYYWRVRGRDQMSRRQGENGERPWWKFWKR VE01_09703 MVNAVSWLERRASDAIAIQIWQAQMGEGSDQTEAQRVISSLVFE NSKSIRTSTIILAAFNGVAAFATAFSILYDCYCTSKRYGSTSNSKKFFLWAMHPAETF PLVLALGIVVQGLIFAIAQSFGLQSLMIDSCSTIAQFVFPVFGVECAIRSLRKEPFKQ RGKYDVTICISAIALMAIGTWIPTQISKQPNHCFASLMWFVTNFGVAGLGILCSIAFF AISSSVIICYRLSTHKAIDQHQRIAASRVVYYLILKLVGLAFVTPWFVTMTIKEPTIL TAMMATVVLNLVGLMTGTLQLFLRANTATTSFRPKGTPGWSGKKHEIRMWGPNDLGFG GHILQPLSGPRSPAPSSSEGGFPREKYRPSTSESSQSPRRFDMTSNETKLSSINPPPV TPTGLPSRPNQNITTGSSPPRLQRHKQSQSYSLFPNEEASTSPSRSQEPSSIISTTKL PSTTFTLPPPQINFDSSAELQPPPSLFVPRGHRRDSSMVSSATVQIGLRLTYMDEEPC PLLTDAQKEAHAQSTNSKMPPRSKSPALQVQTQNLPSLQLQLGNERLSPQERAARMKT LPPVPRPNEARIAESVSNDSESNEPRLSPTVYMPPNKSKKLAAEQDEKPRASKVNWI VE01_09704 MLSLVALVAALSINVVSATLQIIPGSTWTAKGTNQHVQAHGGEI IQVGSTYYLIGENKLDGSSFQSINCYSSTDLVQWTFVNKLLTLQPSGELGPSRVVERP HIIYNSASSKYVMWLHIDSSSYGEAKAGVATSSSVCGDYTYLGSSQPLGHQSRDINLF KDTDGTAYLLTEDRASGLRIDKLSSDYLSVASEVYTFGSYESPAIYKSGSTYFMFASH LTGWDANDNVYTTATSLSGPWAAWKTFADSGSNTYSSQTTAVVSINGVVMYMGDRWVS SNLMRSTYVWLPLTISGTTASMSNRVNWVINPSAGTWSGGPSETSPEAEASSNTLAGG AKVVTCSGCSGSKSIGYIGGSSGGTLTFPAISSTSSTTTTIRIHYANGDKSQRYGNVI VNGVSNIIAFLPSPDGNTPGTSVLTVPLNSGSGNVIKFEAYNGGYVADIDRLMVPVS VE01_09705 MKLSITALAVALLAREASAHYIFQYLTYGGTKFPQYQYIRKNTN YNSPVTDLTSNDLRCNEGGSVGAGATISVTAGSTVTFTADVAVYHQGPTSFYMAKASS TANTFDGAGNVWFKIKDIGPTFSNGAATWDLGLTYSVTIPASVPNGEYLLRIQQLAIH NPWPAGIPQFYIECAQISVTGGGSGVPGPLVAIPGAFHDTDPGYTVNIYNAFTSYTVP GPAVWTGGNSGGGGGGVTTPPATTTKSPTTTAPPTQQTSTTPPTTGTGSPLYGQCGGT GWTGPTTCASGTCKVTNEWYSQCLP VE01_09706 MKFSSSVVAAAALTGASAHTIMTNLVSNGVVNGVGVGIRVPSYD GPITDVSTSDIACNGGPNPTTPSNVVIDVKAGSTVQTYWRHTLTSTSSDVIDSSHKGP VMAYMKKVTDAKTDTGIGGGWFKISQDGYDSSSKLWAVDKLISNGGVQSITIPSCIAN GQYLLRGEIIALHAASSTNGAQFYMECAQINVTGGTGSVSPATVSLPGAYKSTDPGIL FNLYVSPISYTIPGPSVFSCSGGGGVTTPPATTPTTPATTAPPPQQTSTTPPTTGTGS PLYGQCGGTGWTGPTTCASGTCKATNEWYSQCLP VE01_09707 MHLILAYISLLLLRGAKGASLQNYASSNPRESFLREILAGSKYP NSPTTPTPRDIPLKVGIIGAGAAGLYAAVLLDSLGIEYDIIEASDRVGGRIYTYRFNE TAWLNSTPDDPDYYDYFDVGAMRFPGMDYMARITGPYNNSLIPYINAHVSSPKDKVVQ IPYIYTANNTFRLYNEVLLYNQVPPTADAFNTELTDNGTVDAQFAQLDPTKVWASVTQ KMTAALSETFTAGFNLLMDYDYQSIRSYLVSQGYTGPQIDWMETIDDATDHYDMYSMS QGALTQWIFTESSIDNWTCINGGMDRITYGMEQIISSKPILNSPVTAIKPASGGQLSL EIDSGNSKKRTYAHVISTIPLGALQIVDLTELDLNYGQRHAIRKLNYDPALKIGIKFK TQWWEKLPAPFKGGQSYSDLPIRRSVYPSYGFDLPDDTAPGVMIASYIWGQDSTRLGA YLRTPEARDTLVKVVLYDLAAMHNVTLEFIESEYLDYYAWDWYQSEWSVGAFAIFSAG QYYNVMPSLMVPAENGHLHFGGEALSSGHAWVIRAINSAYRTVLEVLKAEERDDLIEK LVQTWGPMNEVDLGWYTHI VE01_09708 MLVLRTISFFAIAASLVASVPTTSDKSEKRDASAADLGSYEYIV VGSGAGGGTLAARLAVNGAKVLLLEAGDDQGESVQESIPAFFAAASEYEPMSWDFFVR HYPDDAREKLNSKATYETPSGETYVGTSPPEGSTFKGIWYPRAATLGGCAAHNALVTV YPHQEDWSHIQGLTGDASWSPANMRKYWKRLEDNQYLANASAIQKAGHGFDGWLGVSQ AADELLMQDPKLTAIYSAVNAVTLGTKQKTIKTQSDLAKIFPIDMNTDYLGRDSIDGV YRLPIAAKDSIRSSPRDFLLATANAVNADGSKKYALEIKLNTFVTKIRFSNDTTPVAI GVDFLDGASQYSADPRASSATAGTPGSVDATREVILSAGVFNTPQILKLSGIGPADEL QSFGIDVVADLPGVGTNLQDHYEISTVVKYDTDFKFLEGCTFLSTADDACYAKYTTGS NVAGGKGTYGTNLIPVAAIRKSTVANGQRDTFLFGGPIKFWGYFQGYTAAALADHQHF SWLSLKAHEHNKAGTVTLRSTNPLDMPSINFNYFDAGTAGAEDLDLQPQVEGIAWMRK LYKNIAAPNNDFTEVIPGPDVTTDEEVKEFIKNESWGHHASSTVKIGEASDPMAVLDG NFRVRGVKGLRVVDASVFPQVPGFFPVASVYMIGEKAADVILADAASSTAGLSIDLDL DVSVVVG VE01_09709 MGITPAGRGPELLGTVGLFVALSTIAIILRCYTRICIVKSFGLD DYTALAAWILFILYSTFAMSGVHNGTGQHAVDIPKEVLPVGLKWWWACEPAYILTCMA LKLSIGIFLLRIAVVRTHKIIIWTVIIVTETYSTFFFLLFVLQCRPSAYFWTQYTGGS GTCIDPKITVQAFYGYSAISCIGDWTVGILPAFMVWNLQMNRRTKLSVAAILAVGCIA STATIIRIPFISGMADINDFLYSTMDVAIWSTVEVGIGIVASAAATLRPLFRVFFAGS KAVNGGSSAPASSHWPKSGYIRSRDANEAFALRSDISKTGGVTTVIQGDVDMESGHMK RESHDGNANPAGWNNSDAQLRGNSSDEEPPAWKGGIVKTTGMTQTRH VE01_09710 MAKHKRDMSAFSIRDAPPALRFSPTSLRMRPRSPSKSPCRQHTR SPTRSRITDDIFEDLSPARILERFTSASGELAKSIEASTPDQKQFGIRAAVASKKIEE WANEVGGWPWPTIGADGFVMPEKTYGIFGDLKEDDMGLEEEADTKEYWGSLPAPLVEL YSNRVSEIFDDMFDLDIEEIKNKVLDLVATDAQPATATGEHSRPTTPVKSKYTRLDTF TGMITHTVLDSLPKLHRLRLLLDVWSIRIDILRDIPSTLESLRDADTMLQSAWVSLKA TNSSGEEMMLTRSDYETMQVALGETISDTGKMIDSMLDRLDGRVDTVPGPWLDQMDAV ETDYGNWAIAAERKVQEGEWAVKAAKKAELEKSLQMLQELADQQAAMADVGTGDEVAI EEEWEKVQIEQVAVSDHGSIPATTAENIPISDDQVQELPIGSLAISDNGSDFGNPLAM ESPATNAPQSDVPNTLQAYAESPESRSDRDFNIPWGFDGQDDRSPTAGRGTYKRGDEA GSPSPPGTREDAGGFWTPSRASLEPSERSFLLERSRTPSVKGRNTPPRLPLPYRIHTE GSRPGSPEAIHSMAASPSPTGSSNLRPFILGTSAVVSSQPPSPIIPRGRSSRNSVAPS GWDVGDSQSVGSGNPTSRRDSQTSPTSPIFPSFRGSPLFPGSRSSSISLRPSTSKIRS QTLFGDEEELALLAAASDRAAQMLSTPPARTGHTANERKSSPRPHIPTSDPFTDVPQL VPTPTTQAEAPLTPPPMAASESMHAIMSPSNQPALTRQFSDDECPPSPSKRTILDDGG TPRSPKKGKKPPKERRLSLLSMSDDDDENPRSSANRPALQPGWPGLQESAPKFEMRSP WARNKNSESTSSTRRNSTASLGEKDPQKRSGAPSTPLEKASAFSAQRDGADTSPEPQS THQTAKVVIDDVFVTQEQDYKEISAAPHTPTDLEHATSNPNPNTHGSGASVSFKAIIT PVEDACDDWPRFSDSENPIKVSPPTSASNEEEIPPMVKEDSLMDYQVDGGRSYDLDNS RHGSVVSFGSNDTVFKAHSRADSAGKLPVLNNIMTFESPRIVEDLASDDGYDLDFSPS TRRTILYSNDIRQSIEEDYSEPGTPVFSVPPNLDFYAAEDTELDASVAEPLVSVDKLA LEAPSFTNVDVDLQYSQASPTKISDDQLQQQISEILESIPAKIELSNAPIPPQKSPMK PKHTIRKRPSRPTLSPASRPSYSRAPTPSFTLAPAKTRLSRPRQQGNSDIQLYHLMRS TGDPPIKLFVRLVGERGERVMVRVGGGWADLGEYLKEYSSHHGRRAERSGEIQVELPT DARPRMGSIGSLNPAPGMLRTGPNGNVWSSPGSRPGSSMGSRPGSSLQVRKRGARVSD VADSRENSVRSPSMPLPFTSTTLRANAARGQTPPARSVSRQAWSVGPDGEEVHLGPSG PKNKKGEMDEGRKRWVDGVVEKVRQVSVESSQEGFGALGQVGGTRRVFRKG VE01_09711 MGSAQAVLSVLILLLVIVRNHFPGRLFALLHRHRNLLTHPRLPA FIHRYPHLQAPPPHQPRIEPLVAIPQPPPRPPSLGHSPLGRLAPETIQLIAFFLPPSA AASFASTCLSIRLMTGTEYLASLRASPTELLSLLKYTAIDQPLDPITAVPPRLLCLYC NRLVPYMTLCSASPTPPCLEAYAQYHPYVPPTFRHQIFHTAMTLDQHDLCPYALLRNL KPCSTATTTYDGGITSQSAWEYRIVAGSLYQRTRVSMILPRDDGNYNPTVHPCPHSAS YQNAMARKAAEVQEQVRQFPKLRAISDMVSCRRCRTVLRVGCRKFRGLGMGLFVTWWM DVGFANGRWEMGSGKREWTGWKDVWYTRKWAMDRFEHGCSSQRYFDFEGMDSLKDRRE LLAAARSVLKG VE01_09712 MTPPTTSPDSSPRSPSSHPPSPKRKADASNTAASNRPVKRRASK ACQCCRARKVRCNVVEHGAPCTNCRLDEVPCIVTESRRRKKHPYHSLKPTAEETIGSP APGVHTHRHAHMELPHQHSFSAVEALANGSVNPIDISNGNFLGEDHSVSSVDQDDEMG NFHVPHDHNQMSNFDQSATPGVFSLEPPTFTNLVSGFAAELAPTSFVPTNPLPPPPQQ RPQSARQLTNGLLPAYIRPLNARIPIEDVGHLWKKGALTIPDVSFRDALLSAYVDYVH PYMPLIELREFLGIVEEGTGDSGRISLLLFQAVMFTGVAFVDMSYLTAAGYATRKDAR KAFYLKSRALYDFDYETDRVAIVQALLLMTYWYETPDDQKDTWHWMGVAISLAHTIGL HRNPSPTSMPPRKQKLWKRIWWSCFMRDRLVALGMRRPTRIKDEDFDVPMLEIEDFET EEFPSHILSSCGTLRNSSLLTDLGHLCIAKAKLCLCISHVLGAQYSVLIRDQGQAMGQ EGNTRSSVMLFPKKLDQTDEVRDCDSELAEWLVSLPEPCLYRAPTPADVDAGQASLVV QRALLHMCYHATLSALHRPQVLPSAETAAHPQCRALQDVSRRQVREASREITRAAQAL FHLQLARYLPTTGVTVLLPAIIIHLLDIKSPSSGTRDEARRGFATCMGVLELLRDNYA AADFATQFLGAAMRKAGIDAAESPSARGGDMANARAGLTPPPDSSTGASGAGAPPPSS TTMMGTVQAGFWDGTSGTTPGGMGVGEVTTPPDDDDADAGMKLENCVGGGEEGVFEEQ FGALVDFGEGGAGGDGAEMVGGMHGESGGFMMGGMEFGGGWGGVMVE VE01_09713 MTNIADDESTEAIIVASCKQTRFHISDTDKSTEIDIAGLSLGIA PSGAAGKAKKGKGKTASAQEKELLVNAELRLKSGIHYALLGRNGSGKSTILRALADRI IPGLPPSLRVAILQQTSTDAENLSPAKDGPSTSAPEDTTVLQHVIEGDQSRTALIQDL QALAGTDSDREDVIKQVRRYRQMRYNRLNRDLLEVQNNAYRRSGARGSQARKDLIAFE KEVAEATEKLAEEIADPDSPAIQDEFLTASSLLVDLEAELETMPLPALETRARSILTA LGFTEATLAKSIPTLSGGWRMRAALARALLQKADLLILDEPTNFLDLRAIIWLQSHLI ALRDSQAGTTVLLVSHDREFVDSVAEEVILVKEQSLEYFRGNLSAYEEDFRSRVLNLT RMQEAQGKETARLEKSIRETIKVGKKTGDDNKLRMAKSRQKRLEDGAGMQVNEKGQRF KLSDRMGWFDSKHAAIEIPKDEQGVAISLPPAPDLRFPGPLISLEDVSYRYAPTSPLV LQNITLSLHFGDRIGIVGLNGGGKTTLLNLLTESLRPNKGVVTRHPRLKLGYYSQHAV EDLQTLGRADAGLTALRLLAEDSAGELAEQEMRGLLGSFGLQGRTASDVPIAKLSGGQ LVRLALVRILWSRPNLLILDEVTTHLDYRTVIALGEALIDYNGAVVLVTHDRYLVRRV VEGEVFTDDGDDEGGRGEEEEDNRRRVVYSLSGGKMKVLEKGMEGFVRVMEKRVAKMG I VE01_09714 MGSRPVTDAEWDSLSPDFPNLDRSYTFWQAPETLSYNCIAWSMG ITWTWIDPPSDQNSFTLLYKCLGFKPCAENLGTVDGYALNGEMTHASCLLGTTWSSKL GEDFRISHPRVGLVGGILYGDIVSHYCPATTSWSLPQDLELEPASQEVVQQIATLADS IKTSYPELTNNFDTLYAAWKGTWFSTFNMALQQSSAARATGPQWEAVVALGPTVLPLV VAKLTTGDDAFAVQLYNMLETDATKRVDPDELTTYYFLNNQAQADVTLFSSDVSAFNA LADLWIQDQSHILRSSRSTDYLNHDSYNRLVRMGKSAIPLIMERYARDQEGWWHELMY EIVHQRRSGSMTFHKVDLFAYWKDWFQNPSVSQASSS VE01_09715 MSISTYSIQVYNDSGIPQNYLLFQSAPQLSGDGTVFTNVFQQSQ QIPSGDNSNVIFNMTNQYYAVIGTAPTPIDDGVKVNTSSYIPVQLSSSSQGGTKAIMT TSGGGTGCSFDDASQTLESDIENTFEIETNDSFSYPSPGNIFLGMGADSPSGVVPVAT VTAAPNQKYYFNPVARWYIGTGTYTPGTVVNITDIGNIQECDFTQNQLPQTYYQGPDQ LYHPGTPPS VE01_09716 MLAKKNFWDTFCTLPREIHELIFAHLDLEDITCVGIASPNLWDM TREIVMRYYYSLFGSWAGDNIVCARDEILPGDYPLGLFSAKEEEDMDKTFLVLIYGSD GYYDDSDFEYGEGGEDGAEQLTLHNLSKSPGTCFYEEFFVERESTRVFGAC VE01_09717 MPPPKTRRATPTPLPASPPSNSSIAKDGWGSRSEFQHSYGLGMT PQGFEEGNRILDSFRKSDMQEREESQKGAPERGGGAAGK VE01_09718 MRPTSVSLVGLALATVSAAQTVTLYVPGTDEQPLVASIIGSDAT ATTYAISCDPGASAQDCGIEGTITLTEGPTTAKYTIAPVIDPNGQTALYDPLPHNREP LTVAPLPLILTLTPIYIHRHITNIHSAGQVHCSLAGTTSAVCVESFGGSEANFPGVST ETYTGTDQPYMPVTIIAGAVGANRIASPSTTGSGSDSTQTSGAGAGAGAAMSTADAKG SGAATTTTDSGPGAVSTGAAAAISQGAGWVLGGAAMAMAML VE01_09719 MAMQTVDVPTATTVPLAIHPSPSHLEDGYPMTALPKPNSLNTIT DPEANNVDPAEEPLTPFLKLKLASAAFAFFTAGVNDGSLGALVPYILHTYGISTGSIA ILFACAFAGWAVAAVVAGISRAKLGSGGVLMLGALLQVLTHVLRVWTPPFALFAVTFF LAAVGAAFQDAQANAFVATVKSAHRWLGAIHASYGAGCVVGPLVAAAIASNRPGQWAL FYGFAIGIAGLNMGLVTLAFWEDATFGKKAVSESGEESEEEAQGSFGKAWVEMRETAA QKEVWLLSIFYFFYLGLGITLGGWLVEYLVTARHGELSKVGYFSTILSVGTTLGRLIL VEPTHRFGERRMLLIYSVLCFGLQIVSWRVNSIAATAVCATLMSFFLGPFFAAGMTTG KMLIPPAKLAPSLGIIFVVAQAGGAVFPAATGVIAAKAGVGVLQPMMVGLIVAMAISW ACVPKPQTKED VE01_09720 MTGLWSPSNSQNAPPPPTPAPSEPPSDPAPEDTTLDTTLDAALN ADIADTGIVDIGPPPGPPASHEPNPSRPQIQRDQVAPPPPHQPPPPPAPQQVGHPDDS LSLLQLKRIVSDFPRVEPTSYAFTYADTASFEEEIDEWFGYANTESLRVNRARVCWGG RWKQSSKKLWMEEERSVKSEFVRKECEGLKGEAGGRRKSLQRIMHILLGVWDESAGTT KPLAHASRDMGVGEEEPQIRSQATKSQVDAMKDGVALVTECGGVAAAFQAMAAILGGV RNEEYRELRESQMLQGIGQLPDEIDNSMTVMYILIHVTLTWPEEFSATKEALGALKPN LLEYLLTTVAKLRWDDVPELPQNKIFNLFWKSLLLIFGNMSELDTVKAAVAENLQAEG KKSELITASPLDYHIFRQEITSKYPAYIPPAPLLPLELDNNSILPPLPNHPPRNTGQN GIIPTPATANSGGSILHQPVHIATPAPSPPPSPPVGGKAGKKQNYQTNQNFPFMYPPL DSTSNNAGGKGTAGLQGELVGRKWEGSEIPASILEAGELFAKRMKMTRAMRQLWDERE AFLKHERGWDGHGGEDDPDFDVDELELDEALSRRLEALGREDEEEEKKVELDLGSRPV SEDVKKRLEVVEDFYGNALPQMQSLVIVLLKAVLANVTALITQPITGQPQQQNGLQPG FQSESNLRNNAAGQRRLAHAQEAQNPSQPAVFDVRDLPIEDLEALRQREITLKAVSGI LLVLLKWFKVSYVLKFEYLTQLLLDSNYLPLILKLFAHQDVDKLVDTDSDRAALSFFT YCNKSSKHPGTPPPAENSESEDDAAPPPIKRNRLSPSSATDKLQAITYRSPSAIHSPL RVDELGAPLPVVPAPPSTNYSWRNFYSAITLLRVMQKICKGKAHRNLLLVQYKSSNII KKALKVPQHELRLYTLKLFKSQVPYCGRKWRQGNMRVITAVYLHCRPDLRDEWLSGSD VDGDMEEALPLEQALRSLTHWGNVRRYKKVMAGEVSTDGGEGDFFRRELEKMEIMVGS DGEEVEAETGWEGAGQGWQ VE01_09722 MAPGIASAKVTLSYPLYACDFDPNDPTRLVVAGGGGAGKNGVGN KITVLDASEPDNLGEIAEAELSKEEDNPTSLAVSKTVSGFTFIYAGVNSSTKDVEKGN NEHFRVYALGKKQKKGSPIIQEVSREQLFKSNDKATYQRLLRLSRPSENGVQLGVVAT GFANPSRLAIFDTADGKKSLSPRGVLELDREAEDVDVIQTGPEEYRVAYCDNHSIFLK TISPKAELEDAIPIYDMPGAIGEREPGSEPPSSFRSLRFLTPNFLAVLINKPARTGAQ IRILRITLEPTGYVANPAQTLFLPKKVTQATGLAVANLNPPPIAGGVQDNAHFVLAAA DQASISIATLTHEVAHTVTFMSTPKLLTRVEDVHPMQITGLAFAPFTPTEKEGSAQTL RLASVSVGNTVVVQSLPISFSQEKKQYQVALKPASKAPEFGVRNAISVLAIVAIAVLL QTILELGGHSPPVLRAEERLPATIRNYLTTREPAHWQHPNEGASSGGAATYEAFADHP VASLLAALRSEAAEGQPIVIRPAVGERGADAETEKLATFNAGDEEGAREEIERDIKAG ARAPIDARLHDEEEHGPHDGRTWEELGEEQKKAWEKRLREMGHWAGDLPETLFKGVLF SEIAGAVGRGIAGM VE01_09723 MASTREDPTLEEPFWNDEMKEQCDQAKSFWKLWIGRQCGKCLRF HLTEEEIEILKEHQENFEDSRTLFSGVHKLLAVDIANRTEYYETCRIRSKWGEMHEVA LFTMDVKKKYRHATGKRRKALWEYQCKTEQLSVEMDDIAEIKCMIDA VE01_09724 MFIPSSDGDVQGKKIHAIGNPFQGYQLEITEYDISKDERKYEAI SLGSINDYGLSQLDTKARSIPAPGVSKNPLNRFEVDNCQSWLKRYVDLLVKDGVMAQP ARDALDDAPRI VE01_09725 METHEAAVSVIAGRVRQFHNRQQPFRIYHGSTNSTRRSQYNRSN IIDTSGLTNVLHVDKEKRTALVEPNVPMDSLVGATLPFGLVPPVVMEFPGITSGGGFS GTSGESSSFKYGFFDRTVIRIQIVLPNGETVSASSTDKPDLFWGAAASFGTLGVITLL EIQLIEAKSHVELKYHRLGSMPQAVEKLQEESQRESNDYLDGIVFAKDWIVVCSGRLV ESHGQEALVQRFTRRRDPWFYLHAKKLTSRPATSAPVTEIVPLVDYLFRYDRGGFWVG MYAFKYFVTPFNRITRGILDKFMHTRVMYHALHQSGLSKQYIIQDVAVPYPAADEFVE WLDSNVSLYPLWLCPLKQRGQRKDSPQGLLAEAANADAPEYLLNFGIWGPGRTDPQEF VDINRRLEQKVNSLGGNKWLYAHAYYTEDEFWSIFDRKAYDALRSKYGASYLPSIYDK VKVDFASDQKAIRESWLLWLVAIFWGIWPLQGLYGVYKAAIGGDYLLPKERIWKAQGA KED VE01_09726 MSAVHPNASWHPAFRPDSTATESRSDSYSAINTVATEGNREIED DTSTVPQPATPNTATPKEDDVSPAHEQPGGNEEHPELGGLREALTTSLGSPGGVEMDN YPSHAPETIREEAQDQAQITHDRAEIPSSGPSNANKHMSNISFTRTVGEDVDWGEDDE VDPEWNIQSKDVDPFQSMPPTDRTNSFPAVPPAHVANDTAPNGYHSQAGDMMLGEEDN TYNEPSNGQQTKRSHRAKQSIDWGTSGEYGDDEFNLGMVQGGDIGEDSMEASQYEEGV HLVQSAHLNPPVKGHKKMFSTSKLFDDVEAEDDGGFFSAIGSDTTEQPPRLDRKSTSE VLNSISHDLHADTNGNIIDDGHEARRAKSISATTGGGIATSKSTLISDVLASIADTNE EALGGDNAVQESKPSEENLAAKWQAALDADEFLEDDDDLLPDEVPELTPELDPEAVFG SDDEGFLDDDEPELANGPDSQGQMPSFPKPITGPNGNVIGFDQLIGSAQTTGQSTQNR YLPAAAAQTAPQQPKNMYAPAAPLFTDLSGQFNPAGTYGTVQPSAQVPRPGSSGFGAQ PQATNRPDLGPKAQSFADKTKGGYASPYDLPMDVTKPRKRVSMQQMSAAYGQAPVPAP PLRSNSMHIQNQPQTSPGFPSPPIGGMGPPTPNAAPQGFPSPTKQGPPTLKSKGSSFF EELPVVAKQRAPRTPSQPTSPSLAGLQAPGGVSPPPTSMGPPQIPPPRQQYAEPPTSA HGLVTSPPVNPYSPIVAQEPKAAPAISRYSPAPVQQQSPGYAPAPVQTQSAYSPAPVQ QPTTGYSPVPAQQPSHGYSPAPVQQPATAYSPVPAQQPSAGYSPAPAQQQATAYSPAP MQQQPAVLPQGKYAATPPLVRHVMSPYAAAPLAPNPPFAHQPRTSSPLAQFERSSEHQ TSTSPPANLGYGRTGRRESSSYNGGRDSHLTLAREATEHAAIDRRRSQDQGGFSPLES QAPSQLRPSPTALQSPYEPGLISPSKRSLSHAPDNREPLAEVEEPAFEPPKRSQTQSP GSAATGPRTRVTSGEIHPRPSSSSVQSPTSPPRQNRGYAPITAEAAAPTQKVHGRTVS QGLEYIAPTDGREHDPLQRWKGAPVFAWGSGGTVITSFPKEVPRYGMGSNVPMIQPSP GEVKVRSIKDIYALDERTSTFPGPLKGKAKKKDVLTWLSTGIESLEQQNDHLRSSSNL SHDDMRKEERVVLWKILKAFIEHNGVLEGNAEVEKAVRSILSPELDNEGATDAPFAIG ADLGGIHRSAGSAPLADPVDPVAVDTLRKQLLKGEREKAVWSAVDNRLWAHALLLSNT ISPDLYKRVAQEFVQKEVKNIGENTESLAALYEIFAGNHEECVDELVPPSARAGFQMV STSAATGPSKSAVEGLNHWRETLGLILSNRSADDSKAIQSIGKLLAGYGRSEAAHICF IFARSRSVFGGIDDAQSDIVLVGADHQRNPYGFDKDTEAILLSEIYEFGQSLSSTSSV PISIPHLAVYKMQHAKLLTAFGQKSKALEYCEFVVSSITSQTRRSTYHHPLLVSELDD LSKRLKQSPKDETSSWIAKPSIDKVSSSVWTKFNKFVAGDEKDDAPAGGDDIGGDVGP FARIAGGTPTISRTPSVADIYSQGNIPNTISGAIPIPIGGKAVSRYAPGGSYGSNAAM GSSFGSPAGSPYAGLNIGLPIPAPNGQQYPVSDSRVVSPGSYDSRYAPTSHPEYAPAP QLAPTSHADYSPQYDAPYASSPNEYRAPEYSQAQPPKAEGSHNGYHAPETSGAIDASS NYPLAPITPSSFEPPAYNPYETQPSTSFEAPVSAGYSPPEQGGYTPPSYEPNQMNDEP SSPTDTGSKKKSFLDDEDETPRKPSGGAGEMTKAEKDRAADEAFRKAAEEDEKRSSSQ PQKKGWGLTGWFSKKEASPAEPQQAQPGKPIKAKLGEASSFYYDPELKRWVNKKGGKD EQAKVGITPPPPKAGPPRSDSGSAPPGMGVTSAPRSASSSSYDGTPPLRTGSPGSFAP PGTQSEPPATSGSLEPPVLQRNFSNTSTRSVSGGSNPSSRPNTGMSNASSIDDLLGPP VARKAGDRPKKGKKGRGYIDVMGDKAAASG VE01_09727 MSSSTHYSKPNAQSPPAEDSDSDLDIDLQELDPITTSNPSNGLA PAASRRSHEEIRAHQERKGHIALRNLRMGGLRGTGARHRRYGELGRGRDGEDSGAHDD QGGDGGWNTDNSATNEDDAPLLQGSKRRRSRPSLDAYRSTVSRMGSRFRMPSFLQSPS RPEEADDDENPEGEHDPASSRIVAVGGSQAAKFPPNAVSNAKYTPWSFLPVTLYNEFS FFFNMYFLLVALSQAIPALRIGYLSTYIVPLVFVLAITLGKEAFDDIDRRRRDNEANS EGYTVLRFDAAGYDNGGMSSRRRAPQSARKASKKSRRRDRLGDIREEEEAVESDGILS PSEPSATYVEVVKKSRDLRVGDVLKLGKDQRVPADVVILQSYTHDSSAGDGADDQPDV TNETEDLLGDSVSTSRVEQTSPAENAPSAGGDGIGETFIRTDQLDGETDWKLRLGSPL TQSLAVSEFVRLRVTAGKPDKKVNEFIGTVELEPKSHGWGSRQSIDKSQGALSNVTEG ADGENSDGSKTASLSIDNTAWANTVLASNAVTLAVIVYTGSQTRSALSTSQSRSKTGL LEYEINSLVKILCALTVTLSIVLVAFEAVGHTVTGKWYVKIVRFLVLFSTIVPISLRV NLDMGKSVYSWYIQRDKGIEGTVVRTSTIPEELGRIEYLLSDKTGTLTQNEMEMKKIH VGTVSYANDAMDEVASYIKQGFSIPTSGNNGATLITPSSTYVATATNATATRTRREIG SRVRDVVLALALCHNVTPTMDEENGETVTTYQASSPDEIAIVKWTEAVGLRLLHRDRK GMLLQSVDTGRSVVRVKILDIFPFTSEGKRMGVIVQFYEGNESTPRSDLEAGEIWFYQ KGADTVMGNIVAANDWLDEETANMAREGLRTLVVGRKRLSAQQYKEFAAKHREASIEI QGRDAGIARVVSQYLERDLELLGVTGVEDKLQKDVKASLELLRNAGIKIWMLTGDKVE TARCVAVSSKLVARGQYVHTVAQLKRKDNAQDHLDFLHSKLDACLLIDGESLALFLRY FRDDFISLAVRLPTVVACRCSPTQKADVAKLIREYTKKRVCCIGDGGNDVSMIQAADV GVGIVGKEGRQASLAADFSIEQFCHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIVAVC QTMYSIALKFEPEGLYIDWLMVGYATVYTMAPVFSLVLDRDVDEDLANLYPELYKELT SGASLSYRTFFMWVGVSIYQGCIIQGLSQILTEVEGNRMVAVSFTCVVVNELCMVAME ITTWHWVMVVCLVGTSLAYVASVPFLGGYFDLGYMMTLGFYWRVAAICAVSLIPWYAG KLIRRRIKPPSYRKVQGI VE01_09728 MKFAQSLIALAAAFLPLIAGAPVADQPHLKIRNPTAQNVIPNSY IVVFNKDIEAEDVESEFASVNSILSKRSSTHKGIGSKYNLAGFKGYQIETDTATIGEI ASSPLVAWVEKDGKVQANALTTRTGAPWGLGRISHKAAGSTSYVYDTSAGSGATVYVV DTGIYIGHSQFGGRATWGANFITGSANTDENGHGTHCAGTIGGSTYGVSNQANLVAVK VLDAAGSGSNSGVIAGIQWVATNAQSKGIANKSVLSMSLGGSYSAAVNSAVASTISAG VTVVVAAGNDGKNAANYSPASAPNAITVGATDSKDVRASWSNFGASLDIFAPGVSILS AWIGSSSATNTISGTSMATPHVAGLAAYLIALEGLSSPAAVAARIVSLSTKNVVTDPS GSVNAVAYNGNGA VE01_09729 MTCRASHWDEKGLNKTCATCAGLHQCQTCPTEFQLDTVDYGYNY YYRRLIVTRWLDLGEGRTPEDPKWKSHLGSGDRSQAKPIPFEAGSIKATFEKYQVRPF LSRRNWLYFLFGYGEIFEHSW VE01_09730 MPRLSNELLRHAFTINPLLPPLLRTCRTLPSAHNELRWLRQHVD ARLEAKFGRMKDVPAPLRRRCVVNLVKKRARGVPLQYILGSQPFGDLDILCRKGVLIP RQATEEYTYRLSSILLSTPSLRTDPKQIRILDLCTGTGCIPLLLLSLLSPTLKTTVTG IDISPTALALARLNTTHNSPPLSSAHARFASGDVLASGAALLDEAHRARQDEGWYGDE RFDVVTANPPYISRLGFNRDTERSVRNFEPRLALVPEEDRIGGVDKGRLEDIFYGRIV EKAEGVGAKILVMEVAGTEQAGRVARIVKVLLVLYDGGKHAEEFPELLGTTENELGIR KWLEDAGHTLVTTSDKDDPNSKFDQELVDAEVIITTPFHPGYLTKERLQKAKKLKLAI TAGIGSDHVDLNAANETNGGITVAEVTGSNVVSVAEHVIMTILVLVRNFVPAHEQVAA GDWDVAAVAKNEYDLENKVVGTVAVGRIGTRVLKRLKAFDCKELLYFDYQPLSPELEK EIGCRRVENLEEMLAQCDVVTINCPLHEKTRGLFNKELISKMKPGAWLVNTARGAIVV KEDVAAALKSGHLRGYGGDVWFPQPAPKDHPLRYAQNPWGGGNAMVPHMSGTSIDAQK RYADGTKAILDSYLSGREDYRPEDLIVHKGDYATKAYGDRAKK VE01_09731 MSVRPILRPSWRALSKFSSKRLMSRHAPPFPTIPSCPAATCTCA PMPEFPEGLEIDHSKPLNGTMAPYAEQLLVCTGQPDWLSRVEEENAGDNLVADVKELV GRGGIYSDPYHNTPLTNSSFQATTPPPYLRDVPTTSAYLLPSFTYVPLIPRSFDAVQA LVKGYLLPTRLNPAHEVLSPIHQDRLKRSEAYQAFLPPAQEVEDVLVLICGHGGRDAR CGVLGPVLAKGFEGALSRAGVEVLDGPVPLATSSPSSLIPGPVTGPGMSARVGLISHI GGHKFAGNVILYIPPSAKLKGGEPHPLRGMGIWYGRVEPKHVEGIIAETLGEGKVVMD LFRGGVEKGKGMLRL VE01_09732 MPSPTDGPIGGYAPGQFMNPGPAPRPPTDRPRLNLTPATANLPG NMSSLSIASPITRTATSTYSGSTVSLPLARQNTNPSQDGNTGQGGVSVIKEGWAIVKD GKFINPWRQKYLVLRKEALDFHKQDGGKVSYTIVLKDVVGVSRVEATGIIFEVKRYSD GASTNPGDDTDGVRTLQIKVKSDDDLYQWIDFIYARCPGMGGVSNPTNFSHAVHVGFD NTTGKFVGLPPEWSKLLNSSAITKEDYERDPQAVFEVLEFYSDITKRNENPEKYPSLT PTPPALANQQHKQLGYSSSGSSVAPPRQSPSSSQRSGSNGSPSNMAPVSGSQQQQIEQ MRQMASQFKPQASSREDLRQNEMQQQRDRERREDEERERREVAEYNASLPKTRTPMAQ QEIGGGGYSSPGSTERYNPTRAAPPAPGGSRAPQANGSLRTNQRQAPPAPTSASGPRP GLGSQQQSSSSIPRDAQQSRERDQNGRASPRSEASSQRSESPRYQNGGQPQSQTPRTQ PNSQAQQQASRMQQPAVSQPKPLNVQKTAPSAQAPAQSDGVKAAEAALTSKPPTPRKN DVRMSTMSEADVMIKLKEVVSKDDPGLSYAKQKKIGQGASGSVYVAKVKENAVSPIAR QVLRDQGPKAQVAIKQMDLAHQPRKELIVNEIMVMKDSRHRNIVNFLDAFLRNNFTEL WVVMEFMEGGALTDVIDNNPVITEDQISTICLETCRGLEHLHQQSIIHRDIKSDNVLL DARGNVKITDFGFCAKLTETKSKRATMVGTPYWMAPEVVKQKEYGPKVDIWSLGIMAI EMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKAFLSVCLCVDIRSRASAD ELLKHDFLKHGAGLGSLAELLAFKKHAK VE01_09733 MASATTLKGQPLDRPVLDSLLRRRMFYTPSFDLYGGVAGLYDYG PPGCALLTNMIELWRKHFVLEEDMMELDCTALTPHEVLKTSGHVDKFSDWMCKDPKNG EIIRADHFVEEILNQRLKGNLEARGQQVEEKEVDPAKKKRKVKETKAIKLDDAVVQEY EEILAKIDNYNGEELGELIARYEMKNPNTGVMPEPPVAFNLMFQTSIGPSSNAPGFLR PETAQGQFLNFAKLLEFNQQQMPFASASIGKSFRNEISPRAGLLRVREFLMAEIEHYV DPLGGKKHSRFAEVKDVELVLLNRTTQLSGKTNVETVTIGKAVSDGIVDNETLGYFLA RVQLFLKKLGVDQTKIRFRQHMANEMAHYATDCWDAELLTSYGWVECVGCADRSAYDL TVHSKKTGIPLVVRETRAEPLVIEEFAAEVDKKKFGPFFKKDGKAVEAAIAATTQEQR ESLAKDLAQNGKIAIEVPGVGNGTVEISKDLLVIEKRTRTEHTREYTPNVIEPSFGIG RILYSLLEHNYWTRATEGNDESRGVLSFPPPIAPTKVLLVPLSGHESFKPIIKHLSHK LRAMGISNRIDDSSASIGRRYSRNDELGTPLGITVDFQSVQDKTLTLRDRDSTKQVRA DEATIIKAIQEVVNGEKEWKDIEKELPAFEGQDAEVAIPIR VE01_09734 MVAKRQKPLSSKAALPFVTGNKFKRQDLHVKQKKARDSLRRDER FKRKREEDKDPELRRERLARNVPMTIDKKRVWDEEDGDGLNVSVDVEQLRRRRLEAAE AGDAMDEDLPEQAAEDDVDSMLGSDEEMDDEEEDTEHSARRQRDASSAPSLAASTTST NLDLTPESLALKFPTLFTDEPPPAPKVLLTTSLNSTLHNEAHLLCTLFPNSTYIPRSA HRYGHKFSVREIAKFATNREYTALVVVKEDAKKPTGLSIVHLPHGPTCHFSIANWVEG KKLPGHGNPTNHYPELILNNFRTPLGLLTARLFLTLFPPQPELQGRQVVTLHNQRDYI FVRRHRYVFRDKRATEKSVVGPDGEKVKGVEEIRAGLQELGPRFTLKLRRVDKGIGRA GSQGDDGLQWEWKAHMEKKRTRFNL VE01_09735 MSADSSNFTTTTHRAPYAGISPSRPELSQRGKVVLITGSSGGIG FAIARSFAKAGAAKVIMTGRRQGLLDEAVTTLSVQFPKTSFVAHQIDIAKTADVEKIW NQFDVEGLVVDVLVLNAARIQHVGSLVDIGYREVWADFTTNVGSHMVFTDLFYHQKKR HSSKRLFLVNVSTCAIHDFEIAAQRPNYSASKSAGTILLQQVAKGISADDMQVVSFHP GAIFTSAAKDAGYNETTMKWDDEDLPGHYAVWAASNQARFLHGRFTWAAWDVEELSSG EKRARIDRDANFLKVGVVGL VE01_09736 MDPPQPTLFSPQRRKACIPCTRAKRRCDKCLPACQRCVEKDACC RYPSNRPYARREVPLSNTAESPKSDPRDSLLHPRIGQAGVPEALEIAHNSRAGRDDSG DEPPTLDLNSPVNDIEATTSSWFLRTETWVIDHSYPDPHDSPSIRLSDLKHFIQTVRR WLLHWIRENHCPFMHGQLYTDLGLPLCLLDAYAAVIVYQSKNEKNEEVIMSIIEEKAN KLLNQQPSLADSNVEAMPPLRTAEHLARVQALFIYQFIRLFDGDIRQRAQAEMHIPTL IEWNSKLWESANLDACLQTSFGFGGLFSQNLSNDRHYEPSGRPWRDWLLAESIRRIWL ISNYVQCVYLAMRDGQAACIGGITFTARRGLWEAPSAATWTQL VE01_09799 MLVALACVQSTSARPQNGTEYPTNFDSHDLDRRAGSFYLRILPL GASITFGVDATNPNTGNGYRKFLRDQLRYDGWQVNMVGTLSSGTMRDRDNEGWSGFIV DQVKVRAENVVPLLLPNLVLINAGTNDATQNINIPDIGERMRGLITYIFTQVPTTVVV LSTLIPNKDQAAQGNVDKINVIYRGLVKELQAAGYHIVLAEMSEGWVTESDLSDSTHP NEGGYKKMAASWRNAIGVVEKNGWLQAPSSSVAFDDSVGGTNTCEKAYGSGNQDPRGR TQVLKALSPRIIDDGKYTHSSQSMGKIHQGFYVEPDTVWFAQLVNAYGADRGGERDDW VFSQPDGIHMRLNLGGGTFGDKILIDTKSTCPQEGVRWGDVNNDGLDDFICIGPEGNM YVSLNRGGNPPQFDSVGLYKTAPSGYALTNVRLGDIDGDGRLDYCVIAGNGDIYCWRN GGQGDMAAYWQDFGEGKPVFTGKGMGNIDGVRLGEYAIPFFFALPGGRVYLSVPELPG FDINGDFRSDWLFLDDNGKVTTYINQRNGDKGLIPYWDSVGVTHAGMGEPSRSQIRFA RIYGSGRPDYVYIKCITYADKRCDYEVRAWQNTGSGGKYQKGDGAHWCDMKGGGNDDY VFIDHLGRITIFKNSNSPPNTDYSGWNDEGIVLETGLDRKALHLADWNGDGKCDVIAV TKATGAIDVWYTSYNWQTDTFSFSAKTNVVASGCTQGWGVGPFDLGMRFPDIDGDGRA DYMCLEKDGRTTGWLNKATGLQWMDQIKFSVGKDRANHRWADVNGDGKDDFLWIDKFN GDTSVWYNMGAKQISGSSFWWDPRGTAYQGSSSGANLHFPNLGGVGRADMTEVNPKTA LGYTWFNSCPPGGDDGAIVNPGLPLAQAPGGSGEVATTTTVAPATPTPTPTEPTRPYT SEVQCVDDGVTNRWPGYLVCHDSGGWQMSCDECLGRNGYEAATTVNGYLCHMFVENGE MTLTACPGDPAPDTLPDGSPGPIEDGIVEAFCQSVGKMPGGVPVELATWGACFMYAYL KANNALEQNTAGLICMFTLWKG VE01_09800 MSDLPVRTEKAGPLNVLMVGTGEYTTGFVDGKASGSDKKVGVVG LTLFDLRRRGKVGKLSMVGVSGNKFPAIREHLKKNITDAYNGLDTSFESFPANDARDP DAYKTAIDALSPGDAITIFTPDTTHYPIALYAIERKIHVLLTKPATKTLAHHQELVEA AKKAGVFVFIEHHKRFDAAYADAKFKAQKLGDFDYFYSYMSQPKSQLETFKAWAGVDS DISYYLNSHHVDICDSMVQQLGYIPTKVTATASKGAATDLGCDPVTEDTITLLVTWVK KDDPARVATGVYTASWTAPLKAGVHSNQYFHYMASGGEIRINQAKRGYDVADDTAGQL VWYNPFYMRYAPDEEGNFAGQTGYGYISFEKFVDGIQALKEGRATLDDLDNRGLPTLK NTIATTAILEAGRRSIDEGREIKIEQADGIWRLV VE01_09801 MSSSTPNAANGGAANGSGNGSAAPTSRRPLLPGIYAPTLTFFHA DTEELDLATIERHTVRLARAGLKGLVTMGSNGEAVHLTGAEKTLVTQTHRKALDSAGF DQIPILAGCSAGSVRETITLTKEAAAAGAEYTLILPPSYFRAAMDTAAIKAYFISVAD ESPLPIIIYNYPGAVSGIDLDSDVLIELAQHPNIIGTKFTCANTGKLTRVALATDAAT PAKPTGYMAFGGMCDFTVQTLVSGGSGVIAGGANVLPKVCVKIWELWEQGKFDEAIAL QKVLSKGDWVLTRGAVPGTKAALETYFGYGGYPRRPLGRVSKEGVSALAAGVKEVIDV ENSL VE01_09802 MTVPLPCPPTTSAAVCDAAPRKRRRRAPASGAADDCFACHKRNA KCDRRRPYCSPCLEIGNDCSGYKTQLTWGVGVASRGKLRGLSLPVAKSAPAVKSPPTR SYTRPRATSSLNRLAVEGHAGDDDIEIKIEREVPRLASPYTSYDFVHMNPKSPNLLHS PGTNSDWTSSQEHLHVRSATPAESQGHLLRNSLHRLQPPMIRYGDDLLSPSSASLSAY GDAEYSSITQSFHVEDVPYLNNQMSMYDSYPQSSSMDHGSLYGTSGDHRGPTSCPDMF YPHSDVSSSLNSHPALFDISESRRLATSPGLCNVSDTDYDEDVLGMSHSHSSEQFMPG SHMSKSSWTSVQDDDYTRQHQDLTNRIPESLNIGLLPDVSPRLNFYLNYYEKIICPVT VAIDSPSNPYRQHILSLATESQSLQHAICALASCNLRMRRKQSLGQHSWNQPSEERQD FTGSYNMRRPSNTSSASETPLDDASVQEEYHHRSLAVSLLNAQLSSPSLAKHDAVLAT LLMLCHYRMCETGIAQFRTQFAGVKKLLGMRSSGVQTGNWGWMESIFTFFDAITATVN DREAQLHGGYLDMIASPWTSSCALENLAGCDGKLFATIASLGRLNLLAQNRPVLDPLQ STHTPPTLNPPPQTSAPILSEFYKQYAQQFPSSTSTSYIEPPPTPPPSSDPRIQFWTE WRTTRHALQTWTFSPSTLLASLHPLVPSPNQIRDFGYVSEAFRHAALLYTERLAAPHL PTGHITMQQHVSQVLFYVTSLGEGAGEGCDAMGKFLLWPLFIAGSEAVGEVERNVVRE RCRGIARRGGYGNNHAGLEVLERIWGESEGLTRHEVFAWEKWMREVDGEFIVV VE01_09803 MKLTAGITLAFLAASTVASPTWPTWPPFGGKPKYHKGIPYAEGS KFMLDGKPFLFAGSNAYWLPFINNPSDVRNALTQAKHAGLKVMRTWAFNDKNATYIPG GLPMYGGEGAGESPIYFQSFKDGVATINYGPNGLQALDKVVKIAEETGIKLVMALTNN WADYGGMDVYTVNLGGKYHDDFFHSPKIVNAFKKYTAAVVSRYKDSPAIFAWELSNEP RCGADGTRNLPRSAGTNCSHVMVTDWIADMGKFIKGIDKKHMVTWGGEGEFYEEGNED WAYAGADGGHFYNELALQEMDFGTFHLYPDWWDKTAEWANKWVADHGKAQVKLNKPVL FEEYGWLHPEDRLAFVNRTVPANQTRVAVIGEWQKIALQYKMSDAYWQLGVCGLSTGC NHNDGFTIYLNNTEESRPLIFQHAKDVAKVVASLDAQGTKYVTNRQLYLDAHESSAYF LTRHPSFNPKFHSITILEATAIAAGASGKAGGLLALWAYPPSIVPLSFRLHAELAAEH DGAKRWGYRSVQAGSIRAKAQSDRLETPKADSGTGSAEWNKLPKQDEASLKSLEKKGI PEDLDWFIAEGLTEYSSIGDADATAQVHPYQFTTSMAELAQEKGAEVKVGAHVDSIDY TGGHVKSVTYMDRQTKQIHTIPATDIVVSAGPWSSHVFPDIAVDALRAHSVTINAHVT PYVLFTDIELPKDFGAQDGKKRKHGRRVNPEVYARPNGEVYVCGEGDELIPLPSTADL VQCDPSRCQDVVDYVSSISDELRTGEVTAKQACYLPSIPGSSSPVIGGTGIKGMVVAT GHSCWGIQNSCATGKLVSEFVFEGEAKSAQVGAMDPKIYM VE01_09804 MAFSSFARALLLASALPLATLVGAQTVTQNGELIPADETTVAPA AQLLEDPASVLSEAERFQLTDAVLANLTELELSNVSLFNFASSDEVVAKRSIFNRCKT SPGDLLWPSKPIWTVFNILTGGALIETVPIGAVCYKNNKHYNAAKCANILAHWTESAT HAGDPTSVMSPLYQGETCMPQAGNTSTCELGGFPSYSVKATTVSQIQLAVNFARNLNL RLVVHNTGHDFLGKSTGAGALSIWTHNLKSISFIKSYSSPSYKGPAFKLGAGIQVLDL YEAAKKYGVTAVGGECKGVGVTGGYIAGGGHSPISSKYGMGADQVLSIDVVTPDGRFV TADEKNNKDLFWAIRGGGGGTFGVVTSMTVKVHPKMNFSGVTFTILSGPGTNVPDNIF WAALEAYWRKFPGYAAKGTYGYSSIFPLGPAGGYMWSMLPWLVPQMSLVDFKAMVTPL FDEWTALGLQFEPVFFEHDNFYDTWTSHFPVEGVANSNLRTASRLFPIKNWADEVTLN KTISTIRSVIEDGSAFIQYNINGAAPAGTPDNAVNPAWRDATLYGIFGGGWAPDAPLE DIAFANKRITNDWGARFRAITPGSGGYLNEGDVMEPNFGQAFFGSNYDRLKRIKKATD PWNVFWAPTAVGSEDWYITGQEDWLTLQTGRLCPK VE01_09805 MHTILPRRPPHGDVTRIPTPPLQAAGLFINFFFPALALIFVCLR AFCRVKIKQWGPDDYFIIIGLMFSLLMCGPFYMHIKLNYWGWYAVDVPADYDPSPGMW WFYLAQLFYNPILAFVKASVLLFLLRLGGQRPATRYAIYALFTFNALQAIAIFLVATL QCLPIAANWDSAVMATATCVDVSFHVAISALTIFTDILVLALPFWIFLGLNMPMAQKM TVIGAFLLGTSVTVVAIVRFVELYRLFYVPNPNGDPFHSIGITLSTVEANVAIISATI PALRPLGRILMPGLFAGSSVNYNTSKSGGNLRSDNPAIYNRHGTSVSIAMKSMRDGSQ AKHTECRSVSPNDSEEEIMTYHGIVKSTDVRVQFDSENDDRASSRMSNEQKGGRYSRT VE01_09806 MASIMKFSSRGLFAAAAVSLAAASLASAAANMVPNYEVKLLMNP AVVLGSDFKLKSEVLSAFAMPTTVTKMSVQFLDDDAQTLYNAGWSPRIRNMEGESDYD LTYKKRYAVSGNDINGALTKANSEGFDANTSKYEAQIEWGYQKKTLSLSNDKTASGAS GMDLPNVGDSRTILINNAPDKFNDWTSDNWGTTELKTSRIYGPVLAKRSTGIFSGVKL YVEVWPIKAASGSGIEYIVEASFKTDTSSDASTKQSQLMNLLQTKGWFLAQDSLKTQL IMERY VE01_09807 MDEWATFFAHALDRRLPTDKLEQFAKVLSTKSPLATPLIAELLL RPSESRNYDLDPQVSLYVQALLRIDILDVPSVLRALLRHSTSRPVDATKEDQEVASGS QTRWTKSYGHEERLVYGLSKIVAAGDRPKSAQEALVMANALTEWMRLLVMSNAADDMM REIGAGNDTHNQETMAVRVAVGALLVALAENSTVNDALKNRCPKDTLKGFSQSLANFT PLLINGSSMFAERLELYTKTLVALEPMDKKAQKAGAEIDQIIDSAMALGMDNIPVVEI PTMNSRAGLYVYLNSLLTGRPMVDDNQLLNFLHNRYQGDIQTTCIDLIVSSFDILANA IFRSESPQTTFLLRSFLINKVPLLISMISAPMFPPLSPELCITEALSHVDTNAFPTFS SMFDDTSAGDMFSDSVRQDFCFSCCLHGLIPEESIERLLGEIPMQTLPAGGRYTKDEV LEQCLSDSEKIEAFTDELEHMDGNVGAVSQAITELLRRLCESKDTMALKSLCAHLARK PSSLDVLLIFDKPLTILPPICQLLDAWRYDDDQGEYQPVYEEFGSILLLVLAFVYRYD LSATELGVQTPDSFIAKLLVRGSTARLLDDLSSVESSQLDGWIKGLFNAEGGGLGDEP MALCPPQDFYLLVPTLFSQIVLASQHGHLTDDVLRGGLEYLLDPSLLPSLIPALLSLA SNILTAPPPSSLLQALILPQSISAEASLLHNAILPIPAPHLSRSLRALQRSVPTRTDL DPLIAALRPHLHFSRSAIDLTTDFPVPPNIPTAVRHAARALINWNPPAAPPNYSPRLP VLAVRMLGARRALLTLLEELAHQCALGNSGTAYDVVASLVCAPPKAAAGVQGRITLRE ALASEAAKVGAEVGKEGPEAERAEVVVRLYRRVEALSAGGGIDEQAGLMGADLGIAGV GGEGLVGEDMMGVLSGEGMMGLEGGMLDDMKLDF VE01_09808 MAFSNHENLSSAPPRRPSQGPPTEQGEDHMMTQTDSSFDPHEWH RHFQNCRRFFLDHSQHSPFLQAVAAYVNILLPYQRHPNPISAYSPLRSTTSHSGDSTP STLSPRLDDRHGESISLVPYIRRLVVTGFDTQAMLKTFFGDDWAKGIGQLHESERRNY LFAAKSGSWLEVKASYEPSPNETIPYMMPIRNPAENEIRAAEEKWSEWLAMQDWMVGP RAPPSEAMRAHLEMDSME VE01_09809 MSTTLLSNLNESQRDAVSSPAQQLAILAGPGSGKTHTLTSRVAW LLASGLQAQNIIVATFTKKAAKEMQERIGKLLGDDREQKLILGTFHSISVRYLRSYGY LIGIKKGFGVADQHDSETIIKRIIKKNNFMADPKATKNKISGRKAKGGWGEKMEKAMK SNNKEVQQIDAIYTEYEATLKRANLLDFDDLLLRCVDLLRDHPQCVSNIEAVLIDEFQ DTNLVQFDLMRLMAASRKKVTIVGDPDQSIYGFRSAEVKNYLSLLRQYPDTITISLEE NYRSSGAILAASLKIIQQDTSRINKSLLATHTVGTRPVLRTLESAEVEGDWIMHEIRR CVTLTGDLITYGDVAILLRSAYLSRHIETYLGKAGVPYRMVGGRAFYDRVEVRLILYY LRVISNPADNNAFAEVVNLPKRGVGDVTVKSLIEEADQKRMSIWELMENGPLATGLSA KARNGISRFMKIIKDARKMLSDEEGHTIASLMKHVIEAVELEDYLKKTYPEEEDYQSR LENVKEILALAEEADDPESPDYNDEPLPEVDGLEQNELSTPLSNFLAKISLATDKRAK DAEGEDKPRVTISTIHAAKGLEWPVVFIPGAYDGSIPNGRADDTDEERRLLYVGMTRA KALLYVSYPLIDSRRESTRPSNFLTPTSLLLHFGQKGPSFSKQNVVPDIAAILRLEVP KITFTPDELPLPSQEDDLWPEDGTAKARPPKTWDQWDGTAQYGEPRKSLAHDRPLIDK RNMEPWKPSYTTTMTITPAFSTAAAVLREQPVNLGGAGSAKRPYTFDDDEVVDKKSTK RSTKRPDGQPSLAAYFGKPQNSKANEPATTITAARQTHTTQPSVSLPRLNHRATLSQR GNPRTAIPAQIAPYVPPTICPTLSRHRIGGTTSTATNRRNIRAFTPPESNGSKYIFLS SSPPRPEPGRKEREAPRLPMPSNSINSIVTTAARSASLHTTTEDRARAQPGRKSYGAR MGMGGWEKRMGGSGSWKPPSMADR VE01_09810 MKLLVFTLIALLQLAQSCIVTFEGIFTPWNGHMTAKVTSGGHQV CHLDEFIRSKRDPYWLNCEDNKYAWISQDGSRFAYAANGVDYHGVPTRTPMNDEDNEM HMHSPRMSNKETIALV VE01_09811 MIERLTVAIAATPPMTLMLSQSALFITLWLTVRRHVSLHGPIPG ARTLTTINSWFYHASKFYEYVDILNVLASGGEIDLHFGVHHLTTMYMTYARVLHHSEG WCVFAALNTAHHVLIC VE01_09812 MAPKTPFEHFEEIALRYETTMGGLSRELSRHIIRGPAASISTDS VVLDNACGPAIVTEEILFALPVSKPPPTIHAVDISPAMIGAARSKAALAAHADRIHLA VMPAEKLTFPDATFSHSITNLGIFFFADAAQGAREIFRTLKPGGVAFLTVFAAFPHLE LVRRAQAAVRPDEPPFLIPVDPAWFETAHIEGLLRSAGFEDVVIGDTVGHYGAPNADG VADRLLSLFGAVFETWSAEEKVAFGRELRKIVPGALETFQRGVGITGEVEEVGIPMGT YVVTARK VE01_09813 MLYFRRRRYQHVWAGIGRAYYGSLASRPPRRHLRNDSHNANIDP TSPSTKSFAHVNRRDAKGRWQDLIRTTPPPPIDLSRKIGKVPRRYLNLISLLPPEGRQ GEHDSDQQDSTPRKAGEGSSVLADTQEKRGSSVFDGVSRDFHAPRLSTGDSLIHVRTN WTAMRRATPSKTLRPPLRGHKHKTINPNIKLEKFMSKEDIQERPEIGSSSSDLSGQGT HLDPIIHDMSPPTPIQSQSTDKIVPAVTEIPMPLMRRRRRGRGSRSFVGPSTTTPVMD ETDKKRLSQHYMDYGPATATPAVDEIDRKRLAEQAMDYGPTFAPYTTKLLKRTEGWEE RPALWDISPGCLLWLPPYYKNPEDTNLLHPHPNSVDHPIVVLSVDVSGPEDAIVTFMT IRSFKSFGAKTSLLNFWKKYLPLSRFPRENWRDEPEARESWKDALLFRENYTGRKTNR VQYVDIQNISTARWEDLRCYQGERRVIGIPQRLCEESMARLRAARTWWEGQWDTNRGL VKWEDEWVPSGKMRDVFRQRYIEPLGEEDNELGSVVTGKVANDASGGSGELALQMKEV RAEMRAEFEEARKKMRAELEKVRTEMRSELMTRMIEELEDVREGLQVVNRAEKAVVEL ELAKSARPPMPPPEYATSPQLAVWHAANDMPEWSGDLTNKAEAMRLELKMARMEVDGV RAEMERMRGDMDKMKVDLALLKRADAAREAAGRRKSVKVPDVGMYAPALGPRSPASRA ALPPGAAGDVLEGTGESTLKGLNKELKQAMSATAQAAQTPAPNPAASLLPQVAGKGPR LGPLAQSRG VE01_09814 MSGVYLPSMTFSAPNSAPSSRGPSRAASIISPKSPRRSTAEDYW TSPSANFGFQSAVGTPAASRAPSVKASKPAGEKKSLKSRVKSVLSKGTEEYWAGAVQN AKFGVLSPPVAQRI VE01_09815 MPPKGKPTRIQPHRTVKTNSATEFPPLPTTLGGRVTKTPPKGKD KKIKTPKKAPANEPEKGTANDPEKGTANEPGKGTANEPGKGTTDGPNKPDADNDLTDT DNPFANGAPDTYLKRAAIVVGNIISAGYHEAYTELQSHDHNYISRFVRESGDRCGHVH SKYRKFVIVAKFKSHFVSHPIYSKRFSFLDTRGDHNEYAEIIDSSLKNFSPPRLPRPQ PLCRGNSDNVWLWSKAEMIQDRNWARMSDTSVAWMARPVAHANKTRAKVVSTLEPESV EQLLRWARDCFDRGVGGGDEGAFEVAW VE01_09816 MKFRDGMWLVAEGTTIEYAEQVYSITERADKKALSLLCPTRRIS NRGDVLNRSTLTIDIEAVSDGIISVESTHWAGAVRKGPNFELFPDSAPEVEATITTAS ERTILSAGALTATVAGASQPFRIDFKGANGRVLTDLRERSVGLAYNPPISNMQQTGDM RNIQHHVFTQTTLGVGESVHGLGERFGPFNKVGQAVQLWNADGGTSSDQAYKNVSFWM SSRGYGVFIDTPEKVELEIGSERCCRLQTSVEGQRLKWFIIDGPTPKDVLRRYAKLTG QASSVPEWSYGLWLSTSFTTDYDEKTVTAFLEGMKKAAIPVDVFHFDCFWLKAFQWCD FAFDKEKFPDPAGQIKRMKDSGLCKKVSVWTNPYLGQASPLFAEAAANGYLLKRKNGD VFQWDLWQAGMAIVDFTNPAARTWFQGMITSLLDIGIDSIKTDFGERIPAEDVVWHDG SDPGRMHNYYSVLYNETVYAAMTERFGKGEAVLFARAAAAGSQRFPLQWGGDCESTPE AMAESLRGGLGFGLSGFAFWSVDIGGFEGNPPPWLYKRWVAFGLLCSHSRLHGSDSVR VPWAIDNDDQSDEGATAVLRTFVQIKAKLGAYIQAEAAKSVRDGLPLSLRAMCIEFPN DPTSWSLDRQFMLGSSLIVAPVFEEDGSVEFYLPEGRWTSFISGEVKEGPRWIKEVHR LDSVPLYVREGTVLPLRAEGGEISLKAYGIKAGEVGKVEEIVEGALKEREVTVDEAML ALKKTEVNVDEAALAPKEEEVTVDEATLAPKEKEVTVDEAVLA VE01_09817 MVSYRFSVLALLALRTIGAVAANASPEDAAKEGVTPAEDAPPFD VSVTASFPSAEIFGPKIINGQGTHALLDITNNEGAPITLVMVGGMLSRPETDLKAGAH ASSLIVKNLTTTKYTVEVPAGAKESLPYTFTTDLQPADLQLSLMAIFTDNKGNVRQVP AFHDTVSVVEAPTSFLDPQIVFLYLVLAAATAGTLYFVYKTWIETLFPQTKRGGKGGE RARRSLAGTKKAVPTDEQLSVIGADGPAVTTKDLAQQAYDESWIPNHHINRPSARKVQ SSSKKIPTK VE01_09818 MRFSNTGFKTINASEVLEEEQFADFNMGQYYPVNIGETFNSKYQ VVGKLGFGVISTVWLARDLKASTCVPEGGNSSHPGYGHVRKALGIFTIPRAGGDHYCL VQKPTRESFRDLLYRNPILRFTEELLKAGLKQVFLVLDYLHTECQLVHTDIKGDNILQ EFEDISILDAFTKPKWTTLLHGNLSATHRSLPQITTKAHQIWDLFEGRHMFYGNDPVG KGYSTRAHLAEVIGMLGPPPMDLLGRGIRSHEFFAEDGAWKAEVDVPQDTSLERSDEF LEGKNKEIVLVFMRGMLQWRLEDRKTAKELLEDPLLNDQID VE01_09819 MATLPKPPNPQVLRADDGVELSYYTVGSGAGIIIIPGAMSSALS QYELALSLSSSYTVHLFSRRSRGLSGPYPSSVTEPKTPLLRTPGPTDDNPIDLPYDPQ FCLNVLKTDLTDLFALVRHTSATSLFGISSGALLVLAACTHRPTEVPNPTIQKAIIFD PPLILSSPLATRDILPDLPSIRRYEAEIGTGDTTSALVTAMRIVQLGPGWLRKCPDFI IRFLTGLIMSAEAREQGRRKGAGEEDEGVVTMEMLAPVLRYDFALVQAMVDDARVFER VAEGRKVLFVGGGGSPGYMGFAMRGLVDAVREGGFEEGMEWEEIGGVGHELFENKRRN GRVELGIETVRKFLAS VE01_09822 MPSSTNTTGPRDIITRFTNCRLLRHNSLVRADLWVSSLTGKILD GQDVFYTEQRLPDVVVDLGNRILSPGMIDVQLNGAFGINFSDVPEEEGSFPKKLKEVN RQLISTGVTSYLPTMTSQKSEVYHKLLPHLGPSGSARDSTDGSESLGAHIEGPFLSPT KNGIHNTEVLISAPNGFSDLVACYGSDNLNNAKMITAAPEEGVQQAIPEIVSRGIVYS IGHSEATYEQASAAVASGATMITHLFNAMRPLHHRDPGVFGVLGCTEVTAPRTTPPTS RPTSTSSSSSSSTDFRPFFGLIVDGLHLHPTTVKIAWSAHPDGCILVTDAMHLVGLPD GMYTWGSSGRERIVKTGPRLTLEGSDKLAGSAISLVECVNNFLLWTGASVPLALKTVT ETPARVLGMEGVKGSLVVGADADLVVFSEVGGEGGVQLVVDEVWKFGERAWIGEGEGK VGV VE01_09823 MAGYDGLSMSSQPSVDVMRLPQGAGDNSEAYSQASDFSGEAMLY VDDDLGRSMRTYLRGSNDLNVDLSSLPVFTPNNHYDFAPGFDDSLAYNNGGYFDNLLD PGAAVPEFSPANLDNKLLGFGAPIHKTQVLDSGGQTWPRLAAELNGMFSIAEDVFEGE TGGRPLELTCYRRNLFQISGAIILSRTTAQALVEQGGQVAIQDLVAVLSATESIEGKP TEIISVPWKAAAANSSASEDKAGAAPANIPIDLSSNQEADPIYVNIPIAWRRLQFKYA TANNGRRKGLQQHYQIQITLMAKTEGDGQLIKIAEIQSNPIVVRGRSPKNFDSSKDVP LSERKQSDPMNRMRSAGSVAAATPQWIEPALSQASNKYYSQKSYIVSTPLAQSGTQPP LEQVSSWPHMSPTPPSPGPLEPRAKRLASGSPVAAKRRPPVPPTDWHHTEIKEEFRAP PAQKAPIGLSVSDDDVHTVISDTSASPPTDSIPTRPLAASPLEKLDQLYEYFPLSLDD WMQPVDAIYRPHVVHHLRAPEVKAQEVRSKPKRYFSAMND VE01_09824 MAAFQEDLDPIWDDMDRAMGQLFMMGWEGEEVTPQIRSLIADYG LGSIILTAKNLKSAADTARLTQELQIIARDAGHPVPLIIALDQENGGVNSLYDEEYIC QYPSAMGVAAAGSPDLAYEVANATALEVSSVGVNMILGPVLDVLNNAQIQPLGVRAAG DDPHEASQYGIASMNGYKDAGLTTCGKHFPSYGNLTFLGSTLDMPIITETLEQLSLGA LVPFRNAINAGLDSIMVGGCAINNKDMNIMHACLSKEVVDDLLRRDLGFNGVVVSECL EMEALNVDIGFRGGCVMAIEAGCDLVLLCRSFSVQKEALSGLKIGLENGMVSVDRVRT SLRRVLKLKANCTSWEKALNPPGLKLLSKFHESHLALSTRAYDNSITVMRDNNQLLPL SHTMSESEDLLLLTPLLKPLPASAATKFLAEGKATAIDHDRWMDRGSIMSGEGVFREL GRQLARQRRGKLLHTSYTANGVRPTHEHLIERASSIIIVTADATRNLYQSGFTKHVSM MCSLPTPTGKKKSLIVVSVSSPYDFARDKSIGTYICTYDFTETAMEALVRVLFGQLRP QGSLPGSLRKVAKAQKSRQAWLVESYSEKDVNSLNTLIQTVSKASVPGSPSPLWGATA LSFSLGDPAIAEAHFVVRNSSTGALYGFCATYATATIGHIGALFVDPSKRNLSIGHSL YQRALRSLTTRPGLQSIKVGTRIPAIYPGVPSTEGSVPRLRRWLGASLPPAVTVSSHQ FASCIIQDLHHWSPPEQVVKAVQRLHVDFDLIHGLGAFDDEVAAHISTYGSWEQYKLY TLALADADACGVVRAKSRADGRLVGTVVLCRSSTLLSQWHPHLVGGRAGGVLSPIVRA GCEEDGVLLQGLLLLGVRQNKAHRAWTSYLDLVPTQLLETIAPLGFKVLNSFTDLGCE LPQRG VE01_09825 MADTAILPVSSAPSRSSFETATDPEKQREAARSSNPNGFVQPTS GVSVAGAEAEFASLQKELTNISRVATRKSEAGAKGEKDVEKVSSASTVSEPFDLEGTL RGNREADEVAGIRAKKIGVLWDGLTVRGHGGVANFVKTFPDAFTDFFNVWATGKQILG LGKKGREVDILKGFRGLVKPGEMVLVLGRPGSGCTTFLKVIANQRFGYTGVEGDVFYG SFDAQTFAKQYRGEAVYNQEDDVHHPTLTVAQTLAFALDTKIPGKRPEGMSKGHFKER VIQTLLKMFNIEHTANTVVGSAFVRGISGGERKRVSIAEMMVTGATVCAWDNSTRGLD ASTALDYAKSLRIMTNIYQATTFVSLYQASENIYKQFDKVLVIDSGRQAFFGPADQAR GYFESLGFKEKPRQTTPDFLTGCTDEFEREYVDGCEPHTPETLAQAFTDSSFSESLTT SMDAYKASLEPNRQSHEDFRVAVTESKRGRGTAHSVYSVPFYMQVWALMRRQFLIKWQ DKFQLCVSWGTSIIIAIVVGTTWLNIPQTSAGAFTRGGVLFISFLFNCFQAFGELAST MLGRPIVNKHRAYTFHRPSALWVAQIAVDLSFAAMQIFLFSLIVYFLCNLARDAGAFF TFYIVIVLGYLTMTLFFRVIGCCCPDFDYAIKFAVITITFFVLTTGYLIQYESQKVWL RWIFWINPFGLGFSSLMANEFSRIDLTCTGQSLIPNGPGYGNISHQVCTLAGSIPGNP IVSGKDYIQTSFAYNPSDLWRNFGILVVLSAFFLTVNILTGELLNFGAGGNAAKTFAH PTKETDELNASLIATREARRTGKGEAASSDLKIESKAVLTWEGLNYDVPTPSGQLRLL NNIYGYVKPGELTALMGASGAGKTTLLDVLASRKNIGVISGDVLVDGLKPGTAFQRGT SYAEQLDVHEPTQTVREALRFSADLRQPIDVPRSEKYAYVEEILSLLEMEDMADAIIG HPESGLAVEQRKRVTIGVELAAKPELLLFLDEPTSGLDSQSAFNIVRFLKKLAAAGQA ILCTIHQPNAALFENFDRLLLLQRGGRCVYFGDIGSEATTLLDYFHRHGADFPADANP AETMLDAIGAGQAARVGDHDWADIWAESPELATVKAQITSMKATRMAEVGASTETTDS REFATPLMHQLRIVQARTNLSFWRSPNYGFTRLFNHVVIGLVTGLTYLNLNSSRASLQ YRVFVMFQVTVLPAIVLSQVEPKYAISRTIFYRESSSKMYSQFAFATSLIVAEMPYSI LCAVAFFLPLYYMPGFSSEPTRAGYQFLITLITELFSVTLGQMIAALTPSPYIAVLLN PFVIITFALFCGVTLPPPAMPAFWRAWLYELDPFTRLIGGMAATELTGREVVCAAREL NRFVAPIGQTCGEYMADFFKAGGMGYLVDESGSNCEFCAFKNGEQFLQGMEMHWSNRW RDLGIFAAFIVSNIAILLVAVSFFPVSLSKLSMEEDANV VE01_09826 MRLIIRENPDSASEYIVNYIINRIKHFNPTPQHPFVLGLPTGSS PVVIYRLLVAAYKAGRISFENVVTFNMDEYIGIPAAHPSSYHSFMYTHLFAHVNIPPQ NIHMLSGTAPNLEAECLAYEAAIRSVGGIDLFLGGIGPDGHIAFNEPGSSLASRTRVK TLAYDTILANARFFGGDVEKVPKMALTVGVQTVLEAREVLIVITGAHKALALQRCIEG GVNHMWTLSSLQLHPHPMIVVDEDATLELQVKTVKYFKSIEAVAASQGFEQILPSAAR TGPRPPAIDAHYVNGANGMTTNGTVPTKLAPTILAPQPTTSTLLSAPATDYPVRSVTP ELVPDSMASRIEGAAKVELKGELEVDSMASRIVA VE01_09827 MTSVPTPPTTPSLAHRIRTAIMTLHTTLLSALRALLRRTKRMHA LLATFLRSLRPALSLSPTAAYAAEIESLFAALDAPGLRRLADGLQAQYVIALRENPAC MLPSYSHVLPSGEETGRYVALDVGGSTFRVAVLELFGREGEGDESVVRGARTFKIDDV VKQRVGIAFFEWLAERIEETLREVLGETKEGEEMAKMDMGLSWSFPIEHTSLRSGKIH GMGKGFRAMEGLLGADLADVLQGACDRRHLPVTLTATVNDAASTLLSRAYSTPNTHYG LILGTGVNIAVHLPTSLVAGKLALRNINSAASPPTSPPSLSTSPGSSVSMGSALPPHD HVIVNTEISMFGAGVLPVTRFDTALDAAHALPGFQPLEMLVSGRYLGEVARLVIVEGF ERGVLFADAKALPKGWERGYGLDSEVLAKLQVGEWKNLGLGIVEGDGEALRQIAGAVA TRAARVVAASIYALRVVRDGAAAVAVPSKAELIERVMEGTITAAAPAAAAVREDTVVA CAGAVIQFYPGFRQGAQEALDELCGEGQGRIELCLAEESSLLGAAVGAAVVAGGGEGR VE01_09828 MYQATNVYAICGFAAIGGGLFGFDISSMSGVIGTMAYKRYYNNP VSTVQGAITASMPFGSFFGALISSFIADRYSRRTAIQFSCTLWIIGSIIQCASNGVPM LVVGRAVSGICIGIASAIVPVYQSEIAPKEIRGRIVTLQQWAITWGILIQYFIQYGAS FVGGGPDDPNQPESAFRIPWGLQMIPAVILFFGLFFLPRSPRWLASKDQWDEAIQVLA DLHAGGDIDHPKVLAEYQEIEEALRFEREEATSSMRALVAPRMFKRVLLGMSIQTWSQ LGGVNVLMYYIIYVMQAADIGSPMLTASIQYVINVLLTLPAIIYIDRWGRRPSLLLGS LFMMIFFFITGAIQAVYGEAVDGHGTNITWVVRGNKAASGAIVACSYLVVATFATTWG PASWCYPAEIFPSKVRAKAVSLATASNWFWNCILSFAVPPLLFHINWRMYMLFGTLNG LALLHIYIAAPETKGKMLEEMDEVFDSGRRAWERQPKGSRMDDLARGIAEGTMLPPAR AYKGIRVEKEVKRDSVGGGRSSSTVSLQPYHAHCEAEP VE01_09829 MASSSSSPAADLHDNLSRVKRSSPIGTTLFAGIRTADIFIQYGL VAYGLAAPSLRAIGVSALPPFLPGAFSLPNLANFAALPLQARIIIGLATASSIKHVYW LFRIGETEMRPAEGIVIGFFNTFFNSLNSIFWSIAPLAPLLPTAASSFLTGFGIISPA PRDLSSLTPTFIIGTAMAIGGLLLETTSEIQRRNFKARPENKGKPFTGGLFGVARHIN YGAYCIWRSGYAMAAAGPILGGLVFWFFYHDFTRRGIPVLDRYCADRYGEDWQQYRKK VRSSLLPGI VE01_09830 MLFSAILTAGLVSSAAALAAPPRCRANSNYKGWASMKHAFIFGD SYTTTGFDVSQTQPAPGNPLGNPPYPGWTAANGPNWPDFLTVKYNASEILTYNLAYGG ATVSSSLVKPYQDTVLSLSSQVEDLFIPNYAFNGKWKSNDSVFGIFIGINDVGNSFYL GDDAVPILNKKIFAVYDGLVKELYNTGARNFVFLNVPPTDRSPLMIGQGADSAALIAK DLKAFNSAIASLAASVRKNYADSNVFTVDAWQAFTDVLNKPAAFPATAGYKNTTAYCE AYQNGTPAQDTLDPSCGIPVNQYFWLNSLHPTSPMHDVLAQKVAAQLKSGPNVC VE01_09831 MDTRACFTLFPALPTELRWKIWEHALASHYIVDFIPKPSAREVF MEGMEPSSMGPILSMDNSRISQASKESWLVIQEPYRKLEVTACTMHAPYRYTDFIDFS RSTFYLNHGKSTLNCVSALTPAPISTHVKSLTVAWCTYRDIIEVLKRITMFQALQQLV ILIPEKLEVGVPPDFRATMTVLDLYLGGKKSCQIKNPLGAGGQIRLLLHEFMEGQCPQ LGPKWPTIEVVHVPDPL VE01_09832 MGNQTLNKAVIDETLVASISHILLRCNIPCVLWGNYLLTIYGVP SIVDSIDFVVPDDLVVAADSALQNKGLADCSEAESCTAVVETRTSPPPAAHFHIDAEM TVSIYTQSSTLWFLPGLALNQIFCSPDFILASDSRLPPPRPGRGHGAFQNSPLPVYIP IAHRLLEAFVRLVTKSPNRKYKCFAIAMVTYIGEYVDGDGLLDEANVKRWCREFYSGL KNGRRPMRSLVKDLEASFANPTN VE01_09833 MKSRVIASLVALASTVAAHGGVCHYTVDGAEYNGTLWHLWDLKN NPIPDPPQVPSIQRRWDFNPVFDVTSGNMTCNWDGTATASSIHAPIRAGNNITAHWDN FMPDVFPNVWPHSIGPILAYMAACPGDSCEGFDGSGDVWFKIGQVGLLPEAEDLRGPW VQGELLQPAAAAAPGYTVAIPKNLKPGKYLIRHEVIMLASRPPQFYIECAQLSITGNG TATPSGDYLASFPGAYKDEDPGLAMSQWWMGPNGSPFQPEWNTTEYPFPGPEVWSGQ VE01_09834 MAAAAAYETLTIYRLGKIDGRIQFNHMIFPGLFQVSLTVWKANI GERPTIPPSRWLDTRAGVYIALSMGSTCVRLPLTNNPVTDVTSNDMRCNGRSGVSGKC SVVAGQTVTVEMHQQNGDRSCGTEAIGGAHWGPVNVYMSKVADASTADGSTGWFKVHA DSWAKNPAGNSADDDWWGTRDLNNCCGRMNVKIPSDIAAGDYLLRAEVIALHVAGSTG GAQLYMSCYQLVVSGGGSASPATVNFPGAYKATDPGILINIHAAISSYVNPGPAVYAG GSSKVAGSKCSAGGEAATTTGPAVTQTATGTPGGGGGSCSVAKFQQCGGQDYTGCTVC ASGSTCSPVSPPYYSQCV VE01_09835 MSPQSTADTENKSPLSSSPSPPISLSDFFLSIPYFMASTEVDQK FLGQFAKNIASDNPLLSSMLFKLLGLSVVLAKQLVRARKLRKLDPTRATKSLDLYFHI IWLSREGLLIVEQYVLHMVSSFEELKVLTYKLRASFYHIFVLFHNQPSINSAGPRQIT TPPGLLSPRQKQDKGKAPAIPGTSHTPTIPSPPESRSSSVQPSHPLEGGPVQHPDAQR DARQSGSAADFLLQSRDYIPTAMAAFQEANDLAERLLWGSHPLRLSVKTEFSAFLYDC AKDADRSRRLAKNTIAEVYNATEGMDDEMFEDAAELVGTLGKFMKRGLGSAGGSSSGS KSTLRAGASAAEQRAEPPRPLRSTPPRAENLRNEALRDARATPPRATPTRAVPPRGLL TPPGHPRRDAEVSPAVSGVGMDNPI VE01_09836 MHFTQTLTLLLLPLLAITSPTLLEAADDITTLDTRTGINLGALK VLQHRLFTAHNQITNIDNDLVGPSNNVANQLPGAAGASFRAALERYHAEQGRANADLV KLEKGLGAYVEAANHHERTDRKFWGRGAGGEE VE01_09837 MSRTLEAGAAQPRISFTNWILGGPLVTVPPRQRKPGERLPSGDA NKELNPKCNFIDNSKLNEKRYYQNRRVSKDKVTDTKKDAEKGNKEKERRAEALKKAVP KDEIAKKEEQNKQAQQSSGWTKAQDDKILAMKKAGNSWKMIAQEVGASKKDVTIRFKE LSKAGEKSGYNDDPFPFGDMPGMFKEDEPTEDSKSPAKLQKKGKGEKGGGKADNGGKG EKGEKDKIVVDKAALEAENGKKVLVPDSIWTIGDLEVLANVEERYRELKWMHVQAGFY NMTGRMVGSEVIKAKFEQG VE01_09838 MASKISVAGVRTHVQELLEYSLETKKRNFLETVELQIGLKNYDP QRDKRFSGTIKLPQVPRPNMAICILGDQHDIDRAKHGGVDAMSADDLKKLNKNKKLIK KLARKYDAFIASDALIKQIPRLLGPGLSKAGKFPTPVSHNESLSDKITDVKSTIKFQL KKVLCMGVAVGNVSMTEDQLISNIMLSINYLVSLLKKGWQNVGSLTIKASMSPPKRVY VE01_09839 MAASLELDNGEHITYESARKKDANMINEATYPGARRQLFQKLRD QRVAIQDIVRHHLRLRDEDSCIVEDQWIRGSFNVCIPVEVRSAGFNQSLIFRCPMPHK LAEAKYPATVDEKLSSEVGTYVWMQEHCPDIPIPRLYGFGFSDNRHFIHEQQMPFYVR FWRKLQRYFRNYFRYPTLSQYVSRPTCPRLPTAYMLLERIGPNTGEILSDAWNEYRKE PVRRQNLFRDMARLMLSLARIPQPRIGSFEFHNNGTITLTNRPLSCSVIILENNGAPR TIPRNETYTSTEPFVADMLTFQDDFFLANPNAVSSMGDCLSQMAVKAMLRALSNHYIQ RESRNGPFHLQLTDFHASNIFVDKDWNITSLIDHEWVCALPAGMLSVPYWLTGCAIDD IREENLGEFETVHQEFLDIFEEEEVKMAPTNPPAMTRIMHDSWKSGAVWFWHCITSVN AAWSLFDDHISPRFLALSTDSEEVLSQYWCEGSMVVARRKVAEREEYVQQLQVLFDEK AGLSTDGDIHS VE01_09840 MGERQKRQRPEGEAEAAFLQSDNEEVSPNKKARKETNASARRSL FVRSLPATVTSDALTKLFSESYPLKHATVVLDPETKQSKGYGFVTFADAEDAERAKEE FHGKAFQGRKLKVEVAEPRHRDVDSGKGAGGKRTSVISAEAAAAKTKRSEKMLEDRKP PKLIIRNLPWSIKDPKDLELLFQKFGKVKHVTLPKVKDTQAGFGFVVMRGRKNAEKAL EAVNGNLIDGRILAVDWAVEKDVWEKAKTDAGEEPKPKKAAKKAKAEKPAKEDAMDVD KKDEDPNDVDADVANFMKNFGDELESEPEDDEDEDENMDDAEDEDEDEDADNLDAELE ELEDESEDEEAAEKAAEAARRKAQFITDNSSTLFVRNLPFTARDPELKEHFMQFGAVR YARVVMDRATDRPKGTGFVCFYNVEDADNCVKNAPRYQPTGANATKKTDASNVKHSIL ENESADSSGTYTIDGRVLQVSHAVEREQAVKLTEQGINSRDNRDKDKRRLYLLSEGTV AAGTTLYNALSPSEITMREDSAKQRKKLIQGNPSLHLSLTRLSIRNLPRSITSKDLKA LAREGVVGFAKDVKSGLRAQLSKEEEARGGEPMREAEKQRKAKGKGIVKQSKIVFEGR EGAKVSEESGAGRSRGYGFIEYASHRWALMGLRWLNGHALDGNGGKKQRLIVEFAIEN AQVVERRKEREEKARIRSKEVLEAREKGELEGKKPEKKAFTKDQLMAKTRKGMKGGKE KPEKKGKPREPGKEWKQRPGAFNKGKPREERGGGSGRDGPKPTNGKGKFADRVPRQGP VPAGKDASKFKPGFAEGEEGRLARRAQIIAKKRSMRRARKA VE01_09841 MADSHDSATPMSIDSSIQADDLSNKRKRESEDTGDREQKKAHVE ERKLCIEDLHLDVGKIYQLCRTPHPYKQPDLGLDLFELYGLNPTAAKVARVLPSGEKN GLRKTYKGKIKDLGISGKFDVTVNDEESSGGLLSMMREPEHEWMVTQRLGKEIEKGLP QNVFAALPAAMTMAKGVIPKQMWDSSVLGELDIPEKKAAAQVPSKPTSAGMQKSASQQ SGAMSRGSKADLARPKRAVKKRGYDESSFEGYGEGYVDDDMVDAGYSTGEGDDRGGPG KRRKKSALNQQQYGPSRHGSYGPGMVGA VE01_09842 MAKKRKGSGRAAPSGPKEFDEKDGNLGPITSYRDVADEEDDFHI NRDKVMLDEGPEAKRQRKWAEQDAFLEPSDEEVLGYSDASSEDDEAAGSRLSKSKSNR KATSDSEGSEAEEEDEDAEGWGSSKKDYYNADNIETEVDALEEEAEAKRLQQKKLQSM SEADFGFDENEWLQEAKDDDGDVVTEILKDVEITDDLGPEERLKLLQTRYPEFEFLAN EFVELHPVLEDLNNEISATGPRNTVAVIKSRALAAYLGALTMYFAVLTSTAKEENAKS ALDPMELRDHAVMDSLLLCREMWSKTKTLQAEDMPFGGLDEDEILSDEATPETDEDEA FERIPSTKKSKKAAKLEAAAAATAKARKARIDANEASLTDLSSLIPTTKTRKSSSSKA KPAIVQDSDSDFGEEETLSSRALAEKAAKKKSLRFYTSQIAQRSNKRNEAGRDAGGDA DLPYRERLRDRQNRLNAEAERRGKKLDEYGRGAELGGNSDEEDDADRAAGKKVQDEED EYYDLVAQTSKAKKAMKEDKHAALVAAEAENSLARVEGEDVGEDGKRAIGYVISKNRG LAPKRKKEVRNPRVKKRMKYEEKMKKLGSMKAIYKGGEERGGYSGEKTGIKAGVVKSV KL VE01_09843 MSLDIVSAISWKTVTSLIFAIGLGAFIMGWLSRKNHFDVAGKTV LLTGASQGMGLSVAKILSGKGANVVIVARNVGKLEAALSEIKASAASATQTFHYISAD LTDASEASRIVTDVMAWNSGVSPDVVWCMAGSSEPQLFLDAPSKVLRNQMDINYWASA EMAQAILKEWLAPGEARSERQRHFIFTATTLAFYPIVGYSAYSPCKAAMRSLSDTLSQ EILLYSDSVKVHTVFPGSIDTPGFAHENIGKPAITKILEEPDPLQSPDAVAAAAISGL ESGEYLITTNLLGSIMRASAWGSSVRNNWVIDNILVCIVALVSYFISADINGKVRKYG KTNGHPSTFKG VE01_09844 MSGDNWRTDPSRDETPSRFVRGITSTNSRQSSLTGMTPTNWRQP PPTGMTQNPSTSTTANYGPGVSTIKFMGSRTKYEFIPGRIACIWTFTEDHNNLTRADV DRKKEDLLTPDIPGMKAMNVFVKTRKVIVIARFIDHCITLPVFTHKGFGLDSKPEMRG EYVSIRNKFDVNTTPETEHGCIYTTTTAAEAGRKSVSPRANVWFTYPEALSYRSRVEF LGNLDPPSLSKLCELYLMATKSSLSTTLAGLDPMMWSNAPYSLWSEKRQRPADETEFE KQTSLAKTTWPANQTYSTAGQGQTYAGAATQKRTSGPATGQTTGNATGFQPLKRAREP EPSDDVTTNPVKRVQR VE01_09845 MADPTLYTYPSPLEGYRDLPPLPNDISEDGKSFKNPSTGKLSDS YTKFTAPLKNGKDAAFDVHIYYFQSNDYQVKFATELWERIRREFPELRIYKIWDKPIG PHPIAMFEVNLFTPAQFGAFVPWLVINRGPLSALVHPNTKEIVDGKDVSDEERDHTQR ATWMGEPVLLDLSIFKRMKAAARAKAAAEAKA VE01_09846 MRSTGAGLIRYPNGVFTKSLCCKFATGPLLRRHITIIHSNLADV SARVFQEKAFIPATPILIQRPETIGSTKIAAGAGIPAIDRWFKSKEAGVGDDVVFFQE EYLKPFESTVLPYELVTPVFNSQNGQDILSKFISENASSLSRYLPPSDVTIKELLRDC ALADKDDGRNTSQPTEADTPSSPGGVKLDEPLTERQVELLRRHAPLFQKFHSFHAPLE LIITASRQNPGSTQFGLHGLYIAQAQMADLPQQLRDDLPSPELVLKAGKGDVYDANIW IGTPPTFTPLHKDPNPNLFVQLSSSKKVRIFEPRKGQAIFAAVRRKIGATPTAAFRGE EMMQGRERVELKEAVWNPSNLDGHEVTVRPGDALFIPKGWWHSIKSEGDGLNASANWW FR VE01_09847 MASTGSKGSGQVYGTRISKENGYDANSYTYYPVAIIGAGESGIA MGCRLKEKFGFDQFRLFDRQSGIGGTWWINRYPGVACDIQAAFYSFSFAQNHKWSSLY PPGPEIVKYLQGVCEKYEIVDKIQLNSEVTSCRWNEVEGVWELTIHELLKGVGDLSTY DRVTMLENQGESSVFVRSEKIRAKVLISAVGGLVEPNRMPETIPGVEDFQGPIFHSAR WRYDVDLKDKNILVVGTGCSAAQFVPELTKTYEAKSVTQLMRSPPWVVPRQVPPFGFG EEDWEKWSPWLNTYIPGFARLRRLYIATRAEYDWRLFGDSKYAENERAKLEVALVDYM KKKVPKKYHEILTPKYGVCCKRLVIDGKWLNSLNDKKIVLSTLPLTSVQEDRVTLGPT RGSQAVEDVKVAANITETVRADVIILANGFETLNWLHPLEVIGRSGQSLEEVFHERGG PQLYMGSAMDGFPNFFVIFGPNTVTGHSSVVLATENMVNYAMKLVEPILKGDAHSVEV KKEAELAWTADIQKSLKKRIWNIGGCRNWYMGEDGWNSTTYPYSQVWFSLLCMFPNYN HWNYRYTTKGLIKKRAKTVFRIAALTGIATGLYFTRRAGLRFGWKEGAASARGAAAQL LATLGHSLVRGAGSI VE01_09848 MSSSSASPESWITSFCSLLGHEYFAEVSEDFIEDDFNLTGLQGQ VPMYKEALEMILDVEPEDTEEEEDDDDDEDPDESLESAARRLSERRQNRMTSDLSVIE SSAELLYGLIHQRYICSRAGIQQMSEKYELSHFGNCPRTNCDHARTLPVGLSDVPGDD TVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGSLFLMTFPDYDLSKKATEVLSSSRA ADGEEKPMVNGMLAHNLAPGLGKGKVYEPRIYGFRVSERARSGPRMQWLRSRPDNVQV LNTALNYGDEDMEDNDAAVNPQKVRRAGQLKGAGARRKNDGSPMSVDQSGL VE01_09849 MADDDDEFGADPDFLAALAASDNPPPTTRITQPTPQRLDPPPPT TTTTPSSTKIVQPTPQALPSRSSGSAIYVSHRQKGNPMLSHLRAQPWEWRDTPADYVL GNTTCALFLSLKYHRLHPEYVYNRIRGLGGKYALRVLLTLVDIPNHEESVKELSKTGL VNNVTVILCWSAAEGARYLELYKGFEHASAAGIMGVQAKGYAEQFVEFVTVPRGVNRT DAVGIVGAFGSVRAAVNARPEEVAVLSGWGEKKVRRWTEVVREPFRVVKAGKRGMGDG EERGEDERRGEAVEAVPVRDMDQYTRPKSPGMSRESETAQDKGKEKEKETQSQPKKPF QLLELDSDSGGEEALIAAEMEEERRQKRRKIEEEQKRKEDELSGGVAAALAKLRKE VE01_09850 MSHPSKDNQGGSSKDDNPGASASRWRHQESSRYARHAAAAAAGT VGNPPHSEVRPANAHTGTSDLTSFLNTTRIEGTGPPLADASGYAPPPGGNRPYHPIAA DGYGGFDGANERVATANAPPPVGLGGADVRPTTVYVEVVDGREVSCGPLLNYRRMEGN VWFGSVLIVVRGGVTRPADHAWVPELLLKKLAPRRPEELVQPVGHIYASRQGQGTEYD TPIDASIKGELLYSDLRNRFWRFNIALEMEELEAEWAYQIPLLRFPSDGKTDRQSFWV PSINESMRIMFHSCNGFSVGTDEDAWSGPALWNDVQRVHSQTPFHVMIGGGDQIYNDG IRVHGPLREWTSISNPRKRREYAFSETLRKDCDDYYVDNYIRWYSTEPFASSNGQIPQ LNLWDDHDIIDGFGSYVSNFMQCDVFRGIGGTAYKYYLLFQHHLPPPVSTYTTDAPQT MNNDGTGVGVDPVQMRGTFVKQNDQMDPSYVIGRQPGPYVAEHSRSIVTRLGARIAFF GLDARTERTRRQVNYPETYQLIFDRISSELAAAAASEKPIKHLVVLLGIPIAYPRLTW VENILSSPLMGPVKFLNKRFGFGGGFINRFDGSVDLVDDLDDHYTAHRHKAERLQLVK MLQDLSGTYGVRVTILSGDVHLAAVGRFYANPKLGIPVEEDSRYMANIVSSAIVNKPP PAAIANLLHHQNKIHHLDKETDETLIKMFDREPGQVPKKSSSNKVTMPSRNWTMITEC SATTARVNQVRNRTESIPVPITEMDGSVDPDLIAATSHTIATGGAALTPASDAPASDA PAGGSTVAATTSGKVNKKAGMLPLGPGEAEFGTINRAADPLVHGYSGDGALDVAIRVE KDQHDPSGQTQSYGIFIPTLTIATPIPTIPVLRDWATNFGGGHRRSRNSLGSRFGSIG SRAGSAAGSRPATAA VE01_09851 MIISGPTVSRALCKGPRPLHSRQVLGSLVSQLTAVSRPYTVTAA ASSLRGSQRDVAGSSTATQLGLHARPTPGSSGLRSRWQERGYATAGPKIITKFEELPR TYTDMDGLAYYARPLAKKEVAALFGREIDPVIADKVLRVLQGRRVAGTLADPSLPKPH PQMDKVMKVTALKWLRRNIVVNERQSAGRRAEIELAAMGEDVSTPGGGGKEYNPQSRN TPTNKSVLDAVREAKEKEWEEKVAKRDALRAQQMAEMGEKSGGLMTHDDRGVELRRPG ENELLKHYTALASKVVPDAPPDYTAFQRLWPSALVTLAVIAGCVVYSGSYVPPAAADR MFKDIPPSVVTIGSIIAFNAFILLAWHHPPAWRVLNKYFLSVPGYPRALAVLGNAFSH QSFKHLAINMMMIFFMGTQLHDMIGRGNFLALYFGSAVTGSFASLVFHVMTKRFHVSS LGASGALNGVVAATLYLNWGNSMRLFGILPPEPYSGPTGAWWLAAWIAYEVWSLRRNK FQPSQYDHLAHLGGAFGGLAAVEAIKWRMRYREVQRRRLVGSSRGGPGKGW VE01_09852 MSLEGYINKKVVVLTCDSRTLVGMLLSCDQMTNLVLGQTTERII RPHDDEEPSSEVEHGLYIVRGDNVTVVGLVDEELDESINWNEVRGAVIGGVKHSA VE01_09853 MAPQQRIIIDTDPGVDDVLALLLALSATPEEFEVLLISVTYGNV ELDSCLKNVVALFHVLEKEKEWRKSKGLPQGFTTAETFKPIVAVGTSHPLAEEILMAD FFHGRDGLGGVHSSHPHLSPSDAWKSLFTKAPPGSTDSEVEKANELGTPSTAFTASKV PAYQEILRLLRENEPDTITIIAVGPLTNLAIAAAEDPETFLRVKEVVVMGGTINYPGN ITPLSEFNTYADASATARIFALTSPSPSSTMPPAMPEAKTALAPYPKNLSKQLKLSLF PLDITERHLLPKSLFDTKVKPLVAAGSPLAEWVSVFMNTTYEKINSLTQGFADPGLSL HDPLTIWYMLTQSNPAWKAAPGAPEDIRVETAGQWTRGMHIVDRRNRRKLGGPKPDDA SAQAQVDPSMQGDDGEGNLVNDEYGWLNVWKGNRINRIAESPGDLEFAPYLLNRIFG VE01_09854 MSSSLSFYGGWAGIAVVGAGYWYLQNRKPAAVKQTKAAPTKPAT KQTEARKDGKAKKQRSEGAQSSGDQASEKSTTKKARKAVKVDAKPAVQNVTPKPTTTS NDDDDDEIDNREFARQLSNAKAGTAIGSKPQAGARQKSVKQSRAQAAASNSFDDNTAS ATSSNAGADADDDLSAANSPVLNARSSSNLGGVGDMLEPVSQGPSVLRITSPVNPQPK KEKKAAKAPEPVETKKQRQNRKKREAEKLALAASETDRKVLLESQRRTAREAEGRAAK DGSLYTNSAAAASVWKADEAAVEAKPANGQVELLDTYEPATKPAATKPKAKGGDDYAT LPSEEEQLRLIEEDSAWNTVTKPKKGKKKADEAVKPVEAPTPPPNVPETKLPKQRTEE LTWVDNNEHGVAKYDLADDDWEVA VE01_09855 MSLGVGIQSVVFYVLSCSACAKISHRHKAKAEAKRERAEKQKLE TDQPGLYHHPSPFNTNPYWDEEITLGPGPPSRKNGSKNTSSRALNTAGNASSIAASVA PSSQAPSSPTIVPEDQRLSGDDWNRKRYQREDEELWGVDTIKAGQRRVRDAFATAQVS VGSKLRAMEERLGKFGTVKEEDTHPYYVSRNPPVNDLHPPVVSSHPFQKGGMRWMMQP PPSASVMSGKQHPSASNISITRSRSGSRASTLPPSTPNLNRQVTGRVVEEKLRRGETP SDAEMRVLSRHISGAKTLSSAKSLEPLQRVSRTRSYSSSDAEDESEAPSPSRAGNGLK AEENRQGKLSPVFSAAAQRRMSQDSIEDVPVAGEGGVAPATSGSKKGKGERRKKERSQ RERPTSPQSSPERLPLESLGQRQETRVQSPPPAKLKGEVEELELKSEEAGVVA VE01_09856 MSLVFLKNLFFRSSSSASSPQSIHSRTSTSNKAQCAHAQQTEHS PLLPTSSPPSPPTSTYSTTSTDLEALRPSSPTPSSNSSFTFPRIDARLISDATIGLSD GLTVPFALTAGLSALGDTRVVIYGGLAELVAGAISMGLGGWLGAKSELASYKATEAET AARIAAAPSTLHDELTALFEPYSIPPSTLAPLTTHLLTSPSLLPFLMRIEHCLPEPHP HRALASAITIASAYFLGGLLPLVPYFFVEEVERGLWVSVGVMAVALWVFGWVKTGVCG GGRWERVKGGGEMVVVGGVAAGAAMGLVRAFGAGE VE01_09857 MRSNFFSAAALLAVAQLVAAQTSTECQPLEKKCPDDLALGTTKS IDFTAGESSDFFALPGTTLKHTADGVEFDITNEKQAPTMESNWYFFFGSVEVVMKASD GRGIVSSFVLESDDLDEVDWEWIGSDTTQVQSNYFGKGDDSTFDRGGFHGVSNPQAEF HTYRLDWTAEKLVWSIDGAAVRTLLYADAKAGTRYPQTPMKVKIGSWVAGSSTAPKGT VEWAGGLAVWTNNPTYKMVVKSVKVVDANTGAKSYSYGDMTGSWQSIKIDNESTGNAG SGSESSTKVSSTVAPTSTKAHSSTATKVSSTETKESSTSTKESSSSMTTVVSSSSTGA PNATATGGSSSTDGSSDPTSTGPSTPVNSTGAGAKLGANLAMVGAVVAFFAL VE01_09616 MAVLFAEVQSHKRHNAEIKRTRNIGIIAHIDAGKTTTTERMLYY SGVTRRIGDVDDGSTVTDFLPAERARGITIQSAAVTLHWPPIQHGSPEDSIESVQKSR VSHTINLIDTPGHADFTFEVLRSLRILDGAICVLDGVAGVEAQTEKVWAQANLYSIPR IAFVNKLDRDGAAFGRTVKEIGSRLRGWPAVCQIPWWEGGNGRFQGVGDAVDLCALKW PEGGDGKAIQKSSLESLKETDPEFAAELAKARLALVEVLCEFDEHLLTTWLECDDDPM AIAPQAIRDSLRRCIIDGSGRLIPVFAGASFRNIGVQPLLDAVDDLLPDPNERPPAEI TAGALKGTLPDLLEGKLHIQHSKSSKQPKRLHGPGSANIGGNIESCALAFKVVNDPKR GVLVYVRVYSGTLNKNSALWNTSLHVTERAGRLLQMYASDAKEIQSIPAGQIGVIVGL KHARTGDTLITYTGSNAKSGPPAPLDNLHLRPIDVPPPVFFAAIEPHSLSEEKNVEQI LALLLREDPSLHVSVDDESGQMLLSGMGELHLEIARDRLVNDFKAKATMGAIEIGYRE CVQSSSSTHHALIDREIAGKKGMAGCSAFVEPVDSTDDFPLDTIERDGNFITVNVEMV DPEDRHTAYSLPQELPLSELRTALINGASAALARGPRRRFPLTSTHANLTFLVSRDLF GKDTHPAALSSAAHQAVQTALKETFDNNAISLMEPVMDVVISCDEGNMGNIVHDISSA RGGHVVSLGDEESTEATGDDQKQVDVSRIYAPPDPFAGSAQFGSNDLSGANVGQQRHI RARVPLKEMVGYLKHLRSLTGGRGTFIMAFEKFERVSGQRERDV VE01_09617 MDYSSSLHDGDNPAEASPWGSSPAPSPQHNRTSFNPTPEAPGSP VYGQNREHGDNYTSDSGITEVTGISDEAENAHAYSQGHDTTEPNAEHPAQQPGEQQPA GHPEQQRQAHPGQDAQRQQETSRPAVRQGPHYKLQAKITGLERVGRKDPILRFDVHTN LPAFRTTQFRDVRRTHSEFIKLADHLISSNPEAIVPAVPPALTSAGAGTDEDEARVKA SLQRWLNYVCGNDVLMRDDEMVLFVESDYGYSPMVKRKQPATGVRRKVIKQFAPPPDD TPELEDARPAIKQFYLGTMDAGHKVDKLVKARKGLGLAESDFGVKLGTMSIQELHGGL ANAYRKLGKTIQTTGDYHAAQGTAEATTIGDPLAYHSEDAFIVKETLTNRHILMRELL QAEILSKAKVDAADRLKVSSSVKREKVDEAIAAMDKARSNELHLRSKTQRVTTNLVQE TRRWFNRTTIDMRVSLREYVLREIEAERRTLATLETIRPDIRAIDASGGLSRLGRESH PAARRASLAASQGPKGDAWSGVPRRPDASRNVSGTIVPGVDEETAEASAGRGRALSGD SSGGLPGVKEEEDDDRVDARNAASRLATSTF VE01_09618 MTDWNKLKVPELKAELKSRGLSQHGLKAALVARLDEANNEPSAE GVQAESKEGDGNESIQTNTVDNSPEAEKEAPHPEAEIDSAPKPSHEPSTQEDVNKDAQ IEVDSKQGAPAQPEATPTSQEAPTQDSSLAEPAVAEAQATPDIESRKRRSLSPPPGSS ETSRKRQRKDTELPFTDVDGRHDDVTTTEGDEQWVEKHNNVDTAVINAESEEVATAGG VEEGPTIVDVGKEEVVIEHAGKHTEDTRGRHRERSYKSPSGPAHRRSEDHQAGRDHDM PDAEQERSVAPSIHPATSALYIRNFQRPLNRTQLKDYIAELATAPGQSQDPDVILDFF LDSICTHAFVSFSNTSAASRVRSELHDRIWPDERTRQPLWADFIPYEKVAEWIEAEVT STAARSGKKWEIMYGVDDDRNVTATLQETGAVPAQIRRQSSSANANAPPAFGRAGPGP VPGAPSGPKADMGRRDNGVPLDGPSDRYNRDADRDFNPYDRPNADIPPVAPFDPDVTY TKATPPVAFVPVTKALAERRLDNIHSLYARDAEDDFRAKSEQHRYSFEGDLLVDRGPE IFPGVRPPPGVRRRGLPRGLLGRGGGGRGGGPRGGRDFGGPRDFGRGDRGYRGGYGGR DEGYGGGFRNDRPPRRGGDSYAGGYDRGDRFDRPQYDRPKDDFGRGPRNGGGGYGGRE DQHWRGGSVGMGRRY VE01_09619 MRKTLIFAGSSVPELTNQICTNLGMAPAGVELSQFANGETSVKI LTSIREKDVFVVQSGSSKINDSIMELLILISACKGGSANKITAVLPYFPYSRQSKKKS HRGAITARMLANLLNVAGVNHIITIDLHASQMQGFFKCPVDNLHAEPLIARWIRHNVP GWKEAVCVSKNAGGTKRVTSLADALKLNFGMVTTDRRRGGNMSSSMIFSSLDQNPPPN DNDTRNDEVDGEPDLSIPLSAVTLDSEPTSGPTSNPTPSHSRHESSSSTRASNITSAS RVPLSGPTSAARSPLTRSVTTPIPFSHTEDDEYTDERAREVIHGRLVHGHIVPDDYPS PTTSAMSNSMIFDRPEDDPLEISRVSSFFNPEPYALGGSHDAAESSDEEGDDPVNHDL EKMITLVGDVRGRSVFIVDDMIDKWGSWVAAAEAVVKRGGAKKVYIIATHGLFGGDSL EELEKCDCIDVILVTNSFPIPEERARASKKLVVLELAGLLSEAIRRNHYGESISALFQ HISD VE01_09620 MGIPHLITHLRPYSENTNFNGQNVIIDGPGFAYHIFHTCLAEEP EARNPFEAFPSYKKIGDAAIRWLEELEGFGVEVKKIYFDGFLPASKKKTRSSRLMNYT KQLALYHEAWPQVIPSGSGQQKPKGRRSSMFDHKSSAAVAGHVPAIPFLVPAILEKLL ASERFQSITSVSPGEADLYCARYVKEHGGTVLTGDSDLLVHDLGALGSVSFFKDLEVS EQCNIKTIRCCQYTPALIVERLDLNRSYGLKSFAFEMVMDPHASLPKLKQKSKDIKAV TGYPGMYTDFVKEYEALPKEVHTTECTESSAPKSLQAVLSTLDPRISEYVLQFPRAAE AAERPFPASVQPAENGNSVDMFLPFLLDCPSKTSAWEMSTAVRQLAYGVMNLTEPKEN HVVAVVEHRRQQKGSRGREWQLPTYDEIDEASAGLVELAESIGKKMPGLSDFGLWRAI GLHQDLVFSSSAGKQSLGSLIIESGGPKRLSWDTIHFSAQLQGSFYSFRMLKQILEAA LVSQYEENLSAASKRLSELLKSLPELQAFPDVHADLESFKSSADCKALSAVKALLNIR GQDTMDNGNAKSTKKDKKNKRKRAQESSASVEVTKRPNNMFDLLGST VE01_09621 MFSLFQNLPYELRHEIWRYHIPAPRVIETRYDGATGRVLPGSVP PILLHVCQESRRFLLSTEIGFSMQFGTSGNPAAVCINAKTDAVQIDYDALKYNHIKPA EFETIVHLELCGSSLYNEDSVDILRQLVKFQNLDTVSLVAPSKPFLESLVQTQGHSIV DYFLAQCVYTAAMATRVWELDIDMKKPQYDTWRKPLLNRIFLRDDGSRFTEEGEFNPV WGLGVSIIHTKADFAMSTMARRLEEWRRNRGTQSA VE01_09622 MPSELEDLVGFINHGSTPVRQLAVENLVPYSLSQPAIFKTDGLL PVKHLKLLVRDYPQIAKNALDILINLSDDHEILEDLSKDNTLLDSLLSRLTNPTEPNA NLIAMLLANLGKEDNIKILLQLGCVSPEGLRSSDRIIDQLFDLFVKGADGTYNKDANF DYLAYLFADIAKHTEGRKYFLTKQEYDGVIPLTKLTVFTEHKSDVRRKGVASTIKNVA FETDKHDLFLAEDQINILPYLLLPIIGGEEYSDEDQEGMLDDLQLLPPDKARDSDPEI IKAHVETLMLLTNSREGRDTMRSIKVYPVIRETHLAVEDEGVREACERLVQVLMRDEE GQEVSDGMKQLERTSKRTVPATKGGGWADQESEDDDDEIREV VE01_09623 MEYIKGFLPWPTTPVPGVRLSASGLLALADLSTIANRTAITGTS TWLDMLVLAPGLHNQQRADTLSDLPNPLTQPLLTTPAHRPTSLTNAATIRYLARLGAG SRPVTLRVGSPRTQSLRRTVSTVSLKRVRQTPRPSLMAPLLYITALLFTVGAMALMVL TRDWWGVGILSALMLARALNIWIIRSRTSGGAPPSAQASMQASEQASGQASAPTSAPP GSPPPTPADAEPESWKVKIDGEREICLHGMADDLEALTGGIWMRGKTAVEGYIEAAAK LIVYLVAAFSGNMHQTGDMVLLLLLLFSAGLLALSNSREDRFWMNGRSAVIIPGFAEV MDGLATPDWGGTTDGGDDMKGGGDGTPGSVMSV VE01_09624 MDLPSTFEFQGWNIRYDLETSSNTTAGSTRAPPKPVVFVHGTPW SSVVFKPIVEALLAKGSYKILIYDLPGYGQSQEYNPDSNSTTPKASFPGDTSVKFQAT ALSALLNHVQLDGKDTNPAPAVVAHDIAGTIVLRAHLLHDCNFASMLLAESNCVLPWG DGFYKLARSEPQTFVKLPAKIHEAVVRAVIKSACHDVKVLEAGWEDALMGLWIDEDGG EVGERQRSFVRQIAQADDGDVAEMLEGGAYGRVRCDVKIVWGEEDQWIPRARIEETIQ RLGGKVKETAFIPDAGHLVMLDKPERWAIEVFDWLMR VE01_09625 MATYENTQQQQVAQPQYAPAPQQQVVQQPYASQPAPVAGPPAGN DDVAHWTNRITAALNKPETITGPVPASHQPWHNRFLEFFQPIDLCLITCCCPCVTFGK THHRLHHDANLKDYSPVNASCLGWWASGCCAATAVGIVLQRRTIMDRFGLTGDFPVNC LRGCFCGCCDLIQQEKEVEYRLLQQGGVTEQPTANHEMKVPGGQQY VE01_09626 MASNPTSPMSGPDEEFLKSHELFFSALSGNDRSQFKSCKSPEEL LEEVKKFSRFKDEKGSWARPIICIQKFSDRLAPYFEIITIFTQSHPELSSIAWGAVRL ILQLAHNFTSFFDKLTEILDEIGRTIPRYQEILTLAPGELSTRFRSSLVAFYRDLFEF FTSVACVFTQKSGKLKRTPVVISQLLWKPFSVRYTNFVKRLDFHGDVLEDEENLMRTK TLFQIKKSQDEMLHDVEEQRQEENEYKSLLSIIHEKVSAVELKNIRDSVKDWLQLPSS GEIQEPLSDAQRLRDSNTGTWIFDDNQFVAWHQSNPSHSDQCHPLSNALWVRGNPGTG KSVLAASVLDWLGTSGRHDRDQNQALAYFFFSFRSPPTRRLSNAYREILSQIFRQLQE NAAVLDCFTFAAAKSATSCASIKDLLALLKLLARHIPRFTLLFDGLDESDDPEDLIKS LATCFSGTPAKMIFFSRPNVRALMAAPRIEQITLLRHSVEADIRIYLSHRLEGLHEKL PPSYPEEKILTHLLDSANGMFLWARLMMDYLESPALDPPETRLASIWETTQHETLNDM YIRILQHIGKKTKPEIDMARRILYWLSFYARPIEAGELWEAIYSLTTPSPPPGLTDHV IPSPKQTEDFDHAIVIISTCLAEKHEFGYRLVHQSVVEFFRTWSEELLCNDPVVLQLL LEPGEAHCLLANACLSYLVNRIPAQPLSGDMRQGVSMHRIRFGFPLVKYACLYWTHHL KEFLECNMDAIQARFQHSAPSVTRGFVNLVKTLSIFLANKLFANTWVELQYMVCNHTD LLRHINDLGDCCDLIESLPTTCLPKNLRELLGKITYLHRDILALNSAWGPTLEENPHY IWNDITAFQESSSFMKTSAVTVRSMAPSQFDSQILTSKPLISLSKERDDGKMVGVLSV WPPKSFEEAWPKATESKVEGLTCEGWIARFEVWDVHSEAKDKVNDIRIPLSAIEVKDH VGRSITLRKVLEGRTNRTTPTVFNKWSMPFPAAIGSQVNLLIILNTVYLLDLTLSEPV HEMSLPAPEADSNLSATDSQNGKAQKQPRSRPLRESLRLAQSHSFIISNNSRYILRLD ARSLVSTTAGEPTVYSVTAITVNQTEKICGVIAQVGGNNAGMNINSCSFHPTLPLALF FTRGFGGDRSMMLWAFTAESHNGQDFNISTPGGNLETLSKIEPSQTGIEYLHFSSCGT NVVVKCSGRQLPEVYSLQTNPIYNLSIRLQQEDLISSSTTEMSSTDTSLLHSPDQSRI HQGQSLIRNSSAYRVNLSQDRTQNHLELTKVSNDAKTRQHLVSFPNSWTDLDNSIDIT LSKANDADRNVRMMINQGHKSWYEASEQQEAHFPIIVDKDSRAFLPAQSQSFNKCRKR DAAEMLEFDALFSEDGDELNAPKQRLIGYGGNSPKD VE01_09627 MSSHRLSTASGENPSAVNLKSSISEPVEREAFVEPASFSLPRGP TYGTESSRASSTISHRGSVSDMSEAWTEGTNTSINDGAVEESETPRMLSGSTSDLTKH SPLPEPAMSKAGSTTSESKRMSSSSLYSLQSARAGGVAPSSNSSSGDAIPRLNPGNIP GTRSLGVSPDAATPIVSVISPSVTPPTAQGAANPQQAAPKDGVPPGDAPKRSRQEGTS RASIPRSRSRVKRRFSVSTGASSHSPSSERGIHQVKEKEGPKPAPYGIIGVCALDIKA RSKPSRNILNKLIAKGEFSVVVFGDKVILDEDIENWPVCDYLISFYSEGFPLDKAIAY VKARKPFCVNDVPMQQILWDRRICLRILDKINVPSPSRVEVNRDGGPRVMSQDLARHL KETSGVIVQGPEDGDKLFAPPPRKVELLDDGDTLSVDGVLLRKPFVEKPVSGEDHNIC IYYPKSQGGGARKLFRKIGNKSSEHIDGLTIPRAILEEGSSYVYEKFMRVDNAEDVKA YTVGTGFCHAETRKSPVVDGLVRRNTHGKEIRYVTSLTKDEAAMAARISTSFGQRVCG FDLLRAEGKSYVIDVNGWSFVKDNDAYYDQCSSILRNMFIQERERRQAKAAAAEQAKI SESVAQSAEASESEMPPPTRKESSLKENHRSTLQTILGRSPSISKLAHLHHNHGKSSE QRSTSPDRAVLTMPLSTASSMEKPVSSMVSSLPHVSSRTSISGPASAAGSVRTSQILE PNPEEEEDKELPPPAPKHAWKLKGMVSVIRHADRTPKQKYKYTFHTKPFIELLKGHQE EVLLTGEAALDSVLDAVEVALREGIEDRTKLKALRNVLVKKGGWVGTKVQIKPMFRKR KVEDSPKPTFATIADIPVDVSKVIPSVSTTPGATEDSQDPEDRPLKRADSLTGVTLSR ITAAEERLVLDKLQLIVKWGGEPTHSARYQAQELGENMRNDLYLMNKEVLDEVHVFSS SERRVTTSAQIFSASFLDKKDLASDFITIRKDLLDDSNAAKDEMDKVKKKLKVLLREG QGPPPQFAWPANLPEPSIVQRQVIQLMKFHRKVMRHNYQKLYGGAVTSLNNIVNPGDK AASESPQVGAMGQATAINNIQARWCCGEDAELFRERWEKLFIEFCDAEKVDPSKISEL YDTMKFDALHNRQFLEWVFTPSKSMLEEEDSVVVAEDAASAKVSEDKSDKSERSDSTN TTEKSESSKSVSRRLFRRRSGNMKNVVEETPESYFHLFTGSTKTKAKTDARFEKLREL YNLAKVLFDFICPQEYGITDSEKLEIGLLTSLPLLKEIVADLEEMQASDDAKSFIYFT KESHIYTLLNCILEGGIQTKIARSAIPELDYLSQICFELYESETTPSPAPKTQSAPEL APPADMAALAEIAANLPSAPEPIPSPAPPPEQTFAYSIRITISPGCHTYDPLDVQLDS KHCIGCAPRRSLTQHMDWKEVIETLRAKFHQVRLPKSFLAINLSESHTFHRREEEKSE AEGENGGGENGSLCVGEGEGGRGRSGSEGKGEVVKGDETKDEKKGEKEEGPKGEEVPG GPEKVNVVF VE01_09628 MPSTVASNNAEGPIVKRQKLQSNVAAGGEQARQSRIFTPFRTVG LVSSTAVPFTSIPLGKTSFQITTSVGRCLQTYDLKRGLNLVFLTRPQTPENITATLAW KDKVFAAWGGANGTQPGLWSFKRGKKVDEFAIPQDLTQPIKQVLIFGTWIVACCATRI EVWKSATLEHYTSIHTTPGSGKGGNELTSISNMPTYLNKIFAARKDGSIDIFNVSSGK HIYTILPPLPNCGSITAMQPTPALSLMAVAYSNGPLVIHDVRTDKTIILLESKSLVTS ISFRTDGLGAGEEGREAGVMATAGPDTGDVTFWDLNNGGKVMGVLRGAHNPPVADADS LVGGGINKVEFLAGQSVVITSGLDNSLKSWIFDETPYSAIPRILHSRSGHAAPVTRLQ FLPSEADGADAKGKWLLSAGKDRSLWGWSLRRDGQSTELSQGNIRKKAKKLGILASST LANEPSTTLEDLKAPEITCIASSLNRDGGMGAHAGSGGIWQKSHQRAKFPGDATQSGT TGWESVVTGHHDDKYARTWFWGRKKAGRWAFETGDGANVSSVAISPCGTFAMIGSEAG GIDKFNLQSGQHRQRFPAKLTQAQAKKWKLQQMGEKDGTIAIPTGKRFPMGLGRHTAT VTGIIVDSLNKNVISSSLDGKLKFWDFNTGHQVDEIDWAPMTRITALHYHAASDLVAA TCDDGCIRIVDTETKKTIRELWGSQAAITDVTFSPDGRWILAASRDAVLRVWDLPTGH LIDAIRLRSACTALAFSGTGEFLATACEGELGVNIWNNKTLFTHAPTRHISENEIVDV AAPTASGEGGAGLIDAALAEESEDGDAAPAAAMLDQLSADMLTLSMVPKSRWQTLLHL DLIRARNKPTEAPKAPEKAPFFLPSLEGGRPSSSLVAGAVDTPTEPESRITRLEQVSG AEQDVFAVTLRRGADSGDFTPFVEHLSTLSPSAADLAIRALAPAPGARPEELVAFVRA LTARLGQRRDYELVQAWMGVFLKVHAEALGDEEVRGVLAEWREEQGREGRRVAELVGY CGGVVGFLRSAR VE01_09629 MSFPAFGAFLLALLAIILISAIAWIIFIHLRARRLGLPTPTLTS YNPFASRSSYTPQARTGGLIGWISDKFRNIRARRSRTAGGAYEEPLGAYGGGGGAGGG GARSGFGALDPDEAWDARVGNEADYYREEQEVGLHAETGYGGAGGEERGRTRGRRGDE GPYGGEQGELDRRYEEEMAGQQTGVTKKSESRNPFDDSAAVGTSLRGVSPRPDKSGEN EGLGGDPDSPTGKRSSFREVM VE01_09630 MTAEVTSFLPYHEPGIVTILIQSSFILILNVVNTLFDKLIFCGL LGQVFIGVAWGTPGAKWLGQEVENVIVQLGYLGLILLVYQGGLDTNFRSIKANFSLSV AVAITGIGFPIALSFVLQSVVDTTPIQAFAAGAALCSTSLGTTFTILNASGLSTTRLG TVLSSAAMMDDVVGLVMVQVISNIGENSESFGAVTVVRPLAVSFGLAIVVPLACRLVA QPLTTWLNGARKSSPNGALNKLCQATHTAFIIHTLVLAALATGATYAGTSNLFAAYLA GASISWWDSEVPHLDSAKVGCSHDSPSSPVEHGTSAGSTGSPNPSSRDEVGPSMEGEA TARETTPKEQKPTASIGFAIPVTDMFSGSVVWRGIVYTILMLFAKLVTGLWLVRLDIS LMKVIPMGVRSSIFGGGKAKKEVGSTAGAPDTHELQPQGDASVRPPTEENTTAKNQRT EPSSVRSTETLTKPRNPRSLYPAAMLGTAMTARGEIGFLIASIAETTGLFASSSRPSN GSSEIYLVVTWAIVLCTIIGPLGVGTLVKRVRRLQSERAKTPGASDPLGIWGVS VE01_09631 MTIGGPFKAAWAAVTGWRGKKTPTPPTAAGGKTDATTVVKAGDT DTVPAEAVAYKNAGYFVNWAIYGRNFQPDQIQAAQLTHVLYAFANLRADGTVFLSDTY SDLEKHYPADSWNETGTNLYGCAKQLYLLKKKNRTMKVLLSIGGWTYSSNFAAAAATP TTRALFASTAVEFVKDLGFDGLDIDWEYPANDTEAQNYVLLLKAVRAALDAYANDNAK GYKFQLTIAAPAGPDKYNILKMKDMDAYLDAWHVMTYDFAGSWSTVTGHDANLYPSKT IPDSTPFSIDKAVTDYIAAGVPAAKLVIGVPLYGRSFAATDGMGKNFSGVGSGSWENG VWDYKALPKAGATVQVDNDAKARYSYDPATKELITFDTVDDAKTKAAYVKSKGLGGAM YWETSADKAGDQSLIGTFAGSFGALEKSQNLLSYPKSKYANLVAGMP VE01_09632 MTSRTTYPIPHRTAKNGNGAARLNVHGPDDGLSYSLDTISPSTD ADDNEDDHHNDIPADDDDSDERTRLTTAPPDDAERRTTTDLETTSSESPRMSKAPLLL RTSPGMGTGSYGAAPVGHSTDEDEGEEEVLRRRNKKAASRHTVSSSRRRRRRASGSDA GGGAEGSGAAPSPTSTRRPSISLVASTAAMEGVEGFGMESRFGVGKLPVSPGSDHSSK DGLDEDTDPDDLFNDEDPPDNSRYSQVRASVSALDDLSLSINTPRMWTLSMLFAIMGS ATNLFFSLRYPSVSITPVIALLLVHPLGLMWDTFLKRPGDPEVIYIEGYRSMSPSSDD TTLDTDSADDSQRSTSSSTLEGKESRRHRLRLWLAQGRWNGKEHSCVYIASNVSFGFA FATDVIVEQTHFYNQPVTITYQVLLILSTQILGYAFAGLSRRFLVRPGGMIWPGTLMP AAMFTTLHKEENSIANGWTISRWKFFFVVWLSAFAFYFLPGLLMPALSYFSVITWFWP DNVVVANLFGVSSGLGLFPVTFDWAQIAYIGSPLTTPFWAAMNVVGGLVVVMWIIAPI CYYNNVFYSAYMPILSSAVFDNTGKVYDVARILTPDFLFDRAAYESYSRVFMPITYIL SYGLQFAALASLLTHTACWHGRDIVRQWRNSLEEVRGQAKIGYEAVPGAPLPRGRRGR RGRRVSIEPGVEDTLNQEDVHNRLMRRYNDAPMWWYLATFVSMTAIGIFVVEYYPVHL PWYGLLLALSLCALLFIPTGIVAAITNQHSSTYLINQLACGALFPGRPVANMVFVTYG YICSAQGIKFSSDLKLGHYMKIPPRLLFSVQMAATLVSSLTQISVLNWMFANVKGICT TEAVNGFSCPLARVHFNGSILWGVVGPGEFFGPNATYRPLVWCFLIGAIAPLPLWWYS RHLKSSIVRHINLPVLFGSLSWIPPATGLNFSVWAVVCYIFNYRIRRSAPEWWAKYTM TLSAALDSGLAFGVVVIFFGVLYPGWAEGWGWWGTEVYKRGCDWRACSWLGVEEGGRF GPDRW VE01_09633 MASLPAAIGLTLFTLLRLSPLPLALSTLPSLYTFFSAPSTPSIL STPTYFLGVSCLVIGTSSDLITLIALLRRKPNFDILLNLSPLVLFSASRVLFSYTPAA LEGQPPPQAPRGCIILLNVIV VE01_09635 MAPCATSEEPQGLMSSVSMVEERVITKAVTMPIQASVLHGPRDI RLETRHIAPPQDDELQIAIKATGICGSDVSYYKKFANGDLCACSPLSLGHESSGVVVA IGSHVKNFTLGDRVALEVGIPCGNCGVCREGRYNLCKKMRFRSSAKSVPHFQGTLQER INHPARWCYKLPDTVSFAAAALLEPLSVAIHAVTRASVTSGTSALVLGAGTVGLLTAA MARQSGCHTVTIADLSAPRVAYALAHGFATHGYVVPPSSLSPSTTSSGTSTPASSSTA PLSTPISRYAETTLQLAAAKALAAELIGVTQKAPEDDDMDDVGVDYTFECTGVEACMQ TSLYATRPGGKAVIVGMGTPIQTLPLSAAQLREVDLVGVFRYANTYERAIRVVEGGAR MRAQGLGGFPDLDGMVTHRIKGLGDAQKGMELACRTMDREGGLVVKVVIET VE01_09636 MLEESFGNLHATLKESAQYYEGVSVGDDAVKQAVAVASNEPLTS ADSYSRVIAKALLKDEAQQDTISGRTRICMSKVFPVLSVVLGVVSFSADAAGLLPLKI TANALSQVVTLASNDHSSSVAVVEGLEELSDHQQFLNSVSSLNFELDNGTDSILVKAT NLLAAITDFLRISIQFLQRNFVQRVWDQVTTDHVNTAMKSLQDARQNFDMAVHGAASA TILRRENEAVTRKALHDMSPLTFKKTHDDVVINRLENSGQWLLEHPNFDKWLRGDILT LWCPGKGGAGKTFLTSVVIDHVDSYLKSQRETQPDKRVGLVYLYCRFQNEAEQTVLKF IPAIIQQLAAQDLSAVSQVKKFNAKHVTQPATLDQYTSFLSELLDSFSAVYLMVDALD EFSKSEYEKKLFVQELLSLSSTRTTLRIFITSRPDHDVAQELGGENVDIEASEMDIHA YIERAIKTNSAWKSWVEKRPDLRTKMLATIPKKARKIFQLAKMQIDHLETEYTVEDVI DALGSLSENVNDYYKMSIERIERMSEARDKDIINIILKWVYFAKRPLRVDEICHILAV KPKNTSASRLQRIVESNAGSWLQTFIDRSAGLLTIREESQIVSVAHPTVQEYLKTLEV TLLSTAEEEISDRCLTYLFLDVFADGSSSELDDPIFDRLSMFPFVEYASIFWGDHLRG KPEENTSLQDLALDFLKNEKLLSSSVQMALVSPEIARSDGRLGIISGEQFFGREAPAI VVAAKFGLLVLVNRLLEDGTSIEERGGGGDTALYQASLMGHEPVVNTLLNKGANINSQ GGDYSTPALQAASHGGHLTVVKLLLERGANVNAKNESYREQSTALSSASAAGHVQVVI ALLNAGAEVNARRGESTNPLHAAAAGGYTAIVKILLDKGVDVNARSSDRHGNALSVAS DRGHIGVVKLLLQNKAPKVEVNPKGGTEYNALHAATRAGHEDIVKLLIENGADVNAKG VLNEASRLGYMAIVKLMLQNGADVNCEKRWGPPLQAATGSGHEAIVALLLEKGANVNA PGGDAESGGNALQAACYYGHEAVVRLLLKNGADVNAPREKYRGDTRNMFALQFAASQG HVAVARLLLEEGADVNAEGRHSQTALRAAAKGGHEDMVKLLLKWGATANLEDERWKKL RVTHRWVETTVMESILDLIESYPRD VE01_09637 MLARFSKQALRGAGIALNGRLVSQQARFLATVEPTVGRTMPVTR PRATPIAHDRATFTIRDGPVFHGKSFGAKSNISGEAVFTTSLVGYPESMTDPSYRGQI LVFTQPLIGNYGVPSNQRDEYGLLKYFESPNIQCVGVVVADYALQYSHWTAVESLSAW CAREGVPAISGVDTRAIVTYLRERGSSLARITIGEEYDADQDEAFVDPEQINLVKRVS TKAPFHVPSPKGDMHVAVIDCGVKENILRSLVSRGASVTVFPFDFPIHKVAHHFDGVF ISNGPGDPTHCADTVYHLQRLMETSQVPVFGICLGHQLLALAAGGRTIKLKYGNRAHN IPALDRTTGQCHITSQNHGYAVDTSTLPDYFKEYFVNLNDGSNEGMIHTSRPIFSTQF HPEAKGGPMDSSYLFDMYLDNVRRYKDGKKVVGAVVEERISPLLVDILAKERVGVEPA QEAAAAAAAAA VE01_09638 MRPEIEQELAHTLLVELLAYQFASPVRWIETQDVILADKLTERI VEIGPADTLGVMAKRTLASKYQAHDAAKSVQRQILCYNKDAKEIYYDVDPVEEEPEAA ASSDAPAAAAPAAGAAPAAFAAPAASAGPAAQVPDAPVGALEIVRSLIAQKLKKPFTE VPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDIPLDELGASMQATFNGQLGK QSLSLIARLISSKMPGGFNITSARKYLETRWGLGPGRQDGVLLLALTMEPPARLGAEA DAKAFLDEVSNKYATNAGISLSAPVAAAGGGGGGGMMMDPAAIDALTKDQRALFKQQL ELYARYLKIDLRAGDKSFVSAQKSEAALQSQLDLWNVEHGEAYAAGIEPVFTSLKARV YDSSWNWARQDALLMFYDIIFGRLKAVDREIVSQCIRIMNRANPTLIDFMQYHIDHCP AERGETYQLAKELGQQLIENCKDVLNTPPMYKDVSVPTGPHTTVDARGNLEYSEIPRT SVRKLEHYVKDMADGGKISEYGNRTKVQHDLGKIYRLIKQQNKMSKESQLQIKTLYSD VIRSLAMSEGQIMPKETKGTASGIKKPAVKQGKVETIPFLHLKRKDEHGWEYSKKLTG LYINGLEDAAKNGLSFQGKNALMTGAGAGSIGAEVLQGFISGGGKVVVTTSRFSREVT EYYQAMYARYGSRGSQLIVVPFNQGSKQDVDALVDYIYNPKDGLGWDLDFVIPFAAIP ENGREIDSIDSKSELAHRIMLTNVLRLLGAVKKEKADRGYETRPAQVILPLSPNHGTF GNDGLYSESKLGLETLFNRWHSENWGNFLTICGAVIGWTRGTGLMGANNITAEGVEAY GVRTFSQTEMAFNLLGLLTPPMVDLCQSEPVFADLNGGLQFIPRLKDVMTNLRKDIMD TSEVRRAVTKETALENKIVNGEQSEALYQKVTIEPRANIKFDFPNLPDWEKDIKPLNE NLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGQFSLEGCIEMAWIMGLIKNHNG MIKGKQYAGWVDAKTGEPVDDKDVKPKYEAHILEHAGIRLIEPEMFDGYDPNKKELLQ EVVIEEDLEPFETSKENANEFKLKHEDKVEIFEIKESGEYTVRLKKGASLLVPKALRF DRIVAGQIPLGWDATKYGIPDDIVAQVNPVTLWVLVCTVESLLASGITDPYEFYKYVH ISEVGNCIGSGIGGATALRGMYKDRYLDLPIQKDILQESFINTMSAWVNMLILSSTGP IKTPSGACATAVESLDIGYETIIEGKARVCFVGGFDDFTEEGSYEFANMKATSNGVDE LAHGRTPAEMSRPATTTRNGFMESQGAGMQLIMTAKLALEMGVPIYGILGMTATATDK IGRSIPAPGQGVLTTARETAGAFPSPLLDIKYRRRQIELRKKQIVQWQESELEYLHEE VAAMKAQATGEFDEVVYTSERTEHIEREAARQVRDVLDQLGNSFWKKDPTIAPIRGAL ATWGLTIDDLDVASFHGTSTVANDKNESKTICQQLEHLGRKKGNALLGIFQKFLTGHP KGAAGGWMMNGALQVLNTGLVPGNRNADNIDQVMEQFDFIVYPSKSIQTDGIKAFSVT SFGFGQKGAQAIGIHPKYLFATLDEETFAAYRGKLEARQKKAYRYFHNGLINNSIFVA KTHAPYTDEQMPDVFLNPNARVSVDKKTAGLTYSPNFAAEAVAASRAARAAAKPTSTG SSKEATKAVVEKLAKSTAAAGTKVGVDVEDVSAINIDNATFVERNFTAEEARYCRAAA DPQASFAGRWSAKEAVFKSLGVPSRGGGAELKSIEVLAGEGGVLVVHLHGDAAAAAKQ AGVREVSVSISHSASQAVAIAVSTF VE01_09639 MLGTSTGPQTGVSTPRSSSSLRPLTLTHGSLEHSFLIPTNLHFH ASQIKDQFLASLPEPTDELAQDDEPSSAAELVARYLSFIAAEVESGEDDAQGSYEEVL KLVLNEFERAFLRGNDVHALSGGIPGIDQKKLETVRGYYAARAASNRPIRSHQSALLR AAGEGTAKIYSVFGGQGNIEEYFDELRELYNTYQSFIGELITSSAELLLTLSRDPKAE KLYIKGLDIMTWLRHPESTPDVDYLVSAPVSFPLIGLVQLAHYSVACKTLGLTPGAFR ERLSGTTGHSQGVVLAAATSAADSWESFDKIATQSLTILFWIGSRSQQTYPTTSLAPN VLQDSEENGEGMPTPMLSIRDLSRDQIQEHIDATNQYLPEDRHISISLVNSARNLVVT GPPLSLYGLNLQLRKVKAPTGLDQTRIPFTERKVRFVNRFLPITAPFHSKYLSSATSN IDEDLKDVVISSKDLGIPVFDTNTGKDLREQVEGNIVPTLVRLITQEPVNWEKATVFP QATHVLDFGPGGISGLGVLTSRNKDGTGVRVILAGTIAGTVPEVGYKPELFDRDEEHA VKYAVDWLKEHGPRLIKTTSGQTYVDTKMSRLLGVPPVMVAGMTPCTVPWDFVAATMN AGYQVELAGGGYYNAATMTEALTKIENAIPAGRGITVNLIYVNPRAMQWQIPLLGQLR AQGVPIEGLTIGAGVPSIEVAQEYIETLGLKHISFKPGSIDGIQSVINIAKANPTFPV MMQWTGGRGGGHHSFEDFHQPILQMYGRIRRCENLILVAGSGFGGAEDTYPYITGTWS SNFGYPPMPFDGCLFGSRVMTSKEAHTSLNAKKAITEAEGVEDSHWEKTYKGPAGGVI TVRSEMGEPIHKLATRGVKFWAEMDQKIFSLPKEKRVPELKKNRAYIIKKLNDDFQKV WFGRNKAGETVDLEDMTYGEVVRRLVDLLYVKHEARWIDKSYTKLTGDFIYRVEERFT KGKGNPSLLQSYAELNEPYATVERILESYPEAETQLINAQDVQFFLLLCQRRGQKPTT FVPVLDENFEFFFKKDSLWQSEDLEAVIGQDVGRTCILQGPTAVKYSKIVDEPIKDIL DGVHNAHIEGLTKDIYNGDESAIPVTEYFGGKLVESDAEAEFEGLIVNQDAEKTTYRL SSSPSATLPSLDAWLTLLAGSKRSWRHALFTSEIFVQGQKFQTNPMKRILTPVRGLFV EVQYPNDPSKTVITVKEQPRPNHYVQVIEVKLEGSNKIAVNITKDTTALGEPVDLELK FRYHPEAGYAPIHEVMEDRNDRIKEFYWKAWFGTEKLDLDASVTGEFDGGSATVTGEA INDFVHAVGNKGEAFVSRPGKEVYAPMDFAIVVGWKAITKPIFPRTIDGDLLKLVHLS NGFRMLPGADPLKEGDEVATTAQINAVINQDSGKMVEVMGTITREGKPVMEVTSQFLY RGAYTDFENTFQRKTEVPMQLHLESSKDVAVLRSKEWFNVEETDIDLLGQTLIFRLQS YYRFKNKTVFSSVETRGQVLLELPTKEIIQIATVDYEAGVSHGNPVIDYLQRHGSSIE QPINFENAIPLNGKAPLQLRAPASNETYARVSGDFNPIHVSRVFASYANLPGTITHGM YSSAAVRSLVETWAAENHIGRVRSFHASLVGMVLPNDDIEVRLQHVGMVAGRKIIKVE ASNKATEEKVLLGEAEVEQPVSSYVFTGQGSQEQGMGMELYASSPVAKEVWDRADKHF MDTYGFAITNIVKNNPKELTIHFGGPRGKAIRQNYMAMTFETVASDGTTKSEKIFKEV DENTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMLSKGLVQRDSSFAGHSLGEYS ALAALADVMPIESLVSVVFYRGLTMQVAVERDATGRSNYSMCAVNPSRISKTFNEQAL QYVVENIAEETKWLLEIVNYNIANMQYVCAGDLRALDTLTGVTNYLKAQKIDIQALME TLSIEDVKAHLVEIIRECARQTETKPKPLDLQRGFATIPLKGIDVPFHSTFLRSGVKP FRSFLLKKINKTTIDPSKLIGKYIPNVTARPFEITKEYFEDVYRLTNSPKIKDVLANW ESYQDGSSGREGSESSFEHVQVEQAEGTETDAS VE01_09640 MPTTSPILLILGAGPNIGQSVARIFASKGYKVALASRSQKEVDS TDNHLHIPCDFSSTSDVIDAFTKVKKVFGIPSVVVYNVSASTFTPAQDPFALPLADLT KDLTINVTSAFIAAQQAAACFSQLPASAARTFIYTGNILNVSILPGFLSQGMGKSAGA HMIWAASAAYKERGFKFYYADERKADGTPIYRVNGDAHAELYLKLAGGKTQGEWLQTF VKGVGYTKFENPDHDPDHTDIAKDAKDAKATVDVV VE01_09641 MSRLTGKTAVITGGATGIGLASAKRFISEGAFVFIFGRRQDQLD AALATLGPNARAIQGSISSLSDLDRLYAAVKSERGTLDIVFANAGVGSQLKLGSITVE HIDEILDVNVKGTIFTVQKALPLMGPGGSIILTGSSAGTTGAPAFSLYGASKAAVRNL ALTWGEDLKGTGIRVNVLSPACTATELAKAALGEEGMKVFAELNLLKRMADPEEVGAV AAFLASGDSSFMTASEVAVDGGLAQI VE01_09642 MSTESSAPSDAMKLGIEMRRKVLGEALLSKLKPAGEPDIFTKTS SEFITEVCFASYARPGLELKQRSLLNIGILTALGRGPELTIHVQAALNVDLTEEEICE AIRHTMIYTGVPAGRDAFLIASAVIAELKASGERK VE01_09643 MKTQQHGSTDDGDCEKAMHTGDEDAVKSPTTADVESARNAALVA LSPTEKRKVIRRVDLRVTCVLGLIYCISQMDRNNLGFAAIAGMNADLGMDGTKYSIVI LVMFITYVAFQPVATVLMRIIGPRYFLSAITVSWGAVVIGFGFSTKWWELIPLRLVLG ALEAGVFPGSVYLLSCWYPRYDLQKRTALFYLIGTIASAFTGILAYGLSQMEGLGSGA GLGTHFGPTEANPNAPAGIMSMSGIAGWRWIFIMLGVITVVCGLISLFFIVDFPENAS KKSFGMTFLNEKEVAFFVARIEEDRLDVVAEEFNLRAYLKNAADLKLWAFASLFGLTT TTNYAVAYFLPIILRNSMGFSIAQAQCLTAPPFVAAGITMITLGWISDKYRIRGPIVL LNATVSIIGLCILGFAKGTAVRYFSVFLIASTGNSNTPGIVTWQSNNVRGQWKRAFGS AAVVAFGGLGGIIGGTVFRTEDAPLYRPGIYACLIANFLIVAISLILMLTLHRANKRA DAGQKVIQGLVGFRYAV VE01_09644 MPVAIVTGSSRGIGRAIALQLADDGMDIAINDIEQQSAYMESVK AEVEKKGRRAIVVVADVSVEEDVKRLVDTTVEQLGELNVMVSNAGIIVTKRLFEVSVA EWDKVQQVNVRGMFLCYREAGRQMIAQGKGGKIIGAASIAAFRPFEDSRRRLLWNLQS MELMSTATYCPGPVSTDMWDAIDASVAARTGLAKGEAFERSIQSRSAMKKAQTPEDIA NMVSFLASDKARMITGQSMIVDGGIVFS VE01_09645 MGSFESKLPAVVNASTATTNGDDTSYKVLEQPYGTARQIRIVTI GAGASGLNLIKTLRTHMTNYDHVVYEKNPEVGGTWYENRYPGCQCDHPSHNYQFSWRP NPNWTKFSADSTEIQEYLCRLCDEEGMRPEIKLSHEIIRAEWNEDLGEWLLKIKNTIT GEVFNDHCNFLLNASGILNNWKWPAITGLHDFKGELVHSASWPENFDYNNKKVVVIGN GSSGVQIVPKIQPHVKELVHVIRSSTWIAPPQTERMLKGNSAEIIQSIKMDGDKFTTE QKDRFKADNDYYRGFIKAVEEQVNARFKAQVDVKTLGEASRKIMVAHMTKALGGNQEL MDAMIPKFPVGCRRLTPGVGYLESLGKENIRVVTQGIAKITEKGLELTSGEVVEVDAI ICATGFDVSFCPRFPIIGRKGNLQDTWRNGIPSAYMSCAVPNVPNYFVFLGPSAPIGH GSVLTISEHVAKYIVSMLKKCQTEGIKAVQPKEDAVRDFTEHIQAFMPRTSWSGNCRS WYKNGTADGPIIALHPGSRIHWFHMLENFRGEDYEYTLDSNNRFRFLGNGFSVKEAEE NPTWYLDTPNKLF VE01_09646 MSPIPQREGLEDTNRPPTVAAAAPAAKSNNLMGYLGEQCMFSPS GLPQWSSSIPPPTFPTDISEGILQVSGAAIIPSSSLIIALADTYFKHIYPHIPVLDRS DLDVQPLSPLLVQRLGFVADYGGTCFDKLQSAGYGRPPAISFHQFDTRMPTMEDFPTN HPDNEVFIYKTKLMVILGKITAAQYQYRLECPPTLEEAMSIVGSLKEWINGLPEDLRL YNGSVRRPYRRSALELHIIYFVCVILINRFCEKPNRSWASLKTPIVAASCIASLFEEI YYRDEVIYLAPINNWFCMVASIPLIISIKLLPEKQSLFTENLAIIKSVLEQMTLRTPS SELILSSVNRVERAKNHEAENNATAPSLHTPTNMDHGDVQESPDFEGFLLDSVEALFP FPNTLCASMDLLSTIQDGNNQDQALPAEHLVEISDWMFNLDFSDMQWDSFQMPFDMTD MPIIGME VE01_09647 MAPLFKIPPGESNARVRIIDSTARIGGIPTTFFFTPDSAVEGFT HMPTIPCWVFLIEHSSGKKVLFDLGVRKDWRNLSVGLRIGGYGWDIQVDRDVLEILAD EGIAAKDINSIIWSHMHWDHVGDPSLFPSSTELVVGPGFKKAFVPGAPANPASPILET DYKDRELREIDFDQDIGLKAGQLKAFDFFGDGSFYLLDTPGHTIGHLAGLLRTTTNPD TFIMMGGDLCHHGGELRPSPHRPLPAEINPHPWANMRPRLCPGGVLEDLQEKRGRNKD QAFFDVAMGMDIPEAQRTIHKTQEADASDDIFFIFAHEDNVMGVIDIFPKEANDWKEK GWGDQTRWGFLKDFDPAVGNDSRLK VE01_09648 MAVKGIKDDGGSSDDIQNIEDAITPTDLDPKADKKLLRRLDLHV LPWLCLLYALSLFDRNSISTAKITGLVDDLKLVGNHYSVALLSFFPTYILIELPANTL IRKFGPKRMLTGMIICWGTVAMCFGFVNTFSQLIALRVLLGIFEGGFNPACVYLISSW YKRYEVQQRIAIWYISGSFISGFSGIISYGLSSMEGVGGLRGWRWIFIIPGILTICMA VPIYLFVSDFPEKATWLRPNELARIQSRLEEDRGEKMEDKITRKVLIEVYSDWKLWVL SLLHFFITSGAYAMSFFTPSILRGFGYDVALSQILVTPPYVCAALCAIGSSILADRYR KRSPFLVGFMMLAILGIVLIGWGHNTGMKLTGVFFAITGTTCATPAVLAFMVNNIVGS AKRQAGIPLQLMFGGLGGVAPSLWFRNQDAPYYMPGLYASLTSFSMCAIGAASMALYY RNQNRKADKYGIILEGLEGFRYTL VE01_09649 MKSVVLSGLGGHEMLKYSETQPLPELNEGEVLVKNTFAGINFVD IYYRTGVYPSKSLPDIIGNEAAGTIVAIREPNLLGFKIGDRVAWMGRGGYAEYTAVNH GACVKIPSEVSDRDAASVLLMGMTALSLVKKAYAVQKGQTVLVHAAAGGVGLLMCQIL KDIGAIVIGTAGSPEKCALAKEHGATHVIDYRASSGAGWVEQVKEITNGEGVDVVYDS VGKDTWEGSMDVAKRNGKVVFTGVASGPIPDTLMQRLGEKNVSVMRSSLKNMIATRPE FEFYATSALDHIKNGKIKVKIHDVYSLSNVPRAHEELEGRNTTGKLLVKL VE01_09650 MDTTKATTMKVVHNSRAGVPSVPGKTMGVFTGDVWLDQVIRQDN MSVVNVNFTPCSRTNWHRHEGGQLLRVTAGSGWICEKGDQPQRIAVGDVIWCPPGSHH WHGADDGSYMVHEAVTLGKIDWYEQVTDEEYAAKK VE01_09651 MPHSNMIRVGRPCLTCTPQRSCWAERPTNKNKRTHVVAVFTDEW NRPFNRKNDQKRRRRFLESDEKVSGFTAVFCQDWKDGDSDEDFEPSDTDDFPKPLASA WPRCEYEGYYSPFSAATTSSYTSPTRHIYSFRGSAPPTPTAAETLDSPLIMSTDDEET IAEGYEHLEYKAESPPEIMNPMTPNNMPTTDKEGMVPFRGEIVDHHWLSWNKKRIREG GGFDYWSSFIECNIIAGSNHELDGCQGAGTTPEKSLEHSFDEFINY VE01_09652 MSSTIPDKMRAVVFNGPFDVKVVTKPTPQIREPTDAILKVSSTA LCGSDLHYYRGHQKATPGFVCGHETVGHIVALGDSVKNHQLGDHVVVPFSTSCGDCFY CNQGESSRCSKAALLGCITPTFSLDGGQAEYLLVPNATSSLVKAPSRIADDLLVLMAD VFPTGYFAASRFLKDLSEKQQGDTVAVVLGCGPVGICAIASALHLTNNKAKVFAVDSI PERLEAAKKLGAIPINLLDNPVEKIKEASSGRGADVVMEVVGHADALELAFDMIRPFG KISSVGVHNEHISFPGFALYSKNVTLAFGRCPVRSLFDETAAVLEELQDKLLFLCQNK MSLEEAPEAFKLFEKMKVHKILFSV VE01_09653 MGDAHAPFVHPESIKAALKDKSILHEKSFIGGEWVSGSTTFPVY DPATNKIIANIPSLSTEHFTTAIEHAHREFKTFRNTKETVRAKMLHSWAALIRQHAED LGVLLTMENGKTLAEARGEVEYGATFISWFAEEAVRSYGDIIPSQHKGSTNLVIRQPI GVCGIIAPWNFPIAMITRKIAPAIAAGCTVVVKPPSETPLCTLALTALAVQAGIPPNV IQVVTTKDRNAITELYTHPLVKKVSFTGSTGVGKLITKMAAGTMKKVSMELGGNAPYI VFDDADIDIAVAGMLACKFRCSGQTCVCANRIYVQSGIHDEFVAKLTRRMSSFKIGSG LDPTVTHGPLVNMAGVKKMREHIYDAVSKGAKLVVGGTSPDSEAYLIEPALLTGVTSK MLVAREETFGPLAPVIKFDTEEDVIEQANDTEFGLASYIFSNDLRKIWRVANALEAGM VGVNTGVISACEAPFGGIKESGLGKEGSKYGLAEFQVIKNITLSLE VE01_09654 MRSWFATATSLRFLLLALAVSDTLAIECSIYEESIIARLGGKKE VSNQQELDIKYAGCTEIDGPVIIAADYTGDFYFPNVTKIYNGLITVNHYSQRQHQQEQ VPVPLLTSIEVPDLNNTRFIEIHGVPALRTISFPSLTILDDSLSLDEVEDCFVDFPSL TRTVDLQIIGNVTRLSFPLLADGGTMRVSSNPKSDKEYSNYLIVDPVDQAPLDIKFPA LQNATSIYLQGSITSLSMPQLSKIGNDRQFDAGNLRILTHGNRLNISLPSLSNLRHLS AAGTIGSLNFASLQSIERFEVNTSTPLNVTMEPMGAITEILHLLGDVTAVSISSLYLL FNLVIESDSSDFYCSSIASDFERLQGRKLKSYDCIGHPPKSKLPLQLGLGLGLGIPVF LVVAFLLRLFFKGPWVKKVWGTEMWTPQPVVKPPAYELGVPPTYATDGPPTYDASEGE QGSEQGAANVAGTHMGDGSSVRGEGASEHSAGDAASARLGDGSSALHEGASGRRVT VE01_09655 MLISKLSAAALLLSPVALAAPTAEVSCARCDEVAHGFASLNGGT TGGKGGRIVTVTTHADLVKYAAMEEPLIIRVDGVLTSEPKGFEVPIKSHKTIIGVGKT GAVVGGGFGINNQKNIILRNLEIRDTYIPEDYNGKSKDWDGIQVDTGVNIWIDHCKFA RMADGLIDLRKDTDYVTVSNNLLSEHNKAFGIGWTENVISKMTINDNFFNSTNQRGPS ADNLKYCHMFNNYHLNITSYGNYARGHTQLLVENSYYENVNDPVVAGPNASIKSNWLK FKDCTGEKHLDVNSKKVFDARKFYEYALKDPYDLPTTIPPFVGPAPDIGI VE01_09656 MAPAVTPNPVTDHLLQDSEGSETKPQFAIDGHEIQENGRTETAK PQQLQRYWMRTLALILIPPIITIWYGIIWVRLVLGIENDDAAKYRTFSGSLIYYSWFI IGVFGLSWAQYGLLGVEVAMLQTPFWKAPNLVATLMHSNTTWSSPSGWCKAVYHRQFH MLWCLLALLSILPFIAFPLSGLVFELGDGHISASENPFVVGRNTTTYNNASIEGKEIP ADWGMGLTPTIPGFGVIYTAPGIDRSAHSCLEKLPSTLPLTESIPDMFLAPQADVPVS GKAWGLRLKYDCSIVSKVSQLTILSEKPVSIFSNVDSLSTGTRPVITLRTPSGSSIKI FNSSSNPNDLNMWSYSEMGISVSTLTGATYRNKTLIHGTLVGNAYDIPVDISESMVLE YALWQLQFQAYYDKPNETLPFNSTLGPVIEGMGSPFFLSENKTLVSNNTFFKIRQGQN FTISEPGAPLLPVKLNASITDLRDYFNPTSLTDYVLHTYPKPVLEPIMEVAAPIGVRC VVSSGAGTATLDGVTSTFSNFSRVDPYNNTQGQTGGVFGHKAQQILSATGFADFYLTS HLPGIQSADGNLGRYQGYIPSQALLRSVLAAYGIDAIQRMYGLTPGLEAAWESTDLTS SRKGKILTVASLIPGHGTGYAVLALFCLWSGLSVVLGLVYGFRKRPSDKLDGYSMFKQ GADMADDIKHNDGILRGQTFYENKTLQTLPGR VE01_09657 MKLTVLLPVLAVTAGLADATCKFQDVGVFKQKWRLSTYKSENCI NKAYDVTKSGWGPHCVNFPNNVRSFIFTVGGGYLGVNAGCTIFFNTNDNCGGSVVGRS KSHWTLSKLSAKGRTMKSAYVQCTKLLRREEGEEAGAEENQKVYIRGDDGEWYEKISD TEVVRAPELGVEEGERVVRRLVDVGAGVEERDIEADEDVEWTAEPDVEDEEVEVQKSV ERGVEAEEVQEIAARDIEVEEVEEIADRGIYADEVEEISERDIEEDEVEEIAKRDVEE DEAEEISERDIEAEEVQEITARDVDVEEDEDEEIADRGIYADEVEEIAERDIEAEEVQ EISARDIDEDEVEEIPDRGIYPDEVDDIAERDIEAEEAQEITARDIDEEEVEEIEERD VEESEFEEIPDRGIYADEVEEIAERDVEAEEVQEITARDIDEEEVEEIAERDVEEDEF EEIPDRGIYADEVEEIEV VE01_09658 MAEKITIEELLARNKSVLSTYSPPQLQALIKHNRTVMASHKPEP TFQFLAENQIAVAKTLVVACADPRSDPSYILGLNFGEAGILRNVGGQVKPLLNDILAL DNLITFKQVMVIHHTDCGSTHFTNEQVRGVLTQRDPRAAAEMEVESVDFGAVSDLPNS VVRDIKFLKESKLVREELKENIKGYLYDIEKGSLEEISG VE01_09659 MDDAFVFVTSTGRPDLAESKVDRRKIRRHAMHGIAIARRAKGDY GQHNRRQLPLFLEPTADKEKEKENTSPQQELFNSALEAVYMIPPPLSAQGYELAKLTY GFDILSLSALASVHLSRAFVRILAFSPANLQKLAQLRQPSWLDFVPARYSESRLLQAA VDCTLARAHRSLHPDWGISETAVIKLYLKALSELQEALGDELEGRWARPDVLCATKIL AFYEFLQFSQLGRWLHHIDGTRKLMRLRGPENYSSDFEQQMLMSQTGTIFHESMSIGE DCFLEEPKWKALLSSIAVVERPTNIIKSPPDCHPILSSLWIHASPTARLFRQTSVCII GTGADDPGTILQLILEAYQTRQNLLTWRENFTKFAAGRQADRYRSRFRELLGVSLAVQ IVLNRLIVALEPRAAEARTFENETQEFADRVIVLCDEAAKEALPTANMLLAEKRGIAK AAKESGDDWMGAVLGGTYRTCRGRKCVPAAVFEKWSISLSRRDVEW VE01_09660 MTDQITNSMTSATLHSDLRAPSVAPRRAHAQAEKWRHTVQTIPV HWTSTSSSPASAISTPIPRPQKQPRITRGPIPSRGTALAHAWMECADTSCSSTSGDLE AMYDSVGLPPGVPVGDEAVFYTYDSEASPASSVGLDGLVEKAEMHFRERETERIVRAE YEVLDGEGEKVKRRRGKAESVEGEGEGEVVEGEVGGAWEAI VE01_09661 MGTVSAGRMIWEKKMRGGDLEDQEGEDGELGGLVVRSVEKNRNG KDGGWTKDSDLGEDRGEEQERRKMEEGQKASDLGEDRREPPGLAEGSGGGDLDDQEKK LWNRKKSSGMVPMAMEDRILQAGRRSLRTVAPKPEEVKLPPWTPMKEAPNNATFDLGN SEQTTTMTEKKG VE01_09662 MPLHGVGLVLTVCGAACVFGFLGGLAQRCYMEARSRSRAQHDAR EAARRDANRGWPSAPADIELGPRRPIVWLDGQLAAQTGVGRGRVFNPDAEAIAANGRE ERGGLGWTTV VE01_09663 MSDSPGSDSSSGSDTSSEESPGNYELRMNELGMAEVLSFSYARS PSPPALEDPKTPEATSSTSSPSAVGTPVSTSSGTLSAEAGSPTSTSSRTLSLQAGTPT STSSKTLSLQAGTPTSTSEEDDPYPVAQSPTSTFSESTSAAAPKTPTKSPAAKNPPCT SLSAPYPESWTEKLWSPPSSPELPSQLSLHLPSPVRISPEPFSPAPWWPVSSSPTPEP GARTTPASPPPPPPERVVIAIAGPTSSGKTTLSNLLLHVFGNGSATPGSGFTSVALHQ DDYFVPSNLPTGPQVQWAECYLEEWMGECLASRACMFKGFTVEEADRITPVHEEILIR EYRDVHARRWNAPHFDAEVLSLQLRSGKNRDTRLVTDFFALAIAIARAKASMLDSDME IAERGMVTDYSFLLEKPSIDGPEIEDNEYGPRDPSPFIKEEESIKGDGSVNGVEPIEI YESIEKDEHIEVYESIEKDESIEKYESTKNGDPIKEEEPILEDEILGHDEVWNITKYR IHKPRWPPYKVDHGIYGPYLQDPTMVVPKKGKSGYIKNPIKPNSLIPHHCFAVEPNSL QYPELPDGTRKLLPVIVNLRLQVQRWIEAMTTLNELAGFPGLNFIDGKFRGIVFVEGF TILQPAEVDPAAHQPNYDLSLFLSATREGTRKRRFARKEYNKPLMKRYMTWREKSYFD GVAWPAFVEEHQWIFNMTPQQAKEGLMPDAKYENVSDLAKERGLEVRPGEMGIKETLE WAMRKIMNEFGFKEKTAREKWVEEKNAREYLAKEQNDWNMWVDEENAFHMWVNKENVR EMSADEDNDGDVVEQEQPGEWIQENLMDEDDIDFMFEIEKRNLDITDAIHRECRDDGQ VRDDADPYWGRHGAVEDEDFDYAADPWWGMQDDNLVEEVYYSGDFKNLDWEGDDGMQA FGTSDSELSQGGFCKI VE01_09664 MCRWAEMTSRCARWFSSYWSLESLLLVGAIADIYTFASLSIYFY CLSGSVDPSSRLSVCHYNYTAQSQLTRWTSCVTMLVRAICSTIELFVFVSRMRRAKRT RERLDGRHTSLLELAADKLYTKTEIDSLVIYNEALVKEQNAILVEQHAVLVDLAAWVS KVSAWVTPLSDSMASKGNEQAGEEASPLEMEQQQALVEQLATLVSKLTDLASKQVNFE ATKKNEQTARDRLRTETLVEERLAIEREKIETEKAKLQEERESLEAEKEALKAKAKKL GIVEDKLRTTTPEEFQKLIDAQEKLRNDLNKLHDELETPSNDKGGKDIAKLGRDFRAK TRETFFLMAANDKLVYEAWDLLDSMGSITPELKIQGDYNDYCVRTVAMYLAEHMLEEN KTDKGPQVEEPHAKEELQEEKRPLVLEVTEDLPEVRTHLGEEDEEPREKAVLQVQDGL Q VE01_09665 MSLSSYLASKYLTADPGPSSSSSSTKKRKRKTKDTSANTGLIIA DDDADWAPVRKDDDEDTITAVTSGSTAEFRKAKQSAWKSVREPTAGGGDDAQADAIVA QAARENEEAGRGDEDPSIVKMGDGTHAGLQSGAAVAEQMERAARRERARWEKEEREGG GRGKEEETVYRDATGRRIDISMRRAEARREEEERVRKERREREEMGGEKQKEVREKRR EELEEARFMPVARGVEDEELNRELKGKLRWDDPAMAFLTQKEDVGGGGGGSAAVAGTG KKTYKGAAAPNRYGIRPGHRWDGVDRGNGWEGERFRALNRTKRNKDLDFAWQEDT VE01_09666 MSASPAGITPNGTPTPNSGGQPPKIIRRAKADPFATYKKPVVRP QAAPRSRQQNQNGLTALNKPPPPPRPLPRYPGNNRAAAPAGPSSNAPPQPQLDFTRKS NIGEYEDFALTTTKRAMREGLRYHIARFASKKKVDPTNSDDFIKPVSLHRRDPRQPPA GKVAKDEDTVMGEPIDDKEREKQEIARAAKEAQRAADLAQIAPSGNNASALAAKKVQS FKNEKTTQVHRTAQTEEEMKESDLRYEEALPWHLEDAENKQTWVGNYEAALSDTNVIF VIDGTNFRMVPIEKWYKFTPKNQFKTLTIEEAEAEMNKKSKANRWVMHADQQNQEAAE RQRGIQAMAGLYSKVKGERPMPKSEARDHDDLDITDDLFQDDDEQATVEPENDEDTKF AAEKIKREQLGANLFGDADEEEVEEEFKEEAKEKEMKKRLGKSLRKSLRKREKNYTYE SDSSNPYSSSSGDETTDDEKQAEEDRKKDEEAKLKEKEKLGVPKLASGASTKGTTTPI GRPRSTDPHKKHNHLKRAGSPNLSASETSGNESTRKKHKKKHMLSSSQPTGTSTPRSG SPHPSSSAPAGGVSPRKSSIVKLHVNSSRLSDIQANTPHPAMSDSEMSDGAGGKKRKS GIKLRLGNSASPSRAGSPANGSRAGSPAQAQGMAPLSLSPTSQIPFRPTSPPKPRDGS PASARVTNSSAGAASPIRPIMADEIAAAIPAEGIAIGMLLKIFSGRIKGNGKDKEDRE KFIKLVKENSVFSQEDKLLRPRAT VE01_09667 MSQNHQQQELPDGANLEDRLRGLIMNNSPGPVIQDQRQPAAPAS VPTIPPHMRGASLAQQQDYLQRSMMRTQGPPAQPVQQAPPQKAPQTTHKRLNQFQRRQ LASQMAIPVNDKASVSTAPQGSPGFHGTQHLSHRLAGNPQNSVMFQQQSEQITYQQDF QRGPMPQSVVHRGPGFQDTHVRGPPPGLGGEFRGQAPGYQQGHSQQQWQQGRQNFQQD RQSFQQGPSQFQQRPPPQNRQLFQPGGYGAPLRTIAPYGVSPEQIAAQSDFLESLVQA IVPHVGVNTEEIAEKEAFRAKAEQVCRDAVVKYEREELGNQDFVPESVQLTCFGSMAS GYATKGSDMDLALLSPLSLIPPESSESPIPRILEKALLEWGCGARLLTRTRVPIIKLC EKPTEKLRADLLQERLKWENGFDVDEPDEDVVEEDLDAVEKDEVPENAEKPTVAVIEK AKTAEEIYTETLSTFRQKKNLSLGDYYGSAKRLLRQLGGRDVGAAYGTNPTEDEVRIL ADVCEAFVNGLENQELRDQLVQYKSLVFDRVGNPAMAKSLGGVYQQIEGERLAMAWSS RPLPEMTERSEGFSDDLIKQWRTLLDCNTAESAVYNRRLHQSLERLKKVASLQLVFLE HQENESAAQYYARVRKLLLELGGKDEPGAENTALAIVITHYINGARGIKIRETLQSIV SRNPPLSLSTIGLHHRILELARDFEKALAKNTYQEADRPYVEMYISVLLSASTGTETS APLLPSTPHLLPLLEKIATLPDPTHACKPRDRYHDHLEFPKTKIGIQCDINFAASLAL HNTTLLRCYSLTDPRIKPMILFVKHWAKRRAINTPYRGTLSSYGYVLMVLHYLVNIAQ PPLAPNLQHHNPPPHAPAIAPQTCQGANVTFWRDERELTDLARRGLLNHNGESVGSLL RGFFEYYAQNGPMSGGGGRGFDWGREVLSLRTRGGLLTKQEKGWVGARTVTQTTTEAA PAATTAVANGTGAPGSPGAARKVVRKEEVKEIRHRYLFALEDPFELDHNVARTVTHNG IVAIRDEFRRALRVVRGVGRGGGKDEGGLLDEVRAEEGGKEGVVEGMKVIHGLGAEFG GATAEA VE01_09668 MALPASNGKTILITGINGYIASVLGQLLLSKGYSIRGTTRRTVA SDPLLKGPYAPYKERIQIYEIPDMTVSGAFDEAAKGVHGILHTASPISFVLNSYEATV IPALRGTETILKAAFKAGPQLSSVVVTSSVAAVTNYPAETGYVFTEKDFAHVALDVAT KNKAEGVKTPEAILYSASKTAAERTVWKFKNEQNPPFAISTINPSVVIGPPIIIPDSG LKLNETLQPIYNILSGSVSEIPAQIGSGAYVDVRDVAAMHVWAFENPEKANGERYIAA TSYGPPQGVADILRYKYRGTKIAEKILVGNPGKGYIGYDKKTGEVSEPDYLPEFPRPS AKKAQESMGLTWIPFKQSVIETAKALEPLL VE01_09669 MLTLYFLQSSRAIRSAWLLEELNVPYELKFANRQADGTVPKELG VPTAVGKSPAIQDGDIILGESGAISDYICEQYDAQHQLLPTDPIKRAKVREFIWASEA SLMLHIEVFFGAKGSVSPEVEAKLLKPIQNDMDWLENALSRTSTRYIAGNEVTAADIM MAFPAQVILFYKLGTEGKSWPKIEQWLQNLEAGEGYQRTLKRTGHHL VE01_09670 MRGTVIVEVVAAATFVYVVAAQETTSLFLPYFAPGHPLLASIVD ADHTATTYSVACSIHEPTVLNGCRVPIPFYLTSGPTFMHATMSLPSTSEIRTHTTTRL SIPLASEYLYLFPAYTEDAYCHLLPTSASCLVTATGGALTVPTFTNRRTLVGDGFWGG WREAVVTDVMPRSYALGGGGGREEGKGKGKKEKGEGEERVWKKSVVSEIPQTLDVVGE KAEGVKTPARTGGGVVPKETGGGVVAGGGGMMTTTAMPGSEIASGSATPDADVEVGGE AAIGSGEAHIDTLTTTKTESREITETKIITETAVVTETLIVTELAVVTDTGVRRSDDQ RSVRKENL VE01_09671 MATTAPVPIPIPFPPTRHERSALILYASETGTSEDAAHLLGGIA QRLRFATRVAAMDGAGVNVNELRKYTIVVFAVSTTGQGEFPANGRKLWKSLLRKSIPA GYLRHVDFTTFGFGDQSYVKFNWAARKLHRRLQQLGANEVYARGEGDEQHDEGTDGAF IPWSLGFKKHLVENYPLPDGIEPIPDEEFLPPKYILQILNPEIDSTTPTQNTTSPKMN EGTNDPCLKEGFDAADLITDETPPPDDIISIPGHKVLTLTKNIRITAADHFQDVRHIV LEMEGNIHYEPGDVLTLFPKNFPADVNSLIDLQGWTSIADKPLQVIDSAAHPTSPPFT AAILDLYFPVGRPPTLRDLLTHNLDITAIPRRSFLGAIAHFTDEETHKTKLQEFSNPA YTDEYFDYTTRPRRSILEILHDFPTVHLPFQNALALFPRLRGRQFSISSGGALRQPNG KETTTFHLTIALVRYRTVLRKVRQGVCSRYIASLQPGTPLACTLSDGSLNISAQDSPI LRRPLLMIAPGTGVAPMRSLIWERSLVLEQEDELRSIECGSENSYEGAPRAKTVLIFG GRNRNGDFLYEHEWRDYKLGVEVLAAWSRDQREKVYVQDVIRREAALVWAMLRPWEMV RGGDMHDAERGGDPNWTGDGTVIVCGSSGKMPVAVRKAIVDVFELGFGGDKERAEQGV RLLEREGRYLQETW VE01_09672 MSAIAEKITDAVNDVTAKLGNATIATDADKTTAAHNDAVLASAA EGRRLYIGNLAYATTEQELTDFFKGYLVESTSIPKNPRTDRPVGYAFVDLSTPSEAER AITELSGKEILERKVSVQLARKPEPAGEKTENVVSGGDAAEGTEGGRRRQSGRGRGRG RGRGGRTARAGDRVEGEVASEEVLPLQDITNQATTDEAAKDDKKAARAPRERRERGPP ADGIPSKNKVMVANLPYDLSEEKLKELFAAYEPSSAKIALRPIPRFMVKKLQARNEPR KGRGFGFVTLSSEEQQLKAVSEMNGKEIEGREIAVKVAIDSPDKTDEDANAPVAEETN GAAATVEETTTA VE01_09673 MASKAMWEVDPETRSKLHEIAKTNSNSTCADCSAPSPQWASPKF GIFICLSCAGVHRGLGVHVSFVRSTTMDAFKAAEIERMRQGGNKPWRDFFEGHEENKL AGVGFEDATIKERYESAVGEEWKERLTAKVEGREYVPGAPKPVVPRQEKPVPRATTQI QGRASPSPSLGDGGSTGGRSSKAKVDDRYFSKLGEANAARPADVHPSQGGKYGGFGSE MPAPAARGDGPPGLDELQRDPVAALTKGFGWFGGMVGKTARQVNEGYIAPAAQRIAEA DLAAQARITAAQLAKSAQTGAQGASRTFNDSFNRFVEGEGAGGARGGAGRGPLDESKR DFWDGFGRPDEREGGGTIGTNALRGGGDLGVRRGEEREGLVGGKKGEKEVKKEEKKED GDWDKW VE01_09674 MSTVEDRWVEYKHQEKKVLLKMCEELNIDIIWKAWKDDIIRTII DVQDKLKNYDRTMNRAGFITVTQFVTGYESLEPVSTSNTRSVRAERYGLFMKNDLIKR CRDLNVIMGWRSSRDDIIKAILNAEDEAGNSVYIVGQIRSTSEPTNLPGDQPMDRQYK YYKEKSRRYLKRRCKVFGIPRSKRRPTSQLTRNVMEVEGKASGMQKIKHVYIPQGPYA PLMPSTKLIPTPATMQTNRASTRNISSWPSPHQHPRHADITVLYPVPTHNLIADNGLP ITTTTPLNPVYERYRDQTQMPIELSYEPLYRTARMEEAAAIYNEMSLTQLQDIVRARG YTRGIEQNSGTKLDCIDILLDDDRKQGFLPTAPAPVYSVQPGLLAALNPAPGIFIRVP TVMQVDALSILLTSQLEPEEFQLEREEFYEEFTLAEMEKEVLARRVMYVPNVRRQLVR SDMRMFERVRRWKTGLSPREGEGQGAQGGTAAAAATGTAATVPDPPVAGATTTTATET TVTNPPVAKITAATATTVTNQPQAKSVAWARGVDGSG VE01_09675 MSRTAQTGRRHNALENDLLATGPLKNKAPKRKSRHEEDGGEKFV DAKASRKILRIGQELAAEDEQEQGSGVAKNTAFDFESRLEDEDLSDDAGQDVAEDWGD EDEIVEEIELDPEDLETYSKFFPTQEDPLLRQGWGGEADDDEGGESTNLADLILEKIA AHEASQGGRGDAQFMNGAVPDEDFELPPKVVEVYTKIGFLLSRYKSGKLPKPFKILPT VPHWEEIIQLTRPDKWTPNACYEATKIFVSSTPQVAQIFMEHIILERVREDIQETKKL NVHLFKALKKGLYKPAAWFKGFLFPLVGGGMCTLREAHIISAVLARVSIPVLHSAAAL KGLCDIAAQETSQGTEGGGATNIFIKTLLEKKYALPFQVIDALVFHFLRFRGDPVQGS GGDKVSKLPVIWHQCLLSFAQRYRNDITEDQREALLDLLITKGHSAIGPEVRRELLEG RGRGVAIEPEGPAGNGGDDTMMMD VE01_09676 MVLPSFNDARGQYSVLREKVDSLPFLQRARIRTIQFRERVDRSG LLGNARFRLLAAFVTLAFVWLVAGISRTAPAPSAPAAPAAPPPPVLPPMPMIEIADLL RETKSLAANAPGPYANGTYFESDRVAVIIEDRPLENLIPLILHYSAVLGPAWPVVLYT SMPSIQNSSSLRRSLEEKRIMIRSLPVGLKFETHFDVTKFLTQPWFWEQLAPAKNVLL FQADAMICGNAHLRVDDFMHYDLVGAPIQYEKWHGQGYNGGLSLRNRQLILDIIATDV ALHPLDPEAEEDTDRYEDQWYFMRMRERGANLPIEDVAKTFAVQTLYYEFPIGYHQPE KFQAENMTLIEEWCPEVKLVTNKLLKCEPPIC VE01_09677 MAPVGQDEGGIFSKFVETLRGVIRNIPPSPSSLPSGQQPMAWPS DTTGEESSSPSADPERISIRALWPHEPDLPLGSSSTSQYGLPHALGHTQVEHMYIPSG VERIQQDALDALNSLSVVHTTDYETLAGLSSTAGQQVLPSKFFFEHYLSIAKFRENNE GAITFDDDDDEEFFFCLGPPNGRRHYSPPPILDTSSESLPGDMDQPFYDWDEVFDSNT PVSKELDPAAPVFEYQPSHAYIQDTAPGAYEQALQPQHPISELLEPEFPAKTVIAPDP GVGQQPLQSQDSVSEPPEPELPTQALSAPAPQRHAPQQYRAPPLRHLPFHPDNIRKRK EAELRAQRQRQGNGDSNGSANGNGNARSQKSQNGNASSGPSQGPYRPTGSNGFLATAT PSRGSSGSSHSMAHGSHNGSSGFQRARNGSFNPLAASPSPSSSALKLRSNPPSPTRSG PSKRPKAGSNSPKTTATTDAGLSTTPTLSSSPPHKFKKTAGSSNLLERPPTPGPSGEP RTKPATKGKAKTHTKAASPPRSPPAPTTGTTGVPAATTRPNGGHARGRTRRQSPPAQV AGVSTSTGQNSAAAPRSPTQMPTQTRASNGTAGAGPSTAGSGVPVEPARINGQESVVT TRPPMPRPTQTSTGDGVADAGPSAGESSPQVRRTGREVANALFEQQVEKHRRLRSMGG YKKLGG VE01_09678 MNPYASDPGDIPATDMYADVPLYGRYFPRPDDFQVDLQHINSLS TASLQYWASVVELCNEAVRIYPADEGGRDVFALGSVIVKSSHLHNSGNGQIKEIDFSY ADANELQAITIAKSILKDVRVPEILFAGKVRALPPCLSLLCNISHLHPLKLNGRQVLV QERLPGVDPNILRNGRIYAREGEILFSSGNTDPDLAFMHNDFNESNVIVENDKIVGVI DWEMAGFFGWKTAGEVHRKIRTPQREHFANANLSEVKIQEIMHWNDLYDDGAPEL VE01_09679 MVTMKRLAFAALVQVASAKVWLAGVNIAGFDFGCGNTNGDYSSS SVTAPLSSQGHADGLGQMNHFARDHGLNVFRLPVCWQYLVNNVLGGNLDGNNFAVYDQ LVQGCLATGAYCVVDIHNYARWGGQVIGQSGGAVTNNHFASVWYQIAAKYAGQPKVIF GVMNEPHDLNINDWATTVQWAVDSIRNAGAKSQMILLPGTDYTSVGGLIPTGSASALS AVHNLDGSKTNLIFDVHQYLDSNFSGTSPDCSTNGVANLDTLAGWLRSNGRQAFLTET GGGSTSSCYTNLCSELDWMNYNADVYLGWIGWAAGSFDTNYELSETPTYSNGVWTDRG IVANCVAGKFK VE01_09433 MQADRDLQTIGENRQLVRQLVRRELALPSAEGSATKIAALQREL YNSNRKFDQRKQNLKRQEYLKFRAEWFKSRASKILASSNESQLQKPITATEFSPARKA VMEALYPTDPSKLSMFSAAKALIFFVETSDGVRASIASGRKSRLDPAILPAKKRRQGD SMTFIACSPTI VE01_09434 MQPFEWAQADFGNRPTVSRFEERENSQDAAIRRAQANFWSQPTI SRFQEAAVIIEDTGCGLSKGSRQISEIDQPFPAFKRSWASLMVATSGEVWALRQCR VE01_09435 MVSGTKGDDPREVAERKRPYYGSRVDKSPQKKQRLSSHIGSSSP RLPPEIIMQIISEIIDRRTLLRVCLVNQAFKGFLSIDSFRSAYTEEVRRGEYTSDNAI DTITKHMKEHREAALMIFEVTWKGMIHEGQLKGAVAFLNRLGYRLDEEDFDTVLLSLR TMYDTVVLGTSWDTWAAALTAADFLHEEYRNNDTSKMIRRIRHEAASRRREIVCQSML QVQQDNAFCVLKGLRQYYDLELYREKRDSEKRTKINDALIEVVQAMLRLSIQEHQWAE ACRQAYALLDLNGDVDSYLQTLKNICSQAISEDRWADAMEIMGSFKNYTDVAKESLDS LRSQWYAADGIRWTYGNAVF VE01_09436 MCDNDQADLKAVVKRRRLGESPIRDNLSLLPAELKSQLFFEISD RETLLNLSLVSKVFRAVFDGRKKEFFFSAYAKEFRNGELTYEDAATAITKYIKGEPKA ALMLFEATWKGLFYISKEEAKLFEKVLRRKLATQDYDTLLLSLYNIYDQSILETSWGA WAGALGAAEHLRNHSDKGEILSIRMEPWILRQFASRQQELIGRGVLQLQEEPAISVLM ELRRYHEIQRRREEERHLEWKHLERKKKHINNQAEVLKTIVHLDFKAIRYYDRVDMFR LIADFMVLENQVSPGRHADFHGGVQRICDPSTCRHTADADDLFRVLEEICTELILKND DDNAYCAISVFERMPPYYKHIAKERHRRVRRMFIVRWGDLFDESDESEDESNDKSKDE SKDESKDESKDESKDESKDESDAWTTRTSENEYADL VE01_09437 MSTNAANALLAESRALSKDDASSLKLSDGHTQAIGLEQSPSTFC SALQFQSARSLPTRGEPRCSVEFTSSDDPSQGMISQLVACPTTQEEVIAEVDRTYDMI VIMEKKCVEVHLHQAQLAEEALPGVQPELNTEQYQTFLDMHKTLLNEQYEFLLTSQHP SATPEVRRLPLERSMPTRLYRYAIHDFLELLRNRLPASHDYLLTFIHFAYPMMTLLLE TVPAFESMWFECLGDLSRYRLGIERHDPSSSIVWAEIARQWYLKSANYAPAIGRLYYH LGSMGRPDPLLQLFYAGKTLAVPNPFTAARMLITGVFEANLNTVASKISLSAAIAAIV RAHAIIFTGHSLNTFDESLREIKSNLDRHITRSTKEYLQQGYCIAISNCIALLGYGAD NNPLAVLLKPQFSNQDTIMAHANSTTKSPSTSTLPPTFAAALRLFAQTAEIHLLRIDD KNTLSFLHVTLVFIRHVAGYSAAATFLFPSFPWRHIVYALNGTAVRQPPKPSTNKPPN LASARDQARPLQEEWAMRGLSFAEGHFPEELFTNKNVDLETHYPEAESMRSLERLERV HKLGVQIAELAGEWMSYGGTEGKYAFCWRERQEVCGRRGGERERKRRRSLLE VE01_09438 MSQTYESCTTNSNWEQQRDPHSPRSAPASLLYGRKKALEEPAMA RSSTAVNSLNSTNTSCTSPLPIMTAAKYAFISVAVATGLYTALLGLLTTSTFQSHVVY LHAIQMTWCKDLDVPETFGFLKNQVTPFSIKTSDGEHLYAWHILPRELYRKNELPLVA EPTGFVSDITSRLAFQLLRDNPDARLIIHMHGAGGTVGSGYRVPNYHALSAGQPGKIH VLTFDYRGFGRSTGTPCESGLIMDALAVADWAMNVASIPSSRILIFAQSMGTAVSIAV SKHLALQSPPVVFAGTILVAPFVDVATLVASYRVAGTIPLLAPLAKLPLLFNYLLRFI QDKWLSKDNIAQYVQANEVNGEMYRLTIIHAEDDYDIPWHHTPTIFWHAVNASVYAGI SYDDLKARKLESKVDLGAAGSVMEWRAENGVIREEILKTGLHDVIMGYPVITMAVMRS FEAVDPSFTG VE01_09439 MASLDPHGLEQLPVEIILKILMQLGCEPGDIRSLLRSSKTIRLV LKTHEEHLCQQFTSHLYPTSMDPILASSIPIFTRPSTIPLEPKRTLLGCLPSIIRPYT FPWMAEQEKRNKILRDLSNSPLLGITIPSLLLSLLETSTRSCASCGLSGIDAFKSHGL KMLLSLSDARIAGSIDDAQRGQEFWICEQDALAIASTLALVWVASMMFDYGDRSTWGA GGCEDNSHGILGESSAEDDLEKRQFIYRELVLAHGPYFLWCSVTKSEKETRWVKEMLD EGVEGLKEYEKRTRPVHRSLQN VE01_09440 MSSMEMDDNNSYAPGGVDKIVLFPHGKKLFRQQDWPCGNFLQSL NEEHHNVQSFIRFERDQHSPLSVQQLVLSCWIDEWEEIDINALGNDSLAVWCLLNSNQ PEDVQLEKVKVLLQRGEIHVAVAILLGLRLVKEAVETYMVKGYLFDAQLLIELKFSNG DESSEVPMAHLLASHQQNAQQVAKPGLYIDTDLPLRKESRTLTPITKGYKKPWVPKRL ETIEEN VE01_09441 MESISVATPADQVTGGGGAPAGGNQTSGGQTGKLQIEDNYVAKP QSRLPIPHVFDKSHLSEIEKSNEEGLKFWTIQYGAARGFQATQAKILQSLEMGEIERQ KDIKAFETAPKELLAGPTDSARQLRLQFKEQVAKLEEVNNAIASTNLAADRDEFLGQV HTYGLVEPKLNDQYDFQSIVTTTPALQSSLAKPYRKASELQHYHLNYMKATEGGARDS SIETGILEELSNINDDIDMLVRTVFRERLAVERKNEEQELRRSQNGKVSKKQTKNLDQ KYKSAEKKIEVSCLVYVPIEGEDDYTLPDFGLEEDDDDSDTASLMSGVDDEPHVEEPG NGENGQSEKKPLPKEIYEIRSRMGGRDGPLFTLIDAKLNGVEGNEAYNEAYQKLLALS NEQAKFCEANKIDPATYAIGDAQLNPFFEMVQQAVTCKNLLKTTPNDPNIMSQYIGLR EAAWLFIQEHQWPKTFHDTFLPTVYEILSIIAKEAAPPPALSETEAPPAEDTDEQCIV SVSIPGVVEEVEDGILRQKRVANIRSCGSGYQLVLKSHGTNPDFKNRFIFELVPARSF AGALQEFQAMHQGKQIKTVTPKDLKDRPWRQVLVGGVMSPRRKDEKMYKIQAVSLVNV KFPGTAFMWASESNLSKAFGENAVRAKINQYMIESGQKAPKAPTERVFRLSKKELEAQ LQAEDAQPRRKKATKEEARMTRTRKYQKKTPLKSAHPKRKTTFPETDGEEEEEGDAIA DGSVRSEDEGDSGSMVEFIVDDEAVEYTNEDIAKAMAQLKKMMKQKKK VE01_09442 MVDMLGVSVHSYYLAHHWIGRVVVVQGLIHAGLVMSKVKTSTFD ATQVAGLSAASASALLLVLSLHLIRRAAYEFFLGLHTLLALIVVVALSIHLASRGWIR YIYPLVAVLLWTINGLTRLVRVFYLNAGQGYRQRDQATIITHKRPETGSVSGLTLTVW PKRPVRVQGGAYYYLYFSDMGLRKRFQGHPFVVSWWDDPVDTKPTSLSFLISPRHGLT KALTTRTSVRSVILDGPYGINPRLEGYEAVLLFAKGIGIAGMLPHALNLVEQKNHKDM TSWSSVMTRNVDLIWVLEENCQEQWIDSWIEKLKEKDPINKRILSVICYLPSRQGNAD GSSDRYYKKFYGEQPNIREVIDEAVKAAPGRTIIAVCGDPKFSSHVRDLAIDRMKSSQ NVTFEEVEFRPYQTTSDSLSNHNWWMDAVESRVETSEAGTETSTAVVFERPMGTDPKT GRSMVKKNLQARKEI VE01_09443 MSSRSGREIRQLMTRKDGLMKKACDLRRLCLDVRIAIILEYDGK VDTFRTEDGFLSSLNIQNANEFRPKDFMTVREAEVLEESERERRSTSSELELLEDDLF VPETCQNLDLGSIYEAGSSPPFADQESISAETQPQASLINDVDGSLLDLQWGNMAQDQ GEQSRIWQLAGMPRHNNTKYGNGKTNNPGRIQKVQMGTDQTLKRRMIARQQAMSGGKE GLLNR VE01_09444 MASTKFSDSFFLAARAEIESLDLHHEIVDELVGVLRLEQEHIGH QSFSDGEIYLKIVEAKIFGLEKYIQIWQARLSSRKQTSLKSLLGRKKISDAFNELRVF PGLWKGLELGNISDLLALHLKEIIHYLRHIKSVWDKITQGKDALKRAVCPETVQFLQL RAPAASTADRKSIIHAIRYGTIFQSVPENDKRAITRTLLNLTVIIPTIKTLHENQKLI EIGVKILKDTFLFDKGPRTTIRQALREEWTPPRDMRIEINEGQFLSLHNLRSSVRWEL TYQQIWLFILRHFPGLSGNAPRLDSRKNKLESCCVRNSATRRRFSQFTAHLGIDKSKV NTEISAKCTHSVSVHRAATESSADGEIRDRRCGRPFYSSYMRYKNELYLPTLAKTLTR SYNELPTSTYVLRDFMLSFFGPTSISVRPNEKPLKHSIFISDDDTLSESITSSRVSSD DDDAVEESAGLQTINDDDLLPYFNNEEGLEDEATSRQRSPPQSSVEVTHKKLPEIHPE IFQQKPVSQPDNTLGDALGSPIPLNAIQGDLSHQRLNAEYQYLGASGVEDEAEVPMMS GALIKISQPASVVGTDRDGDHIMTENSQLALGESLKHNSRLYSYRTSGLLPNRERPRS PISQGLLVDARSDGFRNSINESQALMITEGQPSQNNSRLIFQSPSQDNSQPILSNRLL PNRVRARSPILQGAPEGPPTQPTEIEKLDRLVSVNQSEVLMITEGEPSQNSSQPPSPS VTEIGLDGPFRVVSGLLPDRERARSPILQGAPDFINSINQPRVLRITEGEPSQNNSQL ILSSTSVTDIGLDGPLRVASELLPNRERARSPIPQGAPDFSNNFNQPQAPMRAESQPT QEESSINNSRLILPSLSADIEMLDRPVSVLSGLLPNRERARSPIPQSALDFSNIFNQP EALILLNAGNTARIHHKVPKIVHTMQKRFQRRRSLLNRNISQQDQSISGTRNENRLAV VINNSVMQPFESAAINSHGASPSPSLVETSLYHQTDAELEPVVPGREVAIKRSISIFE YNGNQLRSMTIRNAALLDEYLLKRKNNWIMFGGSSISKPYIIKPKDRLMMIHRKEGWK FVFVREQHRILWMDKGSELMAKRLGNDSPRIPVRAQQVLDETFESEPATTSQIQGEAE LFAASDREDEAVKKLETRQKEQVVAQRAQQEQEAAQKAQQEQEAAQKAQQEQEAAQTA QQEAGQTAQRQQEASQIALQEAAQRAQQEAAQQEAAQIAQQEAAQTAQREQEAAQIAL QEAAQRAQQEAAQTAQREQEAAQIAQQDAAQKAQQDAAQKARRAQEEAAQKAQQEQEA ARNYKLNLPIPHVFDKSHLSEIEKCNEEDRKFWINIYGAAVSCQKTQAKILQTLNTEE MERQKDIRAFKTAPEQLLNGPTKSTRELRLQFNEQGARLQEINIAITSTNLNADRNEF FGQVHTHSLVEPKLNNQVDFKLMVTQNRELQRLLAEPYYKASQLQHYHRNYMKAIKAG RHDKSIETRILTSLDDINYVINFIVLGEFTEVMAADQKREEKELKRSQNGRISKEQKK DLNQKYKLAEEKLKTACLVYVPNEGVYDYTLSDFSLEGEEDSDTDSLMSGINDKVHIE QPGDGVDGQSEKKKLPDQVFEIRSRMGGRDGPLFTLVQAKLKGLDTSKAYEELKILAG KVDEYCDTNKIDPATYALDDTQIDAFCGMVKDVQTALDMLKADLNNNNTQNEYKQLRI RAELFIQEHQWPETFLDTFVPTENEIVSLVQDEIKRVAQKEQEAAQTAQKEQEASQKA QREREAARNSKLQEQQPSARKQTDLLNKGQENHQAHPPNIQERAEKPALPENHTAQDS YTTEGNAENPEGNQARTEAGDQPARTTFEPQPKQSDPVAKDKATVRTATEFEPDGSGA EDLTTEDKRALKRSARKAKKLEREQEKRALFLSEYKPMVQKRSFGEMKKGGGVAAWNY SGGREDKEQSTKRSLNELRTNDLPQENYDGDAEEPTGEQEAAQKAQGEQEAAQREKEA A VE01_09445 MSIDTPELTRLETLPTEILHAVVDYLPLWDIKDLSCASKRLRQA SLSILFRHVKFEFSQAGIEGLKDLLKSNVCEHIASFTYEFTELLKTEILDFDHFRSNI LTPDSYVDIAKDKYDAGYEADEFHSYMAIYKTAHDICSEQRSIIDEGADLILSSIFCA LPLLQEVRLSFFEVLEDDDCLLTADIIIKEEFYKHHLQVVSSAIQAARSAGVVIHTVS LLYFKLPFFWKEPNLGPLSESLRQLLVNIKVLRVRGVDDRVLELLSHCAFDLHQLDMC RVVAPEKVIKDFFETNKKSIRSIGFHDVEILKSKLPYRKTSLSASMLCRMLDVPQSTP CRAADCGCLLWLKEGWRLGVGEGCSQLSTGTSC VE01_09446 MASLHPDGLQQLPVEIFLNIVMQLGSGDIGSLLGSSKTIRSILK SHEEYLSRQFASYLYPKRKRTSKKPILAWPILTRPSPPLKPKHILSRCIPTLIRFPYT FSWVAEQEKRKKVLRDLSNSQLLNVTIPNLLVSIFGTSTRICACCGLSGIKVFKSHGL NMLLRLSDARISGSTPVEEKKISIDDAHRSQKLWICQQDKLALASMLALVWVASTTFD YGDRSTWGAGSCNNNCGIPGEQSEDINLAKRRCTYRELALVHGPYFLWCSVSESEKET RWVKDMLDEGVEYEKGVESMEGVESEEGVEDARMAHHSLQSVLLSRLAGLKGWEGWEG WYEAFSAFGEEILFCRAWGVAR VE01_09447 MARSTWIDIRAGLDDLVFEEHRQAYAEFSDLVDADAQAPQMIML VGEVQKTASIQKLGFGMARAPNHSGDIRLSVDPETTRWESPVLIADCELHVPKTFSRI IAGKAPLGITRRSLTWRKRMPLGIGIEPKDISNLFYTQIMLPFSTLICFFADDFRGLG EVAMLLATWLVNVINCPSDLPNNTQPRIMILLKENNSGEFNEETAMPQFLRMLVEEAA HRSRSPIERTRGRISPANIAMLFSHFGNIRILALPQPQSPHREWKALRKQILDESESV CIERSKAQFIFSATHLTSLFSFACDHFCGDVVRPFNFIRASRLPSPVPRNMATHLSEF MSQVDSVRLHTFAVPFIASALALDAYPPEMHGKALASVNGTPPNMAAVFNPRAVFDEL YKQICQGIRNPRSENAEENAFDTLQLTDAIEHQFCQNVVVIAEGKTSAAAAHQSCLYY FREEWAEIRSTTSCFGCVARRPEHACSCGHAFCDLCLVNYGRSAPGAPWTIAVKFCPL CDVEVNKVVKLKPPTAGVRVFTADGGGVRGVVGIRWLKVLESNLRLPMPIQEHFDLVV GTSSGGLTGWGLSGEGWSVEECDNRYETLSGVAFHTGLPPQLHSIGIIQMIRHIIVSC TTGSRYSSSGIKKAICSSFGEDAVLFGNATSTKIAITATTTDKSSTVIFTNYSGPQRP VGCGYTTPSGKDARDMKVWEVARVTSAAPPYFKPYKGYHDGGLGGHNNPVNLALWEQD LIWDRKGKQPDFVLSLGTGSAIESDSGDTEGRTNTSWISQLFLPRLFRSFMKLLDGQK TWLELLNSVPQEHRSRYHRFTLGFHGPEPKLDDVNCMQGLRERVDTDASLLAAEVRRC IDNILASLFYLELDCLPLASGFSLLCQARILCRLDPGTRELRALLWQLKESQARFYVQ STEQVPCVDQVSYTKIQHGEPFCTPVEFRISSMDDLVDVKVDGITQRARRGMVKSEKR KTETNRAMGGKAADLPKVTAAKGGPQVPSQRRASTSPYLETLANGHNRPHPLARVSK VE01_09448 MKIVNTLLASLIVALAASQEAPAMCITSPISTLAAGEVARASVW NPIGRSCQATLSFADDNFLPVHFDTRPNTCLGAQQMELTIPNEVPNGEAFLSWLCAGE VAALCSRVRLVKGQSDVARLALAQTASLVCEWVGRGGYESDRKWIVRKSDAKWVVYKS NTKWVVYKSNTKWIVCKSNTKWDKRGGDH VE01_09449 METEKKYAQDGCTPGTAIVISDHDDPVVRREQIRTHDLDLDKNR DIAMLLLNLRGHVDKLEDGQIQRQNYELEIAGYKSRITALEEAERERIKASMCRICYG TPNSHFLSCGHAFCQECILRWVLIVEEFAISIWKPFKMEMLAKSDVKFPSAFRQVSIK TGKADSFAIFCL VE01_09450 MALQIPERSGLFPSRKSGNNLLLGGFRSPTATSPQDLSWHLPEE VAAHNVTRSKAHHLLLKLGHYETLLALERESSRALTLSLQSMQRQVVSANEKRTHAEE ALRNCPGCQQMNNIGIAIETDVSQRTPFFDMGVNSDEGLLIEQNRQPSKRKRADEDHM PIKRMKSGPENE VE01_09451 MDFGHFDVDAYLQLPLENANMPTAPVAPMLTNEANEPHTPDVQT QVYMSQEDSFGTKEDNHLENRAAISLVLEEIGILRAERAATEDKMNKIMAAITKYNTF TEEAPVLIQMLSEKLNTVTQNHKQDR VE01_09452 MSVLVATVVLLASTLYLVTTPHRQEIFAEVLCWSLIYVSSRAYA LFNRGATRSPSSPRSTSATNWNAIALCVALLCLSSSVKVVNWSVVSIPRFLDASPQPA WSSIADQRPEN VE01_09453 METAEGFRIGGIHIPTPNLGVTKEEEVTNRNEVRAAIAEGGWDV IWGDLINEGDLLTFIISLPTGTTGEWVALQVQAQLAKFSQRLGDVSEVVLAQATNFIN GLIKRKGSGETDINGLGIKGGFATYHRHMEYTLAGAKVGSHDLPNNQQPYIALRVTKP LPPKGASFV VE01_09454 MAGQVILIRHGHGLHNVNDDVSIPDPRLTLRGERQSVQLGQNIA PLGTDTIGTILTSPLRRSIHTTLLAFIDVIDEGFYLKGEGGIVGGADLVLDPYLQEVG DQPCNTGSAVVNLKNEWLNLDFSTLKETPWPLKKDLFSPANRKARAQKVLGDVKRRID VLAQVPSNMRKDVIVVTHGGFIQDLTDDESFSLEFASSKGCTIMKKQGGQLILK VE01_09455 MCDNHESGATGHFVPAYIHKHIAESAAAPDKARQAALRTLSVDQ DFRGQRVTASETAAAPCAADEPGVLAAQVPISKEAGDEARESARATLKTDAKIREQRA ALIGPAATDEPHDHLAAPFQLKRLIYDAKGSGNLRGTLARAEGAGRNTDRQVNNVYDA IGITAMFFYTVFGRNSVDDKGGNIVATVHFDSKPDDQLGYNNAFFFGTEFAFGDGDGI IFDYFTDALDVVAHELTHAVTKYTSGFTYKFQTGGLNESISDVFAALVEQWHFNQTAA EADWLNGQSLFPVAIRGPALRDMANPGTAYDDSILGRDPQISHFSQYNDDLSVHVTSG IPNRAFYLAAIGFGGYAYDKAGKIWYATLTDSRIEAIAKTATFKQWADVTVDQANKLF GTGATVIVRNAWIAVGVLV VE01_09456 MKLSKDELLADIEQQRSTKKRPPKVAPPTKRGYTSILSLWQHFA LRIDQPAPVPSAGCMKSFFKMLAIEHKGMLGPHLSLNTLCPYVTRLATAYKHEHDIEI PQRVVKEVKDWIKSDLKVLLALSSKARPKPMADSQDLEVLIRYLWVQDKHSYRNQLDR VKLQFFLLCLAYTVARPGAVVVSDSYRNSNEAITYRDLKFHLTQDVEGGPPQMSLTIT FKLMKGDRDEEDEFVTITLWEDRVYPHLCPIMPFLTLAYEHDAFDIKPEELFCATLDR SVVEVPLKDEVLDMPLFRAADRTAAWTYAASYRALTELAYRAGYRCQVTSYAIRRGAA NILEKSATWAETGILMGHKNPKVLQARYVNKHVGVDLQSLFHKRTAKTDRLRPLRSLA AEHFPGAPCDLRGTDLHQKLREHPKYIELRQEWQDLKQSAASAALVKAAKTKMDVELA RLRRVEAKKLSKKRIPLRASVALCVSNSC VE01_09457 MSTQLSTQNGDRRSQRKADAAGTHQNQYNVQARHRARFVADLSS AQRDRKRKNDRDAQRVHRQRTKEHIEKLEQRVQELESLLAAKNAADNNNVGSGMPMDL DQNMGADTTNTKRQVYTDNNMVGPFGNETSTGDIHNIGEEGGYGYGPFSNHTTQQPWG PLASGNLYTTYLENSFHEELSMVGQPLKYRRLMRKNETHVAKICTARGATKRDIAKSN FEK VE01_09458 MADSTPATELQRMLRRDSPGRNDTTNDMPSSAASKVASDVLSDV GLLVSIPKTVQAGVALPIVVELGCYGLDRRDLRAIATLLSYTGEGKLDGNTVETGQRV SPIKMKFCFTLTITNPGTYCVRIRLEETLFGSSYGQIDSEDITVTKVQNSRLRDSNAT LDDGLASDVEETTETNRMVNIVNDSNLQPVSTPDETAEKEGLSPPPPRKEPRSMTQIP SAIYSRQSLDILQRLWGARLCAGMKDEAVQGAFKKVHGQMPPKHHELLCRVAWLFQAN KMKILSPPWEAFYKFAFGQGSEIEKGCVFGQLTENWNSISNGRRTKEKITTDWQYMFF ATGEFLKERLREIGYRLCICGDSQPHSAPQKFLSDSEENRVEERIKQAVFACTALIVF DSRIKDGETSQHTCKDSHKAVRKAIRGFEDAKGLSNEIQDDLRNINAYLNSCGVSSLD LEASKISD VE01_09459 MPNANDKAKPRRRSRGLALSQPATHVRPVRPSVGKMRKMANPPR DAPIARPWELKLRSKEWKQTLAKEKLRSLLPFPATTIWALAYMVSTNVSAQQEVTLKL TPESIKVLIEYHKELEKEIFNKPHTQSATAANSYVHRASIY VE01_09460 MSYSIGPPKRSELPSNALRPDDEDVIQPYFDKEHKNPLALILST LFGLISLDERPVGILYDPYLSLQPKPAAAAMPATLMTEPAPMDIGINVALNKYCGIES AAFALAVIHPDGQISTFVSDDMKTDPKSLFTPEFQNELLMGSGRQPLRHNMLNCEFSA EGTEMQSQTCNNARCRGQINASVRNRLSAPSNSANNDDYSTDSSRSPGGRKRIRAAVP ATHTLPTRTISIGDDAARDDVYRQCLKDIQQNGCKVLGKAWVKLLEPKKQRTYPYTKG ASKRPPWWPAMTGPNKIRHKEPDHLHKRERIILLMHILGLVVNQDSKSQARLRGVTVA KLEEVTREAMATWFADREKPKNAEKRPFLTQLFQVLYSEERYRRGELDGSTTVCVNDG AGVPDEEEDDDADYEDEGSDISPQLYQAQPILPTPLSSLSPLHTITSTTTAREDYQHT TYPACAWTTQEMNTYNSSAWPALPAAPSGPMQQQWHGSVFDGVPKTGFGGAGGDGWSL TITPPTGEKAKRDAENQLHAGNSYTIPDRHIADNSFSRKRPKPMGNRLCRKRKLKCTG TTPCHRCISSNETCTYERCMGRQLRPGPAQQEDAVTSILLSSSPHNSYASDDYEMGWE APLLLSKQSGELTGAIAILSTDSLSSGKEVISSLEPLSAALSPATKEMSTMMRPSQHP AHPAPALSQEQQAMLSTNCFWCITYKPSGEKCTNGSGSGDHRMGLEPPPHLGNQNEEL AETISSIYSLSGDKEAVSLERHSTAVSATVPETLKYNTSQTPTVEISTNLHNLVGSFC IQEGYGEPITNGDKFSIHYALFKSSEFEAYFSTYDRGTLDAVAQLKYDPLLWMLEDVL GKKLGDKYLYETHSGIKCLVEIVRVNGIWMNWQAEEAQAQDSKSFTPNEALPDKWDSH SMRSLSCADGESKSEGEDSRSGSGGGVGAGGAGASTA VE01_09461 MRLCVSDSKPAQLRRLRNGSQWINEQISKVYATLGHQATEKIFQ SPMSINQYGTLGQSPKESKKYLSEHLVSAKVTPTLSQAYIPLFLPSFVQVMLGDDYTL HQVCKLLNVPESFVNEKTISLCHQYATIRDEPGEASPESRKRTMDEQPGSSGKSKKHK HNVDDEPSTSSTPTECLSEVAGEIKRTTQERPQGPTCEANHSLESASSKSQAQQSSTP EINIENLVSGRPDLGGSSGNGAANSGFSTSLGSDPTISNHPSNEPNRMQHVATNQIAR NLSLESPAADLAAATMSQTGRLYNYAPTSHACDLTVTEHQQSTISQFPSNLDLLVSAQ VGEHTPITAEWGCQRESIGQEVYNDGACGESWGIQEDFIRGRPMSGQEELTGMLPTAQ EEVILPPEQQEMRWGIQDEFIRNWPTSAQEEGILPVESLLEQQEMHWGIQDEFIRNWP TSAQEEGILPVESLPEQQEMHWGIEDEFIRNWPTSAQEEGILPVESPPEQQEMHWGIE DGFIRNWPTSAQEETWADSTGILPLDQQEIQDKFIRIRDWLMSVQEEGILPPEQQEMR WGIEDEFIRNWLTSAQEEGILPVESLPEQQEMHWGIEDEFIRNWPTSAQEETWADTNG NYTASVAANTLGNPGGIHTPKFWATGIIGRTSASVDVI VE01_09462 MPVSKTPITPKKSTELRSKIEATKPDQKGLNVIFAEVKAQLGLS GFATSERTEEDTREVRLTTAKT VE01_09463 MDVVGETQTRTTMQELMLDDDRRAICDSWGYVSNVVLDIEVEAK FVRNGNPSQQPFNNISFELH VE01_09464 MDQYGEPAKLDVVAQIHGKFFLSEIATHTGENTIMQPELTCYRR NLFQISGSIICPAGPLSVDTGYGESMSIVSHEITVSATESVEGHNIRLVVIPWKTPPA NSPDLPEQEPVAIPIDILGNEDKNNETISQQIAWSRLQFRVATANNADGSRVCTVESA TAPMVVRGRSPRNFQARKEIPLVGSSALPRGHNSRVSPSQKKDSLIPKRPGIAKPATM GLLKRPFHYPQWNQAQQQSRHAAPPTTPFSQPWSSIAAYSNEIQRLHTVQDPPPLHRL PEQILPIESSSQHLYSPTASLSAQFDPNQRPMISPRYTEVPHNPLYQPFEYAPAPAPW SITKTDGPTYGAALHLPPQQPPYQTEQVHARDDTQNIAYTWSAAG VE01_09465 MAAFFASAEQCEDLVSRTYKSRNASPKKRGRPEDSVREADTDSS REDEHQRSRKRRRRSNSVQDLATMEETSLGAPSSNVPSPTTPVSFQDAACLRQEWLHE ERQRSNPSHQWEEEMAWAAGVYKGDVTLGETNAERWLEFNGGEHPPNKEHWNY VE01_09466 MPTKIHEVMKALTSDIKDQMFARDSSGRAFVPATWQKDRVLETG SSTYNGRTFKFEPDSSLAFEPNNPFLVLEVAVTQDPVAVKKKAHDYIRGSRGKVAFVV VIIVRRLRRRREEQAGDQGNANIMDGHNDFTGQATNSRLKVRQHGNDEQSEPPDHSQA RESTSPISTLTDPPSDLSRWSVFPERNLPAKQVSLPSTSLHGTENSGISLPPLLYECL DTNDILNPNDTVHVSVFKSATVPSSTPATAQQTFCTVQPLIEEVEVYPRNTNASFTLA WTDIAKTAPSGGRPSLHISLKPLSRLAQRLTGDGRAEWGDDGFSPLSSGVEEYLVTSS SVEIDHERSGGTVPSSVEKRQDPNFSL VE01_09467 MCDNITPDTEASGQDDFKPESLIQDAVLLPKKQCLTDNSSLLPA ELKSQIFFEISDLKTLSNLSQVSKAFRTVFNRREKDYYNSTRAAEFRSGELPLDTAVD SIIRHIQKRDPKTALMFFEATWNSPGGRSGSARRNCDFTDMLSGRLDTEEYDILLLSL HTMYDHVTLETSWTAWANALVALTCITESFGKVAVSGVRMELWILDQFSSRQQEMIGQ GVLEGEKKNARFVLNGLRRHHTRRRHREEKRHLEWNHLERKKKHTDNLAEVLQAIIHV DKSISAVAEFMLLESQSRPGRHADFHGGVQCICDPSTCRHTADANDVLQALEEICTGH ILNNIDNSAHEAIRVFMLTPPYYTHIARERHERVQSMFDDKYGRFEPDSEDADL VE01_09468 MPSILVSDPKGDNRSCPEEAAKRKRPYSVFREIPNWDDNLPRKK PRLSSHIGGSFSRLPPEILSQIISEIIDRRTLLSVGQVEAFKGFLNMDSFRSAYTEEV RRGEYTSDNAIDTITKHIKEHQEAALMIFEVTWKGMIREGQLKGAVSFLNRLSHGLDE EDFDTVLLSLRIMYDDIVLETSWEAWAAAFTAADFLHEEYRNDDTIKMIRRIRHGAVS KRQQILGQSASNGQWSNAYLVLNQLQRYYELEKRMNFHHLEAKQFERTKKLNDDLAEV LHVTLNLAVQEHQWVEASNQVFELVKLNADIHSLVRILETICSGAISEDRWQDATETS LSRQGHYQNRPPFSTFRFPVPASCVFDHGSGFVGTGDGRLASVLGGPEVKF VE01_09470 MSVVGVDFGSLNTVIAVARNRGVDVITNEVSNRATPSLVGFGPK ARYLGEAAKTQEISNLKNTVGSLKRLAGRALSDPDVAKEQKHISAPLIDINGQVGAEV TYLGKKEQFTATQLISMFLGRVKATAAAELKLPVKEIVMSVPAWFTDVQRRSLMDAAE IAGLQLLRLMNDTTAAALGWGITKLDLPTAEEKPRRVAFVDIGHSDYTCSIVEFRKGE LTVKGTAYDRDFGGRDFDTALVDHFAAEFKEKYKIDIKTNPKAMVRVAAGAEKLKKIL SANQQAPLNIESLMNDVDVQSVLKREELEALVEPLLKRAHIPLEEALAQAKLKVEDID TIEVVGGCTRVPALKERIQNFFGKQLSFTINQDEGVARGCAFSCAILSPVFRVRDFTI HDIVPYPIEFNWEKSEDIPDEDTSLTVFNKGNVMPSTKILTFYRKQNFDLEARYAKPE DLPGAIKPWIGRFSVKNVKADSKDDFMICKLKARINLHGILNVEQGYFVEDVEVEEPI PEDKDEKKDADAMDTDGEPKAPKMRKVKKQVRKGDLPISAGTASLDPETKATAAEREN NMFMEDKLVADTEEKKNELETYIYEMRNNIDDKYAEFASDAEKEKLKARLEQVEDWLY DEGEDTTKAVYIAKYDDIRSLAGPIAQRYFDKLEEERQVAQAKLDAELAAKREAAEAA KKTEKPAEEPVSKEEDMTDADTPAVEDVE VE01_09471 MKSAPLPDGFPWKLCSANNTDPKDAPNTGVTRYYDFTIKRGKAA PDGYEKEVILINGEFPAPTIEANWGDWIEVKVKNAITGPEEGTSLHWHGLFQKETPWY DGVPSITQCPIAPRATFTYRFRADVYGTTWYHAHYSAQYSAGLTGALIIYGPSHVQYD EDLGPIMLQDWYHKDYYSVVEGVMSNDTALQEQYSDNTIINGRMPYNCSLVTDGTPCS QSTYSKFRVTPGKTYKLRLINTAAGGWQHFSIDGYNLTIVANDFVAIHPYSTKIVTLG IGQRSDVLFTPTGNATGAVWMRTNQYADSCARALQPEGKAIILYDKASEDTIPATEAT PIVDDGTCRNDPLNMTVPYYPSAPPEPSVTYNITITQVVNSTGHKLFYMNGSPFQANY NNPILLLANERNYSYPYDPQWNVINLGSNSSVRINVWNDNFVPHPMHLHGHDMWVLHD GLGQWDGTIVNPENPQRRDTQNLAPNGHIVFQYNLDNPGVWLFHCHLAWHLSSGLSVS LLERPKEINQFQIPFIMTQTCVEWDIYTAFNTIDQIDSGV VE01_09472 MQQSPNVVTMLKNCIPDPGAQISDNIGGSQVFDSGDKIQNITTP SQTTAAAVTEAKGNGPLETLGQNGSLEDPEITGGPSEPSDLPSGLKLAVLVCCTCMAI FALALDTTIIATAIPTITRELKSLNDIGWYGAAYFLTTCAFQLLWGRFYTFFNLKWAY IGAIIIFETGNIVSGAAPTSIALIFGRAIAGIGSAGIFTGSYIIIGFSVPPRHRPRYM GLLGSMYGIASVCGSLIGGALTDHVSWRFCFYINIPLGTVIGAGVFFFFYPPNANAAL QALPTYVRLAKLDGVGTTIFVASVVCLITGLQWGGTIYPWKSPRIIALLILFGLTLTA WVSYQYYKNDNATVPRRLISQRSIAFGSLSSFLMGGAMFSVVFYVSVYFQAVRGTSAT TSGVYSLPIILGLTFGMLIAGHFNNYVDRFPPFMIFSAVTASIGAGLITTLTPNTPLS HWCGYLALFGIGQGIGWQQPLLLAQVFLQDFDVPTGTALMSGGKVLGGAILISVLACV FHASLERSLLELLPDINPNIIIRAGAAKLRDVVGEIAGDDSARATELLGLVEESYNRA CRRVFIGALVVSSLAVIASAGVEWKEMRPVEKKDVENGHGIRLPKLWRGTTKSRDG VE01_09473 MDTGYGGPLMVAKSLQQYHLAGVAGFHIEDQVAQKRCGHLAGKE VVDIEEYASRIKACVYMRKQLRSDIVIIARTDALQSRGCEAALERLRQARDLGADMGI LEGVQNKEQAAQAVRELASWPMCYNSVENGVSPLISAAEAEQMGYRIIIFSFACLAPA YEAIKNTLERLKSIGLTGNSVSPMTIFEVCGLGESIAIDRAAGGHAFDKV VE01_09474 MIASRSNLEQYGNYEIEIWQPLGFTTDKIRTTRVHIQDQDFTVH VADITTRTNIEKRAEVESEELLTPSDDEIHHDLILETDVKEPSVNNCSNGELSSISTS MVAAEADNDIQLTDILPGSTRLRKLLANNAKIIVCPGVYDGLSARIALSLGFEGLYML VRGLLLVGWELLILASLNFTI VE01_09475 MPPKKKTNKKGGDDWEADLGETAPHVEDAAPNAGTEAGEDEFPA GGLMAAMKKNRNKKKAKGKPADELGDANGGETPADEGSSFDPSIKAPDEATMDDEFSL PNTKTKTKGPEKSAPAEDEGDEERGADGRVMTKKEKEKAKKEREKQRKKEQAAKKKTA APAAKAVEEVKPVAETKTEMPEPAATKGKKLTPALARLQKQQEELRRREEEKARAVAE ERERIEEEERREAEEEKAREEAKLIKKQREKEKIDLLKKEGKFMTKAQKEEKARNEKK LQQMIDAGVKVGGLEAGEEKKKVVYDNKKKKGGRKNPAEIQAEEERLMAEAAVRAKAE AERMAAKAAEKAEKEAAAALAAKDAEASEVEDDWEVAAAEEDDVKDSWDADSEEEEAP SAEKPAADAKSLPSRPKETAPAEESEEESEEESSEEESSEDEEATAAEAALALRKKEA AERREKLHQEALAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQ IGATYFPVEAIKKKTMVVNRDGAFEFKVPGLLVIDTPGHESFSNLRSRGSSLCNIAIL VVDIMHGLEPQTLESMKLLRDRKTPFIVALNKIDRLYGWKKVENNGFRESYELQNKGV QNEFQKRLADTKTAFAEQGFNSELFYENKSMAKYVSLIPTSAHTGEGIPDMLKLILQL TQERMVGSLMYLSEVQCTVLEVKAIEGFGMTIDVILSNGILREGDRILICGINGVIKT NIRALLTPAPMKELRLKSAYVHNKEVKAALGVKISAPDLEGAIAGSRLMVVGPDDDES DLEEEVWSDVSNLMKRIEKTGRGVSVQASTLGSLEALLDFLRVSKIPVANVGIGPVFK RDVMQCGTMLEKSKEHAVMLCFDVKIDKEAQLYAEEQGIKIFTADIIYHLFDQFTKHM EAIIEKRKEESRLMAVFPCVLSTVAVFNKTGPIIVGVDVIEGQLKLDTPIAAVRTNAI TGAKEIISVGRVTGIERDHKHLPRCKKGEPSVAVKIEMGSHQPTYGRHLEEKDTLYSL ISRKSIDTLKEFYRTDVTNDEWMLIKKLKPLFDIP VE01_09476 MEGLISTASWVDGRFREREVDIETILSGNSAQEGVKLEFNKPPK VGLLTQTVIDSPNSNWILPARLGNVEDHDVVFIGDNLLQVKRLVKSGQLSEVGRVANL GSRIRNARVLGSIKWSPSAPKQDGSIKEFSRKPKSGVSDEYSEPQGGGQRGGLRGELN VDSSSHGESDSSMNYDDSSLVAGDSSDLSMFEPDNRGNYRLGPQMIVFVLEAGDLMFL CAPDFGPDALIQPILSRHNIAKPLLGENLGAHLTADPSSRYLALGCSENVISIYSLKS REEMEAQYISGQPLSCIREERSLQVKGVILKIEFLFPSFKDPDHMILLLLISRNGKTR MHVYEWICGQPLSAIRQNYKKGHLLNECYRTPVLLIPLTIKSAFVLVCEESVAVCEGL LEGSPQCHQSHLGHDEPSPIHKGRNAPLWTSWTRPVRTQKYASTNDDIYIAREDGLVK YLENNFDEFLCTGMNIGGITCNIGTAFTSLDSKFPGPDGVFGDILLVGGDSCPGGLYW VQARQPAKLFATLQNWTPLNDFVTIPSSQSLLSLEQHPHLKASHQTFSNPVQIFGCTG RGPYGTVSEINRGIGAEIWMELDELNSVITGAWTLPWLPTASSQKDQFLSSSLLLLLS AGNGSILLMLENHGSGITGVTEVESTWLDLSSRTFDASSARGFVTQITERYIRISNRT ANSSTTAYSKAFKPDELVIAASIYQNELVCIAFQTENGGFRIDAFRVATFEPFGTQPK LSHKPPLIKMGSSISVGSYITFLSFADKAESVAIGHGPINAYRDHDLSHHSNAPNDVN TLGIYQFDTSLGIIPDGRSEFILSNSHQTSPAPIDSCVSILMLSRLDSGLDAIPPTDQ YGLLLAGHGNGVLLMAYYVRSSDGKFQQRMATSRQLGTTNLNICKDPAFPAAALLCCG PELYRIVYNGYSNSFPSITRILFQDVGISIYRQPSIDFVCQLDKGFLAISGKRILGIE IGNEITGIPNSIELPGSAQRILYCQTTESLVVGATVDGRPSIVFLGKEAAATDIISLK HAQSPRHADRVLSLTQWWLNIASDLSAKEEFIVSGTSSGGIFILRQDHHLKKSKSKKS KATGFRIFIEQRFDHPIHALLQGGANGEILFCCTGNSLHSMAININEETLVSLAQYQL PSHAVSMTWDSQYLSVLTAKDSTMTLLYKDNGKFQLQYVDEDSRTGLDHMSFPLEGDT QPGTDSTVLLVSDKDCSVVGLHYQRNTSTGQTIPREYTTLFDAEMPSCITKFRLASTR HNWDTSQNQTAVSGVIPWGEHQEGLLGISIDGSIIRFTLVDESLLKLLGILEHIACQD PKICPAYFEDEEGGVVLPPDQEDTRERHIDGDILKGWLTFRHLGEFLTKTTSTKQEST KTSAEALVSAVALYWPDENFGLQDNERLRTSVEMVYLLLEQLLGPVDVVE VE01_09477 MELAEKAAAEHQTLVEDCHISRAATMDSNHVLQNDVFKSKQIDE ACQWKDTQRLRDLALSEGGLVSDDMRRKAWPILLGFSADKTEPIADDQQRWQTLPRHA DEDQVQLDVNRAFIYYPTDQTAKQIDTRKGELSDLITEVLRRQPYLCYFQGYHDICQV FLLVLGHKNCSEAVSRLSALHIRDFMLPTLAPALAQLRLIPGIIRSVNPKLYQHLSDT QPFFALSGTLTMYAHDIQEYGDIARLFDVFLARESIFSVYMFAQIVLNRSEELFDTPA DEPEMLHSILSKLPKPLNLEWLISDTTKLLEQHPPESLPLWSKISRSSVLKTARSPEQ VAKQSLKDGQIYFEKQVKELQWAERREKIIRQLWKNKNPAILIGVGVMVGLLSYHMRK SPSIYHLVSELWK VE01_09478 MADAESQDYTIDSADAGASLTYPMQCSALRKGGHVLIKNRPCKI IDMSTSKTGKHGHAKVHLIAEDIFTKKKLEDLSPSTHNMDVPNVTRKEYAFLGLDDGF LNLYDEAAGTTRDDIKMPEPISGDDKRLEVINKLLELDGDDEKSGVVIIISAMGENAC IDAKEV VE01_09479 MWLDRLSGTSTPAPRPNASSTPQSRSYSPAPRKTSRLLPQTGPQ RPNFSPRSSSLSAVSNDSSTSLLGNSRKPTDSSNSNLRNTTLTYSDPIQVLDKLLDID LGSLPVAHKDEIWDNYELLGDVDFTGLALHEFVQEDDVNKAEVSEAATAAKYEIDKAR FEDLHQSIRACNDILNSVELNLTSFQNDLGDVSAEIETLRARSTTLSSRLENRKVVEL GLTPVVEDITVSQTIVKTISEGDIDESWIVALNVVGKWSKALNAKAIEAHNIKSVTDL KPLRDQLVNIAIERIRDFLVAQIKALRSPNINAQIVQQRHFIRYKDLFSFLWNHHQTL AEEIGQAYMNTMRWYYLNHFTRYERALSKVKIHAIDKHDVLGNEDSPRKSTLLTSSKA TGPPHDAFSLGRRNDILTTSNQSALPSHLAEEDTATHYLEFPFRNFNLALIDNICAEY SFLTTFFSPSLSFQTIARYFNYIFSPTFELGHTLTKSLVSETFDSFGLLLCVRLNQKF NFKLQRHKIPVGDNYINGTNMLLWPRFQVIMDLHCESVRKLTANLPTAPPTSKAELAK QSAAPSFMAQRFGQLIQGILELSKETGDDEPVSTSLARLRSALEAFLARVAKSIEGER KRERFLENSFSLILTIIGDAQGKLATEQISQIQAMMTPSQDSK VE01_09480 MEAVGAIGSIVQILDATAKLIDYLKDVRAAEKQILSVQAEIEVI RYILYAINTSVDENPKTFHVTLTVIGAEDGVLYEFNTFLVSFKEKLVAKPGRKLLWPF DKARIKQDLEKIQRYKTILICALLNDSILLTKKIKDGVDIIKHGVEEITHGVDSISTA LEQQKKHDVLEWLSPSRRIDFSQQQAALTAKKAKNTGRWLIESEQFRLWLDGKQDSII LKGGGGMGKTMLASTAIEHLATLTATRPEKSAVAYIFGNWAHADKLNAQNLLASLLKQ LLSAKGIKLPETLEELHSSKNYPTYSQLCRLFQLEAERFSKTFIVIDALNELDEETQD KLLKFLSELQISFAIKLMVTTRDPYRILQYITSATQLDIRATREDLNLWMDESLRALP PGCFVAKSPLETHQKIKATLAQAADGMFLLAKLSMSAISKVPNRKKLNQALESIASGG YSLDDGYNDTMKRLKAQSSGMPTDSIILLSFVTHASRELTVSELEHALAIEVDESSFD TDNISDLREAIDSCSGLLEIDPEDQKVMLVHHTAKEYFDRTKETHFPDASAMITERCL AYLSDGNLTGPCQSYESYSLRVASFPFLEYAAQNWGFHASQGEVQRTLSANILAFLRK TSNVACSAQALLQSPDHRWYVHGSIEPQISQGISGLHICAWFGLLLTATQLIEEEVDV NIADHNQRGPLWYAVYNRKKEAVTLLLKNGAEISTDGPLLPVFEECVRSSDPEIVKLL GGFQNYNADCCSDMLKLAAQNPHYGAGMAELVLDSYPNVLMKEIYEEFILSSVANHLC AKSILSMLINRGWKIPIDLNIIRVLSNDISSGADILKCLLAQGGIKLDASIFEAAASG MSSDVMGELLDYQPGFKFGVDILPLTAEVNEEMFLAVLKRHPHFEVTQQMLDRLVRNT TLRSAAMEALFEHAPQGSLKIDDRIIISSIRATYCGSPLMQWLLTRYVDSQQGGIPEK ILVAAVECDDTIRMLSIIKSFEPNFRVTSRVILAAITFRVTSTPPKIDVVEWLLQHDP HYEIDEDLLTEALKTVSYDGIRMLLLHKTPRPTLPMFSACRDLPTLELLVTQEECISD PDIVDVITETALRLGSDEESIRRVLGRIPKQHMRITPATLKSAAANTSTIGAVQWVLD HDPTLEVTPSVFEVAAHGCGSLKKMELLALRSPGVKMTEEVLLTVCDYGDIKALQWVL DRTEDITQITSRVLAVAAGGRSCKKDNVGYMKLLTARNPSVAVTEEVMIRACRVAKWR NTHSLVWLLAEYPHQQLLSEAPMAHLFREGQSPPVVEIVKQYLPELSITSALLATAAN NPFSEKPLELLLSFDVKELFSESLLILVVESKKAAAKLNVLFRLHPEVVGVNDAVLCA ASESRHGPGALQWLIGFLEPREPNQPPISAQEAIIWYLNRDPPPLITQEMIVASAREP WDTEVLALLLRRNPTFTISKEMAEAVCSNWRFGEHQFRVLLNHNKHIRVSDEAQSVVF KSRTKEATMIYKLLMEHNDDIDFR VE01_09481 MSLPKLRSIGHYGVVRRMQTSAFASNRRYEALYRHQTAIRSFSS SRSFGESGRDPTGSEASPKSASSETSRPSSTGQSSQTTTGSKSSTPEGTKVDWEDNPD FSISNFSQLPHTNFGVNQHMLINDEVKEALRQVLWQFRAPIRYAFAYGSGVFPQSKPS TPSSTPSSRTSIHPNAPPAIAKAQDGQPKMIDFIFGVSYTEHWHSLNLNQHRDHYSGL GSLGSGAVSSVQDKFGAGVYFNPYVNVNGMLIKYGVVNLDTLCTDLSEWSTLYIAGRL HKPVKILRDDPRVRLANQINLLSALRTSLLLLPPSFTEMELYATIAGISYMGDPRMAL PTEDPSKVANIVGNNLPNFRRLYAPLIENLPNVDFNDPICSRPGWASDPNTNARLEQD MDPIKRGNMVRRLPKAFRSKLYFQFQKKFQIPQLEFNKMMEESTDEDAVGFKRRLGGG FERRIAQEPAEELRNEVRGVIKKTIGWPSTVQSLKGIATGGFARTYRYMSEKMDKHAQ GKKKAAEAATLAKEKEASVKAAEKESSAKEAEKPANKDA VE01_09482 MKLTPSLRTSVAAASRGLDFTHAVVGGGVVGLAVARRLAERAGG ETLVIERHEDVGRESSSRNSEVIHAGLYYGKDSLKTKLCIEGRERLYDLCERWNIPHK KCGKWIVAQTSQQLEKLQQIHALSNDLNVPTSFIPLPRAHTLEPLIRARTGILESPTT GIIDSHTYTQALLGALSSAGGDIALNTSLTSVRALPDGAGWELTTLDAATGEESTITA ATLVNAAGLGACEVNNMVLPVERHRKAYYAKGSYFSYSGKAPASRLIYPAPEPDLAGL GTHLTLDMAGQARFGPDVEWVDSADDLDVDEGRKPGAVKAIREFVPGIEEGRLGGDYA GMRAKLGGKEEGFQDFVVRREEGCEGFVNLLGIESPGLTSSLAIAEMVVRLVYKEE VE01_09483 MAPPGRTSPPPAESNSDTTPAPTISRSPSISISPLQDNPPSPRA GSGSAATTSLQATAAVNAGLAQEDSRRSSISSHRHTSRRRSTVLMNLAHNNPSVPAPG EMVHEPHAQTGLEASPRLGAAGGVGVGDPHHLRNPSLGEIHQELEQEQEAQVNRLLQM IRVQQHQLQSLRAASGLSPTTSAIDDSIPSEPLSTTGTTASSALATPLPIPRSPSASF SAGVGQHPRSSFDLARADLQRRERSRTPSRHASPRLGSTAGLGEEGLGRDESAFYQAE TQMLVRENQMLRLRIRELERQVAETCAHSELTRQPSLPSNLLVERSEADVTPRPQHAG EKTE VE01_09484 MADQYQQQPYGPPQPGYGGPQQYGPPQPGYGGPPQGGYYPQAPP QQMQYQQAPPPEEKKDHGCLYGCIATMCCCWLCGETCECCLECLTCCF VE01_09485 MALIFAPTTAPMGDWAGVFQQPKPPPSSPRPSDISDATSLTRVD SSHSSGSRSEHRQSKSLRNMFSKSSSKKQTYNPPPIPEIGALADRLPYNDGPRHRVEL TPTELSDDISISRTSTNGGSSVSDGASFIDSPTGAHPPQMSEKLARVFGTKPPLAGPK AGRMHPQGEEKPPSRKRDSFLAAMPKRSLERPPTSAGPPTISLPLAAPPAKWEPPPFF KGLSGAVKHGSLMTCGVTANAVIYLSTHDKAGKNVAALGFGSDPVKADKAKEKHRQRV VETLGKAEWSRKIFVLTSAGYLLQFSCDGAFNRLPELSIRLSRHSVAFASDVIPGRHW VIQITTVYDGLSAITAGELSATPAPPAKQRPGSKSTYVKPIKQFLLILDNGEDLDTWL TAVRHEIDVLGGKASSETPATNNLELSRSPLSETTNAFPIPSPVNEPLYSPHSDDTND NNDPLPWETDERTANLPPWPADEQTPQPSRATNTLSSVPSTKSIEEHLLDSLRDSNTY SQPRSSGGRTFFTSPSASRNSSPTRSPIYESFPPAHTAEDEEGRYGFGEFGQHQQQQQ QQHHQQQRPNAANIRERRQSAQGARPPALDAIIAATTTPPLPPTTPPNDPSQPPRRPS RRTPPTAVKTRLSIVEDQPSPAMLSDELMDEISAPAISPKSRARRQMQRGAPAMPIPP PRSGKRTSSLPSSRGGAGGASLPMSQGMGSSPTEGEGESDDEFQFHHWTSRLSPPPRA HTPTSPSFGMAELAQSSSSEHIAGLGAPPPLPLFSYPFPPSTSSSPAPTEDPSFSDPS LSPRPLVIAPHTARANAKAQAARDKRVSINGAPGAGIGLGLGAGAGRERRTSIGRPGE GGKRISIARSSGSGGADGREKRDSIVVMRERRVPPKPLNSRGFIAVPTDGGASGYTGS GGASSAPGGYATYGAGGGGGGGFASYGAGGGGGYGTYGGVAGGVTTGRVVKAVDRMVI RERGASIGNSYGERSPTALRSPPALKSPTFPIMMAQGGGGERPVTREGGGRPKSRKGG EGGGGGAGEVVGPPPAPPPTRGLPPVPPGGAGRARGMSGAGR VE01_09486 MEDGEDPGNAFAIPDLWASSRYFPEPEDSYGFLFSQLKFDDISE KLPEQTLSHGGNGGFFALPDFPFENPEASIISTSTPPPLSERGEEINNDEGDGYVDIW SFAPEEELKSANYLTWDAFDEQREEAPQTCYVSEGGPQLFDAAVADDTNPLQIDNQDA VVVSGSTYASSLLALGLGRDSVLFTWNEETKDFECTLKSVRTSGCTTELTHDITALFL HCGNTTKALRSFTNKTYHKHRSPGRIALADAISTVLSTLQSHLNIPASSLQSILKLQS LFQPVSHLLTIFHELILFAGSAENDEVMLSNLFQYIEQTEHRTDSTQTILLEVLSRVS RPFLDFAGEWLGIQRETGMPLVKGSVGKSFVKAEDRLWIDEHGMEIRTPDFVLDEARV PSFMPEEDMQILFEAGQSLRLLREHQPEHPLARGDIVTAAAAKPVLEWEFSWQNIEAI GERARQYEKDLTAAIEKYSTSGHTPPALRLAPPAEKKEELNLFGKPPSEMEAHLLASI TTLTTAPLTPSSTLTTLLTNHLTASPTPSTSHLTHNPTSLPLPLPLTPHLSLTPLLTP LSTHLSHATLTLLLASNLSTHLSLHRSFSLLGSGVFASRLAHALFDPDLGTAERQRGV VREGVMGLRLGGRGRQKGSGGLGGEGSWPPGGSELRLVLSGVLSESYAATTPRAPSRP STSSSSSPPAFEIGGRVRAYTAPTLLPGDLSFGVRHMTPPEISACLDPSSLSALDFLR LVYHPPAPLDAVITPESLHMYDRAFCALLRCLRVQFVMGELFRASKTWDSSRRGRGGV GRGEGRTAARFRNEAQHFVNAICSHFESGVTAAWGVMEGKMREVEDRLQPGGVGLAAG EGVEGLRRYHERVLERMMGVLLLRGRQSVVRGVLEEVLGCVLGFGGWAASASAASRTT ENGEGDGEKGVEELYKTFKSKVKVFITICRGMEEKKGGVLGAEGGGRGKGGGYGGRGG LFDLEEVGRGDVVGGLLGRLEGSGYYC VE01_09487 MPPRKKTRGGGRIASTPGHDEGDSMVVDTPEEQESPDKPAYDPL QDPWTDEQETSLYKGIIRWKPAGMHKHFRMIALSEYLRNHGYKADVHTRIPGIWEKLG RLYNLDLIDERENTLDFAEDETGEDKFLEFSLPEDEFGEMMWIRGQVSSEALSSPPQI NAPPDLPPPKKRRRGEAASNRASTVEDTDDAQTSPPPPSSATRPGRGRGGRRGRGRGV GRGRESSERQASKETTAEPTDQEEVEETGDDGDEDEAETAEETAEDGSPSPQPASTRG RGKGSRGGGRGQGKSRRGRKRGK VE01_09488 MLNVFAKSRVSSSALRSGLQSMRTSRIITPAMTRNNSSLPAGYK EDNSKGPMLRYEESLPKLPVPTLEETAARYVKSVHPLVSATEFEHTKKVVADFIQPGG IGEKLQKKLIARRDDPKTANWIYEWWNDAAYLSYRDPVVPYVSYFYSHRDDRRRRDPS KRAAAITTSALEFKKQVDLGTLEPEYMKKLPIAMDSYKWMFNCSRVAAKPADYPIKFN HEEHKYIAVVRKNQFYKVFTEVDGQQLNTAELEAQFRRIYEIAETVPAVGALTSENRD VWTDAREILLKAHPANAKALEAIEAASFVVCLDDAAPVTLEERAHQYWHGDGKNRWFD KPIQFIINDNGTSGFMGEHSMMDGTPTHRLNDYVNDVIFNNKLDFSATNVRSSLPEPA PVKFHVTKEVASEIHRAEKDFADVIGQHELRVQAYQGYGKGLIKKFKCSPDAYVQMII QLAYFKMFGKNRGTYESAATRRFQLGRTETTRTVSDDSVAFCKAISNADSDSKATVEL FRKAINSHLEYIAAASDGKGVDRHLFGLKKLLAPGEEVPAIYKDPAFSYSSKWFISSS QLSSEYFNGYGWSQVVDDGWGIAYMINENSLQFNVVSKGLGSERMSFYLNEAAGDIRD LMLPTLEAPKAKL VE01_09489 MEGLVRQEYPGMLVSLPPSQAVHIFSERVKRVGKLNAEIADWLQ ERRKVEDLYVQGLKKLARRPLPDTASELGIFNAPWSQIVAWVDETATSHAILAKGIEK DVEQALRQFPTKNKEMGSVLTIQGHLAQMAKEYESAQDTVDKLAKKGSKANQAKTQQA TQKLNSVTSEWESQAPFIFEKLQAIDETRLNHLRDVLTQFETHEMDQVERNRVSTEAA LTSLLEVDTAVEIHNFATNATQGKPKLERRATAARTGSTVSASSANLALPPPRMPTED SSSLHSARNDASSEHKKESTLKRFGTIMNRRRQSIHAGSFGRSSSPSKGFSALGAPPR SRDGRPAPSPRASSSNLRDNTRQNSSLGAVAESPATSPRTSRLPPPVQPVPADGESRP DDTTRDTNGGSAGDGSLIDSAIPQPPPQVDGASSNAAQLDEEGFTVPPAANDAISQAQ REANSENEATPAFKLNIAQQAIQEEDADAQAALSNVANTLRTANLPAPSRKVGTVRGR RDVRNSMYVPGPEVSVSEAQRSPGAASFSAPPAASQGIGRAANLAASASDLGSSADSI RSATSAGGGHIKHPEMTRPGLNSSIIETVNLLSENGEIKTVTTIGEIALSYVHPVSVK EIPDTAAIRLFNFAGLEAIAPNKAFLNESSLAGEYSVQLSEIKRTNCAFKYKVHTEPH NLYAQVPLLIKPMWKSQGDKLGVVVDYSLNEAYSSEPLTLSNLTLIVSYEGAKAISAQ TRPSGTHVKEKSLVFWRLGDVLLEPGVTNKVLGRLLGDQGGHVLPGVVEAKWEIAVPA ETVVGSGIGVSWKTGVAEEIEGKGKGPENAEPDPFADEDAAKGADSGEANGWTKIESV RKVTSGKYDAITGADQA VE01_09490 MAGVADWKSGLPATVRFENVENMHVQKGIEAAGEPSKAKAQKAA FAAETEAYKDSHSLEAYTAVCLAHSSTASSTSPTSLPIAPAPTRTSSEPSTTIGPYHP CHLIATSPVSCVYHHPDSTTPLAVKLITTSSPTPPHNPAREAAILKSLTHPNIIPLIS TLHDSDAHLILTFPYYPLTLATALTLPSPPKLLPLLHDLFAGLAHLHDRNIIHRDIKP SNLLLTPNRLVIADFGTAWHPKLSTDEPRNQKCLDVGTGAYRAPETLFGDRKYGTELD MWGAGCVMAECLREPHTPLFESRGAHEDGNQLGLILSIFKTLGTPDPSTWPSATKLPT PPFEMYATFPAVPWKTLIPPTSNPEFAGKWDQLREIVWWLVMFEPGYRTAPEAAMEML ELAGVKVDGRE VE01_09491 MSQNLKIVFGSMTFGKENTLGSRVYTAEEAGKIVDIFQQHGHDE IDSARIYGNGTTEEILADIDWQKRGIVMDTKLYPNAGMEMGKDDPYTHKPEDVRRGLM ASLKALKADKIDMFYLHGPDRKNPFKDTLREVNNLYKEGYFKRFGISNYMSWEVAKIV EICEENGWIKPTVYQGVYHALQRTVEAELFPCLRHYGISLYAFQPLAGGFLTGRYTRD QETFEKGSRFDPKIFQGTIHRGRYWNDSYFDALDIINGVAEKHGLTVAEIALRWLHHH SQLKAELGDAVIIGASSTKHLEGNLTDLEKGPLPDDAVEALEKAWLTTKAVAPKYWH VE01_09492 MDHTQCEIELSHVSNLLSALNYHIHEQASSNEPWNLQVKALVDG PVDQYTSTLQQLKLKLTSTTWESSKEEVSSVLSKVKQLKLLIEIALQKDHLELSQALK NDTAIISTTPHDKATKKVEGSDPSIPAPATVMSQDRGYPYVKELDDIEQLKDFLRRGK NFFRRLLRPPIPKGSQRVEWICGCGDLLYMDFNSNSTSLLTISALFFSSLEDSQLSRV SDIPNRSLLRAISMPMLVHSIGNISQNSTTQLGPAPRLHSSSPTQTQIGTNSTTSAVP TESSYKFLELCVNSGKLLKTLGEIDFSVEDRYRVGILDKPLALPPKSEVVAKRYHYDP CPLENELPISSDLFLHYLFSGCIPSRHLIWLPRIPRKLDQSIFASTAPVSYGWGVHID EGPDYLKVFVLNLVILGVSGAAALLWDIYKQDFQGAMGFAAWIIMLLNTLMAIFIAKW SQE VE01_09493 MPPPSKMPPASQSKGIAAQSGTAKPKKTPPPTPFAMKPITALWV FLFFNLLAALTSPIEDCDETFNYWEPTHYLAHSSGLQTWEYSPVYSIRSWAYVGLHAL VGSVRRLLPFPTKVGEFYFIRYTLAFVCAVCQTQLFRVISITLNPRIALFFLLAMISS PGVFRAATAFLPSSFAMYTTMLGMAAFINWRGGLRTAQGVFWFAVGGVLGWPFSVALA VPFLVEEGVLAVVNGREAFVDAVRRLVKGVGASLLVVLAEFTISSTFYRLPTLVPLNI VLYNVFSPPHKGPNIYGTEPWSFYIRNLLLNFHIFLPLALASLPLFILLKLFSRQPLA SGLRTLVFITPFYLWLAIFSAQPHKEERFMYPAYPALALNAAISLHILLAALGQSSPR TLIGRVPAGLKLLLVVSTLGTSIILGFSRILGAYDAFSAPLHIYEPLQSPGVAVEGSS LCLGKDWYRFPSSYFLPEGMRARFVRSEFRGLLPGQFGKQGGEGGEGGWWPGTWVVPE GMNDENLEDVGKYVDIATCDFLVDTHFPSSTPSALELAYMLDTETWEVVKCERFMDAG RTGLLARLGWVPLGLGGERVYGEHCLLKQRVGRK VE01_09494 MDSTTSNPGGHPNQTTTSQQDQQPSMPSSDLTSIPGLGAIPGLG SAPPPSAPSQTTSISHPAPSTAATTEAKPEPRDEGPSVTNALEAMLGGLSPESSTSPL TTDTPLQSVPAAEVPEDIKMEDTKMEDPHTSLPPAQIPEGGVVTTASDDAAMMDYIPP TNPTTDSAAAEDQEGGPAEFEADSSPYESSSDSSDSSDSSDEDSDDEDAYNLLSPAEQ ARILMLGDGGSDDEGGGKGGKSSGNQLRTKNEVPEEVIPKPDVVLAADTPVTELGSVT SIVDTMVLIAATTSGEFRVLESGSLLCLEDRSVIGVVAETLGRVQEPLYVVRFTSPPD ITAAGLEKGTKIYYPETHATFVFTAALKAYKGSDASNLHDEEVGDEEMEFSDDEKEME HKRRVKAKKLEKRGILPSAGGRGGRGGRGEHPLRKEGLSYDDEEEGPYRPLARPTGFA SSAGRSEAPEESPRGYNAPAPSRGDRGGRGRGGDRGRGDRGRGRGGRDSQGRDGQQAP RYPPAPADAPQDPRKMGGGFGFNPQQFPPQPFPFQQFGQQQPQSPGQGFPGQGQQQQQ FPYPYGMPPQPWGGPQIPGGAFLNPAFFGAQQQQQPQQQGQQPQQQQQWTPPTNMTPP IPGQFGGWTPPPPPQMGQQQQQTPEAARAVAEMQERLRVLREGGQQGQQ VE01_09495 MVHQHHRHLHGARDFSDFLDDLGNAVGLGNSEDKAAKREGSTRY VYVTQSPTFTDPVAGYSTSMPGSGDTSTPVLVDSSTPKPTPKSTSTPAKPTTTAQKPK TTPTPTALSGLPDSIVPSSTVGTSNSEVLLASQTEATSETSTPTFSPSSTPTAIADNA ASSGMTGGAKAGLAIGIIVVIAAILSLIFFCFRQRKKAEERERMDDEKFTNVPPPSAA AAAGGPASMAGLKRLSTAPRLDVRPTTAFFMPNRASQMQNPNAANGNGIQMTSQNRGM EQNRANPFGNHAESIDPVNAAGPSVVNGVSAAGVVVAGAAPVRSTSRGAQNKYNGNKS AQSPFSDAARTDGNRAMNTSQQMHNTGVMPQPLAGVIEESGSGPVSPTSAAAAVVGAG AVTTSGAASNTNLYRVHLDFTPSMPDELRVRAGEIVKLLKEFDDGWCMCIKEDGSSEG VLPRTCISNSPIAARRSPSNKSVPGPFQNGQRRHSPTSSNAPLYPSIQNQGPNQQQGQ QQGQQGPNQGQGMNQNRAGPPMNGNGRGPQYRPQQQGPNGQGRPQQGRPQGPNQQGGR PMSPAMSANGRNSPGPGNYGPGPQYRPQQGPGPMSPRGPPQQQQQQQAQQGQRQGSSS PPPHAISPSSPSSSAAQVGSSSPVAAAVPLPSSPALSQASSGFSIPEVTITAPSGPAP VVVERKPVGGVGRKPVPGN VE01_09555 MPFNSWMDITTIERYVKKGEQEKNAVGEDRNEDEGFNDGEDDEG VEGVKGAAEEVDEGFEDEMSKETKWMRQIYGLAVLMIKGDKGWHDAEDFTTKYSAVIK LARLMVVQEAYEQR VE01_09556 MNSVILLASNLHCPSCVSYVQDVLSHLLGISSANEVSLISQTIR VVFNSKIINYQSITDALTASAFDVQHVSVFDNHGRLAEEHDLATRINHSEASRVRFQQ KHMENCNSCQKEWGSGGASPVLSTRSTAAATGSNDNPTVIDIDGPSEYDSSTTYTASL SIEGMSCGSCIGKITAGLEGLPFVTKVNIDLLTNSGAVEFRGKINVDPILEKVSDLGY NATLVELVEPRPKSTPTYTASLSIEGMSCGSCIGKITAGLEGLPFVTTANIDLLTSSG SVEFRGDKKNLDLILDKFSDMGYRATVVELVEPKSSLASQERVVDLQIDGMHCIRCPE RVVKVLDELRSEMGVASNLRISRPPSSTDPRVQITYKPSLSEGLTIRCFISTIQSVDS EFSVYVYHPPTIEERSRRIQHQQRRSILWRLVFAGIVAIPSLIIGVVYMALVPGDNPT RMWFEEPMWAGNATRMEWALLILTTPVMFFGTDLFHRRAFKEIWAMWKPNSVVPMLRR FYRFGSMNMLISVGTMVAYVSSLAVLIMNATQKTERHHSMRMSSSYFDVITFLTFFIL MGQYLEAYSKAKTGDAVAMLSKLRPSQALLVGKDREVQKVPVDQLEVGDVVQIPRGTS PPADGTVEQDGSFSFDESSLTGESKPVRKTKGDTVFTGTVNVADPVEIKVTELGGASM LDQIIEVVRGGQAKRAPIERFADVLTGYFVPVVTLLAIVTWVTWLSLGLSGKLPDAWL DSAQGGWAFWSLEFAIAVFVVACPCGIGLAAPTALFVGGGLAAKQGILVQGGGQAFQE ASNLSVMVFDKTGTLTQGQMKVTDYEQLDPDTSKDRLFSMAREMECISSHPIAQAITT FCASSSEEVTMSDIREIPGHGMTARFTFGSESGEHAVEAAIGNGKLLDLLNETEAEKA EAPVRSGNSIDNKIARTSSKNLQQALYRHQIQGHSVAVIAIRYSNRYKAVGIFALSDP LRPEAPEVIASLRSLGLAIHLCTGDNATTAHAIASQLNIPPENVRAGVLPQGKAEYIH ELQHPAKGVRKLVAFAGDGLNDTPALTAADVSISLSSGSDIAINASSFILLNSDLAAI RILFTLSKRVFLRVKMNFFWAGVYNVTLIPVAAGVLYTIGATEYHAGWRMSPVWAAVA MAGSSISVVLSSLALKLPELRWPF VE01_09557 MLITPPSALLRLPPRSLRQYVLPAATAMATPVRTFRLGVLSSQR RLKGCQSAPKTLCSSKAATTPSLTLTASQFWTSTSTWKRATINTLRCLVGCTVGDFSA MWYLQAFHPALGMGTIMAISMASGITTSILLETTLLRFGRDRLPWVAAGQTAAGMSII SMVTMELAENAVDYHLTGGVVQLDSPAFWGAALLSVSMGFLAPLPYNYLRLRKYGKAC H VE01_09558 MFDLIRDSTAGQLIRFISGRRVLLYPDEKPDWQSPDRAEQDLLV DWYDEDDGENPQNWSFPKKLSVALLINLYTFVVYGGSSIYVASMGGVMETFNVSYTAA SLGLAIYVLGYGLGPLLFSPLSEIPSVGRNLPYIVTFGIFVILCAPTATVDNFGGLIA LRFLQGYFGSPCLATGAASMGDMFSLVKLPIAIAFWSVCAVAGPAMGPLLSGYSVPAM GWRWSLWEMLWSSGPVWVAFFFLVPETYSDTILLRRAVRLRRLTGNKQLKSQSEVKQG SMTLHQMLVESLLRPMQIMILDPAITYTAIYTSLVYGIYYSFFEAFPLVYPVIYGFSL GEMGLVFLSVLIGVIISLAIYCFYILAIWVPTLKSKGPITPEMCLIPALYACFIPPIG LFIFGWTARSSIHWMVSVTGIAIYNIGMFMMMQCLFMYLAFTYPQYAASLFAGNDAAR SLLAAAAVLFAHPLYKNLGIGPGISILGAVTVAFIGGIFPLYFFGAKLRARSRFAVK VE01_09559 MKKLNRLILYVLTISLGGLVIGIDTGIIASVLSQKGFQAYMFPQ GTKNVTSLVGAIVSMGAAGGAIGSLISGFFLEKLGRRKTLWISTFFTIVGATLQTAAN GVALVIVGRTIAGIALGMLRPTIPVYISEIAPASQRARLMGIFGLLIAGGYVCAGWIG YACSFASGQVTWRLALAMQIPAAAVLMGLILFVPESPRWLAQRERYEDMDRCMRRIYS DEDEDFFIRSVVEIRAQIQLEAIQRTTKTLGHALIELFNSRNIKRTAVAITVLQVGCV SGALAIQQYQSILYASLGYTGRKALLITACYSFMGVLGQFINILAISDKWPRVRTMWV GCLSLGVALSLLMAISRFYGNGLNPSGAQAGVAFIFIYSALYAMFFNSTLHTIPPEYF PAHLRGYGLSVGDFFQGVSNIWLSQVTPYAFDAIRWKYYSVFIASVILLAVFFKLFLK ETNQMTLERTAGLFGDETVYNSSIDKVAHIQHGVADQIEIGNRATNKRNITPT VE01_09560 MRDSSNKPLQPVPSTPRFEHHPDGFGIGNSRPRLSWSFFYPADA DLKSVGSWTQRAYDIEIKAEDAAGSGTHHIESSESALVPWPGADLISKEAVIIRVRGY GQEKDDITQWSSWASAEAGLFERERWSALPIASSKKFEAEDVTLRPLRFRKEFTVPLE RDILKARLYITAFGVYEAHINGSRVGDHVLAPGWTSYHHRLAYQIFDVTAMVKKGQNS IGAEVGEGWYGGLIGIRGGQRFNYGKELALLAQLEITLDNGEVMVKHTDHSWQCHTSA ITNSEIYNGETYDMREEQYGWEMPGFDSTAWASVKELPFTSASMIASDMAPTRVTEEV KPVSITITPSGKTIFDFGQNVVGFVRIKRLPPHSKVSLVHAEVLVDQEMGMRPLRNAK CTDTAITADNELLHWCPKFTYHGFRYMQVNGWPEGTTPSLEDFTALVTHTDMKRRGWF KCSNDLVNKLHANIVWGMRGNFVSVPTDCPQRDERLGWTGDIQVFGPAANFLYDTNGI LGNWLADFSAEQLARDDKIPPLICPDVMSSWWTPTPQAIWHDATILVPYNLYQASGDI FLLRRQYVSMTSWLDTAIRRGSDRLWDPTLWQLGDWVDPAAPPSQPGLGRTDGVLVAD AYLVYVTGILADVSALLGEAHDARRYAADKEKLKAAFAHRYITPAGNLANYTQAAIAL AVQFDLYPTAAQRDNAAVALMRLVKTAQYHVSTGFAGTPAILPALTRTGQPWLAYRML MEKHCPSWLYPLTMGATTIWERWDALMPDGSLNPGQMLSFNHYALGSVAAWLHETVGG LVSSDGWRTIDVRPVPGGGLTWAETRFDGPFGVVEAKWRVEGSRFKLELQVPPNSRAI IVLPMQKKELGEKKKIKVASGWHAFDVEFEPDEWPPTGSINKYSGPLSKACDCF VE01_09561 MSHYASIISGTPPSIRIDSTIRAAPALLQEIGPNSQMRLFGEVE LCLIWEKASAEQKQLGEWWCLPDPTDDIDDIATEAILTEAGNAIDAWYDKWGSFIRND DGVGAVLDLLGRYARFCISNFVVRCLPNSYHGLSSRQKDRVRGCVACANHVLNWPLNL RPIQKEKLRYVSDSGSIMLKFSALFIIAGCQTFSSCIPNVSQCLENVRAVGQLIIDLA GDQNSNAHTHGNLIIDRAKALSLSLEQSDSQETFTGPTSENEQLIADLEPQTDEISDI LNASFNGSEALGFDSVWDFSILLPNTW VE01_09562 MVRTNLLAVVLLPAALAAPSTEKRVGPKVRLSIGNVVGSSFLSV DSFMGITFAKPPWVADNIASFSSGPLKVTIWGESAGSASVFSYMIYKNGNHTYKRKPL FCGAIMDSGSLLLAAAVNESRTEDRYNIINLDYATFLNVSSNANIPINTYLLRRDGIF FTDTPENLLKSGFVDTYPDDVSAGSPFRTGPLNNIYPQYKTIAAITSDYMFVFTRRLV LTLAATVKPNIPTWSYISTYFYGLPVLSTFHATDLLPAFGITLGNAAFSIQSYYISFV HSLDPNSGTPSILQKWP VE01_09563 MEDNFGDVDGGGYGDVSPFADVSGGSPFTMDTLQSLQTGAYEDF ISASSAASPAPLPSSTATVTAGSSQMRIQTQQLQLTPRGQQQQLQVVLRAQQARQMQI LQQQQQQQQQQQTLGFRDQQNQWAGPAAMEEQMRALMEGGVV VE01_09564 MPPPNRPQSLPSPPSTDNDAAHKTVSKRTKMSKPGPRGAKRVMA ATTAAAAAPPPSRSRSPEMRGGRQKRCNAESPCKRCRDDGLVCTAGHRKKAEFKQLPR GYAEVLENTQYALIATVHKLYAMVIAGEQWTLDSPVVNARGQPVIHDIAAKLGCIRPD PSSATSGAASESPADAGEFVELQRRLEREERAGGGGRWRWVGY VE01_09565 MSSVSGHCYYCGGVQLIGMFQPQEVDVVQQYSVSGHTFAAAADF DLFNSITDLSQPHTTLKQSKHVCYRLRRVKCDESKPACLRCLNLDLECARRQPSPGPR PLKCRSVQPKNASPSTLVSNTLSGRLFETEQEYQYFDLFSTKTAFEVLPTFDAEALRR ILLQACDSEVSVRYAIVALGALAKKSDTAGPSSVSRNACEMRPVLYYQDALLQYSKAI KYIRTAVSSECQNLRTTLLCCFLVTMFEAGLGNDRLVVDQIQTGISLLRDWRSQYADA HKHALGLSSPAPKVIEDDIFRAFSRLEIQTLYTLDERFLGRQACLSKDANSAQQIGDE DFLRSGTQTLQKMPRVFTTFAQARKYLELIMKCAIILHRLNIMVCHRTNNYSVNSPFL RLATVQHPTNAACSSANGSSHTDSLPLPQRLASYQANNISGLSQWSKCFQAFSKGIFE QGRRELSAADTLYLHSKMVHIELVTALSFSQAVFDDFTSEFEDIVTLSQMLLKTNWIH QSATICFTFDLGIILPLWLVGIKCRVKSIRRQVISLLRLHPRREGVWDSKLVTKMIEW IMEVEEEQDQGDTIPVWARTHGILWSFDMEQRTVYLVCQHKRYEWSDELVTRQTRIAW VE01_09566 MKPYSKRFSVDEIPDLSGKVVIITGGASGIGKEAALQLFQHNAK VYIASRSKTKFDELVKEAQDILDDGGGSKVSLNLQFLQLDLSDMKSCVIAAKQFLGME KRLDVLVANAGLAVVVSSFLSIARLKMIESLWV VE01_09567 MVTHSITLVWAAKQAAMFHDLAARGLAPVLKRGDIEVSFYATSN RKNGLVDGNLIDTVQQVKDYLVVEVGD VE01_09568 MSTSSAPMGVPPAIFGGIPSRQVDIPISAVIIACYLAIGATHMT IFQKNRRAGRKFTPSALCFGFSMSRVATFTMRIVWATRPSNPDVTIAANALVAAGVIL LWCINRVFATRLLGEYHPRLYSKPVFEFALRRLPYVIIICCVPMVLVAMVLQFKTTNP HIRVVTGILLKVVISFFVAFTFSPFLVLAITMLLPAEVEGTGKTSTKVAVIVVATTLL CWELGMRTATMLQHLPANAAPWYYSKPAFYVFVPVFELAVSCMYAAVRVDRLFYVEGK AEGRVVGEEKGEKGEKVEIIT VE01_09569 MRRCLRPFIRLISSPLSSDGTCLAFNRTFTTSIARWAAPPSKPK TDLERRIDAIPLERFRNFCIVAHVDHGKSTLSDRLLELTGTIEKGGNKQILDKLDVER ERGITVKAQTCSMLYNYKGEDYMLHLVDTPGHVDFRAEVTRSYASCGGALLLVDASQG VQAQTVANFYLAFSQGLTLVPVVNKIDLPSADPPRALEQLESTFELKPENAVLISAKT GLNVEEILPKVIEQIPAPVGDHLKPLRLLLVDSWYDNYKGVILLVRIFDGTIKAGDHV VSFATGLKYIVGEVGIMHPLETPQKSLRAGQVGYIYFNPGMKQSKDAKVGDTFTTVGS ERLVEPYPGFEEPKSMVFVAAFPVDQGEHGHLEDSINQIVLNDRSVTLQKESSEALGA GWRLGFLGTLHCSVFEDRLRQEHGASLIITPPTVPFKVIWNTGKETIIQNPALFPDGD EGSSKVAELQEPYVEATITMPEEYLGKVIELCEVNRGIQQSLNFFTSTQVILKYLVPL AQLVDDFFGKLKGATRGYASLDYEDAGWRKSQIVKMQLLVNKVPVDAVSRVVHTSQVD RVGRQWVKKFKEHVDRQMYEVIIQAMAGRRIVARETIKPFRKDVLAKLHASDISRRKK LLEKQKEGRKKLKAVGNITIQQEAFQKFLAK VE01_09570 MSEAKDKSKVHKLKLKGSSRLVAEFFQYSINTILFQRGVYPAED FSAVKKYGLNMLVSSDDQVKAYIKKIMSQLDKWMVGGKISKLVVVITSKDTGEHMERW QFDVQIFNKKKSSSSSKKAADQENAAPEGSEPAAEKTEKEIQDEIQSIFRQITASVTF LPQLEGDCTFNVLVYADADSEVPMEWGDSDAKEIKNAEKVQLRSFSTSNHKVDTLVSY RLAE VE01_09571 MAARRTWTPARLSHARLLQPLGRQAGQSRPRSTSIRPRGCHKSY FFCDYPGLPAVAPGAPAVSGHTRRVTNTTSISPASVTPPHHPSHPPQLVAVAPSLPPS ALRAAAPLRTGLGFITARTILPILPRAASLRYSSSSSTPPLSRNLSFITSKPSRRLFS SSSVDMAGIKIDGNAIAKEIREKLHAQIDEQLKTNPRFHPSLKIIQVGDRSDSSTYVK MKLKAAEEAGISCELIHFPESTTEGELIDQIDVLNNDPTVHGILVQLPVPKHISEYSV TSSVANEKDVDGFGAINIGELAKRGGVPLFTPCTPKGVMKLLESTGVDLKGKKAVVLG RSDIVGSPVSYLLKDADATVTVCHSATQNIESYVKEADVLVSAIGKAHFVKGEWIKPG AIVIDVGTNFIPDETKKSGQRLVGDVDYSAAVEVASHITPVPGGVGPMTVAMLLQNVV DAATSSFARERARRILPLPLKLRTPVPSDIAISRAQHPKQITRVAAEIGVLGGELEPY GAYKAKVDLSLLKRLEHRRNGRYVVVTGITPTPLGEGKSTTTMGVTQALGAHLGRIAF ANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAVTAANNLLAAAIETRM FHENTQKDGGLYKRLVPVKKGKREFQPIWHRRLKKLGIDKTNPDDLTPEEITRFARLD IDPATITWRRVLDVNDRHLRGITVGTAATERGQTRETGFDISVASECMAILALSNSLA DMRERLGRMVIGTSRSGEPVTADDLGAGGALAALMKDAIKPNLMQTLEGTPVFVHAGP FANISIGASSVLADKLALKLAGTEADEDHNAKAGFVITEAGFDFTMGGERFFNIKCRS SGLVPDVVVIVATVRALKVHGGGPPISPGGALDRVYTEENVDILRKGCVNLKKHIENA KQYGVPVVVAINKFETDTEAEVQVIREEAISAGAEDAIPANHWAEGGAGAVDLAKGVI AASEKEKDFKLLYNTEGTVQERMEAICKMMYGADKVEFSELAQQKVDTYTKQGFGNLP ICIAKTQYSLSHDPELKGAPTGFTVPIRDVRLAVGAGYLYALAADIQTIPGLPTAPGY INVDIDTETGEIDGLF VE01_09572 MRPILLQGHERALTQIKYNRDGDIIFSTAKDQHICAWYAHNGER LGTYHGHQGALWTIDVDPTSTLLASGAADNTVRLWDVKTGKCLKTWDFNTAVKRVEFS EDGSQLLAVTEMRMGFLGTIVVMDINLDVNAEQRDEKAMTITCEESKATVAGWSFLSK YIIAGHEDGTVSQYDAKASQPTGEQLDNVQVHEPDSPVTDLQWAPDRTYFITASKDKT AKIVDATNLQVMKTFVADTPLNSASITPKKDFVILGGGQAAMDVTTTSTRQGKFEARF YHKVFEEEIGRVRGHFGPLNTVAVDPNGKGYASGGEDGYVRVHQFDKAYFDFTYEVER QQKQQIGA VE01_09573 MASRSHLTSVVRELGLLSIWNSPLDVKLLCIQRFVRLFAYGATT LVLVLYLSELGISDQQIGVFMTLTLFGDVFISLFLTMFADRIGRKDVLALGAVMMTGS GIAFGLSGNYWVLLAAAIFGVISPSGKEVGPFRAIEESTLAHLTEKEVRADIFAWYTL IGAGGYAFGLLVCGWMVEVLKALDGWDDIRAYRIVFFSYSVFGAMKLLLILALSKDCE AKPEPPQERPHEEAPLLGPAEPNKPRRSFFGAFSHISHESLAVLLQLCLLFGLDSFAS GLIPLSWVTVFFQRKFGITDGFLGTIFFTTNIIAALSTLVAASLARRIGNVKTMVFTH LPSAVFVAFIAIPSQMPLALTFLILRSCTQSMDVAPRSAFLAAIILPNERTAIMGILN VVKTFTSGLGPGLTGVLFDHDLAWTVFVAAGSLKVIYDLGMLAVFKGHESREDKPVRP ADEET VE01_09574 MSEIRSQVPTELEAALRPLDSRRVVVMTCGSGKSTLAKAIVAKF PSFIRLSIDGYIYDKYGAYDRDYPASKYSRYQDEANEALKVRLQEILQGGKNDVVVDL SFAFRASRDEYRTIVEAGGAQVVIVYLKTDVSELRRRIKERAAAGLDADSAFKMTPEI FDRYVSGFEEPVGEGELVIQR VE01_09575 MDNFPLRLPRQTQPSDSEAGIPLPQIDTSSSQLQQKAMSGPSPK ISATQHHSFATPGQGDEEFNVGSVTTLHMPESTAGDASVAESSQACTAVSTPVPKALH RLPEKCQHPIVRNFRHTYMAVYQRLFSVVTILNAIAFVCILTMVDLSKPQLLSSLATA AASNILVAILMRQEYVINFIFKTCWMIPHWAPLRVRRMLAKVYEFGGMHSGAAFCSTV WFIAYSAVLTKDMVNGAMRFPAMLAVAWILVFLLVLIIVTAMPMFRMAYHNTFEVIHR LVGWTALALFWAELFLYAVAVKRIYTSDAPAYGLILIREPAFWLLAVTTAFIIWPWLF LRKLAIKPEKFSNRAIRLHFTGYKVPPFCGIRLSKSPLLEWHAFACIANDNGGSVLIS SGGDWTRDCIANPRPYYYVKGVPVTGVLNMAQAFRLAVIVTTGSGIGPCLSYLAGIGK QGTCRMIWSAPNPWANYGKDICDAVTKVDPNAMVIDTESSGRQNLAALAYQMYIDSGA EAVFVISNPRVTKQIVYAMESRGVPAFGPVWDS VE01_09576 MSSGLSKRQQARNERTLQNLVKSVPGNSTCADCGARNPGWASWS LGIFLCVRCAAVHRGLGTHISKVKSLSMDSWSNEQVENMKQRGNTMSNLIYNPKNTRP PVPVDADEADSAVERFIRNKYKNSAPATNYDDSRDYETSAAYREPESNRREPEPVRRE TTSNHHRRTGSADSEDEHPLPAPPQKTSRFGFRSASSIFPMSSKAKREAAARVYLENQ NQNDRARRDDDDEGPPPPRKGKPARIFGNSNASDRSVDEWEKKLGQLREMGFKNDRRN STVLKGFNGNMEKTLETLVRLGEREAPSRSRTPSTAPVSAGLSINPSKDSPASPTPSK NPWDMPPAPLQSSQSTGALSLQDQHIKNNPQQASLNPFGFATSKSQYNLNQQYTNVEQ PFQNMSISPNQPLFPHHTGGTAQRQPFMAAAAPSMPSIPQGQYASSPYGAPLQTQYSP ATSPGYNPFLQQQPTGQTQSLNVTPVTATAPNPFHTLTRNQTFPMQQGSQAQPQVSMQ DYFNLGQQQQQPQLNPYGQQQTPQQPNLFNQMQQPQQQPQLQQLNPYNQPQQMQQQLN PYNNFQQQPQQLLPQQTGRADKTSIMALYGSNPQSPPLPSPQPQQQPQFANLPQQLTQ NPYQPQPVNTNQQIGNPTQQQPGVSSPLSSSVGFGGSKNPFMHGSTNPSPFAQPNQNN GTAGGAPQGGLLAASGVGGIGSANGGNPSRHVSQESMSVDPNGWHAHSGRHSPDAFAS LSSRAVR VE01_09577 MSRTNPLPLGAPIRARTGVVARGFDAAGSNRTLDSSTPSRGFDA RRASRSAAAEAAARRDAATKGEQEHKKRALKEEEARKAWDKKNKNLIAKEKVEREKRE KEREERERLERGREARERRAREDKDKAAFAREKAAEAAKRRDQGSKDAQMRRGEQLRR EEERREREKRERDRERREKATREREELERAAEEKLARDRDARDRRERDDRVKAEKARG AAAEAARKKDEGSKGRQEREGERQREEAARWEREKADRIREARERAAEEKDRMEREGA EKLVRDREGRERRERETREKTMGVRSAAAEAAERRTVEGERARRDEEAKAKKDAKMKP SKAVSKDLLSTPKPPTKEPVTTQKQPAKPALKSAPASMSAKNSNVTFAEPKPAAKQAP VKPTPKQPEPEPEPEQPLNPREAVAAAARRREEAARKQQAEDEREAAKAAKVAKTAKP AAKPAPKTPTAASKPAPSKSVPAPKPAPPKQATAKEKPKQTTKTTAPPPKPKVDKPPP SEPSNPSSAAAAAAAARMEAAAKQKREDEIREFEEGTGKPTWDFEGLDGKGGVAYIAG GRGGGKLSGLNGSSTRETRKKTGGWTIFGAGEGARNLPLDIPHSSGTNKPPTTSYPYN CNQSTREFTSPSGVSAALPKSLASSLRVNPPMSPEALLECLEDAAERGIPLSEPSSRS FEAHEKDAYELRKGVTERRAPIGIAHPPPRQVRGYVEVDCEYDDYGHEYRPFPRLKRR REVIDLGPLPPRPWEVDGIVDTRSGDGHKGG VE01_09578 MANPSSTVSYTANLLKYMSLDQKGTAMAEYIWIDSFGGVRSKSK TILKVPDDGKINLADLPEWNFDGSSTGQAPGGDSDIYLRPVAIFPDPMRGDPNILVLC ECWDPDGTPNKYNHRHEAAKLMETHKAQEPWFGLEQEYTLLDMSDRPYGWPVNGYPGP QGPYYCGVGTGKVFCRDIVEAHYKACLNAGVKISGTNAEVMPAQWEFQVGPCDGIEMG DHLWIARFLLHRIAEEFGAKISFHPKPIPGDWNGAGLHSNFSSADMRKPGGMKFIEAA IKKLELRHKEHIAVYGEDNTLRLTGRHETGSIDTFSYGVADRGASVRIPRECGSKGFG YFEDRRPASNADPYQITGIMMETMFGGLDSEE VE01_09579 MDPHNPAPPQSPVGGHEIDSSSTASPPTSTIITTPLTVPASIPT PAAGVPSGISPPSPPTTPIATMSDLSGPPWPRPPTPRPPLPLPDRVCGLDGANTPPGT PIAGPSFPQPPFSSYPVASSQSGPLTLQPVDDRGSPIPVPDIFEPPKEDSGTRPVLRL RPETGVGEPHGNVESHGVMRSLDEIVNSSGFEIGDLADEDFYADADIGSGSEASSLSS LWASRAYLDHRSGYLGESVRAGPPDHEHHLNNDDNGAGEGNKKGKRKACDCAYCDPDS DPHHDGYFSDSGTATGDEGPRRAGPFVPSFHDSQTAPVKRGSFVFRNSYEGENRDMHE RGGDGEDEVIVSVGCELEFPLAPERSEGQRISDEVARFAPPGPGREIHRLPASVPVCI RKPPARAVSYRGRRRYRTGSPEFIPDPSIIQLLTPQGTVGAQFNNVADVQVTAEIGLL GGGYGMREIRSNGGCDEVIRGWGGVKGSVIEFKGGDKWELNLKGKEAELNKEAKMGRD SPSGFYDGDDEGTIGAASDPEGAVAQLNAQPANQDFVLGPGETRPYILDVIHDDPNKP LSRYANVGDYSINLRGSEKGDGFTVRLRDRRGSLVIMWKRVARVEIVHVGGVVIEINA GDGESSEDDGAKMAGRGVDLRGGGDKGNGNGYSQGEKGLENIGADKGKGRATTDSSTV GSLPSNDEIEAEVPQKTRQGKGKGKAVEDGDVTPRNHYHSDSESHGSIPLFFETPADY VQTLGPPHRIENPEPDYVLGSCPCLDRPITDADTNAGPPQCTCIRPASPETPVPEPWT GGYFSPRYQEFLRSGPPNEPYSHSCTAYEDSHGEWVSEVRGNLEENIANHASSSKGPE DAVPPNRASSVPKPLGSPSGDAPKKQRDDDDRRQVKSQGGPGPVSGLEGQPEGDTPVG SRNGSHVYSSQRENVANEGTNFTNEIPQWEIPQEDSRKPILIGVPMSFIHFKDLFTSL PSIPFTLHPHPFTFQDSLPSPRFNSSHPTNSQASITNTLHQHPTPNSRITAPLSRTPK TYTPTTIPRGSTNLPPSRLKSQKATISIPTADLQDSASPHHLTKVPGNSNPAATTNMR LLLPRKTQHASRKRQVRSQLL VE01_09580 MSDTIQAKVTLTALTAGIFPLIRILHLHEYNESIPIGRSSKNPL KHLVAATDNCWVDSDVVSRDHARLNFDSDLKRVFIEDTNSMHGTRINNRQIPKNDPIP LANDDVISLGAEVKRGIETYPECRFQVNYELLPYFPSTGRTYQLLSDDEPFEISDDEP FEVSDDDEEIQRKDSPSTSTPEAKPNMMPGALDATADDDDVVEVDPPVYEFSRLPTET AKPFQADVESVDEPMEVSDSDDDSIASSDREPSERPIGRGSSVEITAERASVRAGVEA DDNNLEISSDSGIEFSDDQASWPDSDNSDEDIDDFLDDDFLAAYGSPKPGFDTSVPAE PGTNLSNTPPHDPTTESQPQLLNPKSSTHLPGIEQIEHAVSPNTQAPLAPMFPPLVPI RVDSATLKLIDLDTEQQPTPVIPFSMPSPSDAALKGRRSTTPPWRTEKQEFLAARVDN RAKFATHWMDMGPLKHTAVGGESSAAGGSLGGFAPKPNEYNEEEKPSSTSNSMIPEGV VSLREKPSVQAMLLESPKELPLKRKMDEITVDEPLVVTKAPETAQAIASARNIKAISD ARAMRRAQALTAVYGHDKAIGAANIHMTTVTATGTVSNTLAKADNPTPAPTPAVKSTI AAATSAASAASVEPTQQENERPAKRQKQEATKAAKRFAYATFSGFVAGAGLFVALVAT APDFGGFM VE01_09581 MRDLRRQALESHKTVSRKAQSKVSSRASSAAGSRASSHAGSRNA SRHGSDEEEENLSDSTNWSTNSIDELLSGEGVEDDGTDAWKQTLSVRIEEIIDRKRSS IQGRELAYAVYVHLLTTHYAFDTISSRKSDLLAAFLKSIKAESSEKETQLAIRAIAVT LITSPSDAAYATVFPAIKRIYTSSESIAAKTTAISSLGSVLMYGGAADEATEELLDEL LEIIESDGTSIDAADEAPVVVAALESWGFLATSLDDMEDRSEEAIEAFVEQLESTDAA VQIAAGENIALLFEKSYTDREEDDGPPEEIEDEEGFPLDTSLVKRYNVYRQTNQLQHT LRQLAAVSSKSISRKDRKHLHSSFGDILCTVEHPQRGPRYQKAIDQETGKRYGSRMKV KVHGGGSMTIDRWWKLMRLHELRRVLGGGFVVHYEHNEVVFDSLPIMMSLQDD VE01_09582 MSSSTSSSYVFPSEQWSTPATASDAHDELASDNDDLDSLPSSID SSEESSEYSSDAQKEWEASLQQIELLLTMVVVPYVGKYFGRKCAYWSWAKYMEWMYAV EVRYTNKAAFRMAGAIEAAATL VE01_09583 MAGEDTIAERKPRKSVAFSEGATIVDENGEVSTEGADGSKDTAM SHSSPDGEEPKEDDDDVANMLKGMKKKKAKKPKADDEAGEADAAADGGLDLTLMKKKK KKKVPKEGEDDFAAKLAALDMDKEEGDEEVAAKPEKVEDSGDMEKGTGIWAHNDIKPI GYELLLTRFFSLLAQKNPDHASSGSRSYKIPPPQCLREGNKKTIFANLNEICKRMKRT DEHVTSYLFAELGTSGSVDGSRRLVIKGRFQQKQIENVLRRYIMEYVTCKTCRSPDTE LNKGENRLYFITCNSCGSRRSVTAIKTGFSAQVGKRKRQQG VE01_09584 MSGYYPPPPGSQPAQQQQQHFAPPPTTPTPPAPAQGQYYPPPPT VAAPSNTQSYPPPPAPGQQAQKQYAPPPNFSHRTSYQKPSSPPAPAGLPQYAPPPGVA ADQYPDEKQEYEEEQQQQQQQGVNMHADDVGTFNGGSYRISHRDTNSIITLQLAFGCP LSVKPGCMIAMSPTVTLKGNMKFSMTKIMAGHVSQSTFTGPGELLLAPQALGDITSIR LTGSEQWSVGKDALLASTQGVVKDFKRQGLGKAMFSGEGLFVFKISGTGIMWITSFGA IIRKDLQEGERYVVDNGHLVAWNTKYVLERIASGGIMSGVASGEGLVCKFTGPGTVFL QTRNPAGFAQWMAAQAAAGGM VE01_09585 MSKPPPHSGSGKKLGLSPTPQQTLRQKTAKQPWPWNSGVSPAEK GISAASPSKQPSKALSKQTLRQPQQQLPKQTPRQTPDQTQRQPKQTPKELPKQMSKQP PKQPTTTNSWRSSASRNTGESPHVSSAGDVHRWSPFHKRGYENASLLDSHSKKLPAPV PKESKAGSLPGRNRSPVKDDEYFSSLLKRSRLDLGAPSKAPNAFEVPSQNDKGLGFDQ ICDNKSLVSGVHSSGIKDRCLFPPGFIAERDEEQDIPSRFANETIICERMQPSRRTGK LRSPVGTPVDESQESWLPADNTGDDWQAWRPPQSLRTTPEPIPDPAILSRQLRQRLVN FTNDSVDELRGVPMRATFVPGGVAPPAQRIEATYPNPEAHILAMDPNITLHTPRSRRS ATVSDPVHPTAATHGESLNSQARQYFTGHFRAQIIQNDDHAFQMVPKSGSQHYADAEP QNSKDVCQPADRESAYQAMLRKAHYKPDQIYQPRHLRRGGIHKSESREKDAPFSRIVI QNDALEEDPNIVLEPTPTSVGRYLQPSSRNIIRANGSIIKGVSGKPQKPEPKKDDITR NSRRQGELSPIYKPIREPISPNKHSSPGRAGNSYTSDGWFVDQERQQDQDNKADPPRP NSTSRSALDPTENGHPSAPPVVLSAVGHSPSISSPHRTNNKSVSWDPAITLIGPVINL VNERPTAEAMFERLFVEDREKEAAERQETGGDEDVDAFVSTFKRWKKGDRSKLDSLLK ALRNIETDTDTDSENGEKVDEDMQNSAQGQDTTTGFDPRVPDFKPLIFRENEIWVQKV RPHTRPTLPASTRPAYPATINIPFRAALPGRPPWHITGKFRNTLPYHRPLRINTRPNS RGDSQPTKKRVIWDNPEDPGGREAVMQSQRWAGELLERFTKKYPLTGQKAAVVPKKRL EDLPISRSNSNTLKVAKMVSNAADIQQKLEVLLMQKKEAKARGIR VE01_09586 MATPTPVGKHPAGLGAGLTPPVSTPFSASKSVNLSPQQYKKSPA NSNQYAHPTASSFGGINFDSPTTAAALATLPLGDLGLDASAGGLGGGLGHLGGGLGLG AGLGGLQGIGRLDEDERKRRKLMQIVDTLKAVKGRVSEDGIERLARSVGLECLWETGM GRGGKSKTLIIAGTGLSIDIEFTDNVVETVTLGFPESPESVTRHADQAGKILLDDLKL GKDETVLTKRVDRFAGNLDRMARLDKLSVMPQLNCHEAIAGIYDSLEKLHKWEVARLT EEEAMSGKTQEQIVRAALLQKSGRPAMNAHGSVGLCLEYWQERHATAQGESCKTWSLL LECDQSSSMVYPPVRVSSAWISPSVAKSNPTADDVLLSASDVVLDWQDPPNIFLPSDP DQKDDSGMEGIEQPGQLPNQKFPDVRFVAKFSPPLTLPYSVAMQIYDSTGAHLEPDAL AAFDALAFPPQTAEPSEPDGAHRRIRKEQLVSVYNRAGKHEKQCHTNTLTIPKMEYAL TLTEVPFSHPRELVQMLPILRQYTRLSTLLERSFGSKYTSDHKTESEDVPGEEITVKD EFDAFMAEASDKDKELQFTKIPVDVSLYTQPGPSLRIIFPVGPRIADILVGIESNGSL SVVSQNILPDGDGVMETTKTKSLTVDDLGRILETCEDIGIFAEFLRQRLV VE01_09587 MAPGRISPRAAQEPWDTEEQWENDGEHDEEYDEYDGEHDEEYDE EYDGEYDAELDSNGDATDGDDATDGEWDLKSADAEEASALASEELEAEEPQTQEPQEP ETQEPETQEPETHEPETQEPETQGPALVSTEEAPGNPGTLLADGEASLPNKEDSVPNL DSFDADKTLEQAHQKAENEPVDPQVPQEEKSKLTPEEQAMKDREAWQLAVADRKERLR EGQMAKNDRARKLFDIFQKDRKEEKLKTPKKVVDVKSGRYKSRINNRGIVGELGKKVA GKVVDAVAEKLAEKLASKKAGAEKENKGEISDKKPGEEVLTASGPSNNFVDQTFMDNL MKAIEGPNLPVMDGLPGVTSYDEDAPSSPEDPRRIHRVLKVVLGSDLFEELSELSLHD YEAQEQAARKELVNKVVFAVRENPATEKIKIELLEGLPGLGDCGLPLPLRKPVANAVD PCELAELCAPDILCPAADLCREANAHRPDNDITPTSTARDIASDGAERKVSPMLTLLR LFRAARLSPGSPKEPQQKTYEGFRGIGKRDVGEFEAYMADLNNACSFNMREVRKEVVG APRKLSPNFKTVEHTITVAGATSKPLGDDEKEEGASLESEEDEEGAKDDSDTENEEGA EDESGEENEDMPASEKSTARHGNHNGWFPSIVYRRSIEPAQDGVPAVADSPSVPAAIL APIEPVVPSPFSENAVDDLIAETIAVASLGPARPPFTTPESVFGTTQSATTTPTGGIT GESEPITTEANSGATDPTIAGTTPAKKKEMAYKAVKPKPTQTMSKEAASWEKKQRQRA RRIRKEREARARALRRIKFAKKLEEERARKAVDRAAFLEEIGYQPPTLPQYSKRAPPS PLEAHAPTWTGHGVKEEEQRWRAGEGGVSAADREEAAREIAKVAKLREAERQKGVEDA ATRASVAAPDDGVGNPYLDHKSYFPAQAHVDEATGVRFPYKGVGDAAPELGNVGVVVT ILVWIVLIVAILRCQRRRRVGSPDWERERRGRGFLRQGRKKRQSEEQDREKLDV VE01_09588 MAASLPFGAAALSHGVVEDIRETVAGNGTAGTKTATPDDSDNEK EDLRESVTHLARTFTQHSVKNGHGQYVNPFEGSENPSLDPQSGQFNQRAWIKAVMGVS YKNLNVHGFGEPTDYQKTFGNYPLAASSLFNNLRGENGRIKIQILRNFDGLIKSGEML VVLGRPGRHA VE01_09589 MVSNRYAAAHANPKGAGDARPTADQIVEDEGLEGKLLDKIIVIT GCSSGLGLETTRALFKTGARLFLTARNLEKAREALKDVSEDKQRIQIFQLDQTSLDSV RKCAGEINKAANGKLNILICNAGVWRLPEGRTKDGFETQWAVNHLSHFLLFQLLKPSL LAASTPAFNSRVVVVASMEHREAKQINWENVNLEGQYDRSRAYAQSKLANIYMANEIE RRYGGNGLHALAVHPGGAATGIQKMDWANVLFVIKKMVSPRVRTVMRMVKSPAQAAGT QVWAAISHELEGVGGKYLEDCQESEPVKKGYHGDDAGYESFTYNKEAEKKCWIKSCEQ VGFTDS VE01_09590 MRFAAFSPLTAVVTTLSLLAGTAVADERLLISTSLNTCVANSNF TASLFNVVFTPDNRTITFDVTGITSLTGNFTLHVEGAAYGYKIFDTDIDPCASKELSG VCHMQPGPITITSNLDFSQEVVDSIPSIAYTIPDLDATVRIKIASKATPDIIKACVEA RLSNGKTVEQKGVSWATAVVAGLALVVSAVVAGLGHDNTAAHIAAYALSLFSYFQAIA MVGLTAVPLPPIVQSWTQNFQWTMGIIGVEFMQTIATWYQKSTGGTPATILNTLTTNS VQVQKRSLEIASQATSIMRRSNNENAIDAVSGTYTVKGMDRVAFRADMEATNLFITGL MFFCIFVTATVLGVAAFKGICELAVKQRWMKSSRFEDFRNGWKVVLKGIMFRMVLIGF PQMTILCLWEFTKVDSPAEVVLAVFFFFGMGGTLAWAAFKVVMIAKRSKSMHKNPAYI LYSDPTSLNKWGFLYVQFRASAYYYIIPTLVYILVKGMFIALGQESGTAQAVGLLIIE AGVLIAASVMKPWMDKSTNTVNISICSINFFNAICLLVFSAIFNQPAIVTGVFGVVFF VVNAIFALVLLILVIVSTVLAATRKNPDTRYQPMGDDRASFIKSQTQLTTELDALGAT ARGEMKGGYKPGLDLDDDDTWDAESNRRHPANMPLPPSTANSHEPPRSPINPSVPLFP SGASPYDEKSRGVYQGSYTADRDEATPTTPFGLGPVAGAAAPYNRANTASPLSHRGQN GASPWQRGAGYDH VE01_09591 MRESFQQTILNNSFAELKYFDGNKRLLRDTAGSPLQEYWLERDQ TGTYPRELHAELAKDGWIGIALPTDLGGGGLGISEATMMLQTISESGAGMAGAQSIHA NVYATQPVAKFATAEQRKEMLPRLIAGDWRVCFGVTEPNTGLNTLALKTKAVKSASGD SYSISGQKIWISSAQVAQKMVLLARTTPLEECKKPSEGLSLFFIDCDQTKPGLDLKKI SKMGARAIDANEVFFDGYQIPANSLIGEEGQGFKIVLHGMNAERCLLAGEALGLGYAA LYRASKYAKEREVFGRPIGMNQGIAHPLSKAYMNLEAAKLATYHAARLYDQSVEDKSI SQQAVGVACNSAKFLAAEAAFKACERAVLTLGGMGYAAEYHVERYLRECFIPRIAPY VE01_09592 MLRCLLNASIRPHQSKTDLTTEISVIDQPCGFVVLYGSSRFAIY ETVKSEYTQRTRQEAPMSVMVSAAALAGFVGGVVGNPADLANVRMQSDSAIPLRARRN YKNVIDAFVRFGREEGWRGYTRGIWTNSSRASIISVCQLASYDAFKNLLIEREKFEDS LGMHFAASVFAGLVATTICSPVDVIKSRIMSLAGSASIFEVARGLWHTEGLRWMFKGW TPSFIRTGPHTIATFIFLEQHKKIYRQLKTPKQELAMI VE01_09593 MGPIDLDHMNADEPPSPRSHTQSQQPNSIADDQSSIPAETLQAR QQSSIPSASDAPPSQATNQVLFFGESNLLTCVISPGASETAAETQKRLRYSISDAINV RASELFAEHSLPTSSAKAHYLAQEGAFTLPSPQDCVAVLRAYFQWFHPCFPIVDRVEI ANRYNKGEMSPLLLKAMLFIGASYCDEDTVRRMGFKDRPEAKSQLYNQTKILYDADWE SNEVTILQVLFLISFWRAGPLNVKNTRYWLGAAISLAQARGFHRSQHSSPTNVKESKL RKRIWWSIYVRDRQSSASLGLPSRIRDEDCDIEMLSYADFEEDSDVIIPDFIGTHKSA HGIYAVQMARLAQLLGRVITNQFRPNGHHPDDDERFGLIHSLEEWKAMLPESMRSCET EGGSESIWAYLLHLAYNYLHILLDRHDYTQTQTNRNRSESGPALQAACRVTRIVEDML SQNLIQFGQMHFD VE01_09594 MSSAASVLRRALLYVPGSSQKMLNKSRSLNVDLVAYDLEDSVAP HSKHEARFAVRDFLMQPKADNIREQAVRINAVDSGYALQDLTEVLKAPSVDTIVVPKV NQAADLHFISDVIRHTLPDQDRQPSAGSRPPVKILALIESAQALMSLREICTASPYLD GLIFAAEDFAADLSITRTPSLSEFLYARSAIVTASRAYNLPSAIDLVCTAYKGDDAKL SLEEESRGGKVMGFNGKQCIHPSQVEVVQRIYGPNLKELEWAVRVVVGNKKAESVGRG AWTLDGKMIDVPVVKKCVAIVARAGLCGYDTGKLLAKWKDQQPDGVTVVSIEQAIAAP FCTRQLADLGARVIKVERPVVGDFARHYDTRVNGLASHFVWTNRSKESLALDLKNPQD LQVLKKLIGRADVLVQNLAPGASARLGLSYETLREHNPALIVCDISGYGNEGPYKDKK AYDLLVQSEAGMLSVTGTEGEPAKAGNSVADIAAGMYAYSNILAAIIQRGKTGQGCKI DISMLESMVEWMGYPMYYTYNDAPSPVPSGAAHASIYPYGPFNSGDGKTVMLGIQNER EWAIFCREVLRDSNLATDSRFSSNSLRVKNRDELKRIICDAFSTSNANQVVEQLETAG IANAKVNDMHDVWNHPQLRARGRWTQVKTPAGYVPALVPPGIAASGSQDASGPRMDAV PAVGEHNKTILAELGMDM VE01_09595 MRLCSKAASSSAAAAAEFLQRTSKAVSFVQEQFLDPNQLQKLSL TLGRPTLYKSLRIDSKPPPQGTPLPPGYHLAYFTPSGCEQELGLDGTITRDHCQSPVS LFRFSALTFNAHKIHYSREWCRDVEGHRDIVVHGPLNLINMLDLWRDNQEAGDHVIPQ SIDYRATSPLYAGDRYRAVMEKGENGHADNLSIYTPSGAVAMRGKITTL VE01_09596 MLESLDSDKQSTQMAEAHIEEARHGNGQDMEQTAAHKALVRKQD LRIIPLCAFIYLLCFLDRSNIGNAKTLNANVKHDLLSETGISNYQYLIALMIFLVAYG IFEVPSNYFLKKFTPSKWISLLMFSWGALTIGLGGSGNFATVTVLRFFLGAFEAGLFP GLVYYITFWYRTDERSIRVAFILASATIAGAFGGVLAFGIGHMDDAGGLSAWRWLFII EGIPSCLSSILVFFFLPDYPETANWLNSEEKALAIERLRVEGSHGTDESMGWVDVKAT LVDWRLYAHYVLYFAMNTPYSSLSLFTPSITAGLGFHNLQAQLMTVPPYAVAYVFTIA VAYSADHFNARALHCSGAALIGAIGFLVSGLLPATAYSVAFRLDMDASLSQLVAHLAS SHLF VE01_09597 MLSPLAAGKGASAEEEKADVPALLETIPIHADFVMAAGIIGEGK RFEWVVGGEGDEKSVVKSRMDRKVYTHVPMTKDGKSKIRLDGREDSVLGEGDGAFVSS VQAGDVLGFESIGEEEAEVVILDSD VE01_09598 MHFKPSFISLVAPLLAGLPIALSAEAYPSLPTWKCTASGGCVEQ NTSVVLDQVAPFAQGAPGSRTADDYAAMGVSTSGNAVTLYHYVNSGGTLNAASPRIYL IDENGEYVMMTLLDNQELSVDVDYSTLPCGENGAFYLSQMEADGGSSGGAGAGMGYCD AQCQGYCCAEMDILEANLMATAMTPHPCKGDNCDKGGCGFNPYASGQPNFYGVGKTVD TGKTFTVLTQFIASGGVLSQITRKYIQNGQEINSGAISSCGSVKGTGGMPGMGESLGS GMVLAMSIWNDAAQNMGWLDAGGNGPCTDGEGSPSNIKAQHPDTHVVFSNIRWGDIGS TTSGEN VE01_09599 MDTEVGNPRQSRLKDFYANPWTQIILIRMICFCCPGMYNALGGL GGSGQVDKTVAANADVALLSATAATALFIVGPLFSWVGPRTCFLLGGWTYALYSGSLL NFNRMFYYNGAFVIASGAILGIGASMLWVVQGAIMTTYVEESQKGRVIAVFWIIFNVG GGVGSLASFGLNSHSKSGTVSDSTYIALMVIMLVGWVLGVFICSPPRIKLAQLHAAVE SETYSLKETAKRSVQTVCT VE01_09600 MPVSRATVQKCLPVIAQAIDDLEPKARRGGRIVYVGAGTSRRLG VLDASEIPPTFSASSEQFVALIAGGDVALRHAQEAAEDDVEAGREDLKALNLNLDLDS LIGIAASGRTTYVLSCLAFAKSLGCITIGVACCNPSAMSSSGSVDFMISAVTGPEVVT GSTRMKAGTATKLVLNMLKSGGVGDSGNRWGVLVSEAVAVAVAMAVEDIALTPMALMH ASS VE01_09601 MRLKLCAGLAWATLFSHSLAVYDVPSVGASVLSREVNECQDSDR MYSTEDIPQGAVEPWTSDGTQLDSAHSDGLQWVTIVNLTPYRMVYTGGPAPYQFSAWD FGDIPSGKTRENKATYDTRAGIFSFADTNGYANYRLEGTDKTFQIHVTTHIPDSYERR VIFDLGGMGMGWRELGFPGERVSVALVITGSEQYGYVNSLQLNNIAWMRTMYPIIKDR DLRHVVVPGSHDAGMSRITTNSGWNGLGIASNTETQSLDHYNQLRVGTRYFDMRLVSV NGGAFWSAHINEEVTAAPVGATGESLDDLIAGLNRFTKDYPGEVIVWAIRSMVDLDNR KLSSKDQRYWNADKLNEFYIKLEGINNRCIGLTNTPRFDMQPMKNFMDRNGNKGCVLL LTDGRLTEGLAVDRVASGIYSRNNYLNNDDYWAEERYTQQTADKQIIRMQGHIRDDQS PSGTTSDNYFIMQWQCTPSVVDVSIGPQTLQSIANQVTNPALYHYGVQKMSPESFPTV ILHDAVGLFHVSDLAESAYNPMMQTLAIGLNLYMVSQNCLVSKIKNPLLVQTAQLKSL VDIGFKTFTGVIFSNGTVLDEPPRDFCRTCTFNDTSSIDHPPLNIKPNSTITGRRRSR RANLRGFT VE01_09602 MLTHYNILNNAHSISYCMPFTLADILCCPPPLFHCFGLVLSLLI YVTYSSTVVLPSPSFSAPAVLSAIAAENCTAIYSVLAMFEELLSIPCPLT VE01_09603 MAPAAPFNPPSANRPGKPFVPEWVPPPATKEKHNFAKLKSIDLS LLDSDDPAVVDNLIKLVKVAIRDDGFLFLENYGISLEQLHHQFALAQYLYKNISDEDK ERLLFDPDTGVWSGYKHPYGFKRDRGIPDGIEQFNWYKPDWADTGRVPTCLHPFMDEI EEFCNYLTKSVNRRLLTLFSRVLELPDDYLWDNVQSHGSPTGEGYFRHALFRPVQKQT EELAKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEQWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVFKPPVDQLHEERLSLVLFNSSVGELRMAPAEESPLIQREGCVEEQ GVYKEFKNLTAQGKNVPTNRQWREIQISTATDPTDTVRNRVGADQVVIDGKVVHQREY MGVKVILPV VE01_09604 MSRQLISSEKFPPKPHNCPAVKVPGLVFCAGQTATGEIKQATIT ALQNLKEVLELSGSSLEQVVKYNVYLADIKDFAAMNEAYIDFLPQPMPSRSCLQAVAP GNGTVIEIECIAQA VE01_09605 MAYPTAHTTKVENHRLRLLNKLKAGEFPLMTFVAIPSVRQAQIV ALTGLDGIIIDCEHGHIGDDSMHNSVAAISALGVSPIIRIRGPAHDIIKRALDTGAHG IMVPQINNAEEAKQIVASSKFPPQGVRGQGSAFPSIGHGITTPQYMQSANETVVTMIQ IETREGVENIDAICAVPGVDLIFIGPNDLAQSLLGYVPARGDEPEFLAAIDKIIAAAR KHGKWAGRMVNDGAAAKEARKRYDTVAITGDTKAIQNWYIPEFKIARS VE01_09606 MDTDKCTSLAHAEDNILSQEGKVDVTSVEYQRMPESLLNLSDSE IKRLNRQLVRKMDFYVLPTIGILYILNYIDRQNLAAAKLQGIMEDLHMTTEQFATAVS ILFVGYLPFQIPSNLIITKVSRPGMYICFAVTIWGCISAATAAVNTYGQLLAVRAILG IAEAVFFPGAIYYLSAWYTKTELGKRIAGLYIAQQVGNAFGGLLAAAILHLDGVHNIA GWKWLFIIEGSATVVIGVICACIMPEFPHNSRILSQLERDIAVWRIESEAGAAEGTED ESALAGFVEALRDPKLLLLILCNMLSQAQGSIANYFPTLIASLGFSNTISLLLTAPPY ILAGIVYYGIMFYSDRKNTVYPIIIVCITIAIGMYVIPMVTLNVGARYFSMMILPFAS VGPQLLLYKTINLHLARPISKRAAASALVNAIGGTSNIWASYLYYAKPHFYAAFGTLM GCAFLFAATITLYRRLVLRENERLDSGEAENIAKVVKGGVTEEMVQLNWRYEMY VE01_09607 MKRKHLEHEQRRTTRPHRDPPALRQGSEGWSPQAGSSQAGSSQA GSPQAKSQDGLLRQRFGNEGELEMASRIWHTALWYAQHQFDYDHYAESTNLATNAPTS TGDKGQLSIDPLTHQQSMSESTCSCQIEQDTANLERNLLLETSFLKPAHHVDTQLHPQ TISPSASFDTNLASFNVNQDIYESVLSNNLPQLQDNWSPSAQQITRGCNLFFTHVSHF VPFLHQPTFDVTLAAPHLVLSILCVAYQHGEDPECGDQVGSGVILSTRCFHRARALIT SNEERADRLTHTVMMAQTYLLLQICAMMYLCGDDSTYVPKMHTSMISLARAGGMMHPL SIEPAATQDLESLWQEFIKAESHKRTLFAVHQIDTLWYQFLSIPRSISHLEIKHDLPC PEDHWAASSSAEWAHRQLAARHSGPSVQYEDAVRRFLSPNGDLNSIPAFDPYGAINIT QFLISSTREISGWSTMTGIISMERLGALKSSLVALRPFIRPQADIAKATNEVTWAATW ETAMIELQMWSPAHTGGIVEASIDAVLNRSTYLALSYEFFCDTNTARAVQPHVDWFLR YLDTTLAPDSEAPWIILYAYKAFLIAWQLVNMGLPGAMQVVGVQDGDVERALIWARKV FQRRSRWQLSKLIISCLDNLGERGMH VE01_09608 MADNKIEGRLALVTGASGGIGEACARKLASCGVNLALTYSTSKD KIDALVASLDEISNGLRITIHKADMGSVEDIANMFKEIQEQHKAPIDVLVSNAGYGKR IVDISEIPLDEFEYTMNVNLRASFLLVKGVVEGMKAQKWGRIIFVSSIAAYGGGINGC HYAASKGGLMGMMKNLSSGLAQYNISVNDVAPAMIGATGMIPNPEAVPGVIETIPLGR FGQPDEVANVVEMFAKTGYCTGQSLVVAGGLK VE01_09609 MSHFVTQRSLYEVRERPSKTYSWQVFITSNVIVELPWNTLAAAL IFFCFYYPIGMYKDVVAADQTTERGGLFFLFIWVFLMFTSTFTDLVIAGIETAEEGGN IANMLFSMCLIFCGVLASPKRPTGLLDLDVSTCGEYLKDYISTSGGYLLNPEAAADCQ FCSIRDTNSLLAGINLSYDLRWRNFGIIWAFIVFNVCGAFLMYWWVRVPKPKGEEQDA PIVPATSSDA VE01_09610 MTIKPRTYQFLVGVFASLGSFLFGYDLGVIGGVVASKAFVREFN DPNPNQVGAVVSLFTGGAFFGALFAGPIGDWFGRRIVILIGAVMVCLGGGMQSGARNI DYFYSGRALAGLGVGFLVMIIPLYQAEIAHPLIRGRITGLQQFMLGIGAAVAVWIAYG TNLHLASTDNNQWRIPLVIQVVPAAVLALLILLFPESPRWLIVNGKREEGLQTLAQLH SSNDQSDAWVRAEFEQIQEAITFDQEHGAKGYKELFTNKSSFRRLVLTTALQASVQMT GVSAIQYFSPEIYKTINIGTTDALKYQGISNVLSILAQLCTILFIDRLGRRWPLICGN LVNCVCFIIVTAAIATFPSASPSRQTSLGWAFIVVNWIYQISFSFTCGSLSWIIPAEI FDTKTRSKGVSISVMVSFAFNTMIGQVTSPAINDISWRYFLVFVVCNFTNAVFFWAFM PETAKRPLEEMNILFSGSSWFVPTMKRDLFAVNDLEHRIQEVIEKEIALNVENASNK VE01_09611 MTRDADLLPSRGQDGHSPTQDSFDLPQEVIVGLVEHYINKLHDR PHSLFHLPTLRKQVQNREVNKALLLAICSLGSRFSADPEICLLEEQLTAESKRLILAD LENICLEGIQTCILIANLCAAVAKPSSEALFFRIAISMAQIMQLTVFKLSDSVVVCET KKRIWWTLFMADRWYSSGISLPRQISDCDRPTDLPMDETTFHTLAINQKVLDTPYKPG LWAHMITLVDLFGPIQDLSRRIVEDDLDEIDVDRATHNLSCKLDLWEEMLPPDTKLTE ENLEVHKNRNTGGAFVALHLGFHHYSTLLHFHYLDARQASHEARFYAERCKHHAFRYS ELLRFAREHEGFEAVYLTVAHMAVVSSSVLVHTLLFGDEDQISTARNSLNANFEALIE LEQYWPALKSMVQNTPFPLLTLTRLNGD VE01_09612 MQLAIPSLLDSPSGKELLVNGRPFLIRGAELQNSSMTSADYMED VWPKLAAASINTVLGCVSWEMIEPKEGIFVFNELDSVIAGARRHGLHLILLWFGSFKN GLSTYAPGWVKRDMKRFPRAKLRKVGGVLHVADVLSIFHAQTWKADARAFKSLMQHLK EFDQNHSTVIMVQVENEVGILGDSRDSSSAADYCFRSPVPQQLIDALNTNWDTLHPKL RENLQDFKSLSRKGSNKSWESVFGQSNQTDEIFMAYHYALYLEEVAAAGKHAYPIPLF TNVWQSYVGEDTDNEFPAIVGGGGHPGDYPSGGGVINVLDIWQFFAPTLDFVAPDIYL NDYASSCAKYRHRNQPLFIPEQRRDEYGARRIWIAYGNYQCIGTAPFGIDTLKVDENP FKKHYGLLAKVSFHVLAAQRKPGTSIGFCFDEPDRTGKSLPVVSVVFGMWKLEIERSF VFGKAGPGFGMVIHLEAARFLLIGEGFQVKFSSTDPAVVFVGLLNFVEKEVVNETTGE MRSRRAFGGDETRSGAAAVMPGEDPDYGDFPISITIPAKTRIAQCEVYALKDEVVGVE ESFMN VE01_09613 MLLLRALAAAQFLLGVQACVQPNPVPAAWSTFSNNLVFQPGSDY TSWRTIYARSLQLPDWSLLMTWENYAPEPQTKAWFPIYRSTNGGATWSSYSVIRDTVN GWGLRYQPHLHLLKTEFAGFPAGTILAAGASVPSDLSEAYIDLYASTNNGVSWTFVSH IAYGAGPETVSNGNDAIWEPFLLLDGNTMVVYYSDQRDPAHAQKLVHVTSTNLRTWTA PVNDVTYPDFSARPGMAIVARIGNTGRYIMVYEYCGSPSCQVYYKVATSPYSFGASTG NPVIANNTARTDLYSAPYVIWTPHPERTDGSGLIMVSGASREQLFINEDSAGTTGFKL VDIGQWTSHSRQLSIISSDNQNRLMVSNGGLMNSASAPCNFVATGVVNIPT VE01_09614 MAAPRASTSLLRALRSSSNPCLRTSAPRFARLATISCARTFCVS ARRMGGLVNGKKNEGELGVGELEGAEGAMAPIVRVGEDEGTMRARLVYQSRKRGIREA DLLLSTFADAHLPTMTHAQMTAYDRLLDENDWDIYYWATQPDEPGSTSTPTPGKAPVD EKGGKIVEPAKGEWAQTLGTYRPAYRPVPGRWEGSEILERLREHVWSRRAEGGGQGGG MAFMPGLRG VE01_09615 MSYPSIDPRAGIDGALISPPRNAERSCATPNSLNLSSPHPDSIR NYADAGEGIMDAADIVIRSGRSAIRHSTHGTINGHYVPSIITGSQPEAGVVLAPSPII RSPILSPSSPVPIPNRESLPSPRDLLCLLTIGDRSIQGEFSGCRTSVTILLFPVSPWS YSG VE01_09251 MTAPPSRAASPPARAPSIAASAPVLSRKQTGAPASTTSMTTFPG AKEGSKWRWQHGRRRVRVPKGKVPSVKAKGKVEGKLEVGGPKKKGLRVPSQVVRGVEV TIRGGRDLVREGRRRFARAAQEGEKRVKSVTKEGGRQVKRAWGGVKKSVPKSLRKEMK VE01_09252 MAFTQALELAKMDSNSGHRNTNPPRFSRAATSLPNWDPFSHDGP SDSGQFLMHMRYPSQPVAGDSIIVRNLGSNPLDAAYNSMPSGTPEQRTLPKWHSNTTN TPQMSVGVSSTIPYTHTLASYVYNDDDPWSQKGFFAGPSTFDGRLQPKSQPVGQLPVN HHNPYGNRLQTEGDPAAGVVLGKPQSDSGYGSLQSAYAPSISNFDTGLQATGNRIYSA PSHGFQQSDATFGPKLASSEASLAVPPLLRCPTCNKAVRTPSVLRKHYLRHKKPFTCP YPDCPGSGHGQGFGTVNDLDRHIRSKHPEGEVSGRRPIQVFSCHFSECQEKGRKFTRS DNFGVHLNRCHSLNGDEVKGIIRRKKEQHRLEDPRIQPSDRPQERNLDISMQDASQEI SNDHAMQQSNESLVTDESHYEMYDNDDQGPGPEDMTFYMPEDQSRDLEDKTTHMQVET KYRPHGTLDMEYFSGMEPVETFEPVGFIMDPTLDISESATPVAAAIETTPKRENGSYI HGSTDSYAQKALASALASKRSQDIRKSRGIGASQKPITPKDPMDRRINTSALFSRNKS SQEDFNPGDLLTADSKDEDCHTDSQDDDNAATILQDMKSRGFVLRRNTTHLLEPPAPS PSTQFQSPLTCSVCFKTCRRPSEMAYVHPPPPPIAPSLLTRTFQKTHEAPLPPIPLHL PSLRQNIRQQERLASARELTTPPLRVLALSPLLWHNDIDPTKPTDTTITFSKPRRPRT VE01_09253 MSGIINKVKDALHHDSTSSGSHSSTTHSSSTTGHNSGVSEGTAG PHSSRVANAADPRVDSDLDGSNRHTTGAGHSSHTSHTGAAMTGAGVGSGVGSGVGHTG SSTGGAYGSSTTAGPHDSNIANKVDPRVDSTTGSHTIGSNTTSGYGNTTGGSYGSSTT AGPHSSNIANKVDPRVDSTTGSHTIGSSTGSGAYGSTGGAAYGGSTTAPRVESHTFGS GGAATGGAYGSSTTAGPHDSNLANKVDPRVDSTTGSHTIGSNTTSGYGNTAGPHSSNV ANTVDPRVNSTTGSHTIGSSTGAGYGAAGGAGLGAVGGGAYGSSGHTGSHAAGSHATA GSGTAQNTAGPHNSDLLNKLDPRVDSDRDGSSTVGGNKTRSSYKDPRDAAEVPPSVMR ESIGEPQVLHGDAKHNHAGRHGSVSTHDHL VE01_09254 MYSGKGDSKVARAQPMELRKSYSRPIRTPSASLPILCGAGGLMY ANYFYNSYIIGAFHNFPEPVAKELRKALYFTNQDLKPHQAIKFYKKTLRVAEDIGMDP FSDEILGVKFQLAAFMEKIQKYHKAIQVLEIVRGDCLQWIEEFGDKPENAGKRTRVLG KTIGITVKLGELYAGEYVKEPELAEEKLVWAVEATLKELQRREKEGVKPDEGEWLNAE QIGGSFEALGNHYESRDQHYLSSPLFLQAINMSPPKSCHTAVLMNNLSISVAQQNAPT TPGQAPVSRPTLVANARAWAVKAIDIATNIAPPERTEECDLACAVATHNLAEFAEMDG DIAAARLKYEEAKSLSKVIGFKEGVSNAEKALRRLSEK VE01_09255 MSGKFEPKEPVNLNPPKDDPITVEHLSKCNGKIPRASQALTATR VTLLSKQGVVFDVNGKEPYLPGGSYSVFSGHDASRALAKTSTKASDVSPEWFDLDDKE KGVLNDWYTFFSKRYNIVGKVAGATNFDPSL VE01_09256 MCDYEQFLFTCGHSPIRRSSYCHTARVDDLHQCFSVKVLKRVWQ QAGICPDCRTQAQH VE01_09257 MTQTEYEGCAPLPTPESTASYWHKEPSKILLNHRTTEDLPPVVD VVVVGSGIVGAFAAWRLLQDAQDNGLSGARSNVQNVLMLEAREACWGATGRNGGHCQP KLFGQAPHISRFELDNYTFLKSFIKENNIPCDWETVEGCKSFYSKAMFDMAISDSEAS AKLDPELGKLMTPIRPGDANPSLKDVRLGSASLGALVQKPAASLWPYKLVAWMLEQLL ERNGSSPEVSFNLQTKTPVTHIQSLADGRWMVHTDRGMVAANKVLLATNAYTSHLLPH FSDLIVPVQGQMSSLIPPPSISPSTGKPLSYTNSYGFLGNKSQNSNQDDYLVQRPFRE VDGKLSGGELMFGGGRGQATILGMGVADDTTIDEAVAHYLRTELNNELDLDNDNTELK ASYEWTGIMGFSRDGRPWCGEVPIGMGGGEGLFICAGFTGHGMPTTSLSAKYVADLMM GKQESDFKIPEEYIVSQSRAAISRTYTEVVMVDLQPDDYHC VE01_09258 MPQDKIQGELALDIWESMYKEKTETPTMIVQQIIEHHNVITREM EKIAKEEIAMLQTQLNDAQKLAAQRAKKIKSLKMAAKLLVAGPPKDTESAPSTFDPPQ DPSKETWEATMNNIGATIHLLDAEELYQKGDIRGLVEVAQNAVTCAAKQLDKKLLADA WIWLGTGYCSRANLKSGVEAFKMALEVLKTLDQKDKEVESMKKLIETWQSDIKEPEAK FSALLLKIQSK VE01_09259 MKAIYAVPATVLVAYRAYSHKSLTPAGIVVAVLTAIAHAVHPWN LPFVLLIVFFLAGTKVTKVKKEAKEKLTIQATGGAGGEGPRTYVQVLANSLVATVLSL LHAYTLSREPEACYGWGRTLQDVIPIGIIANYAAVAADTFSSELGILATQDPRLITSP TLRRVPRGTNGGVTLWGLFAGFLGSLIVVVTALFFMPFCPVQQGPYGNTLSSLEGGTG WGWEEKKYLAIYLTIWGALGSVLDSVLGGLFQQSVKDTRTGRIVEGEGGKKVLVSKAD PKSVHYKKTAEVRATVFGHEGKKGVPARPEMEESHPTRVAESGWAILDNNEVNFLMAV NMSLGAMIIAARYWDIK VE01_09260 MPDIDPAALSRPSLTSTTPILPPKSLSIVPPSAPKISKSNHVPP RIDLEPLYTALKAAVGENWATYKEAVSLFVMGQYNQAELSAKIDWFLTVPGTDTEHLH NQLVAAIYGNVTREMPDLGVAAWVSANDKPTPGAGAKPITGDAAEQRLKAEVMSLPNR DRRRLKDLKLESDGPDPYTTLLSKSRHPLPTPSAPTTPSTSLSKTNWSLEIRKRFAPP LAAESGEFPDASTIEARMLPLCFEAGLVGGHAADASPFMAVAAETFVKQFLSSVFGRT RADGPSSGGGGWVSTRRYKRALEREEERWARGEVVRDKSGLLPVEAKAASERGALGMA DLRVALEMGDCGVGQMPVVMEMIRYGFQEGEVEGWQSGKGVGDQKVGAVGAAAPDADV EMADADAEDALNGWGWEGAGSDDRADLNSLLDSCLASGS VE01_09261 MAQEEREARVRSALSGLVQQFIRRLPGEDDDTFDERQENAYELG SSILESRTAPAVVADLNHAGDLIKKKLIKNNPPDKALKFSNLYSRLLIQPVLNQKWAI LYLLYQLADSDEPEPPLSDQSRQLSSALREDRRLLEGVRAGSSLRNGPRREEKVYDEA FAPQGLRNLPVRDGNQRGPREAEAAATKNIPDQGKSQPPKTLMLAENYVEISPSETTL LRDLPFTLQGLTSTTLAFPSTSVLKLPNSLPPPIISILHTLAEPSLLYRGLTEFVAST EGGLLGQSLRAAVAGELRSYLGLVATLEGQIRRALSSLDENEPRGGIGKAGVTLKRCV VWTREATMGLRLLSLIAEESKNRRGGQLISLIHGFSSSHGDPLVGAFAERLLTHVTRP FYDMLRQWIYDGELSDPYHEFFVSEQDPNAVNTAEDGRSRGGASSVWEDKYKLDDDMI PSIITEDFAQKVFLIGKSLNFIRHGCGDSLWVEEYSKAASKELRYGDTETLETWIDEA YKTTMARLIHLMADKFQLFEHLKALKNYILLGQGDFIALLMESLSANLDRPAGAQYRH TLTAQLEHAIRGSNAQYDSPEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVT EWGNRQYLKVFNFLWRIKRVEFVLSTTWRKCMTGARGVLQGVDASVGETWKSTRGVVA EMIHFIGQLQYYILFEVIESSWDELQTAIHKEGCTLDDIIRSHTDYLNAITHKGLLGA KKRGHSDDAPSTFMMQLGDLLRIMLAYRDAVDGLYSWNVAEFTRRQEASIRVNSRMDM SDDEVLPTPATTRRGPKHLAHDSLSSTPVPGAIPGLRSAGGEDELLPTLQERLKALGG QFRAKLSALLGDLAYQPDVDMRFLGVVMNFNDFYQPVRRRTGTKVPPKEKAR VE01_09262 MSITLESVLAASPATTRGQPTQLSSCPKGERIAYASGKSIFLRS IDNPEVSKQYTGHTAQTTVARFSPSGYYVASGDASGLVRVWDAVEAVNTKGEYPIISG RINDIAWDGDSQRIIAVGDGRERFGHCVTADSGNSVGEISGHSSIINSVSIRQQRPLR AATASDDMSMVFLHGAPFKFNSKVGALHKGYVYGVAFSPDGSTLVSVGADRRIQLYDG KTGEATKQIGEGEHKGSIFGVSWSKDSKKLVTCSADQTVKVWDVESGKNVQTWRFGGE GNVSIPDHQVGVVWPAGRSDGLIISINLNGDLSYLTEGSEKPLKVVQGHNRSVTAIGV SDKTLWTGSFEGRVCTWDIASGKGLAVRGQTHTNQVTGFTNTPDRTYTIGWDDNLRIV DEPTQTFVGESTKLDAQPNGIASASGRVYIATTTGVDVFSKDKLVHQHATKDFTPTAI AASKDLLALSDDANSVHIFTVSSSGTLTPSTVLSKSTAKVTALSFSPSGALLAAGNSS GKIVVYDTGSWEVATDRWSAHTARIQSIAWNAAGTHAVSGGLDTNVHVWSLAQPGKRV KAANAHKDGVNGVVWAGEGKVASVGGDAAVKLWKVEGLA VE01_09264 MSSSAPSLTPAQIEAFNRDGYLIIPNALDSQTVASLLTETHSLL ENFSIEDHPMTRFSTGEGEGVEHVGDDYFLESGDKIRFFFEEDAFDTSGKLQYPKHRA INKIGHSLHTLSPPFASLLTPTTSPAPSTIARSLGLSSPQVLQSMVICKQPEIGAAVP PHQDSTFLYTSPPSALGFWYALEDATKENGCLSFLPGSHKWAAIGKRFVRKADGSGTE FVTNDGPQFPAGQGGGEAGKEEEGGEYVMGEVKAGSLVLIHGNLLHKSERNTSGKGRI IYTFHAIEGDAAYDERNWLQPPAGGFTKI VE01_09265 MDPRNNRMNSPGPNQGNQGYHLDDDPYGGRQQSPGPGRLDIPMG PGPGGRRTPSDRLASQPTYSVENIDNSFGHNEHYDQRHPLEDRNYQHDYALNPEDHHD AYYQQPYEPSPHDGHALADYPHDDGYFNDQDDNRPILGGGGGSEAYGQDPHAAPGYDG NHTPQPPPQPIKRWKTVKEVQLFEGNLVLDCPIPPILLNKVPHAPPPERDEFTHMRYT AATCDPAEFFEDRFTLRQKLFAKPRHTELFIVVTMYNEDDVLFGRTMSGVFKNIEYMC SRKDSKTWGPDAWKKIVVCIVSDGRSKINPRTKAVLSGMGVYQDGIAKQQVNGKDVTA HIYEYTTQTGISVKGDVVTLRHKQQPVQILFCLKEKNQKKINSHRWFFQAFGRVLDPN ICVLIDAGTRPGKDSIYQLWKAFDLEPNCAGACGEIKAMLGPGGKNLMNPLVATQNFE YKMSNILDKPLESAFGFISVLPGAFSAYRYVALQNDKLGQGPLEKYFAGEKMHGANAG IFTANMYLAEDRILCFELVSKRKCHWVLQYVKSATGETDVPDTMAELILQRRRWLNGS FFAAIYAITHFYQLFRSDHSFFRQIAFLIEFFYQTINMIFAWFAIGNFYLVFRILTTS LGSQNLLGNVGRILADVFQWLYAATLLSCFVLALGNRPQGSGVFYMTMVIFWVFIMAY LMFASVFITVKSIQHELDLNTTFSVSELFKNQIFFTLIVSLVSTYILWFVVSFLFFDP WHMFTSFLQYLALTPTYINILNVYAFCNTHDITWGTKGDDKAEKLPSAKVKADGKVDV TIPTDDADLNQQYLSEVNVFASKAPPEKRVFSASEKQEDYYKGFRSSVVLIWMGCNIA LVAVVLSTGGESNLTLDGATADNSKANTYMAVVLWSVAGLSAFRFLGAMWFLVVRMFR GV VE01_09266 MASTNNPLSNTTSPSPSSFPPPKSYNIAGITVSILGLSSLPQNC TSLTILYLLHPRLQSASTMLPIGTHILTSWAAHQASLPPSHPSKSAGLLALTFDQRNH GARLVDPVGNDSWRDGNPRHAQDMFGVYNGTATDVSLLIDHVGSYIAEDFQGVMPEIG RNLVLGVSLGGHAAWQVLFAEPRVEAGVVVIGCPDYMRVMTDRARLSKRQTYTLDAGA TFLGSRDFPPSLLSAIQKWDPRGILFGAREIPSHPPTQDSPREEARLKDILESRVKGK SVLVCSGGADKLVPYKASEPFLGWLKEAVGRGGWWEGGMGVRDVVYEGAGHEFTEAMV KDSVKFVVELMEGRGVEKGSKI VE01_09267 MSHAIIDADSDVLEPTLQSILDQRSLRWIFVGGKGGVGKTTTSC SLAIQLARVRRSVLLISTDPAHNLSDAFSQKFGKEARLIEGFTNLSAMEIDPNGSIQE LLAGQADDGNEAAAGMGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIIFDTAPT GHTLRFLQFPSVLEKALAKISQLSTQFGPMLNGIMGGQLPNGANLPEMMEKLESLRET IAEVNTQFKDPDLTTFVCVCIPEFLSLYETERMIQELAGYSIDTHCIVVNQLLFPKKG SECEQCNARRKMQRKYLEQIEELYDEFNVVKMPLLVEEVRGKEKLEKFSEMLVKPFVP PQGAE VE01_09268 MATSKAPISCHVLDTVIGRPGASIKVTLSCLSAPNKQFGSIPHT FTGVTNSDGRIAEWENKFGVEVWSVVNEGSDEDEKNGTTVWSLKFDTEGYYGEGKTFW PYVELTFRVKKGEHYHVPLLLGPYNYTTYRGS VE01_09269 MSSIKRRKVDSTTATRSSVRGIAAFTKVSKAQVISQPLYDKNLA ATTNIDTADTFGKRKLQSAKEELVDQEEEQASVPVITITKTTDRQIRPLPQRRSVSAQ SLLKTPQRPIVIPASPITTPGSVETPTNGAQDLLNRICLNSPSSSTPSSSFADSEINL DSSQTTISSQYDPSSDELPQKLIDLANLHSSFLTALSIHYAHNGTHTPADLRLLCPNV TRAWGKRRVVLDDIKRILAILNLDSGSGSTLLSLSDYGQNKICVETRVPDRAGRIAKP LDENTMNATFTANITSLWHKSTTHEIDDFISTLPVEPVRICSSLAKMSPLLAKGQRRL EFIREGIAIGKAIEATKTTDVKPLDASGPRPSLLERLRAKAVAKSLAPAGPTKEDLAR KSALGRVEEVVATLTQLSTSSSAGQSRVSFTMATVVVSLKDSFRSPISAREAEDCVRL LAGEIAPRWLKIVKRGRMEAVVVSRDERPSDAVIRERIGKA VE01_09270 MDDWDTVTKIGSKTRGGGGAQRETVIRGKAALNQAQRSGAVLGT EKKFASGNSSGGGEGQRLTKVDRSDEIVKPNTVGKEVGSVISDQRQKMEPKMTQKDLA TKCNTTASIIADFERGSAAPDQKILGSMEKVLGIKLRGANIGAPRFGPKK VE01_09271 MASSATNPDTLVTLKVNIEGSNRRFKLPLRDLGANTLPDKLRAL LQIPESQEAIFERYSDSAADFVTLDPNNASVYKQLYRAAKAKLKLRLKVTIIDQEDKE PVKPKQATVEDEVASPVAAPVAEPQLISVDTPLAPESEYMSPFSSESDKAAAQEFPSY GEYLKDLTFNFKNEVAAANEIAAAAALTPSAPAPVTTPPTPVSVTSVPVASSPTSQAI PVTRGAAARDRWYAELANLTEERRAALRANRHCPGASLQAHMNNYSVFCNSCNVPIPD MHYHCDVCDNGDYDLCQGCMDKGVSCAGENHWMIKRFVKNGRVINSVTKTHPQKASPS NVSKATLVEVEEPKQVIVGSVRTCNSCIQEYPESRFITCVDCEDFDLCIPCHDKVQHG HNPKHTFAPAAADAKLESLTKALLNPGRNVSHQAICDGCDKYIYGVRHKCLDCPDWDF CQTCVPNAEFIHPRHRFVPIYESIALHTRSLANTALHYGIYCDGPLCASKSLNIRGDR YKCAVCDDTDFCASCEASPNNVHNRTHPLIKFKTPIRNVSVTTMGDHDNGKPMPVMGD RCRRPISKSTETNTCSSANAATQVQTVAEVKPTPEVKTEKAESKTKEAPKEVKSEKAP VKSEDELVATFVRDAVPDGTILAPSVVFEQTWILRNTGTAAWPAGCTVKFVGGDNMCA VDPEHPASVHELVSAAESTTCYTEVAPGQEHGFTVLMRTPNKTGKVISYWRLTGPDGY KFGHRLWCDVLVEGAPAVVKEEVLAKEEEVLEKSQMIFPTLEKESPVSSVYEQRAPSP AFAPAAAEDDFVDFTSETHEDDLTEDGFLTDEEYDILDASDEEFLAEQGMKAQK VE01_09272 MPGLRRKKPLIGRRRVEDEEGDEAGHDLDDSQSEGSISDDEDAG NASDGSNAASPVAPKATANGSSKDRTPAAPNSKSTETSPPKINGSIDTEMMLNGLERS KDFDNIESTSYDDLSNAPKSIPSQPVIVKSTAIMDQPLETPVERRRREHEDYKKKRDE DPAFVPNRGAFFMHDHRHSGPAANGFRPFGRGRGGRGGGRGGFGGPFAPANYMQQMNE PADAPWTHDMHEAVVKDNRPQRNPSQAYNNMSASRQSLPQAPRGPAPNRSLSTTKLIG NVQVRVLLPGMEKALSLPAIALHQYTRLPDHRPPLRRDKPVRISLPDTPPRYIFPAVE RSFIFIPRAMRPNQQGFGSRSNRGRQGLGSVGGFSRRTSAYGGSVYGSVYTPSVAMSR RSSVVAHEIPRDGIISPMGSTMSRPPVPLDSTRPVVRLPPSIAQAQAPIATEMQPVQE PGAMLPAVSQPYPLPQKPTFRENRPNSVPMQHPRPQKTVSVDNIESPAAMQFNPPQQY QQLFQHQVPTHANGHAYGQEAQIHPHSRNASYQGSTGTPLSQIPERAIHAQPFQPNTY QQQQGFYPPQYQVMPQQQGYYYPQQYNTGMSAQAPNFAPSAVAQQQAYGQVAPTDASQ QPNLVAQEVNGMVYYYDAAQIPAAAAAAFPAYASPPAYPVQQMGNVSLQHGMMTPSPD GFYYPQPQQAVVYYPQ VE01_09273 MSSDTLPSPNLPLRGTPVQFSSTTSQSGLWDRISTWASEHKAVV YTVAGVAVVVTGAGVAYYLTDSTGATSSKDSTTKSSSGKKSKSKKKKSGDKPKDPEKG LGGETQPKAASVEPADELPQIDEATVGNLSAEDRKSFAAKLKAAGNTSYGAKDYDRAI ELYSQAILCKPDPIFYSNRAACFNAKHEWEKVIEDTTAALKLDNEYVKALNRRANAYE QLEKYSEALLDFTASCIIDSFKTASSAEAVERLLKKVAEAKGKAIMAGKGKRLPSPTF VTNYIQSFRAKPAPEGLEIGVEIPEDTGKGQLQLGLIAMDKKTSDGYEEAAKHFSSAL ELGEIDEHEAFAYNMRGTFKYLRGENLEALADLTKSIELNPSLTQSFIKRASMHLELG DKEAAAADFEKAMAQNDSDPDIFYHRAQLHFILGEFGDAAKDYQKSIDLDRDFIFSHI QLGVTQYKMGSIASSMATFKRTMKFFDQVPDVYNYYGELLLDQQKYQDAITKFDTAVE MEKISKPLGMNVLPLINKALALVQWKQDFAEAEKLCEKALIIDPECDIAVATMAQLLL QQGKVNEALKYFERAAELSRTEGEIINALSYAEATRTQLEVQEKYPQLANRLQGMSGG FSGSGMA VE01_09274 MTYSIGRRLKAGWESLGIDMKMLCLMFKGSVPVAISLGIYQSTA VSEVYSSLGFLVAIIAVVTVNLLPRAELIQMTLGICTFTAIAIPVTMLATWSGLQARF HTDPEGLHAYNSSQSAITAVWLFFNIMLCNSLQARYPALLIPTILYNIFVIVQFTSCS RFTTWKQCWDLIYLTVKCYYSGVAISFVSGMIIYPVTCRSEIFEVQEKYIEAVQCMLR ESARYLGNLKTTPTFPTSEDGQIQDEVEAQQEAWDGAEIQQKMVEVKALYTKMRHELA MAKREIAWGKLRARDINAITDLCRHILMPLGGIANMPDILKRIGDCGGWIPCDFESRT KDGVDRTMPPQGHSQEAYETVWQHSIDALVEPATSLIEAMNDGLEHAALQLEIVSRPG TKNFLNWVPGAKRTKSIDREAGEGKIKPGDPNFSKFLEDKLDEFSTGRVESLAAWAES KGLSPAQLETMKNLGEFDVDEDPADGHVRRDRQQLYLILYIQHMLYTAGIAVVEMSKF SDKMIAEGIMAKRRLIVPSFRRLGKWFLSVWDTAGAPLADDDRPDSKEENKLLYGTSN RTTSNIEHLPPKNAYERFGTRVRRFQEFLKGPEFSFGFRSACATMSCAIIAYLHQTQY LFTHYRLIWAVIIAAIGANMSAGQSGVSYVLRILGSFAALIICYLVWYIPAGKVPGVI VFMWFASFIQMYFLIRWPKYIIGWLVILITEVLSIGYELQVAKIGIPAATSTGTFFFP PYSVAAMRVACVLWGTCASIFFTYLPYPITARGLLRKDMAVIMHLLANYHAVVHATIK ARLRGNEGDMDDKHSRGRVLSHTRNAMFNKMMILSSSMKHNVYLQKYEPSLGGRFPVA IFEDILSQLTVLLDYISLLSYATQVWAVDGPTKDYFHHSSRSRQWLTDLSKLIGPINP TEERITSILSQLSAAVSTGRALPAKIEPTKPYQLSQKLRELDPEVLHIKHIQELGYSA YSVMEIISSMIIYNLDILVTSIESLVGVVSFDFDEMHENSKGKRE VE01_09275 MALYASVVSYPPLGQLTLLPASDKNLSFVVNLKSNKVFPNFPWE VSLCYKSANVSGKKWSTVPLQLQASPVCILESNMVVKNDPMLANYENIFITSIPVELM RHNHIDFTIKFRVDEYSPWQWVEDQFGTCNGEILLQKPLESPMNLDPYLHLGRDWEVE QLYVDGKSATFHLQSRTSLPPATEGDAKREQLVLGKVPGQLRFMALVRLLEPWLGPRH GNAEFSVEEDAIMCCFLNEAGQHLTLLPMNGIGDVQTLIRSDEEYIVVVVARNDGPES CTFRVIAAVASTIEESISAAVDAARDFVTNSSEARQTASNITKVINGDPENLRSSPVE DWCDGLAYCTYNSLGMHLDISKILKGLESLSRAGVTVSSMIIDDKWQKINDQENPDLW QCAWEDFEADKKCFPNGLKSAITTIREKHPALKDIAIWHALLGYWGGMSPSGHISQKY RMIQDKALLQGHVPAVIHTVHPEDVYRMYDDFYSFLSSCGITSVKTDVQFMIDQFQST KIRGSVPTAYQSAWTTAHLRHFQGKAISCMSMIPQILFHSFLPTNNPVCVMRNSDDFF PEIRSSHPRHLFLNAHNALFTQNLNVLPDWDMFQTSHPYSRFHAAARCISGGPVYITD SPNEHDIELIHEMSAPDQHGRTIILRPTNVARTISAYDAYSEGHLLKIGTTTGEGRHQ ASILGVFNISEHEKTFMLNVNEILSTASELPAGKALIRCYRNSLTFPPVDLAQSYTSP LVIHGTLNSCESDIFSAHPIHEVALMDSLVSVAVLGLLGKMTGAAAVLSVCSTVVDDL IRLDIGLKALGRLGVWMAESRAGSRRVSPSEMTAMVQGTAIPKRYLLITSDANGYQVD IDLLTAWRDLGLQGSDSKEISVTLWI VE01_09276 MSTMKDDFVSHGTHVELTTSLSQKRADDEAFKARARDGNEIEHA LSPLEAIKAYPMAIFWCLMVSMCVVMEGYDTILIANFFAYPTFAKKYGQSVDANGHWQ LSASWQAAVGRGSGIGAFFGVLLNGIIVNKFGQKRAVIGALISLSCTLFIVFFAPDIK ILLVGQILCGLPWGVFASSAPAYASEVLPLSLRVYMTSYTNMCFIMGQLIAAGVLAGL VNRTDEWGYRIPFALQWMWPVVLIPILCFAPESPWHLVRQNRLEEAEASLRRLQRASA PIDPQKTLAVIMHTNALEEELSKGTRYQDCYKGNELRRTEIACMTFMGQVLAGAPFAY TSTYFFQQVGMPTDMIYNMNVGATCMAFVGTLVSWFGIMPYFGRRKIYMSGAFTMSAI LFIIGFLGTKGNVMAVGYTQSALCLVWTFIFQLSIGQLGWAIPAEVGSTRLRQKTICL ARNAYYIADVVGGVLQPYFMNPTEWNLKGYTGFIWGSTCFILAMWTYFRLPETKGRTF DELDVLFGKRISARKFASYKVDSFHHSIMLVLPSGEKVAA VE01_09277 MPSITSLLSYAAITLLASSHLSAATPVPMCKLVVRETPALPTTG SGTQLPAVSAGLHLKFVTLGRGVQNYTCAGGSGTPTAVGAIADIFDITALAQLSPTSA AFTGLPAAAAYLTIPGVQQLLNTRMPGGNTATIIGKHFFNSAGVPTFDLSARGKKLLS KLVGKVPAPATADVGPAGTGAVPWLKLDDAGGSAGLKEVYRVYTAGGNAPASCSGQAA VSVEYAAMYWFYG VE01_09278 MADLGLQGRKTFKVFNQDFIVDERYVVTKELGQGAYGIVCAATN QQTNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNET YLYEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVN ADCELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVG CILAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPFMAKR QFSHLFPNANPDALDLLNHMLAFDPSSRISVETALEHPYLHIWHDASDEPGCPTTFNF DFEVVEDVGELRKLILDEVAMFRAQVRVQPATQHGGGSAQAGQVPMPQQGGAWGAEDP RPQEAGYGGQQAGLEQELQYGLDAMHG VE01_09279 MAEAEAPTSPEIAAVLPPTHANKQQIDPWNVKGEVGADGITKAI NYLSLVEEFGTKLLADDGILERFEKVTGHKPHRFMRRGIVFSHRDLNLILDRYERGEP FFLYTGRGPSSDSMHIGHTVPFEFTKWLQDVFQVPLIIMMTDDEKYLFSEKRTIEEVQ SYTRTNAADIIAVGFDKKKTFIFSDYDYMGGAFYRNVTRISKHVTLNVARAVFGFNDS SCIGKIHFGAVQGATSFASSFPHIFGTDETKTHQIPCLIPCAIDQDPYFRLTRDVASR LHFAKPSLIHARFLDALQGPGTKMSASVDTSAIFMKDTPKQIKTKVNKYAFSGGKVSE AEHREQGGDTDMDVAYQYLTFFLEDDEELERIKIAYQKGELLTGELKALCIAELEKYV LGFQERRSVIDDAVIDSYMAIRPLEWTGNPNPIAAAAPAEGSSAAAGESVDGVPKLTK NQEKKLAKEKMIADKKAAKEKEKQQA VE01_09280 MVKFYDTDFTYDYSFPAVALAYFLRYPNPYSTHVLSSDVIDRHV DPETGRLSTTRIHLKRSRLPPAILKLLPKSVQGNVAGGSSSSYILETSTVDAKEGWMQ TESRNLDWTGILSVVEKQVYTRQTSGEKVALDDMQSGTTAVATSIKFRSRLGERLRAR AEARRAEADEVNANLDEEPKKGFLASWSTAGIQRSIEAIASRRTIDSQGKSKMGMTIV LERLRNGGLMGGLEGMRRDREALFSGDAAVKRAWKDEKHQVEKEE VE01_09281 MSSLKASAKHFIVPKDFLYCIDFFAQPKNRQPPTPTNHAAPKLR MTMDAVSAVETEHRTEDMVLRWLDELPDKFSRIPGDSKSKVRALQLEKLERERDMAYR MILAQQSQPHFNNTERMTVMKFGVEEYLRLIEPDRQRFLEELAAESKEVKGQKHKSDT KEQPNFLPAEHFEKTLNDPRSREYMETRESFPPWADPSPSYGPPPPIPPRSMLRPSVA TFSETAPGTIQHAELLNASSSQYSSVVDVLPRESTANGRSGGARDSELGTRRDNPGLM AELRLGMERRRQRERAIDDDLEKECEPETSISKVWALKLWK VE01_09282 MRRFTEKENPIPSSSPAFSTPHHPIRGGLDSPIPSILPIELPIA ILRPVAFRVLTKKHGLTITSSALQKLTTFIGRNCGSKWREGLAEAVLNFVAQHCKDTG RVIIDAPDDQEYLGTIFKELSGRMKGGRITHELRIDGPNPARGLAKPGDTAGNLSDIR SHIKVVGAREQPRLLYNFKTKHFDKINTRASLLPSTASKTQLFRNRYNIIHQRLLRTE SFQVPTANRAPTLHRSSSSMITAQQTHRLTPIANLLGRSGSPHLLLGLLTISPTGTLA LSDLTGSISLDLTHAQMAEPDATWFIPGMIVLVDGIYEDEDLTTGSVLGGGGGIGGTV GGKFIGTMVGTPIAEKRHITLGTVGEGEGGDITTGGGFGWVDFLGVGSERERGQSMRE LESRIFSPPPPPPLFDSQSQPETQPAAAAAPVPNRGHIAILGDLNLDNPHTVTALAKV LSVYSLLPLESLPMTFILLGNFISQPSLALSATGPVEYKEYFDILAATLSNFPVLLSN CTFVFVPGDHDAWNSSFSAGAAGPLPYDPVPSIFTTRVHKAFVTANSEAKKDAGANAP PRVDGEAIWTSNPARLCLFGPTHEMVLFRDDISSRFRRHAIRTASAPVPEDPELDIDA ETQEDPSIHAARKLTQTLLDQGHLSPFRLTDRPVLWDYAGALQLYPLPSALVVCDAEA NAFVEKYEGCCVMNPGRLTGGGKRNMASWVEYDIKERTARVREVGY VE01_09283 MSERSQENNDCASSNHEEFTGNVLFSMSPQAAPAGPSTPLIRKS RKISRRSFRNATFIIPSTRERVRRHFTLRNSANFDGPLDTDQTASLLPFHRHISTGNF TRAFECTKASAKDLWKWLQTRQGRGVIKCSIAYVIACMATFVPLLYNFLGRGDGKHLV ATIVVYFHPARSGGSMVEAVMLGTIAFLYAAFISVASMAVSVLCETQFELIELGYTLV LIIFVGGGLGLVGWIKQRMGSPLVGVACSLASLAIITVITKENAVQTGIFSDDKITQV MKMLIMAMIITTIVNLVFWPISARQDLRQSMIDTTFAISALLTTITTSFLQGSDDELK SSAFRAATKRYTSEFTALSKNLREAKAEHYFLGTEDQYRVERKIVNCMERLAQDIGGL RSAATTQFTLLQETFPNGNTGIMSPKKPHGMASYGTITGTTRMKLERFNSLTAIEEAA EEDSGREESSSRPSFERTGTMDSDATDLMAIRTPSDIFARFLRHLGPSMKSLVFTMQQ ILDELPFGPGPEFKIVINENFLLSLSDALDMYRKAREEALAQLYRAKDPEREKSVEAD FEEVAASCGHYSFCLQDFAEGIQKYLEVLEELKEELQGPQKRSWNWVKFWSWKTEERD AESDLAQNSNDIFYTRKIKKANLAIPKVRRIPKRSLSRRLYQAAAFLEREDIRYAIKV GVGAALWAMFAFIPATRPFYQFWRGEWGLLSYMLVCSITTGTSNTTAMARTSGTLIGA GIAIIIWVMCQGNPYALGFCGWLVCLGGFYIIVVEGRGPFGRFILLTYNLSALYAYSL SIRQGEDDDDEGGVNPIITEIALHRVASVACGCLWGLFIVRVIWPYSARSHFKEGLST LWLRMGLIWKRDPLSAILSSDIHASYMNLTDEFALQAQLKHLDSLRASAKGEFELRGP FKSAPFARIMESTRRMLEAFHSMNAVIAQNLVASPGEIALLRFTVEERKELCGRICHL FQVMASSLMLEYPVAVNDAMPSMRSPRDRLLSRIYKFRSCEVGVGAIKDKSEEDRNAE GVREGGCEREEWMAVAKDEDYALLYAYALVTGQLAEEIEKVEKEIESLFGVLDESRW VE01_09284 MSPPPVTPSPHRFVIKNPSRPASSQHAPQSSAPQFKATPRFSFA ASQGAGIIGSQHAVNTPRFNTAASMGVSGHRGKDDEQDDHVARLNERRRAAYADLHSQ TWEDDEEVAFQSPALKRRRLSLEPILSSQPCDGGNYGDTNVDFEDEDEELDQQKHGHG MDDDFEDLLAMEPPTLSSPPPPAYRPPPSAPRFVLSTPSKPTSSTPAPIESADAFLRP PRFKPPDEEREAAVEPLPEHFSPRRRGQKFLAGGLAAEVRGWLVDRESQAARSARNPV REDGWKIKIAVDEVTGGYGAGMTLVRGRRVGSNSYEGGGVYPIRMMLGGEEGMSEGIR KGEQAAVGKVVGVKGPAWEVDVDATVLTLTSIFLPNWLTIDSPISPDDRLRITYGLHR ACSSLTNSCRPFPSSTDCLAPFSDGPNFCTIWRSAAFLMSFTGILEIVTLITFGIILA GGLQKRAEGWKVLVGLMGVVVLGQVASTAMIAYLLDYDERFFPGWELGQSWVMGTVSW AVVLCTAGGVGVASYLLPLEGGYELIPSERANN VE01_09285 MKLCIFSVIVLTALGLCDRIDREPLTYATVPEGTYVPPKNSNVT TLLDFIKSRDDLTSLAGVLAECGGFLQAFDSQATWSYTFFAPSNTAFNNTGAYYSTFV ATPKGKWWLGNLIQHHYIPNTQLKTSAFNTSSTRIQTGSFLYVGTQIVGGQLVLNDVS IVTDANLPVTNGIVHVIDHILDPSAQLFMTDVTKTSQAFIAGSCSNPLLPYC VE01_09286 MAFPESKLKMLKNITVIALVAFGSPCLGKISSAKPQMGWNTWNT FKSHINQSLIENTASTLVSTGLARAGYEYVVIDEGWQAYTRDANGRQQANSTTFPSGI SGVAKYIHKLSLKVGIYSDAGIYDCGFFPGSYGYEELDAKTYASWGVDYLKYDNCGGF QANTLSAQERFTIMGNSLKNSGRDIFYSLCQWGNQFPWFWADQFSDSYRMSGDITSSF AADKSGVCKTAYCLNTGYAGVSVLTMIRKMREISGFQAPGSWADMDMLEIGTGTMTEF EEQTHFSFWAALKSPLIIGADITTISKSSLAILLNKDAIAISQDNAGVAASYLPDLSI EGSVQIWAGPLSTGNSKYVILALNEGQSGVNITIPLNGIFKPENLGARYKARDVWDRK NLGTIESDITLTNVSSHQTKLLVLSRE VE01_09287 MKIFEFIVALSFLADNVAGTGGLNGLPVLNGPINPVSNVQGMKK GLGEFVHPGLWHTHDDLERIRLGVSKGQDPWKSAYANFSLDSYSQASYVMQGPKQVLC RGRCSNYTTFTNDARAAYQNALMWYITKNQSHWERSTTILDAWGTNLTDIIGTDTSLL VALEGDIFVNAAEIMRWEGNWVEKGAAASGGSGFSNQLYWLFARQSIIIGQANYGLAS IKALLSFAVYLDDISMYNYALNAYQNDPCGGLYGNYHPKTGQGSETGRDQGHAVTGLG WAAYAARVIQSQGVDVYSVGDNLLLKAAEYAAKFNLNNDVPFDPKFYRCEAILINGPW AKPSNISRGISATSPNVWDIIYYQYVVKRGLKAPWTTKAKQAKDAVGGEQRPTGSGLS DQPSWGELIWSYPGQGKFNNDNDRTIWGGGVIGPDGNGNINAVKLV VE01_09288 MVASTKRAQSTLATNGELSGDADSLKRRKTVQPYKPANGIGLVT PPISNSLSMSPAANGCLSALYSASTVAKIWGVAQRALNKSAPPTLYPEFTKPGGTAYV YRESDFWTSGFFPGSLYLLLERQRKYPQIAFPPSYSDLPQTPHTLQLQYACKWWTVNL HKNSLLTTTHDLSFMISPWARKAWDIENDSKAYETLITSARTLATRYSSKTKCLRSWD TCVTKRYSFTDPSLDFLVIIDNMLNLDLLFWAASELRDSALRDIAISHAESTQTHHIR PDFSTTHVVNFDQATGAVKAKMTNQGYDDFSCWSRGQAWAITGFAQTYNWTHNPSFLA TARGCADYFLAHLPSSGVPPWDFSAPATSIQLTDTSAGIIACYGMLLLHTSLVALGQP SSYLKGALHILSGLCTTQLSPPAHFHSDPIVIPSVEHGTSNESGELEVEMGKGAETIL EGSTINNYEFAPRQWADHGLVYADYYFLLVGNLLLDMGIGGHIAGQV VE01_09289 MRNNVAENAVSDDDLHVQESNTGSGKGHKGGAEDDGPACQPCRK KKARCSRKQPCSHCVRFNVDCVYDDRKMKPGLRTGVVEKLNQRVATLENMFLGQGVLW QQVWNCLNSIQAQTPTSLDSATNAGETLKVYTSRLKSTLSHLSADFDPSDGSITSPLK RRRAYSTGEEEQQQRPQPAPQSMLEDKEYCLPPDDLIDALVDIYFRSIHPWIPILHVK QFRERMANPAQRQKLTTIFHAIVSLCIRFSNDPRVSSAEVRMKYSTKSRQIVILQSME SFSVENLQALVICAFDTIGSGRGPSAWSIVGSMTRTVEQLQLSVEEEDQSQSAEEFLI KRMAFLPPCNSWIEREERRRVFWNVFLMDRFCSIATGWNFSLTSADAKRRLPCEGALW EEGRPLKSPTPYFGVADKTAGGALPSWRPELEDQRLIGGFAYCIEASESLSLVTTFFL RQAVNMSNDQDVQMWLMRFKELDLRLVQWRLFLPEKWREACALNADGVLDPNLTLAHI THNTAVGLLHQGIAYPSPEWQARSIRLPSTSSAETCLAAAMEVSIIAEKYLQDSISLT NPQFAFCLFISGRMLLAHTLHYNIPLPQEFDSLTNSLREISRRWNGPHAQDGLYEVSQ NLASKFASRLVHARDRGPHALDIRQAAYSEDNLQNSSAQQTAFVSQLTQPQPSHTNRN DTLPNNSISHLALPIGQQLVSGGTIITDQEGSPDSISLAFPPLPLAFQPHCANPSPGM SNIQQLSEFFDSTEKQGQGGMEDYGQEGMGYGFEYQNPLTYSFLPTQRVSMFSGQNGK EGERDE VE01_09290 MVQQEKPSEVRHDEIAPCTQPAEENYHQTNEDQETAKYVGGNTT FVDEETSNALFWTVNKRILACMLGTYFCQSLDKGTLGFASIMGIQDDAHLVGQDYSWL GTILYMGVLVGEYPTNLLLQKLPVAKYVAANVFLWGIVIACSAAAKDFKSLMVVRFLL GMFESCIQPAFIIMTSMWASQHIPKSNSQAPSNTPISVYETRTDRPNFALVGGIIAWG ASHYVGHSIYSWQLLFLVLGCVTCGWAVFIGWWLPDSPLKAKCFTEDQKRMMVERVRS NDTGIQNKTYKVYQALETIKDPVIWCYIMLQITSTLVIGGLGVFSNIIIKSFGFTLLQ TQLLNIAQGAVTILIMVGGATLGQTTGQTILVMHTWTIPPIIGTAVIYSITPTPQTRV GLLIAFYCTQFHLAQGNLIFSLISRNIAGQTKKSTALAMTFVAWAAGNMTAPQIFQKS DAPRYKNGFIAHFCLYVLFNIFLVVLRLLLTRRNKAKQITTATALALEGTTDLKQVDG KITHARAFDDLTDKENPDFRYVF VE01_09291 MERSLGPFSACNQKSPSYAQAASKSPVYAGSGKLEVYFDESNYD GTRDDKGAEICHFDSGKTNEILKNEGCQGFALYVPSSSFPTDKSTVVAQQFCPGGCSS WCGTLTITSNQLVVDHRSSCENPTQHVIVSSTARDTWNSVIVRFKVSAAEKGA VE01_09292 MADPVGAGAGIVGVIGLAIQVTQVVVQFGMDWKNAPDDVKAFMA ELGALKTVLSETNTNILLNADFEAAFQDQPSVLLSQLGPNAPSTTDTKRLLEICKKEL ESLLKELKKRGEGHQLGWGRFKSAFLAQDTRDSVENLCRQCQLLNSMLSIDAAVLGAT TYKEVKEARKEQQEWHEAEEKISMAIRGGVDESNSRQENQIRKHQDQAILDWLTPIDY APQQSDFISRRQTGTGQWLLDSTEFQSWLMTEKQTLLCPGIPGSGKTILTSIVIEELI MQFGNDKNIGIAYLYCNFRRRDEQKINDLLQSLLKQLAQGLNPLPDAAKSLYAKHINI RTRPTLDELSQALHAVAALYTKVFIIVDALDECLMTGSHRSNFLSRIFDVQEKSGSNL FMTSRHIPEIIEIFSGHTLLEIRALESDVRKYVDGNISNLPSFVRRSPELQEEVKTGI VEAVDGMFLLAHLHLDSLIGKRSPKAVRTALKSLPSGSNAYDEAYKDAMIRIEGQLLD QKGLAWQVLSWITCAKRPLTMVELQHALAIELGESSLDEDNLPQIEDMVSVCAGLVTV DEESEIIRLVHYTTQEYFERTKETWFPNLEAEITKICVTYLSFDTFKGGVCQNDKEFE ERQQSNQLYNYASFNWGHHAREAYTSIPEVHSFLETDGQLQGSIQVLLVKKTHYLGES QYSRVFQNGLIGIHLAAYFGVEKTVQYFLGTYISDVKDKSDRTPLSWAAANGHEADTR WGQTPLSWAAENGQEAMVKLLVANNADIELKCTRYGWTPLVWAVVNGREAVVKFLLES GAEFNSQDNSNQTPLSWAAVKGHEAIFKLLLEKGADIDLKDDKTRAPLSYAAEYGHEA ILKLLLVDSAEVNLKSTYLSRTPLVWAAPNGHVAVVKLLLQHNAEVDAEDNHNRTALS HAAERGHEALVKLLLAYNAKVNVEDGSERTPLLYAVRGNHEAIVKMLLEKHAKIDLKD TTHEHTASSLAEMKEDEAVIKLLEIHKQEQCVVC VE01_09293 MDCDIPDDFMPWWNIEVPDASNSVIYEGQQSDQHHFAPYMERDD SGSSFNSYSSNNTTDTFESLDSNSSFSSYGSFTSQAPPHTTSGGYSLHQGYSPVHSVT GSANLYNFPLPSFQDQVMSKPLFDIERDGDPNISWWMYYDFHVDKEGAYHTLQPGYLE SEKFPARRLSDKVYDEKGNLVHKCYVPKCISKPVKRPFDLERHFTTVHGKGNTERHFC DYSKCKHKEAFDRKDHCREHYREYHREDLVKKTHRDVVQWLQDRNVVMAWWRCSKCLK RNQTEAGWKCGGKCNQMCERSRITARNSRLQAAESTEVAEQPAAAGASQPFVQGCGNC ESGWFPDEANPHNWVACLVCKPEASEEMVTW VE01_09294 MSAPEPTFDLFTSLRYDPLLLTCAANTTLSPSPSPFYMLSHHRD RILSAAQHFNWPLAIARLSGPSGLDHFLAALKDAVDTTSTAPRRVRAVVDREGGISVD SFEIPKRPLRNLFPTRLPPPGTGPPRVNPLTGGALTLGDDDSTVQQGPGYGEPSREQA WSVMLDPVDTSPSPLTSYKTTSRDMYNSARTRVGIESMSDPKEVLICSTKEGEIMEGS LTTVLFWRGGTWVTPPVESGGQVGTTRRWALENGLCVEEVVRRGEVVDGEECWVSNGV RGFIAGVIKL VE01_09295 MAARKLQQEVDKCFKKVSEGVAEFESIYEKIEQSTNAAQKEKLE DNLKREIKKLQRLRDQIKTWAASNDIKDKGPLLDHRKLIETQMEKFKAVEKAMKTKAY SKEGLSAAAKLDPKERAKLEACEFLSGTVEELERQIETLEAEGESIQATMKKGKNQSS KADRMAEIERITERHKWHQGKLELIKRSLENGAVETDQVTDLEESIKYYVSDGMNEDF MEDDEMYDELNLQEEEDVYGMNNDNDRVSSQDTQSIQDEPESHTKTDHIPSSKQKPSA PEPPLAAARRPSTQLRSPLPALATLHSPLSNLTNGSATGAMKPATVPTRPPGETLKYA SAAAAAANTVGIAPLPPPPGATPPSTSNTPLASLPASHVAKESPIAAPSQPASAAHTP AQRPSIPVTTLAHEARSVSRQSKSPAPSSQAAGSIPPTPATSKVESAKSGRASRAADK GAAADVSEAGLPKGPTNVLGASLLEQDESIFHLPSDLQDLIQSFKVTQKRATITPSPS SLRMLAASNASFPDGLDAEQPRYYKPKYRFNTPSYYPQEPLPIFDDPRLYSRIDPDTL FYVFYYKQGTYQQYLAAKSLKDQSWRFHKQYQTWFQRHEEPKTITEEFEQGTYRFFDY ESTWMNRRKADFKFAYKFLEDDV VE01_09296 MKAILQRVISASVTVDKQVVSSIGKGILILAAVAPGDTEKEVDA LAAKVIKMKLWDDEEMGGRWKHSVQDISGDVLCVSQFTLLANTKKGSKPDFHGAMGGE QAKELYQRFVTKVGEGYDPEKVKDGVFQAMMEVALINDGPVTFEMSVEPKPVEHKKSA EPKKP VE01_09297 MDLRVLRRPIFDLLAGRGQCLLSGTVSSRRNESSYRRMKKKLNV KPDASFGFSKDSPSTDHIIFNPPSSAPSVLHTPLKFLPKEDKRRQLYSVAKNSTLGID EEAKLPPAILKQNAGYQRYHLTQEDVAEIRRLRSSDPETWTRLKLARKFNCTSLFIGI CCEATAEKVALEKAKLEAVKERWGPKRRMAREDRVKRREAAYRDE VE01_09298 MASTEVPGAQAGEDKPNQQATNTSTNPVLYLDEAQDISPITSPE SAESSEEEGEDTPTGSEPKIAKRRLFGFGKKRKDDKGKRKDVASNESNTPRSTPANLT FVPTTHPYISQSPTIRNLHSPSSRVVSPAGSQIFERDVQEAASQVPNSPAIPAHIQTE DHIPAVLDASSEAITDNALDPDTVEIIMHSSHQPAAKNVTGIGGSEAAGTNWSEDLLA HPDKDDAASNYGALDSADVRRLSFISFADVVQSEQTEHMNNRDPIHIAGLTTLSSGHR SPSPIRSTVSSQGVGTSPPTSKSASIKGLDLSPTRAGKPLASPTLSFPSPTTGSELTI ESMSQALRKTASGDLTGTRSQPLSPISSIEPRFP VE01_09299 MDKAAQQHDGPAAGSTVPPLAPKLGANLILLQHEQEAGTASAAS EAPPPQRRKDDSIRVVTPEPTHTPAGGTGAGAPDVDVASQASPRSIRGLEKPWERRRG LVFEDAFETGESGGLQHTTSNESDVDDVSNLPRTRPRNRTIDGAQQQRRPSGQLPLRR HRTGSTYSGLGSSDENIPKEDPKYLAHPSTAFRSAQSQRADSVKKKPPVAAGRLYRQS NASSRSGSPIPFPAYVDTLPVPIATRDAIKVLKLMNGLNGRMKGEVEYQTSGHGSWAG GVCYIDDKGTFLFEGTESGPNHQTIVPDLRGCRVKPPIEENEENILDVSIASGMRLRL RPLDTSQYEYWLAALLSWQQNQDGSSASSSEAGELRSVSRRPSFSQAKDINIIKVGRV YLWDKGTSQAAAGGRQRADRAWRQVSCILQDNGELKLMTENDVSLLATIQLSQLSRCG IQELDKTVLNQEYCVAILPQYTAAATSISIVSPVFISLESRVLFEVWYVLLRTFTVPD MYGSQISADGSDDPDSLVIPTPDDLFRIEKTLSIRTVEAKLRPTGKKPEQPVDGRRGH KAEPDPSVGDYFAEVVLDGEVRSRTTTKFGTNKPFWREESEFGGLPAALPEISIALKR MKSKPQPHPQVHGSKSSVSVHTPEIVWEVLCGIVHIRMDQLDRGKDVENWWPVLNEKK EAIGEMLIRVRHDELVVLLLKEYKALSDLLHKFTLGLTLQMSQYVPSKLRFLAETLLD VFQVSGQAQQWLVSLVEDEIDGISKETPVQRLRFSRRMGSNDSFDSVSDREVQLRDMN KSLTGEANLLFRGNSLLTQALDFHMRRLGKEYLEDLLGDKVREINAMNPDCEVDPSRV GASEDLSRNWATLNALTSDIWAHISASHNQCPAELRQILKYVRTVAEDRYGNFLRTAP YTSVSGFLFLRFFCPSLLNPKLFGLLRDHPHPRAQRTLTLIAKSLQVLANLSTFGQKE AWMEPMNRFLAQNRQTVKAFIDNICSIPADRTRIPPPASYSTPLAILKRLPMTSREGF PSLPYLIDEARTYAALVRFWLESVAGDTARVAEFSGDLLAFHNECVALQKRADECLRR AEAEAKVEEPPELKWTDILRSLERSSALDQRTFTENDIVPHAANSYPLATPREAARWN RNDDGSIPVPGSAGSETGSRERRERQTFWESTFGKESLRMPRPLAADVFGGSLDIGAM GASPPTERGLSREGKGGKGFLSGVSGVLRKKGGGEKEREKEQEKQRAKAEKEAVKEGE REKEREKERERKERNKITRERNGQGEEKGREKEKGKGAAE VE01_09300 MAVAVAMDSSVDKLPGVDKEVKRLWITKLSLRCIAAVLSLVVVG CCAGTYFGNPVLLGPAAAALLWSIAEAITLFVRRQTYKGIHPGACVGVDLILWLVLGT MAGLQAFVLFDGNVISGPLDLLYTIAAVFGLVVTALLIALFIIACIETHRRRKGGVPL APVPYYPDQQQGAFNQHQPVLNPNQQPGAFNPNTPFNPNQQPGAFNPHQPHPPFNPTQ QQPNQQPGAFIPNSQPTTFTANPYPTTFPPTSPFPAHYAQPQTQTPSPQSTTTQPFGQ GTLAPSQPPQWVGNSTPQGQYEMSSSPAPQAARIFNGGPPHATGGFNNGQQQAQHELA GGTPAPGGFTQIAPQQMSQYANKVGSPPPLYEMYVRPTGAERGGGVSHEMGGAR VE01_09301 MSTRDSFPQFSRLPLELRCLIWFHCLPRRIAEEDIPYTLLDGKR SRQACWPNRTTFKNARVPYVAAVSREARQTVFEWGQHQKSQDDTSLETIWLQPKIDLA LHLNWTRRRNHAFYQVYDAYVPGYDETPLVMLIYRARWDYGMRISLVGDVIHPFDVGE LMIDTATTTNNSPPSDSEPVASATPSIPITEVQDERAEDIYHIVAFAEDQTIYLTLVA ISLHVDKAAALASGLFGLLGDALVQTVDFDDVPRLRQFYKLYNSDPTLKEAEPHVEKL FNVILSGEFHAAVLSWEKKIRWLLQVAAWKRIQKDQPESFGDTNPALVWKPPVPKEQR YMRMDEYMPDEENSWWGEYAGVQTPKVMPQVMARLCDNQCYREERQPEKFGDV VE01_09302 MAMTSIGTVVFHYWNSGVFLADQETLDTGSLLLCEFYNNGGLRA SARVWPMFTEDLYNFIVGLGKPVSSLIKHNGWINANALTVFW VE01_09303 MADPLSVAASLIAIAQVSGSIISLCYDYRRGFQGAQKEVLQIFR ETQSLRNVIEQLIHLVDDNNDAGSEVNLPSLKNMSVNDGPISEFQSDLRKLEDRLRKP VTKWKRLGEQLLWPLRERDVKEALGSIHRMKGIIEFGLVADSTAAIVEIQRNTRELKD RILDYRRSNFHISEQEHLQVMLEWLGSPDPSVRHNDVCKKRAKDSGLWLLDGSEYGVW HKAPTASFWLHGIPGCGKSVLSSVVIEHIKSHNIRNHDVALAYFYFDFSNESTCKSEV MLRSLVSQLSMWRGKPPKALSRCARKHFRTTSHCGDGDELAVYRDGISQPTTQDLVEI LRGIAEEYDDTYLVVDGLDECLDQGELLEILNDILSFQEDGLRIFLASRRSGDIGAVL DSKVTYTVEARSEDVGGDIYSFVQEQLKTHPKLSKWPASLRMEIQDSLISGAGGMFRW VHCQLGILGKCITIKNIRKALKALPKSLSETYALTIDGIDEDHWEYAVKILMWLAISP KPLEIGEAAEVLAVDLEAEGGPVYDEDLRVLDPTIEIPAMCTSLVATTTTCLRSRNGT LEKGIELRLAHYTVREYLLSEEFFLRMRHTTLFVNKPQVHAFVARTSLAYILSIQEAL TEELQKDRPLSRHAAEVWLYHYQEASKETSLELLVLQLLRDNGQTEPYRNWCKLFDPS KPWRQPDLQRKTCPSPLYYASSEGLEPLVLALLKAGADCNAVGEIHKTGLQAAACNGH IGIVRALLKAGADVNGGGGLYRVPIIAASASGHAEIVEVLLEHGAHANYSFPVTALTE ASRRNYVEVVRVLINGGASPNQYSFKLFDVNPIEAASSRGYKDCVALMLPKASRMTAR GGLEVASRATTDRDMLKIFVEFIPDDVLNYAAALGYKDLVEDLLDTGAKSETKTTIRR SWGPEDLSQSALVQACANGHLGIAKQLIDKGADINAKAGEGKGWGGSSVALTMATRAC NPEMVKLLLTHGANVNAGGDDGPALQIAAYEGYKDIVQILIDHGASLEDSTGIYGGPV QAAVLGDHIDILKIVLAAGTNVNMMAGLSTEYISDVALSGSPIQAAVFCSNIPMANFL LGHGADPNLWRNVNGRKGMMAPLSMAAKNGNLDLVNRLLDAGADVKALEEGLDSGPAL FWAVRGGFLMVVNRLLDAGADPNAPCHTIVGGDATILAEACSGQDASVVESMLKAGAD VRKYSDFRENNEPPIHTAARCGSVDVIRLLEKYGADVNEQCEGGETALHKAAKGGRRD IVEVLLLELKADPLLSFLNGSLPIHTAASWNSPECLELLVKVSDINARNKAGRTPLHW AADHAATKAVEWLLKNAADDSIEEFGTNMTARDYAELRMQEADSGNNAEYAEVLEMFD RHLKVKYAIRPSTP VE01_09304 MSTTDGNKPNESNGNGSPNEPRRVSIEIGEYAPADAYYGLKNTA HQNPRRQSTFSTNYAASRVREDTLSELPARRGSHDESTLGQLKFLINVDSTLENLQKQ EDTDNNFQITIEDNGPKVISLGTVTSNGFRRHDIRGTYMLSNLLQELSLAKEAGRHMI VLDESRLNENPVNRLTRLIKDTFWDRLTRRIDGHVIEIAGRDPKDWTDDPRPRIYIPR GAPEQFEYYTQVAKDRPEVRLDVQWLPEKITPESVRDMNAKPGLLALAMEEFRDPSTQ KMTLRGVPFVVPGGRFNELYGWDSYMESLGLIVNGRVDLAKAMVINFCFCIKHYGKIL NANRSYYLCRSQPPFLTDMASRVYDKIQHEKGSREFLRLATLAAIKEYRSVWTAEPRH DPITGLSRYRPEGLGVPPETEASHFIHILEPYAKKHNLTFDQFVEAFNNREILEPELD EYFMHDRAVRESGHDTSYRLERTCADLATIDLNSLLYKYEVDIARIIRVHFDDKLAVP AEFCTGNMVAGQLETSALWDRASRARKKAINKYLWNEEKGMFFDYNTVSQQQQTYESA TTFWAMWAGLASPKQGEQMVAQAIPILEAAGGLLSGTRHSRGEIGLERPNRQWDYPYG WAPQQMLAWTGLQRYGHQDVAERLAYKWLYMITKAFVDYNGVVVEKYDVTRPVDPHRV DAEYGNQGLDFKGVAKEGFGWVNASYVYGMQICNVHMRRALGTCTPYETYHKMTEHGL VE01_09305 MAVFVELPDDDTAPQDAADARYAAECQARAAFETGGLNSLSVAD QPVVEVINANRNSITEVFACYPAATIITTHIDLNTLDSLARTCRQIRENLLQFRSQLI SRTLRCSNDAVALDKNHTLRYRARATNWYFVEEDGVNTEGKVGECARDLVGGCRRCGV VVCRNCAIKPPAPILLHHRHRRLCPTCLSLPLPSLLSPPLPVPVPLTPVTTSTISRSV CQCASAGVWLCQPCGRGLKSADSTYDSIWRWRMQYSPTLAEGSRRCGRAGECGAAAVV EEEVDCDAMDLREGGDAVVDAAGGREGGVVSPTPSEGSAGGSGRSVSSGRRGAGYARH EIEGIGGVVKRKLIRRARVGACVEEWEDERGVGRFMIREKEGVRSWCGWCWRVVPGDE ERGEWGI VE01_09306 MSSPLVYFDISIGSKPMGRIIFTLYNSIVPLTAANFRSLCTGDK GIGKSGKPLSYAGSTFHRVIKQFMIQGGDFTAGNGTGGESIYGEKFADENFEIKHTKP FLLSMANAGPGTNGSQFFITTVPTPHLDDKHVVFGEVVAGKSIVRQIENLPTQGSDKP AQDVTITACGELPADYEVGDAKKADATGDAFEDFPEDAKTADKEFEAAEIVKIASALK EYGNTAFKSGNLQLGLDKYQKGLRYLNEDPDLDAASADDKAALRQLRFTLHSNSALLS NKLSLFPDAAKSATFALEVPSITDIEKAKALYRRALASVGMKDDEAALKDLEAAKALV PGDAAVVKELGAVKTRAAERARKEKAAYGKFFD VE01_09307 MSTPSVDLLYIYTSSSTAFANISASASCLSALTADVSCYANLRS AVTDTTTWSSSALGFICANNCTTALSAYVANVDSVCGATATYNISGTIQTAADLGREM QWRQSTTCLTDPSSGEYCNTYFQEALANSSTGVSCSTCYLTYMESIVNSEWGQALISN SEFESQVSSCSATGYSATYTATSSSSTSTATPTVTSGDRCNKTDPSVALYTVLANDSC IDISASQNVSTGSLTSLNGLDQGCSYLSTGEKLCLPTTCDVYLVKTNDTCTSIISSFS SGITNADLASWNSNINSQCSNLAALVGTYICISPPGSPMPLYLPPAATAVAVPTNAVG TTNVDCGYWYTIIANDTCGSIEATFGITNATFYFLNPQIAPDCGNLWLGNSYCVEAVG NVATYSGYSTVAASLANYTSLPSTINRNATATANRTTTHFFYSWPTPTTTTLAAVNAS VYSALATYTLCLDVEEEYNITETGPTQDMYDDTDWINEYDRVCDVDPNDLPTVPFNSS IVYTAPATVAATTTSGTGTGTASSPTSTSTFVVSPDGTCGGSIGYTCAGSTFGRCCSI YGDCGSSADYCSTYCDTTGQFGTCSPTSTTSSIKSTSPLVVSPDGTCGGSTGYTCSGS TFGACCSIYGDCGSSSDFCSTYCDTTGVFGTCSASPTTSSAKSTSTIDVSPDGTCGGS TGYTCSKSTFGSCCSIYGDCGSSTDYCVTYCDKTGTFGTCT VE01_09308 MLAAVSLLGLLKESADMDLSATLLQPLLVLVTAMQRPNAVNMQR YLERHVLFLPVVQNGVSGKSLSITEIQVFCIESSRALLFGQRLSLHAFLGTTTEFCAD LCQNNCFEPTEPSCTSNDVLKKVIGYYESWSSDRACDGWGPSDISANGLTHVFYSFAL FEEYDSDWNVYIPALDQAQTEAEMLKPFMALKQKNPGLSTVISVGGWSFNDPPTAGYW STMSSTAAGRNSFAKNLLAFMVLYGFDGVDLDWEYPGASDRGGDTTLWVDSDNYIALI REIRMVFDATGTAYTISFTTPASYWYLQTFRVNEMLSAGADWTMMMTYDLHGVWDGDD PYIEIKVGLDLLWRTNVDPSQVLMGIGFYGRSFTLSDIGCQDPGCPFDSAGVPGVCTA TAGILSYKEITAIVTAGEGTPVWNEEAAVNYLTFADNQWVSYDNNVTFKQKVDYANQI CLGGVGIWAIDLDTYDWQALSALTGKDINGGSLLTSGGDPTELASAYNAYTGADCYVS GCVDWNTGSCKAGYSVLDYVHSGSMGVIEDPDKNLCRRGDVSVEESTDSQYRLICCPT DAMPVSCSWEGAGAGVGFALFPQFCKGGGSGFCGAGKFELIADSYTERTGSTPCILNK RSLCCATDPELELCSWTACGDSCLETQYKNPNTSVYGGSNLNQDSELCADGANPAGPS SFCCPNTYTGCAWYGDDYCTKSCPSDKILITQRSEIEDLQIEQGLPGGYDECVTGYLK YCCDPPTATTDWPVSPTDLFEYPDTTHVSYEYVKEDSSYDDYDREEDGPFAFVMIDGD TAAYSESLVDQWGFLDDDLNLSKRKIKKRDMFTKQNDTFDNVVETYTIKCLNLAGCEG LFEKGASNTIVKLPDSIGAGPYARIISLEPIGSTKRSSNIVPRSVGDTYNLVVDYDLA GAAVEQKGDVNFRIDYSNLVDYWADVTDTPAKNRKRWFGAFKAWLAKVTTMVKSDTGF LPLEYEENIKLFHFAKTCPKTNLKTTLDLDANIHMGLYAQYAYYFEGSILPTPTLIAS YGYFSVSPAAAILMTLRGEAIIQTNSDVVNILSGITLPGLSIKGLISIGPEFDLTGSM DASLQVSGELNAGVSVAWPKAEVFFPQDSDGTGATIPPGTLDDPNDPDKQQTFSVVPT FDASITAVGNLARKL VE01_09309 MSGYVTAGVANTITLGLSAGASVSSTTGATAEFCYWADYVYSVF LSSDVSFANGLAYWGNSIELASPEDPLVLIDKTCVDYSSTPADKRKRDDANLVPLTTG TPCFGGLISCEDTVDNTTCAVGGPSSKRDTSTCYLPPALFYNCAFFQPGRVVNDNENT RAGGAYETFISICQNVRGYLQDNNNGQTIGSNWMQLTYLPGGGTNRNDACKDVSSVCA FTKSYLWPTAVFEAALTAKKDDKAYMNMAGYSDSISCDEFPFNASEEGGSGANAACVT NDQQFYQGQINNLVSNVYDLEEDSLWSPRAPKPKWNGGKRLYTINLFDASTNGNDLSS PYAGTWKSSANTPSGGLTQVVGGINLQGNPQYQLGVNGDVQNGICMTMPRTMTLAATQ KFYKLKVQKCFITFETPEDLTRRGLDPQDPQNWQIKSVQLEDDDEELIKTENDGFEMD IIEEITKEIEVLDIAKKYPAEAVITPSPRLGPGHVHGRRHY VE01_09310 MPFSYWPGGIPSYVKFDGVPTADDKVDELTNGWKLFVKEKWIPK PSGEASQEYEANQRRALVSEWIQAPQTLRDQFHARALESPPVWNNRAVKQYFPRGDVS SLSWYTCIAPLDTPRNRALWTKLRILSYDFYDSNDGICEVGVLEASPHSATAGVEPKD FMKAGFVENADFNWMYMTVHATVTFKGLNQWVFADQRSLEDGMLLIVNIESNGDVVLN MRPSVLELNYLYNMHYGLAKGLAEIRGNAGFDGVHADVDEGEYGQRLDISKPILEIFA DVKATGHLEQGPDEWPALIEQNAPGYLALEAEGKGDEYDHSQFTECTG VE01_09311 MPSNKHSIDVAHVKDGHPRSGQAVLVDRIWPRGQRKDEAPWDEW LKAVAPTTELRKWYGHDPDKHDEFVRRYSIELQGDEPAEAFEHLRKLHQSGDLTLMTA TKDLETSQAKVLAEMLA VE01_09312 MRSSTLTLASLLSTSHAGAHRPRPVDQSINPRAEYIGGWAWSTT DICSGAWSSSCGTSNNSCCPTGETCFGTGGLPQQTSYTPSMRGPVNGNPPTAATGVCL VADQGVPATEILTAAYRAPNPTRVSDTVIKYPTYTQSGSSSTAISNTTTTTVNNDTST TNHGVKLSNRSIVGIVIGGIALILALFFSWSVVKRYKLNKAAGTPRFDLPPIIEPPEN RPMYVSTGMAYYDSPHGARPEMGNVGSSRYSNSNLASSGYAEGGAPGTATPNGLAQSQ AESQQTQTQSPVPASAVPVGPMSPPPLPPYGSPPPPAQYRELHAQAALPGELDSRSAA AGLKRRPVEQGMEGRQELEGGGGQRGGESTAGRMEM VE01_09313 MDSTGFSNESLPPSTRLRELELMHYWCTKTCHSFTSRLSDLFQG YVVEESIKHDFLMESILALTCLHIASKQEDQISAASYASEGLRYQNNAVRPFHAALHN VTPSNCHAIFACSVVTMACTIVSPLLPTSNHEKVTSTIESFLPLFDFVKGISSVVYIS REWLEAGPLGAMFHMKAFGAPDDVATIRPPFQRLRALVISNTATGEISPIVHEIYLQT IDQLEVATIKNNTVPWLGFVGNEFIEELRMRQPLALIIFMYWGVLLNRMEELWWAKYL GKRLIEELSEDLIRRGKEWEKATRWVMILVDSGATLSSEFESDKTRILR VE01_09314 MTGIFAILTLLHTVRLFRTRTWFCIPFIVGGIFETIGYGARAAS NSNRESLPLYIMQALLILLAPILFAASVYMILGRLIRATGAESYAIIRATRITKIFVG GDILCFLVQAMGAGMLSGADSKKGKDRGQNVILGGLILQIIIFLLFLLVAIIFHKRLR NRPTGKTLDASITWERMLSQLYIVSVLITIRNLFRVIEYAAGEDGYLLQNEWPIYVFD ALLMATVLAVCNFWYVGTMRPREDDIDLSSA VE01_09315 MVPGRCAFNTTGLYTVTQLSAHTDLNFVEPSPLGPTYRMISAAR LISMSNGGLGGIYYKVNSDINFDEADTTVIYPMGTNPADVLLDLQARGLFFTAFSYTC GSVHGNAGYGQGVVWTQSELAASGRPWDLRAAVETSNGTNLQAEQTYRVYECIMDTPS ITGILAQTRLDTMIDSWCNELKEMIISPQPWAEPEESDPALGMVEVLDVIVTMGGSAW NMSEAPAMDPTQGCLAPRARVPWPVILLFVLAAATASVMGVYWLTLMRAKSLAVKSMQ APISVIDEQTPGDLLTWMQQAVRESVRADVRPRRETL VE01_09368 MPPHARLGKNMHSIRLARSVARVVVRDLRKITVETIQIRLLQHE KSAKDSNNRSTSINGSTGEILARQCITELCRGWPVYRDFSKWHNYRSLFTRDSAYVWT TWSGGLPIEKFIEVSINGRANGGFIAHRETGTLANRFTMKDIPIDINCDCRFIFFCKL EGKEWKVQYVKLFYEKDKVVPINGKTAPDFPKEELVKYTLRYQYLAVAQHSLGHPILN NLPNANNEGFRD VE01_09369 MADPPTDLVPVYVSRCMDRSHHTVPPPHLHRERISAKTMTLTMS DLPSLHNETLEDDLNGESEGDRASVSADEEMSDLNEELEGDMASVAADEEMLDLDEES ERDWASVSADEELSLSEPGLDFDDYESDWFILRTKL VE01_09370 MSDPHAHKDDQSLSPHKEHANLPRGYRILAGHPSIPEYLALRRQ AGGLSPKTAIQAEKAMGGSWYAAYIVSTKANPDATQHLNESQADLMNRNEGETAVAMA RVIGDGGWYFHIIDFAVLPKHQRKGLGQALMADILNRIRKEAPPGAYVNLIANDKGQA LYGKFGFKEPVGDLGMELRMEYPEGAGPDDEKIPRVDETRAG VE01_09371 MKGALPPTIVIAISQSDAISGITLTIGYLAALIAVTSQCLMPRA KFMKIMFFNLIAACVAASLCCLVSYCAVKGRQNSTPADALESVKSGYNSDACAISAVF LIVIIWGANSLRAWRPMEMQDPMVVFSIFSVVTITRTGTFLTVSQGLEFIARLLKGFL IGFAVSTGVSLLILPITSRDHVFQDLRESVAQVEVILQLLISFVGESSTTELCTDHGL LSRPRTARSTREIGNENDSTSSSGMEIEQKQLKESMDKLNALHSKLREDLFYANDEIA WGKLSAGDISTIADMFQNIMLPLSGMAILPETLEMIVQNKPLCEICTMARGSDNETTK QMETRKVVDTLRKSLMHSTNIITTGLQFFLLVFEFIKPERLDKQRRSQNMGSEGRDEE SNGEYLDPLQPDFAARFERAVHEFHFRRKHILEALSSLEAFSGADKLPDELESSRNRS SFITSGPDIRQEFFLVLYVGHLQDSLLNATIELITFASSNVSHGTKKRNRLIFPKQNI IRGWFFLASERRQSDSVRRQSYHVDPSSVRQGQEMEHFPDPEHLPPANAWEKGSNVLR WISHKGRSEFSMFGFRVAAASFSVAILAFLHQTQTFFIRQRCIWAMIVIVIGMSPTSG QSMFGFIARIAATVISVVLSIFVWYIVDGKTIGVIIFLYLANIFEYYFYVKKPQFFGP SVIAIVTLNVIIGYELQVRKLGLEVATSNGQPYYPIYLFAPYKLAAVAAGCAISFFWA IFPYPITAKSKVRKLLGRSLFVLAKFYSAMHTTIELWMSDELGDIQNRHSPSFKLQAA RHELFQEEMLLLNSLRVHSHFTTFEPAIGGKFPKQVYDSIILEIQRILTNMSLMAHTT QNLKALPFESEGGNSMSEDDKWISQLARIALESADFKSHSTTSLLCHLSGSIMSGEPL PPYLSTLHSFPLARQLQETDSDLLNIRHVEDPAFSAFVSLEVLRSQIGFSLKNILDHV KSLVGELTFDFHVMQTPEYLESTQLTTSNGNLDEDGQE VE01_09372 MEETRQSPCPEGKEMIHTHNEDATQTCGSYISEELKAYIVQRHG TLELDPLPSSLPQDPLNWPLWMKNMNMLMVAFHTMMATFSAAAIIPAYSVFAEKYGIS IHQASYLTSVQILTMGIFPQIWSPIAVRFGRKPIFLVSVLGACICNIGGCFCHTYATQ MVTRILNTIFICPPLGIGSGVVTDIFFRHERAQKMGWWTLMTTIGTPLGPFLYGFLIQ RAPYQWMFGIFAIVNFCQFLGYLFLGSETMGFRTPTSSTSTSTSTSPAATIKAAKPAS WADSFKIRRINPAPFTLTSFLSYLNLALHPSVLIPAIAYALVFCYANIAIIVMMPLAV GEKFHLDAQGVGLQYLAIILGSILGEQFSGPASDWFLARYSARAGVRVATQRLWLAYP GFAAVVTGLVVWGVQLQNAEDGVWNVTPMVGAAIASFGNQIITTTLITYAVDSCPLRS SEVGLFVNLLRQIWGFIGPFYIPVMFETLNFAATAGVV VE01_09373 MTQHVDVLICGSGSAGICAATWLARYGLRCKIPESHGYEVKGVQ VDSKAAADLESYPVTVVALKDVVEETFKAKYALACTAAMTVTACESLWDQG VE01_09374 MHRVETYLDEPADIPVIDFRQLNGTQNERSVAFKYLDDAFQSLG FIYLSNHSIPQKLIDEAFEWSRRFFALPHDVKKRIAHPPSGAVNDYLGFLEIGQVLVS QLVWDTAELEELRKTTPERHEGLELCNPHDLQVDVGRNLWLPEDIFPGFRSFYDSWWD ACTRLDHQLLENISELLKLGSPKYLSNQHTCDFCHMSFNYFPSMEVGPLKSREVHRMN AHTDFQQLTIVFNDSIGGLEIHDGEAFRPVIPKQGKIIVDVGDILDRQTNGRSKSALH QVVAPRESMIGNRKDSVPLAAGSVVDRYSLAFFGMPDPEFIIETLPGCEAKGKWAQNM VGEWGQTVTTDEWLAKRVSTEFPAEAS VE01_09375 MPTSPLMNREARRLNAHTDFGQLTILFQDMVGGLEIHDDEAGIY RPVLPKAGTVIVHIGDMLEKQSNGRWKSALHHVTGPRQSMYGKEVDNDTVVDRHAIAF YAHPDYEMLVESLPGCEKKGKWESLEWEDNMTAGDWMNKRVTLEYERQEKPGPVAASS VE01_09376 MTVSTQTVEPANIPVVDFNLLSSGTPQERNAALKQLDDAFQTFA FIYLSNHSIPQEMSKRFFDLPHHVKQLIAHPGSATDHRGFAEVGLGLVSQGIWDAEKV ENIRNTAKPEQKEILEIGNPYTTNDPTANPYPNRLLPEDIFPGF VE01_09377 MDTHVVVADLLRMEGQKPSIRFEDVQLCSVLHNHDDHPVIGFYK LRNRSVKARNDHNSVSHEKHCKAMSKSFHTATILSQLLNGRLQVTTRQEETEISTERN IPSMIVGDTVIIADETFSNPQEVGAHSWNMFLSMNFLFPKAQIGWKYGLTSTSTQFMQ ISCPNLKSDDSLFTKMGTLIYDNMDIVSGMCLFNGTILKTSISEEEGGVDPDKITHIK PTINETDYIARSSSAIADIASMLTARIREQNSKQLVRIKLDNPSFQYYHEVVDKFEKH LCTGIEALIWMEAVDIRHGQIAKVFTNSVQHQLRRRGVSPDSYEINIPSRITSFALSI REALQDQKCPSLQTVLEILDSEKDGLWRAFYQFVPVKEKPQDWKDLSYLFYVFEVIKS ALVESNTGYQAASRLDRTSDTQPPLNDQLVVKSAKKRNSKVQSNSRRLIINIDDSAER RIYSWSQEMLMKIRQSHCNMSNSTLVEVYLCRRVFVNGNKTRNRLYHQDPMPIAPMLS RLSEHGGDGGQLMKPFDMVHQLYGSDCAQNLELLFSQVGL VE01_09378 MGLFPSGGNRSRSSDGGSKGSRFASVFFRLMQLISAAIVAGLLG RYLHNLHEGGGFNSRRIIYAITIAGIAIFFSLLFMLPLKYQFYAFPLDLIMFILWMVA FGLLINLGSSGCNSSWFRTSWSWAWGRWYLQPAGTFNRFNGAGCSSWRATLAWSFIGG IFWLLSFLLGLYFLTKHRSRREVHEETPRIKRQEDTHVNSGVAPVYHENFNQTREYRT TPAETAQYRTEPATYVQPQQTQGTAATSTYSQPSQQYATTQEYATQLNPTQQYRNTTT GTVG VE01_09379 MVLRSAYWNPQLYYLHSNGQFEKVPNGGMAVYYLGGGKNAAGSN LAAPFPKGLKFLSSNNNARSYDASTMAYGNAMYPDIPIANRVTFACVDYNNPRPETHY MSDTNCPNGIERRLPSNPAGMVLISS VE01_09380 MASPPQFKDEINPRRGHKGSDIIGPRNPNREHQEPDLVSPPSID AGKLANMKWSFADSHMRLEEGGWARETTVRELPTSTELAAVNMRLEEGVYRELHWQTE AEWAYVLEGSCRITVLDTEGGCYIDDLQKGNLWYFTTGFPHSIQGIGKEGTEFLLIFD DGNFSEDSTFLLTDWLAHSDKNVLAKNFNIPRGVFNNLSQKEKYIFRGTIAPPLDEDR KQVNPSKHRFTHRMLEQEPIKFAGGEVRIADTKNFPISQSVAAAHVLINPHGLRTMHW HPNASEWSYFIRGKARVTIFASNGTARTFNYQAGDIGIVPRNMAHFVENIGDEPVEML EVFRASTFQDFSLEQWLAQTPQTMVVEHLNLDGGNAKRFLDSLSKTKVPVKPGRRSSF SL VE01_09381 MNAQLEDLKGTLRSDFYWGYATAAAQIEGGWNADGKGESIWDHF AHTPGKVKDGSTPDDAVLSYYKYKEDVALLKSYGVTAYRFSLSWSRIIPLGGAADPVN EAGIAYYSSLVDELLANGITPFVTLFHWDTPQALEERYGGLLNQEAYTPDFVRYARVC FERLGDRVKHWITYNEPGVYTLAGYAAGVHAPARSSFRDRNAEGDSSTEPFTVGHTEL VSHGYVAKMYREEFKPSQKGMIGITLHGNFSEPWDVEDPLDVAAAQRALEFEIGWFAD PVHKTGDYPASMRAQLGDRLPRFTPEESALVKGSSDFYGMNSYTTFYVKHKTTPPDIN DHKGNIEQCETNKQGVPRGPVSDTYWLRTCPEGFRKLLNWIWTRYGVPIYITENGTTA KGETEPSKEVLNDTFRVEFFEGYVGSVAKAVKEDGVDIRSYFAWTFTDNWEWQAGYSD RFGVTFIDFESKEKTRYPKRSATVLKEMFQKLISEK VE01_09382 MSKLWYSTPAKEWSESLPIGNGRLGAVVYGRTSTELLQLNEDSV WYGGPLDRTPRDAFRHLPQLRKLIRCGNHAEAEKLVRLAFFSTPHSQRHYEPLGSATL EFGHEALDVQSYKRSLDLETSIVKVEYEHQGIQYVRETAASQPDNVLAMRIKASEPVT FMARLTRVSEWEYETNEFLDSVTATDGVITMHATPGGKGSNRLCCILSIKCDGEGSVS AVGNALVVTAREALVVIAAQTTYRHDNPEVTALADATKALNMPNLWERHIADYRSLYS RMGLHLYPDACDIPTDQRISQAPDPGLAALYHNYSRYLLISCSRDGFKPIPATLQGLW NPSFQPAWGSKYTININLQMNYWPANVSNLAECELPLFDLLERVAERGKKTAMTMYGC RGWAAHHNTDIWADTDPQDRWMPATLWPLGGAWLCVHVWESYLFNQDTEFLARMFPVL KGCVQFLVDFLIEDISGDHLVTCPSLSPENSFFEADKTRGTLCEGSTIDIQIITSVFD AFISACATLSLPADDLLPSVKASLSRLPPPKIGSFGQLQEWRTDYMEVEPGHRHISHL WALHPGSAITPSATPDLAAACTAVLNRRADHGGGHTGWSRAWLINLHARLWQAAECLG HVKKLLRDSTLPNLLDVHPPFQIDGNFGGGAGVLEMLVQSHEGFIRVLPACPAEWERG SVRGVKARGGWEVDFEWEGGRVKGPVVVRSEGSGGKVVFMNSSKVASFPEGKGEHLVY EPEDV VE01_09383 MGTDDKETTVHDLGRTTTNDVDDLKVSDAHVEEVAIARLTDEDL YKLSRESFKFRSRAGLRIMLIMFVQGCNQAGYGVDWQVISGINNMSSWHAYFNFGTSG STYGTLNALMNVGTMCGAPFLTFSDHIGRRGINFTGNAIVIVAAILQGCATNMPTFMA GRFILGFGSAIMSSPQYMAEVSPAHYRGRLVGLFGACFQIGSLGMTAGFIGFSKMEKS NLAWRIPVLLEALFPAIVCLTIYFLTPESPRYLVMKGKITQARRVIAKYQTNSDDENS ELVNAVIVQIEDSLEQDRVINRQWWNFLVFFTKPVRYRLLILVLYSVFQQWNGGGIIT TYLVPALETVGITNPTDIAGINFGLTGVYCVFTVAGAFLVDIVSRRNLIFAGLISFII LQTAATITGWRYSVDPTTAAAALTLLWIFSFQICSATLIATMHNLYPVECLSLVLRAK GLGFFSLIQGIAGTVQNYGISLGIGPLGYKIWAVYVGYNLLQLVMSYFVFPETSGLSL EEIDAVFETDGVNPVPMSLNIQKAKKESARLNAETDATLA VE01_09384 MDNAVNAVGRLFARPDQAQGGSSTTNSYNSSASTSTNREANTSR TGNSSRNENSNINRNANSNRNTTTSNEEDTTLDSEVSPAVEHRHVKKQHETREQTFIH KDKHQDHYHTTIQPLKDSETLPEKHDRTQETRRRSINNDHSDAKKKAEAGRSGFKNTT DQEQFETKTKERTQEDVHIHNHWHETVQPVIEKEVIDPSVTHKRIDVEENIQEPAKYH GVTTNAAVSAEEFMKKHNVEKK VE01_09385 MGFLMGALALTSLFGTPISGKLVGSYGYLSLSIFTGISLVVGSI LIFWARLRLNRNIFAKQ VE01_09386 MSKTSISTPTARTVVDEDYRTASELLVNVGACYDSNISDDSHGI SLKVEPVNRWAAEPYIRRAGNTTSLGTIWLPTKAEALSLLKYYMDNIHYLHPVIDALA VRRMVETVYTYPRGRVYREAAHIALLLSIFASTGYLWSSHENDELVVFSTSKVAILAS SAWSDAALDVADYSRRTSSGSIENIQATIIIEYLIYNNNGFSATCRLLHSNTISMARD LSLHRIDIPHRRNKTDSEADMAEDETKRRIWWYVASTDWLLAFSGGPQEGTYLIHPAH MHVRYPQIVSGDLVAQSDQSNGSESTPTSMSYFLQRIRLSEICRTIVDSIPRFLDDID MVDYDKIVALDDQFEDLTKSFPVFFHLDEESRLMSRGTDHRFPHIATQRYLIHLGVLN RRCKLHQPYLIRGFVESKYAYSRDVCLRSARQALEVNRVLEKTKSDLGCAPARFGTVM HHVFMATVVLVMDLCFNKIEGQEQQRQAEVMRACRMLQEAKQDSVLSKMFLDPLMDIL QKHRAIVLSDQKSLSFTPSGARNSHASPHKTNRGSSNTILQRPLHFSTMTGANQCTDN TSSMAPVNRSGQQYDMTQTYDHTGIDEIMQNYIDIGPNMDIPRWNELFADLDSHQAMD GNDYFYE VE01_09387 MPPARVKPSDVAAEAKKTYIPYIESKLSEQWPAHSYLVPESTRM RCDPPSQPSRCRMTAIEGDPVDVALELAITIDKPDGVALVNMANDKRPGGDWEAGVLA PEESICRRSNLVATLKRPAPNDNLCPANYPIPTTGGIYSPYVVIFRSGPDRYQLWQKF KTLPVISVPPVRRPKLDPTGLKYSFEQEKELMREKMRTVLRMAVWWGYSDLALGAFGC GPVFRNPTREVATMWRDLLYFDAEFRGHFSNVVFAFDPLDGSNPNPSPDKSSKKDASS KTSSKASGSSSKGKTKAKAASTHLEDMEIFRDVFDPAKMFPR VE01_09388 MPVAQRGLQRCLRLGAHGRVQQRFHSSAPSPTASPLSVAKLLAT PADDGERQVYGFVRSIRKQKTRAFAAIGDGSSLEPLQALLTPAQAENLTTGAAVHLTG QWTASPAKATQASELHVNAVDLIGASDATTYPLQKKFQTVEYLRTLPHLRARLPSNAL LLRLRSHAIAHLTTFFAAREFTQTHPPILTSSDCEGAGEVFGISTAAESPSDAYDPAA SGEAATAPSSAAVPFFRTPKYLTVSSQLHLEALAQAVGGVWTLSPTFRAERSDTARHL SEFYMLEAEATFVSSLDEVMDLAEDMIRSVTKGLNDSTIGEEVLRGQRREGEEGMDLE GRWKGILEGPWPRITYTQAIDALVASGETFEHAPVWGAGLQAEHERFLAREVGKGGPV FVTKYPAEIKPFYMLPSSTSGVPEDKKTVDCFDLLLPDVCEVAGGSLREHSLEPLQAA MRKHGVAEEGMEWYTDLRRWGSVPHGGFGVGFDRLLVYLGGVGNIREVVAFPRWVGRC EC VE01_09389 MTETYRGHVRSPADAIKLFEACRLGLLPRVQRRLSEKERQSITS GSVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGNFVPAPRRLGGKTP DSGRGSDEDADMDQDSLDGYRYKADGLLKQSFSITTSNGQHLHLISYFARQHPSITDL PQPTTDPALRHIVPVKGMYPESTVHDQAPPPPRAQIQGPYMSSPQQMMPGNAPHSRSP YPYSPGYGAWPPSPVPTPPYNYPPSMYGSQLPPPPPNDRSPHQYSPQHLPPHMQHHPA PQPYDRSPHHPHSPHDSLAPRHLSASGPPPQMSTLAYATNAARDAQHALAQAQAMQAQ QLGQMHSGARGPPSVDPRLGPRVPPLHHQQSNGHAPPPLHHLSSPTGSRDGSLGQDRP QQRSPLSNGAPPHQPRSGEAQSIPSISNMVHGTPSSGPPPSSAPLSASSSQQRSPGGA PQQPSPSSRMPDKGVSPARNDEDEAKGANGIPREIPHANITGPGFGGEDMRALRVLDR KFCI VE01_09390 MVLLSNVIAFFIRNHLLTVVEGRASSQSHSINNNPPAISYSTGT GGWEHALVKANAFIARLNLTEKVSMVTGDNGPCIGNIAPIERLGFSGICMSDGPTAFN RADLVSIFPAGLTAAASWDRDLIYQRALALGSEFRAKGSHVGLGPVGGPLGRHPLGGR NWEGFSADPYLTGVAMASSVRGFHDAGVQSCSKHFIGNEQETQRSNTVFADGTNIEAV SSNIDDRTLHELYLWPFADAVKAGTTSIMCSYNRVNQTYACENSELLNGILKKELGFQ GYVVSDWFATHSGVKSANAGLDMTMPGTIDVESLSAGTGLSYFGANLTTAVNNGSVPM TRLDDMVRRIMMPYYFLGQDKNFPTTDPSAMHVLAAQYGASLGVPEIPARDVRKDHAK IIRKLGAAGTVLLKNLNSTLPLKSPKNIGVFGNDAPDPTDGLTIISDGSFESETYGYD IGTLDVGGGSGTGRHSSLISPLSAIKSKAQEIGARVQYITSNSRLASNDFRSIFPTPD VCIVFLKTFASEGRDRTSFENDWNSTAVVNNVARKCPNTIVVTHSGGVNTMPWAKNPN VKAILAAHYPGQESGNSIVDILWGDVNPSGKLPYTISEHESDYDIPVTNLSSVTAVDE WQADFTEGLMIDYRHFDSKNITPLYEFGYGLSYTTFSLSSFSVSKLVKNPPAIPDASK AILPGGNPDLYSPLLTASTKVSNTGSLAGSTVVQLYISLPQDSVPAGTPLRVLRGFEK VALKPGESKDVKFTLTRRDVSYWDVIAQTWRIPRGEIKVSVGFSSRDLKGEGSVTVLS K VE01_09391 MPCNLVTSFVRDTQTHVRHGDGGSRTLQSSVDAGTAVAPQSLLS TLIIPSSPYQALLVSSQNDLRAKSREEASTSLLPGGQVLREVVDIYFQLIHNYPHTLF YKPGFWADVESGEIPEMILLGMIALSLRFSRNECFRPFDPRSRGKEFADEARRHIHIQ MMNPSVATVQACVLIGHYLGGEGDVKSKHIYLGLARLHAQAIRLWEMPVDSTIVSREV RRRTWLSVIIAENWSATDMSTQPVLSSEHDMLFPIMDEVEFLTLQPAAFRDGLNFQSS QRCMWAQMAATIDVFRQISAMISKLSLTLRTLDSYRSEISDLSQRLDHWDQRLPPELQ YSMDNLVYFGNAGLGLTFLGMHMGYHHFRQLLYYPFLNSQANHDIALPPRLLSGDMNY ARLCKKHALAISDLVKLGFETSDCNPVYFLTGHILVVSSSIHLHTLLFGEDQAAADVA RERLVSNFEILMQLKMYWPVIDSSVARLREFQNSRRLSVSDSFVLDNWMLKFLMEHTA NLGPDSHPIPQTQDPPLHFDLEVVPFSGPSDPLQIGNQPVVGEGAGMSELEPENLSGL ATSSLSTLLQDKSMSNEAVVDNALHWLLDQDLKASEY VE01_09392 MAPSVAQLPEQIPTSKLPTSPASTSFNLFPQSRLPFSPSLFANP TSEYRGTPLWSWNTKLDLDQLLRQIDHMEEMGLGGFHMHSRVGLDTEYMGEEFMHMVK KCVERAKEKGMLAWLYDEDRWPSGAAGGLVTKDEDKFRSRHMLITPWKYGDPNRPDQA EENHSCSAVASRSELGFLAARYSIILDKDGFLVESRRLEDSEEDFEGVWYAYVETNPP SEWFNGSYYVDTLSAPAMQRFVDLTYEPYKKAVGGEFGKTVPAIFTDEPQFALKNQLK LAHGKRDIFLPWTLDLPHTYQDAYSSNLLDFLPEVVWDTKGPSKTRYNYHDHVCTRFV TSFIDLLGNWCSTNGIALTGHMMKEASLRSQTSSLGEAMRCYRNMHLPGIDLLCDRRE FTTAKQAQSVSRQYGRCGVLSEIYGVTNWTFTFEGHKGCGDWQAALGINVRAHHLAWV TMAGEAKRDYPAAIGYQSPWYKEYPLIENHFARVNTALTRGTPVVRVAVIHPVESFWL SFGPNETSVDQDERDRQFSDLTDWLCYGLVDFDFISESLLPEQNPRTENGKLCVGVQQ YDVVIVPNLRTIRSTTLQILEDFAKGSGRVIVAGSDPSLVDVEASLQPKSLSAARVPF TRLDILRELETVRDVKIVLDTGMEADKLLYQMRADGEEGYLFICNTDRVKPSKCRVDI RGAWSATLLDTFSGKSYSFKTDVVEGWTRFHHHFDGCASLLLRLYPVTHEPCLSALEI PAWTVSHELVDCAASLSEPNVLLLDIASHKLNNDVDWEAPEEILRIDNIARENLGLRQ KKDAFAQPWTTPKSAPTNTISLRFNFTSTVDVRGAHLALENAAIATISLDGAPVVASS SGYWVDESISTIPLPPIPAGSHELILSLPFGPATNLERVYILGEFGVDLRGRKATIVP LVLDKLAVGDYTRQGLPFYVGNVHYDFTLRVEGPRPQRTAIQVPRFVAPLLAVQLDGR DVGAIAFQPHTLDLGELSAGEYKLQITAYGNRDHAFGALHLPEGLTKWYGPDAFRTAG EWWSYEYTIREMGVLTAVRVLMTDEKAAAGKGWDRGSIKGYL VE01_09393 MGYYIIIVALVVAIGGFVFGVDSGIMGTTLGHNTFKLYMLGPTM KNPSLIGAIVSLYNTGQALGTFITGYSADRFSRRWTICAAGIISTIGAALQSGAANVG MMIAGRFIAGLGCGMILTVVPVYIAEVSPPKQRGMIVGLQGMMISIGFFTANWIGYGG DFATGDAQWRIPLAMQIPGAICLSIGCFFIPYSPRWLIQKERYEEAKKTLLALYGDKG EDFLSQEFSQIHDQIQLEQTASRTKFLKAAGELFSRRYIRRTGTACFILMMGQLSGST VIQNYQNTFYAAVGFTGRTALLISGVYGIMGVIGQVIYLVAVADKWPRTRTLWVGSFF LCVMISICMALSAQFPAGTTSIAGPRAAIAFIFIYSCGYAVFFNAMVWVVPSELFPFF LRTKGLGLAVFSKSVVAIVLAQITPVAIQNVGWRYYALFIATNFAAAFVYYFFLPETM GKSLEEIAELFGDAVVTEHQGDIDNIEKEKDVIPQSKHAETSV VE01_09394 MSDYQLYHALGSGRPGEDPLHPEVPPNQAPNQFRPPVAPSPYQQ AGSGYGDGHQYQQPQQFQQYQQPQQPQQPQQYNEVVSPPTQFSPTSPYPQGQGQGFAP QHLGGQPQDGGLAQQMGGLGLEEPAAHGRKKKKDRHAYHSLEAPGGSSAAFNGIPPAG TSQTDFLNADPSIHGHNVSRPVTPLMSQFPAQASAPFNPANPAMIDPNARPLGDAVFS GDPVQASAQGKVDPDQIPSIPRSRDVVAQYYLENVYPTFEHHLPPPAVVPFVAYDQGN SSPKFTRLSVNNIPSSAEGLKSTGLPLGLVLQPLAPLQAGELEIPVLDFGEAGPPRCH RCRAYINPFMVFRQGGNKFVCNMCTYPNDVPAEYFCATNPQGARVDREQRPELMRGTV EFMVPKEYWSKPPVGIRWLFIIDVTQESYNKGFLEAFCEGIMSSLYGKDVQAPSEGEQ ADPNAHRTIPPGAKVGFMTYDKEIHFYNVNSSLEQAQMIVMPDVDDPFVPISDGFFVD PYESKDIITSLLNHLPTLFSTIKNPEPALLPTLNAAVAALEKTGGKIVCSLAALPTWG PGRLFLRDENRIASGEADKKIATTENPLWKKTAESMLQNGIGVDFFLAAPGGGYLDIA TIGQVSASTGGETFYYPNFHAPRDTAKLSQEIKHTVTRETGYQALLKVRCSNGLQVAS YHGNFIHHTFGADVELGVIDADKAMGVLFSYDGKLDSKLDAHFQSALLYTTASGERRV RCCNVIASVSDNAKDCMKFVDQDAVYNLIAKEAATKMATNTLKDIRASIAEKNVDILA GYRKNFSGSHPPGQLVLPENLKEFSMYMLALVKSRALKGGNEPTDRRVQDMRMLRSMG ALELSLYLYPRMIPIHNLDPADGFPDATTSHLRMPPAARASFSRVEPGGVYLLDNGQN CYLWLHAQTSPNLLVDLFGEGKDTLKALNPFVSSLPVLETHLNVQVRNILEYLRTLAR QGLDGAEYEFARLLIEDRNNEAQSYVDWLVHIHRSVQLELTGQRKKESTGDNSLASNF SGLRPSYW VE01_09395 MFNFTPLAGAQSESSASQSLLELDGGVKVLIDVGWDETFDVEKL RNLEKHVPTISIVLLTHATVAHLAAYAHCCKHFPLFTRIPIYATTPVISLGRTLLQDL YASTPLASTIIPSSLLSETSYSYSKPGSGEDDSHILLQSPTHEEIANYFSLIHPLKYS QPHQPLPSPFSPPLNGLTITAYNAGHTLGGTIWHIQHGLESIVYAVDWNQARENILAG AAWLGGAGAGGAEVIEQLRKPTALICSSKGAERIALAGGRTKRDEALLDMIKSAIAKG GTVLIPTDSSARVLELAYLLEHAWRKDASNPESPFQNANLYLCSKNIGATMRYTRSML EWMDDGIIREFEAIAGGIDRQPNKPSEPRQAGAGPFDFKHVRLIERKGGVSAVLNNDA TKDGKPMAKVILASDRSLDWGFSKDILRNIAADSRNLVILTEKMSKLPDGGRSSGVSR TLWSWWDERKDGVALEQGSTGDSLEQVYGGGRELTLTDVKREALEGNELLSYQQWLAT QRQLQTITQPGGPTALDTSADAVDDASSVSSSDSEESETEQQGKALNISTALGQAGRK KMGLSDEDLGINILLRRKGVHDYDVRGKKGREKMFPLVIRRRRADEFGDLIRPEEYLR AEERDEAEAQDSRQSGKYDTQDTLGKKRRWDDVVTKADRRPSDSANKRQQTGFNDSGD IPAINDGGFANGVFDEDAIEDELDVVGPAKAIFSTESITVNLRLAFVDFEGLHDKRSL HMLIPLIQPRKLILVSGLKDETLALALDCRRLLGGGGDKQVDVYTPEVGATIDASVDT NAWAVKLTHSLVKQLRWQKVKGLGIVTLSGRLAAALPSSTESIDGSEGNANKKQKIDS DKDSEEVPDNESQALQTIPEPEKASMPVLDTLPTSMASATRSVAQPLHVGDLRLADLR KIMLSAGYTAEFRGEGTLLIDGCVAVRKLGTGAIEVESAGIGQTGIPQQRNTFYAVKM KIYEGLAVVAGG VE01_09396 MADWGYNPPHGWAQGPWDSFDGFFYQDPSGWAPAAHGFFPAPPG FGWYELPGDGMGGYFGDFTGGLSLGGYGGMCGTMPCDIGGMGGIMGYPGLPNLGVGGG GQSWQQLGLPVDDGGIPGVNIKNSTDGIGLEPGYNYIFAKEHCKIHVIKSATAPWQNE GNETFNYTAHVVPTCVTLKTLLQQFGCDNEDPGKNVLHEVVPGGGGKWYRGLTIKGDN GDLMKKKVSEMGWDEKRDGKKGEVVWVYFTKD VE01_09397 MATGSMHHSWGNKSQQAPSQTIHTTTALNRWSVADKQLPSVDKI KTIHVYDFDNTLFNSPLPNPKIWNGPTIGLFQTQDVFATGGWWHDVRILAATGEGADL EEKRGMQQEDALTVLLTGRSEHGFAELINRMVASRELAFDMVVLKPKAGPNNQRFGST MLFKQAFLETLMETYKVADEIRIYEDRVKHVKGFRDFFMDYNKRQNGIGGYPTRGPIT AEVIQVADSATLLDPVIEASEIQRIINDHNGAVTKDTATVRSKRLCIKKTVFYTGYLI TQPDTQKLLSLVHPPQNMPESELKYLANNILITPRPAPNSILDKVGGIGNKLLWEVTG TASFESKVWACSVRPVPQSSKFYTENPVPIVVLALRKGARPIDAAKIQNWQPVPPEKQ FIFETTVGEKVLLRVEEEDKQEGEYESLFPNKSYKRKHIEDDGVPRGPSGRGGHRNDH RDGGGRGGRGGFNQPRHQQGRGGGRGGRGAAPAPGRGRGRGGHNYRSLDDVGSQVQQG HAAGITYEDFPSLPTAYQKQQTEGQRMKQGEYGRVPSGPQGQGRGGGGLGQYY VE01_09398 MPHSVTPDLQPTGTTGDETMEEAVDVQNTEASVPTKEDEEMGNI DGEETKLVKTDDSDEMDEPTPAQENIKPDPSPAKVKQEINLENIFDDMSSDEEFPSSA APDTKVSPSPQDAPSPINIGPSARASDPEVMRSFYQRLFPWRPLFQWLNHSPMPSNDF AHREFAFTLSNDAYLRYQSFPNSELLRRDVLRLMPSRFEIGPVYSTNPRDRKTLRGST AFKPIAKELCFDIDLTDYDDVRTCCDKANICIRCWQFITMAIKVVDVALRDDFGFKHI MWVYSGRRGAHAWVCDKKARTMDDSKRRAIAGYLEVIKGGAQSGKKVNVKRPLHPHLA RSLETLKSHFQADILEDQDPWATQEKADRLLQLLPDRTLNESLRKKWDSAPGRSSASK WADIDALAKSGASKSLDTKALLEAKQDIVLEYTYPRLDIEVSKKLNHLLKSPFVVHPG TGRVCVPIDTRKLEAFDPLAVPTVTELLGEIDKWTGPEEVGGRNVQDWEKTSLKPYID YFRTFVAGIIRDEKESEKEVKVKREREEGVAAPEAMEF VE01_09399 MAGFPNLAQLMGSDIVTVYVGPREKRYSVHKTLLTSQSEYFEKA LNGKFKEADEQTIRLPEDSPDAFDLLIGWLYQNQIPVLGYGPGPFDEFPRGIFVGATK LGNAALPPNRGTGSVAYRAHEEVSATIFVQPQGNNNMRDRFDHIGTQTDYNQYSPEEL RLEDYALNHRFSDFSRPSPENAVIPQPFPVSPGRIDGIPYCSPIEPIMESEEAHQLAL LRLCLFAETICWTNLFNIAMSTYIQGESFLAPRPMPTEHIELIYERAHPESPCRKFAA YATISQINAAGQIDRNMDLVEQWPSFLEDIFKRLRLGVDVSLAHKPKVNGACDYHVHD AKHRPEDCPAVSETRRFILNARAPTRQTFVTKPQNSARSSGGGSESTGSGDGGTTVGS SGEIRYTTYLPNTGYTTRTLGYDDTTGGPPHDTFGRPGDTMDWGGPWLKGAGFGQTPT QGFGTGGFGNSSVPFGTPRTAFGTSGPFSHFVGVAASASTNPTTTGASSSSAQGGGLD SSGTNILSPQASATAPLSANSSPPQAPVSTEPTVSHNSAAAPNTPASTAASPAITTPA GSSSEAGASNAAPQNDTGDGTGSISQGSNPIFGRVSPLASPLPSLTARSTSAGGIFGQ RLASSSSTTNPGSFFPTSGPAARTNMPTSSGFTMFSPPQSSSTSGFDASRAAPPSTNT GSTSPFGLLGARSTPAPSSHSLFGSTSRAPDAGVPAQSSSSNPFGFRNEPSVSPSNAA TTATPSNAGTLFGSPPTNLFGLRNASNVSPLNSATGFVPPKPKNLFGIAPEDYNTNLP DEGSDR VE01_09400 MTDSADMELPSLKALQTGEQMELLNIVDSLRAEGLGEITALPQL IVCGDQSSGKSSVLEAISGIPFPRKENTCTRFATEVILRRSPESRISVSVVPSRDREQ ADREKLLKFRHQLSTPDDFPDLFDKAKDAMLNVPGKSFSKDILRIEFCGPSQPQLTLV DLPGLIHSHIEAEQQTPEDVKLVTELVSDYLKSPRSIILAIVSAKYDINVQVILNRAR EVDPQGIRTLGIITKPDTLVKGSESEDSFVKLARNERVKFRLGWHVVKNLDSAHKESL ESTLETRNTEEKAFFKGSNFKSLPSQNVGIESLRTQLSKILFNQIRLELPRLVDDIEQ RILTARASKDKLGPSRTSIDDQRSFLIQLSQTFQTICQAAVRGDYDNDFFHSDELREK RLFQSDELSEKRLCAILMDKHFGFADNLLKKGCQWTIGERSFDTVGFTFDTKRRTREE AIADACRLLKRSRGRELPGLPNPLLVGELFREYSRPWDTLARMHIKNTWEITNRFLDL VLQHLTDDDVREKILRLWLEPVMAQKLETAYGKLDELLEVHKEHPFTTNHHFMDNRKK LQQKDTKDEIQQKLLGVVKAGQKLSVEDITLLVMNLKPEVKADMDTIAAEDAFDNMMA YYEVAMKLFMDNVPTLAIQAPIVRKLDEVLCPTAVFRMPPDLVAKIAGESEEKMQERE EILSKLSTLETGAQICRQYAMRPQSFATAPLNPPFKESAVSSSTSSRTGLFGGGTPSK GLSTNSASGDPPSASSGTGLFGGGTPSKGLSTNSASGDPPSASSGTGLFGGGTPSKGL SSNAGFGASLGLTPETGLFGSAARPPSSSQTSQTGFGGFGPSGISPKPSSSVLSTEAN APKSYATNFFEQSTSENAEQSGKRK VE01_09401 MSQLRLTIEDGEFRDSHGRQITIRGINVAGDAKYPSKPEQPSHI SADFFEGDNVEFHERPFPLEDAHIHFSRLKRWGYNTIRYVFTWEAIEHAGPGIYDEEW IQHTISVLRAAREYGFYIFMDPHQDVWSRFSGGSGAPMWTLYAAGLNPEAFVATEAAL VHCMYPEPEKFPKMIWSTNYTRLVCQVMFSLFFGGKDFAPKCIIDGINIQDYLQNHFC GALGHLAQKIHEAGDLENDIVIGWESMNEPSRGLIGYNDLSVIPSEQKLQKGTSPTAW QAIQLGSGRACEVDTWDIGGMGPYKSGRALVDPEGKSAWLDADYDDSRYGWKREPGWK LGECIWAQHGVWDPKNDVLLKKDYFKTNPRTGEVLGNYESFTNTYFMDYYRKNRDTIR AIHGDSILFCQPPTLEIPPTIKGTVDDDPKMVYAPHYYDGITLMTKKWNRFWNVDVLG ILRGRYLSPAFAIKVGETAIRNCFRDQMSAMKKEGLDNMGNHPCVMTEFGIPYDMDDK YAYKTGDYSSQSGALDANHFAVEGSMMAGYTLWVYMCNNTHALGDQWNGEDFSVFSLD DRLLPQSALPVSASNASIVNASSATLGDSYINSRNLKDNLKTPSISSVPSNAPELTAA PGYRAAEAYVRPSPIATAGKLLQSGFDLRNYTFTMRLTADSATAEDTPTEVLLPEFHF PSDKCVVEVSGGKWSIGHFDEDGGMTQKLKWWHNEGEQWLKVVGVRNIIQGAGPSGGE EEMGYLSQCQQAVYGSKCSVM VE01_09402 MSTNKAALKSIGSAIQARNYDDAVSQAQKLLANDPQHYQGNLFL GFAYDKLHKVAEAEQAYDRATKSKPEDPQAWQGLIKLYENQGSAKVDAYQNAATRLAT IYVELDDRYKCQAVIDTFTAFAKNQGTRRQHLKSLEVLLPTSPVYDYLEGRIPHPSYT YQTIAQITEADEKERMNKEIGERRTRLGAKINQVTLEVRREIFSESPLEDIYSKIIDW SNDDDIRRQYEEKLLQRCYDGLLVSPVGSQESKRAKVQKLAGDMVIIKHPFKLAWDIS VEWPDYPTLVEWDAGILREYSSFFPGSGLAKVLSGYMTSEISPFPAPPPSEKDELDKD SDDSEDDDEGGAPLDPSAPIEDRLLLMTEGMSEASGSILAHRVMGEYYAFLDEHESVV ELMRAGLKLITSESTKSGLPFTNSTQYLRALLGTALVYYQSPKNHPEAKTIFEELLTQ NPTSTPALIGVGLIYEEDEDFPSAIDFLERALQRDPNNIRIRAEAAWVKALNGDYETG RDGLESCLEKMDGSDLRSRELRAETQYRIGICIWNLDTSKAARKDRSGAYSYFLAALK SNMNFAPAYTSLGIFFGDYAKDKKRARKCFQKAFELSSAEVVAAERLAKAFAEQGDWE LVEVVSQRVVDSGKVKPAPGSKKPGISWPFAALGVAELNKQDYARSVASFQAALRISP KDYHSWVGLGESYHNSGRYIAATKAFTHAETFEQEVEQQNTGDTWFAKYMLANVKREL GSYDDAIQRYTEVLDLKPEELGVEMALIQTYVESAWDSIDKGLFGRSAKLATDAINLA QALISRGYDAFNIWKSLGDACSIFSWVQGRLPDFPHLVIKEIFQTEDAGESSTLFEDI DGVGKDVVLADGLFPDDEQAAVNLTRCLHGALLCHKRAIQASASEVHAQAVAYYNLGW SEYRAHVCLRPKAKKSTRYLKAAVRCFKRAIELEASNADFWNSLGVVTSELSPRVAQH SFVRSLFLNERSAQAWTNLGTLALLQNDHQVANEAFTRAQSTDPEFGHAWVGQGLVAL LFGDPKEARLLFTHAIEISDSSSTLTNRQYALSTFDSLLGASAQSRIEDLVQPIFALN QLQILSPTEIPYQHLASVFLERVENTMAALSILETISTNIEADYEVTESPVSLTRFAL ARADLARAQFTAGDYTSAINSGETSLQLSAEDAGNELTPDQRQKCRLSAHLTVGLAYY HTENPTEAVSYIEAALAEAAGNPDAICLLAQVLWATGSQVSCDKATDSLFESIDANPG HVQSVLLLGTISLLNEDTESLVAVIEDLHTLRTSSNITDEQQAAVGELLRASAALEEE NAEAAVLREVQTDVFLFPNQPPGWTRMADLSGDPYASEMALRTAVKSAPPYGLVEATE LGRAFAGTGKVGDAQRGVMFAPWRREGWDTLAALVEP VE01_09403 MAVNKIRGAFAAPKKGETFELRAGLVSQYAWERKESIQKTIMSM TLGKDVSALFPDVLKNIATPDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLVRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTMCI ENGFLERLQELIGDPNPMVVANSVTALVEINEAAPETKALRITSVTLKKMIMALTECT EWGRVTILTTLADYKAADVKESEHICERVSPQFQHVNPSVVLAAVKVVFLHMRNLTQE QQIQYQRKMAPPLVTLVASAPEVQYVALRNIDLLLQAKPDILSKELRVFFCKYNDPPY VKLQKLEIMVRIANDKNVDQLLAELKEYGAEVDMDFVRRAVKAIGQVAIKIESASEKC VNTLLDLIATKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCKYIDELDEPEARGALIW IVGEYAEKISNADEILSGFVEGFMDENTQTQLQILTAVVKLFLKKPDNNQTLVQQVLQ QATAENDNPDIRDRAYVYWRLLSGDLDAAKSVILSDKPAITTTMTSLPPSLLESLLTE LSTLASVYHKPPETFVGQGRFGADAIQHAAIQEQMQNARENPIAASVATTAGTSQNNA ENLLDIDFDGAAPASSEAPPLGGASGLEGLAGTPQRVASPDAGAPQTSSMNDMMGLFD APAPSQPGGNDLMNGFAGMDLGGSSQPPPPQTQLQGQNGKKTNDDLLGMF VE01_09404 MWKKEATVEAIHPLPDIASSNFPNLRRLFVEARTDAEESTYSRT AFYNLVLFLSSVTVFSLAAQRVRGGKVTAP VE01_09405 MSEIQKNLVDKNTEYSAAFTKGHLALPPAKKYLVLTCMDARIDP AAAYGIDLGDAHVVRNAGANAKDALRSIVISQQLLGTNEIILVKHTGCGMLTFDDDVA TGLVEKNLGAEAKEALGAFGGDFKTFPQLEDAVRDDVKLLKETPLINDEVPITGWVYE VETGKVRQVV VE01_09406 MTMTDYKTTVDNAAENKVDKPTPTEDFRIIFAMAEASGVTEAGL KAALTEKIGATHVEIEDMSGGCGQAFSAIIVSPEFAKKTTLARHRLVNTALKAEIAAI HAWTPKCYTPEQWKEQAPGTVAPGKATTGEVVTGTLA VE01_09407 MSNASSSPFTQAVVAAVRNLYPEKLADSSFDNTGLLLEAPHRKN HLKNSVLLTVDLTKGVADEAIRRKDSVVIAYHPIIFRSLKAITLANTQQQSLLRLAQE GISVYCPHTAVDAAPGGLNDWLADVVTGKANSEGLSNAAAHTKEVITPVKDAVPGFES AGYGRIVRFEQPQRLGTLVERITNGLGPLSGICLAVPQDTPTGSKADLKISSVGICAG SGSMLNGQDVDLLFTGELSHHEALGAIEQGKVVVTAFHSNSERKFLSQGMKQDLQAHI AEALKAQAASTRLDGAKPVAGEAPSDVADFEVAVSEVDRDPYEIIVAGSSGW VE01_09408 MSTIASPRESSLPRRQIPQIHTPTGSSRASIDSPRIGSSSPNRG PAPRRNRAALREYYNIKSADAESGKGDIDDASSEYSINDQSDVQESEMDQEGFDGEVY VKRVLETQNLEELLRTYNGVLTDIRALDAEKKALVYDNYSKLIAATETIRKMRANMDP LNPMASTLDPAIAQIYERANAAKADLRASMTSTQHAEAEMSEEDREKAVKRRKTRDAV RKVLDTPERLRTLVAEGNEEDARNVWEPVLRVLETWKEQGKGGMDVQDCIDDGEAALR GEPPNERSWVNIKAKK VE01_09409 MASLPAVTDGLLAAGFPRPSSQWLSSLISGVRGNNPPQTVLAAT AKHRLMLLDLTTPSLFDPSAVSLPPSLSEPVVKERKIAHSVLVQVLAVEDMSKSRWEQ IELIEAMERGEKTKGREIIRDVPGEEGENGVRVGAPLVGLKGGPHKLLLEDWKGQRVY GMEIVGVPKVDLGMSIGTKILLKGVTVARGMVLLEPATTVVLGGKIDALHEVWIKDRK KILKEAIESIA VE01_09410 MSVSAGHDQAPAALETRLANGSDSNPLAQIHEALTVVHSPYSSN ESRKQASAYLEGIKADNAAPYHGFTLASDKNQEAVVSHYALSLLEHAIKHRWSEYNSF EEEAMRGWVLQLSENVSPKDPLFIRNKTAELWVEVAKRSWGSQWLDMDTLLVSLWNVP GSVVHKEFVLFVLETLSEEVFNREDGITAMRETVLSKACVEIFTPAQVLQEAFPNRQV GANVRCGDEGWLVRLGALLDQCVENDVESNEQYRGCAVKILSVYKSIMPWAIPKAIAA ASCVFHISRSLASPSVAVQQASLEALHALYSRTHFTDEEFLTLVSPMYTTERVNLLRK VYEWSIIDPNDIDDEKYLLGKRFSEMMSKLGNFIGQKISSIPEDCDLPNLLNLFFSIV QNQSFVVAIPVLVTWTHLLRSETIVDSPTTAHLIAPLLELCSSRLIRYENLPADSEDP SLALLVEDIDTIPERHAFLGNYRRYNVQCIELIVRRKTSDAIYHILSQVWHSIENLYD GIPPFSIESYSKNSPAILRVDAQFTVVEAALKGYMKWRDTHGSNPQEDEQKRAKMEED FQGWCERLLGMSFEDPIIRMRALQLAVLFSTSALDKKPNFMLQVLEHVLMTRPVDHPE HRAYSDAVKELTSDSMHELTRLATKMPDHLLEVYDQLEAKVSEIIAAGNIESRQEISY RTFLFIIIHRAKGIDPAVRLERLQSFIVPVKQMWQNPALDTSLSSFDGFCDLLGLGKV REYLVSRQVHKIQDWGSSTLDDEGIAIQTELTERLKSLPLRPTKSFLGCSTDKIEKDT EPYNTTCSLWHDSVPLMLPGLLKFLGHAHAFHNPANWSGLPQEMQPIVSRILTDRFWQ SGISGGSKDDFYARVSGTRTTMEGFASSIRGTVRAVREACYSILYGLSRLNVHFYGFQ ELPGPLANALFADAHFLSSHQLINLMTLVRYMVDDCPIQLRAHFVPPILATCFRQMDG KISSQWELLGQRQQTTSDEVGLTEEMKEESLLRQLTYTAVMLVASLFDPQRANESETS QPTTNKEASTYTPGEGPGGAKEWPTMRRFCLTESSILESLMLFSTHAIRMRDTRCCGV VLRVFRSIIPAFRNHRDTDPATAAPIREFISTEVLQASITSLHEPYFVELQKDLAQLI AAILVSYCDLTNTPKAILMSLPGLQETAVDKCIDYVNRPGVQPRQQRAIVLDLLRDLK GVSISEQGRISKTAAVVRKERSKMQQEFMQTEQQKEAPSGLGRRTPELEGLGALFNI VE01_09411 MSSPPPSTPLSRSSTFENTTDDNTTATTSSSGYLPSIGKFLRRL SSGPIYGSTPSTPASPSLENSQTLFEEPDSDMHGSIYTPPLRTASPFQPPPLYPLSLC GYSENTDESARLMSKAIAEEIRLLIPPRLQLCDEWHLVYSLEQDGVSLGTMYKNCDQL MGLRNGYVLVVKDGDGGLFGAYLTEAPHISPHYFGTGECFLWRASVFSQADLSQLPLP PSADTTNMQRNTSLNITSPSPSPHPRSPNTLAPPTAPPSRPTSPSQVRFKAFPYSGIN DYFMLCDATFFSIGGGDGNYGLWLDDSFDRGISSTCLTFGNEPLSDQGKKFDIIGVEL WAVGNLG VE01_09412 MAEPAQSASAPTKDALVTPPSTPGAVPKKYPKGVVLGKDGKPCR SCTSFAAWSAMAATETSNSPATKGKSTKIPAALPDDCPPDVEALGRASWTLLHSITAA YPEKPTLAEQSSAMSFVKSFGKLYPCTWCGEDFQKYMEREKVRVGSRDEFGRWMCEAH NDVNVKLGKKTFDCDKWEERWRTGWKNGRCG VE01_09413 MTTTSPDTGSIPIFLLKTKSTPHDGYEEFFSATKLAGQDLAPTF VPVLEHKLLEPGLDTVRQLLRSRRINDSGDEGTYGGMIFTSQRAVEAFASLVAEGPPS STDDTTWPSLSTTPIYTVGPATTRALRSIPSTPPLQIHGSETGNGEALAGYMLTHYLP SAPKPRPPLLFLVGDNRRDIIPKTLMSDSLPPTERIRVDELEVYGTTTQSSFPTAFAS ILSSSSSAKTRWVVVFSPTGCEAALRELGLLDEDTGRVKTGERGGGCGIRRGRRQTYV ATIGPTTRDYLRREFGFEADVCAEVPSPEGVGEAIGRFMVGLE VE01_09414 MATRAPNPTGSRKISFNVSEQYDIQDVVGEGAYGVVCSALHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRSYETFTEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPFKSMF PKTSDLALDLLEKLLAFNPVKRITVEEALKHPYLEPYHDPEDEPTAAPIPEEFFDFDK NKDNLSKEQLKQLIFNEIMR VE01_09415 MASSVRKRAAKDGARSGPSAAPEGAKISLSAESSEISEELTLVP KSAIKHLTKHSKPKGRKRRGGFVFFLGGIFGILVAGFFAQKNDLFELPEFADLISDLN ITGLMEVLPAGFLQEARELKGGEREALNYDAFSVGLKLAAEGLEATHPVVMIPGVISS GLESWGTSNVSRPYFRKRLWGSWTMMRALVVDKEEWKRHIMLDKYTGLDPPGIKVRAA QGFDATDFFITGYWIWSKILENLASIGYDPTNSYTASYDWRLSYSNLEARDQYFTRLK LHIEMAHRVQNKKIALVSHSMGGQVMFYFFHWVASPLGGNGGDSWVDDHIDSWINVSG CMLGALKGLPAVLSGEMKDTAQLNAFAVYGLERFLSREERVEIFRAMPGISSMLPMGG EAVWGNSTWAPDDQPGQEVSFGPFLNFKDNNITHPARNLTVTESLEFLMNTTEPWYQD AVKGSYSHGVAHSKAEVDANEKDPRKWVNPLETRLPLAPNLKIFCFYGTGKPTERSYF YHDTSAHPGLNVTIDTAINEGNIDHGIVLSEGDGTVNLLSMGYMCNKGWKMKRYNPAG TKIVVREMAHEPDRFSPRGGPNTGDHVDILGRASLNELILRIAAGRGDDIEDEVTSKI LEYSDNVKVWDD VE01_09416 MAMGERIAELPPDLQYIQYEHSLEKQYLPAIRSLISKDLSEPYS IYVYRYFLYHWGDLCFMAIDPKTSALIGVVVNKLEIHQSHSPPTLRGYIAMLAVSSSY RGHGIATTLVQKAIDAMIARDADEVILETEVSNTAAMKLYERLGFLRSKKLHRYYLSG STAYRLVLQLKSQLG VE01_09417 MTKFALEQNIAQLSAAIVTRQMCFERDIAVAAIHHMAITKEMTN GKWMLFPPLDRVNHIWSVVAHAVATGHLGLGAKVSPKLGHLETGRKLICIYTYDFSNV EDVIRVLHTLRDLGLVRRNETPIYYKCDAYTYLEIFSGNRWDIRPSLYSSQDGEDELK YSRGF VE01_09418 MDEGSLKNHAVATTLDLPPSCIEFSQASPEYFVVGTYNLEKGET EEATHDQENDEDDKPKNEVKAQERNGSLILYKLENDELSLTYTVTHPAAILDLHFSPF FPQIIAVASSTGSISLYKLEQTPRPHLALLQTFQLFPESTLVLSLAWHPSHSQLLGCT LNTSTVALLSLSPDFTQCTVLRDELSPHDDLEAWTLAFSPARLDGKTMPQALYSGGDD SKLRCLAFSSLASLSHPLPEITAASPGGNRGMRGHEAGVTAILPLPLGTEAGKDILLT GSYDDTVRVFATYDYRSGQNSNFKVLAEKKLGGGVWRLKFMDKESEKVADGERCFRVL ASCMHAGARILEIRGSKEGEWTIEVLARFEEHKSMNYGSDVQPLKRKDWRGNKNDGEG GGYDDAKERIIVSTSFYDRLLCCDETKPTCTQCRKSRRECPGYKDDFDLVFRNETQAT EKRAKKPTAKARKAASQAAAPSNRPWNSNAAPPGANHPDSSLVPSSRPAQVPATISIP VEQVASHYFFTNFVATSDNGASIGLTDYIIPLANASPSNKHLSLAFSAASLAAFGNRP SGKSLLTKAQEQYSKAIRHVNDALRDPVAQKTDETLASVMLLGMYETILSRPVAMAAW GSHIDGAVMLVKLRGKKQLRTRVGSALFIAVRNLMSINCLSTGKRPDWGIDPWKYDGK DGDVREVVNQLALQMAELRYEINGLMTIAERTPENVSKVQDAMRRSWEMEHKIQDWIA KLPNALRFKTVAWVKSISSDEILDSEVYPGRIDTFPDVWIAGVWTLLRVTRLFISGAV VRCAAWLNTSVDYRTTPEYAAAARLGTDSVNDIVAGVPYHLGWKANKGPLQRFAVAGD NCFAFGDNQNTPRALGSYLSTWPIFSASCSDFATDAQRTWLKGRLHYITDVMGINQAG TLALYQLRLPSMTIKRDLMSRAALLTNQLPNQAFTPPSTASVSPPHSTSTVPSSTSYT TPQGTAYPSSTSSPPTSLPPNYPSAYPMPTSAAPGHLVNAPQIPPQFAYLLRQESPPD EHLLAAMESSSQKQWDQWERERRKRELERKKEALVDEGTGGDEMLAELLKRYFQVGMM DKD VE01_09419 MAWGSAKVPKPPAPPKSAIAKLVPLIILFGVLGGLAFVGYHIYL SIMQISDAASKKMEKKNVVFTKDGMKVGVKEIRNDDYVDQTQSVLVKAWNLSTWPGYK SKIWNKEKDEGKTN VE01_09420 MSAPQEGYPPQGYPQQEQYGEQQPQPGFGAAAPPPAEEHHDGHG KKKKRGYAAQAYDFGAGGNAALGGQPPNPENFAPVGAPAYGGYPAAAEQPAYTGNQPY TDAPQPSTFGQSALGGLGGYQAPQQPAGYPAPGAPPGGDVGGITQGMGQMGIAPQAAA PGAGGAPRVALNQLYPTDLLNQPFNVAEIELPPPPIILPPNSSVTPSPDANSSHKYVR STLNAVPTTNNLLKKSKLPFALVIQPYTSLHDADDPVPVIQDQIISRCRRCRTYINPY VTFLDHGHRWRCNMCNLTNDVPQGFDWDAATQQSVDRWQRAELNHAVVEFVAPQEYMV RPPQPLVYLFLFDVSYNAVNNGLLATSARTILDSLNRIPNADRRTRLGFMAVDSSLHY FTIPKDTEENGETNMLVVSDLDEPFLPIPQELLVPLSECRTNIEAFLTKLPSMFQNNV ITTSCMGSALRAGHKLISPLGGKLVVLTATLPNVGYGKLDLREDPKALGTSKEGALLQ TQNSFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTGGQTYFYPGWSAVRSE DAIKFASEFSDYLSSEIGLEAVLRVRATTGLRMNTFYGNFFNRSSDLCAFPAFPRDQA YVVEVAIDETLTKNFICMQAAVLHTTSNGERRIRVMTLSLPTTTILADLYASADQCAI TTYFSHKAVERALSNGLESARESLQSKLIELLQTFKKELAGGNMGGGGLQFPANLRGL PTLFLGLIKNLGLRKSAQIPSDLRSAALCLLSTLPLPLMMQYIYPRLYSLHDMPDNVG IPDPETSEIVLPAPLNLSSERLVPYGLYLITDGQTQFLWVGRDAVPQLLEDVFGVPDR TQVKVGKASLPELDNDFNERIRAVMLKCKDYRSRGVGSIIQPHLYIVKEDGDPSLKLW AQTMLLEDRADQGMSFQQWMGTLREKVG VE01_09421 MAAGYFPTKGQSPVDSRVASPALSSTGQAPIRSNALSSKVNSVL SASYADLEIRDALQLLDERGVENTVETRRQLRLDIQKDVIDSNGLVIKEFGHVAEQLR RIGQSIANLNQGCEEMRRHITAAHQETAPVLDEASDLMARKKDVEMKQKLLQAFSAHF VMSDDDIATLTSTAEPVNDEFFIVLNRAKQIQVDCEILLSSENQRLGLEIMEQITTNL NGAFQKLYRWIQREFKSLNLENPQINASIRRALRVLAERPTLFQNCLDFFAEAREHIL SDSFYTALTGLSVTGDEDKTVKPLELVAHDPLRYVGDMLAWTHSATVSEREALEVLFI SDGNEIAKGIQAGRDSEPWNKYTRDEEADTVFDGHKALNDLVDRDVAGVARVLKQRIE QVIQSHDETILVYKISNLVNFYRVMFTKILGEDSALLSTLQGLEDFGLRQFRVLMRDH IASLQTETQQAPADLGLPIFLQEGLKQLTEIMKTYDTSFTSAKSREENFKTVLEDAFE PYIRGIETMAKDMEMPSNSIFIINCLLSCKTALESYQFTADRAEELGKTVEQHSIDLI EYQYAFFLQSSGLQRLVDTLQSMGNEPDLKKLVSTEAFQPQALKDASQILDDFLPSAL MDALDNLRKLQSSRLAREITEEAADRFCEDFEVVEERITQADGVAERSEDEEEEDEEI LGLRALFPRTSGEIRVLLT VE01_09422 MMRNKNFSSLQKTYDECYLICSTAVYFESQGNESEALRSWRSAL DQIYFFNARTPADLRPRSETEKALKDSLRDMELQCKERVELLEALSVSRGDKASADEG AGVGSSSQQSSTANKLSKSPITPRPSNESEAPVRGFIGDGTIPAMSYPDLSRPARPTP SPRPRPPVPPRNISDRAVVGRNSSSTTVSSDTAINAAQAQVPSSGPDSLVPPKKVSKK TSRSRSPQKERSMLTTLRFNKGEKRPTKPARVSSNTTDDTVTSKAATLAWNALRKKPS PKDDGGRTHALGVAASATALDSSRKQGTAERPSSDNQEVYYNSHSRRLVTGRPKSNSP PESTTRGESYSTGDGPEYPFPSTNPQQQAAGSEPMLNFPTVSEIQNLPPIAPSSDPET TSRFKFRTPPPVPPAKPAKFDAPSYPTYLREYPETTAKKNAVESRTMLPLRRRSPAKP RTSGEERNPEANGSSSRKDARHVGRTSLDDDSSSPSYDERSGRPRRPRRKIPKQKEKE DAILADADAPKVEESDDSADRQEQDDWAKKVKYMMKHLPKGCDELAAKQIFNEIVVKG DEVHWDDVAGLDAAKNALKEAVVYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARA VATESRSTFFSISASSLTSKYLGESEKLVRALFALAKYLAPSIIFVDEIDSLLSSRAG AGEHEATRRIKTEFLIQWSDLQRAAAGREQTDKEKDAGDPTRVLVLAATNMPWLIDDA ARRRFVRRQYIPLPEGDTRAVQLANLLGHQKHNLSVEDIQKLVMITEGFSGSDITALA KDAAMGPLRSLGEALLHMPMDQIRPFQFEDFMASLVNIRPSVSQQGLMEYEAWAKEFG ERGG VE01_09423 MSAPQSRVINAVVLTAGLMQKTVKVRIGGQKWNKHVRKYFNYPQ TVLVHDPRSSLRAGDIIEISSGWRVSKSVRHVVSRIIAPFGEPIEARPSVMTEVERLE ERRLKKEAKQLRRAKIGTEEKIEENA VE01_09424 MPQDLPPVGGYNAVQYKRNLPARGFRPAYLLLGMGALMTYGFWR VGQGIREQNELAREKMWSRIYLIPMLTAEEDRDLVRRHLADQSREKELLGKTTSAYNS DRFVRPTFAATPQGISK VE01_09425 MAETEERPSKMRKLEPVNDISISQTDGQDDIENDLKTSQQSIEK HETESAVPSDSEHEEEEQDVNKNKTAGGLAGDSGTPSMSKNQLKKIRRKAAWEAGKDY RKEQKKAKITAKRAQRAADKAELLASGIDLAALAKPRPTPSTQVPVTLLLDCDFDGYM TDKEVMSLGQQITRCYSDNKHAKYRAHLVVSSFGGRLKERYETVLSNHHLGWKGVTFS EKFFVEAAKDIHDVMIGSEGGRVKGALLGALLPTDNIPVLVQEPDTSDKIQQPNEPAS EPEKQTTAQPAQAANGSESSIPSEPVSTPQIPDIPIPTTPQIVYLSSDSEHTLDSLSP YTTYVIGGIVDKNRHKGLCHKRANELGIPTAKLPIGEYMQMQSRTVLTTNHVVEIMAN WLETGDWGEAFLKAIPKRKEAVLRKGGKKQRPENGKEGENEGEDDVLEEDTMEMDETN NDEATPKDSKGQNAAAVDETV VE01_09426 MTHPVLALLSIGLSICIVLRWQSTGPWTDFFQLIILAALSMKVL AWIIWASLWEGWEWVEDDDDEYEDEEREIREYWRMRDEEEMSGMVGSVIDGEEGE VE01_09427 MDRDMNSSTSALDANHLERPFRCLECHYAFRRVEHLTRHARSHR AERNLECSYCRKSFYRLDALKRHEKVHAEPKRSLLGKGERACLACAASRRKCTGDTPC SACERRSLECEYPAAGKNRRRRPGTALDESSNGFSAEDVITSPRESMMSWSNTPQSPV AAGHLTYQPVLSDQAGEKRPRCSRCKGRRLRYEYGTTRPRTIRSQSPAPIQYDGYEQY PNDDWTTQQEIVPGHIHFLDERGFEELETTSTYSYDSNVLGNTRSPVGDDATDIARSP SLSAQGDGLSRSRVNAAMTAWPTIPPILLELSVPAFMEFSEKRNRRALVDYFYSVFSH LVVFSEDIGNPFRQLILPLAHRGSPVMNAIYALSSAHLEIRGVNTEEKSSYFHNEATR GLSRLINNNEGLSTEEVLCAILLLIYYEALVQRDSYNMVIGHLKGAMTVMTTYSQTSS PTSMFLQRAFRYYDVITALSFGTPPISSASTPLLPLNILGATRPSPHNDVDSLLGMTT NFWHIIHRLSLLCELKGEIQKAEARSDAMKVMVLRTELECTSHAIENFLIQWKPSIRW PSGPSKSAPDDTTEICNCPSPATTSSSQQVHSPPLGSSDWDFDLSSPSNTASCDLTDP DAHIWSIINNAEAYRQGALVFLYRNIHMLERSHPKVQKHGSLSLAACVRVVEWAGPMP ALLWPMFISSVEAISEEDRSIATMAFSGTERRQGMLNITRAWEIVKEVWRRGDEGEEV DWRTVCKERGVNLVFG VE01_09428 MHSTSIGDVLALLSLVKTTYDNYTDSRSACLEVKADLDILLSTL ENVDTAIHQADEGSVLAEKVSAVLTRTHTIIKELQNYLERYIKQPDNIFEKASLASRR MLFNEDKIRRFHRRIKLNLLELSVVTSSSALRATERLAKAQKHHEMLNWLDDRSCPPR PSIPHLELQEGIVSWFHDTTEFKEWLEEPGRTLICDGKPGAGKTTLLAETLTMLERKA EKEYGARAFVFFQQHNRDQQRLQDVLRIFVLQLCKAAESLPDPSASEELEKLFKKCRS EYSPSADDLMKVLQSMVKKFPRIYFVLDAIDECSDTDNVRDHLLRYLRTLQLEAGAGV SILISSRHDHPHRNLFNKLGKPAFLRISARDDDIRSYVNNELESSSEPIVENICKEGS RLPEEIVQLLITSSSGMFLIAKLGIRMLLAQLKISELKSAMHKVEAGLADPYDQMYDL NIQRIQNHRERALSILARLVVTKKALSIRELQESLVMNDEPEAMDFDESDFIPAKNIL NICVGLIVVDEASQNVSLVHSTLRGYVQRKHADLVERGHIQFATASLRYLCLGRFADT HNPAEIQSGDIGQIMPARNEKYKFLRYAATYWAPHFRSTTTTGNTKSHNERESDLERL ALAFLGSSTLVASASRAMFFPEIFFTEKSKENMTGLHLASLFGVDSLVEKVIDLGHSI NAGNGRGQTPLHMAAISRQTSVARMLLASTGIHPDARDAEGYTPLHVATALGAIGIVG LLAVRTDVDVNAVDEVIPGPTFTIIPTGLPGHYIALDLVGLLEKFHAARVSADPDEGN VMDPSTTSSNTAATGLQLPPVNEGPSSGNDSLVSVPPKVIGSRATLSYQDLLPTSNLT RPHGRTALHYAARIGNTDIVKMLLDNPSIPIDIKDGQGMTPLHKAAKYGHLSIVKLLM ERAGVTAWEHSTEQTGRLPIHLAVKYGHMHVVAFFVSQDKDLVNAADKDGSTIVHHSI YHDTTTVIEDILKYDNVMPDVRDKRGRTPLWEAIEINNIPMVSILQQLSGVNQDPTDN EGRRPNKARSEEKEQVPLSSTLH VE01_09429 MSQTATIVPPKVLWQHPHPETTYLERFRHYVNRRHNLSLQTYDD IHEYSTSRYADFWDDVFHYSDIIYTGVYNKVVDTSAPMHSIPKWFDGVRLNLAENILY GPGRDPNKLAVTSIREGNTDVRSVTWDELRTLVARYASAFRNAGVNPGDRVAIVASNT LNTMLVFLAVTALGAIFTSTSTDMGTKGILDRLLQVRPRIVLMEDLAVYAGKKTNLKQ KAIEVANALKGTPEFEKFIVCPRGADVGDISQISKSQSLVTFLATARPITREKLFFQH AFDTPYLIAYSSGTTGQPKCIVHGAGGVLISQKKELLLHYNIGPSSTQMIFTTTSWAM YNKSITSLLTGAHIITYDGSPFHTAPTVLLEIAAQHKVTHLGISPRYLEELERRGVEP KKQFDLSALEMVNCTGAALLPQQYAWFYGTAFGAHVQLANSAGGTDTACCFAAQVPTL PVYAGELQRPALAMDIGVFEPSSSSTNSSGIPSGVPVPRGQPGELVVCKSFPSMPVMF WGDGGAERYHSAYFSQLADVWTQGDYIRIVASTGGVEFLGRSDGVLNPSGVRFGSAEI YSVVQHFPAILDSICVGQRRPRDSDERVFLFVQMRSGAKFTVELEAEIRAAIRKALSA RHVPKYIFECPELPMTINGKKVEVPVKAIISEKRVVASATLANAGCLGFFERFARVEE LMGEEGRARL VE01_09430 MPKAIVMDEQPGKPGKVYWPLKSITVPLPTPGPNEVVVRILCAA LNHRDLFARQKLYRGAAGNIPILADGCGIVVSAGSSDNEKKWQGKRVLIAPARGWNAD LRGPEREFAILGGTKQYPDGTCQEFICVPSSQVLACPPHLSDAEAAAIPLAALTAWRA TMVKAVVSSGQRVLVTGIGGGVALFALQFAVAAGAEVWVTSGSAEKVARAVELGAKGG VSYKEKDWAQKLLKHTSKGGKSGLFDAVIDGAGGDVITAAVVLLRTGGIVASYGMTVG PKVVFPMPAVMKNIEMRGSTMGSLAELADAVRYISSKRIVPVVDSVHSLPNIEDAFDV MKVGRNFGKIVVRVQQESAKI VE01_09431 MPSPASPKVVVDMDAYRKTHVSQLLSLASKTVVITGGARGLGLS FARACAEVGANIAAFDRLDTPHSDFALLESECGAKAKLYNVDVTDAAGLEAAFENVKR DFGSVDCCITAAGIGMEKPFLETTTEELKSLLMVNVLGTFLTVQIAAKHMKAQGTGGS ILTVASVGAHCAVPGKLIAGYCATKGGVLAMTRAMADELIPWNIRVNSISPGYILTDM TIRNIDQRPNVIAEIEENVPMRKLGDRRDLKGAVVLLLSEATH VE01_09432 MSSLSPTSPPPAQSHALLSYPSPSILLVTLNRPLAMNALPFQAH WDLSELWSWFDDSPTLLVAILTGAGSAFCAGQDLKEVQANRLQPPSQPYLKGRPPSGF GGMSQRKGRKPIIAAVNGVAFGGGFEMCLNCDIVIASPRAQFALPEARRGLYASAGGL PRLVHGAGIQIASEIALTGRSISAEEGIRWLFVNRISKSQETLLEEAITLGREIARLS PDAVIATRGGLRDAHEMAGLEETWARTKERYEKKLYGAPNMLEGLAAFMGKREP VE01_09496 MSDSETKPKGGVPSWQMKPEASDPKPATEEKPASEQPSPEVKPR SSVLEDARKFLEEDEVKDASTDKKVAFLEGKGLTSEEIHQLLGITRNLEATSLESQAS PKTQAPLPQPVTSTTPPPPQPQAPQPQTPAQPYRDSPPIITYPEFLTTPTSPPPLITA SRLLKTLYLFGGLSALLYGTSTYLVAPMSAALSDSRHELAAAAQAHLDTLIGKLTPLV SEVPQPVLAQGERYEDREDVDSDGDPTEMFHRDIGVQTSPPPSRPSSPSQEEKISVAE AQAKKAANIGAILSDVDLTIASELRESSNLQDGIEDLKKYLDSLMYSPPTFSYGAGSA FGAKRDEDDEIGRVKREIRAVKGVLLTARSFPGVGAGVR VE01_09497 MTGRSRRTAALKAREAIAADSTSDIMSRVRRDPDTHSSSHSRAT LSRNDPPSSPSNPSLRLTVKMPVSKLRAATGGASSRASGGRSSSGGGRGFVGETREST RARGVKKSYVVESESEEEDEEMEDLGDEEEEEDAEGEEEDDMDLDEDAEGEEDGDDDT EMAGLPPPTLKIAKPTKEKHSITVKTAGKKDVRVVATTEPSDDEELSDLESEVEEDEE TMQVGNDEDAEGEDEEIEVEVEEEEEEEEEDELDSDEEGGGGSRGSTPDLSKLTKRQR ARLDESGSGHLMALPDEVQVKKHLTAEEHAMRRAEMARRRKNLSEKRNEEEKMETINK LLKKQAPKTNARRRDLNGLTGEIGPDGEPGKPNSAYVRWISSKEGNRICVPTEMVEGP LGGVFGGGRGVEEVV VE01_09498 MLAVQRPMSKLPHHMLFGYSVDSSNSLYRLPSPPPLAPGPSLLD DTDTRFLDSFFDGVSSDQYLINDPNDAWNYDWQDLPPDFLGTTSSFGPQMEPVTNDVQ HLTFPEFRNSLGFLPEQLSPSEDMISALRNGNNNHNNHQNNNHQPPHQNTHLNGHHSN AFMAPASRTEHMSPPFNTHGNGMIKPEQQQQQAPAPTQRKMSNRPEDYIRHASMSEAM YAPAPTSYAPHALNKKKVEIKWGSDSGFNTGRRFVAPPNTITLDEIDENIISTLECLQ PGSNVSTRASSPTGSRIPQAGERRLEMISEGAPRDDVNEDGSERPKKRKKNKGKEGVY ESDALELAQSQSQSQTPNTKIPRKRRPKSFGTPTGSDTPNRASPPLHKRLKPTTGGAS LATPKMARENLTEDQKRENHIKSEQKRRTLIKEGFEDLNELVPELRGGGFSKSAVLIM AADWLEELVRRNEGLRGMVAELEARGGM VE01_09499 MTTTWKEIGVVPDSDEEDDSLDSQTTLNPEQHDDIDAIPDVHPT LLRVEAKTEATSTEDRLEEQPDCSQTNAISQELVTGDLPPEIRSGTQPQNGNSPNLLA SAGPKQGPQAQSSPVLAAVRQTTPGPVEGTTQDEVSRSYVGLLSSPTSSLSSLGTTPS QSPYRSNILPPGNGEQSEASHTVPTLSFEEITDQGIPIFANANNFESAHRRSFRPRTE IQLHPYLLDSERHRRDFMARGLQPMRFIPAQQVTKGAPQSGDSQDTEFEVEEDSQKTG VEESYDVFGSSQNLMSSPARPHRSAVEVDDGLQSLPSSFRSDGLDSDDVELPDISTLI DQARRGVVGTKKIGNSSRRRTHGAAHSRQNGSQLTSNASKNRLPTIIDVFDVPQSPPM TSPAVPSLVARAGATEGTVSERRSDMSAESAATRGTVQHRLGVTDLPTPATSSVRRAN DHSDEICVIEDDPFISSSEGEAPQSSPPKEPTRVRKLLRGVLPASHIRVNPQTRGIQQ NAPRTAARDSFNHSPLLPEIRRGIALPRVADEMTASAPANPRTGLGFLSDESDEEDFG SHGIVKESGQDSQSIFTQSDFGFATEDNAIDPMIVPKKRQRTLFSDTGNRKKRRVVTS LFRPTHGTPSYQPRISELLPDPSGSPVPTQRSAASRSNGPSLAQEVLAKITSRSRPSP RKIQEVMPNFSEPVAYQPRFIRIAARSAGSRHALGRQGPVRKFVRLDNREDTIEAQTV LREWRGRPAKHRTQSFTPRSPLRVISEESRNQRSLSSNNSKLPAVSHTTRRPSNYPKV RVTNHQTKISWASTSPQIEPRVRLGNNKRRKQYSTRPTSNRAPRSAPQPRSAQLEISE ADYSNRDRRSAFGSTKRNLDRSYERYQNGSATENHIPLARFLANEGFVGSRSGTSEPE TGSRDGERIINVVDKGIPQARRQRKRTPKQLDATAARFRQPDKPMLIAPIETPTPSKA VHDYGGKLYGLGGADTDYPIDFDIFPLRSGVYFHESTFIGQGKLAKAIGTESSKYYGH PRGYASAQFGTQHVKWDVWDANVSSEIGIYFDWIVSRLNSFGTASLNGVKSDVEEDTV RCMLFMIDYFQNTLAFESREEQDLCLQRMTEVMERLTQCLEVLVSNLDFRDDFNNRRI MDLSVFGVVLIFQTLRMSATHGGNVSQRTTGQLEALLQRTATTSVKLLFIVGLSSVQS LYDDLQYLASREAGIKSSENPTQAWVILMHIMHAARIPRVTFWDAVNLQLLENISIEG DARVLEKTWYSAFTLLPLMEFNDLGVLITRSRYRATAENWALPQKIAKRLFELYASDT EQPPNFNKYCRATFSRCHHLIQVWGWRRYGSIVGTFFDFFASHGFSHIGSEMVGTSPE FINDLDGALSSAIDSEDQCFHIFLKIVHSAIKQLREAGDAREIRNLVTRLLPNHDLQY QEGPAKVANTTALRNHRDLLSTLYWAAPVEVQCRINMMLGLVNREISKMEAYSRNAAR RV VE01_09500 MANRTMYTRVYSKRSPRQLVSGRGADGDPLSRAALLGEFWNHAN YWNREPTALVSCSDRIIDTLRRAFGMHYGDGEPSADIWIAFIEVPPTTDGAATRIHLA KTLAKRCKLKEPNLFLHEVVFEWAIPRNYVVHEVSLKTLLDRGLEEDYILHPSTLKAR QFTAEQLHHHDSYETGITLGLFAQKFGARAPLHWISHQLFYDCVWTKIVADDEDDEND GVRIHFAHEKHPKQVDFPFFRALDDGIDTVLCDGWFSDIDFAEDYEDFKEWRHVTEDN ITWELIEFWETWFEVDCDGIIYELSAKDQFLYDRAKNRLLAKHEQMRASIEAETVRMG L VE01_09501 MHAAAWGALRTTRRPQLWRTSCRVVAGVRRPLPSSSARNFHRSA IAYRIPDDNPADDPKKGGGEGGPKITAEEESAAPGDAAAGEPVVAKTDTATSGQRTKR GDYGSAARRANRNVRVKEVPPIVLPEWFLENNVRPSGAKDNVERILLLEDRTAPEKEQ ESVESATIKTGDEPAPLPEETVADSELGSDGKVRYSMKNIVFQELQSNIEALLSIRPP KGVDPKEVIRPDILLQCPQRDASGFMNQVVDTVAFKLNADVVRLDPDDIAQIVGEYMG ENLAWTPCTTSLLGYELDKVGRYEEEMNRGEEEDDLEMDEVEDPQKPELPSLSALTSA LKAGSSKLRGLTIIPGAGAQRSLSKPMSFDELFGQGPNQSRTRSTSSSESWSNFKLTA ALEALVDASYNTAAKSTSATTSTDPSSAETPPPKPTIIQINQYKEMGKIDAGINILKM LRDIVKKRWLEGRHIAIIGTTTAGDPVPATTLDSIVQLQSDVVFGDQRTIMVTPAKTQ DMEALKIDEKHRNRRVNIRHLKDMVSKLSNGEAAPLIDNIVENFLSTRNVAPPSEPQV WSDLHTKMVEDLEESIWPYPRVHRLATVMIGSSSIDLTHAGNSSLLAAYDIIDASDEE KALWMKKDDRKKSSTTTSSSKDSLADQKRRQIKVNATLREKKLLGGVVHPENIKVTFS DVRAPADTIDALKTLTSLSLVRPEAFSYGVLAKDKIPGVLLYGPPGTGKTMLAKALAK ESDTTVLEVSGSEIYDKYVGEGEKNVKAVFSLAKKLAPCIVFIDEADAIFGDRGAGSQ RTSHREIINEFLREWDGMNDLSAFIMVATNRPFDLDEAILRRLPRRLLIDLPVEADRE AILKIHLQGEDLEDSVDLAALAANTPFYSGSDLKNLSVAAALACVREETTTATAAAAS SAAAGEDVSALSKNLSYPAKRTIGKRHFDIAIQEISASVSEDMATLAAIRKFDERYGD RKGRKKKTAMGFGREREGVDEEGARVRQREVGRGVGI VE01_09502 MGQQPRKSSQSGDHHPIDDAAATATAQSDDLNSLRGDGINPSPP SNSELLGQPGAELSAAQQILPVTAASVSESVDVVDAPNVASQTTESRPLESHETFLQG ADPTDLANPALIVRTSAPAPVSTPFADANAPSPLSPSNPPSLTFPTRSNTEFIASFPQ TGTPTTGTTNSTMLFLPNGAAAAHRRRSMATTPALTEYEADLTSKDRLKQKEAVRNLL ASRIRNDWSFPDKIDLVALEPSEEALPEGDPADPTTWIERGDWLSEMSESEESDGGLA QTSTNSTSGSAKAPKTKKKKINPFRFDSPDGVGEALRRSADRRKLRRQRKLQEELAYN EGLRCFTARRNAWTNARIVRRPRCAPTSPTSPSGEKPPEDDEPILDTLVPVAPPLLPP STPMRRNITSRAHSTIYDKVVMQSQTPMCPINLQTVVSSCVDGWKRDGEWPPRGTEPE AGVARRRADVAAQAQAQKGVWRRSLQKVFGRGGPEIV VE01_09503 MSKGRAFIPVVFAVGFGVANALWAFGPAFEEQAQERAEKARRLE EGDKPLDKEVTASIKAAADASRSEATAEALQSKSNVWQTAAKFWDKPSNISSPTNEIS QTITKGQKPDESSK VE01_09504 MSTRAGNGKKDGDGDQENDLKTLLSGEQREGFTLLVADIMELMK KRTLDTFDASFTSEKPQDQNLDGKNPNADQDQASSEEQEKAQALREKREKEISGPKMQ ELKKLALQHFQKWEMSVLGRVGDVLNTSEATDGKREEAKNFAPAASGPPARPEYKVVG MSDIFKKSKDNVNTEEDDEEENDRADAALIHLYPPVSTTLLSLTKKKRVLILNSTLLL LLSLEHYEAHSRILLLYMASSLHLPLETLTEDEVKIAKTLLEAAKHMSAEEEAKKRGE ENQTARRWKVGLAGIAGAALIGITGGLAAPLVAAGLGTIMGGLGLGATAAAGLLGALA QSGVIVGALFGAYGGRMTGQMMDMYAKEVEDFAFLPLRGSTRKAKKTAEIPAEDRRLR VTIGISGWLTQREDIISPWRALGHQSEIFALRWELKALMNLGTSMESVLKSAAWTVAK KEIIARTIFGSLMFALWPLSFLKASKVLDNPFSVAKNRADKAGLVLADAIINKAQGER PVTLIGYSLGARVIYSCLMSLAERKAFGLVESAVLIGAPCPSDGPFWRAMKSVVSGRL VNVYSENDYILGFLYRTSSIQLGVAGLQQIGDVSGIENVNVSGIVSGHLRYQYLVGVI LQKIGWEDIDRGEVAREAETIALLDREDEEKLREITDPDEDLIDFGADKPVAETKSEK DKDDHAQDKSRANIDDDVKPASETDEKSKNDNLEQDKPQANIEDVPEKSQPWGNAFSR PERSGAEEDDDKLHEPIEQMHF VE01_09505 MSHQAKLGSDAVAWILPALASFSHQNPIKPSYILYMMPVAVWLR SRASLRFAALASKSRTPLTRTYSAKRVLETEADTAEFLSKPSWSVKSLLPSASDAAAT PSTTPAQLSHLLRLSALPQPKDAAEEESMIAMLESQLHFVREIQKVDTTGVEPLQAIR EETKEALAERTIGLKTLEDALALEEFKGKMKRPRRRESERKKIKQSTIESLEELEGET GVEDRHWKPLSTANRTTGEYFVVKNKKD VE01_09506 MAQDPRALLQKADKAASGAGGGFSLFGGRGEKWENAADLYTQAA NAFRMQKLTKEAGQAFESAASIQLSKLSEPDDAANTYVEAFKVYRKSDPLDAARCLDL AINHYTGKGNFRRAATHKQNLAELYEQEIGDQKKAIESYEMAASWFESDNAEALANKL YLKVGELAALDGDYYKAVEHFEKVATSAVSNNLMKWSVKDYFLKAGLCLLAIGDMVAV NRAFEKYRTLDSTFASTKEHQLLVDLAEAVDAGDQVAFGDKLFQYDQMSKLDNWKTAI LLKIKNAIEEKEEDFS VE01_09507 MSDAVDTIDNTVTEVSPNNAGPIRTQRTPAFGKDQVSQLRRRQE AQRDYGRGRRIPVKGIKDKKLRGNLKLLESKYKNATLKAKDAEILLENEGGFLEPEND LERTYKVKQDDIKGSVALETAKKGFELKLDSLGPYVFDYTRTGKDLLLAGRKGHVATM DWRDGKLGCELQLGETVRDAKWLHNNQMFAVAQKKYVYIYDSHGVEIHCLKKHIEVTN MEFLPYHYLLATVGNAGYLKYQDTSTGQVVIEIPTRLGSPTSLKQNPHNAILHMGHQN GTVTLWSPNSTTPLVKLLAHRGPVRSIDIDREGRYMVSTGQDMKMSVWDIRMFKEVNS YFTRRPASSVAISDTGLTAVGWGTQTSIWRGLFTKHALDQEKIQSPYMAWGGEGKNIE RVRWCPFEDVLGVSHDAGFSSIIVPGAGEANYDALEINPYENTKQRQETEVRTLLNKL QPEMISLNPEFIGNLNLQTDEQRKAEKDLDKAPAELLAGVKNRGRGKNSSLRKYLRKK GGRNIIDEKKLKIMELRNSQSEKRIKEAEQELGPALGRFAKKGGA VE01_09508 MPATVGHSHRSTTKVAHKSFKARKSTKGALKEIMKGKVQDGSSA RKTPHQQLMSKLDRRNQARQKQLTKHKEHLRDSSVFNGREGAPRIIAVVPLCEDADAA KAVEKLNGSLDVEAEVPEEGICRTNIDRFKQKIQYIVLKRDLAACMDACRIADFVMVI LSPEQEVDDLGELILRSIESQGLSTLFSVVQNLDTIEPPKRRGQVQGSLKSYITHFHP EQEKVHSLDSRQECANLMRSLCTTSPKGVRWREDRSWMLVEDAQWPEVDGENVVLTGI VRGKGLKADRLVQVGDWGSFQIEKIVAAPLAAKKSHTKTDDMAVDAEASEAENVLDTP TEEQDDLDELAPEEVMMDDIDIDTGVSVAGTEKRGVLLDDHHYFSDDETHLPAAPKRL PKGTSNYQAAWYLGYDGSEDSDFEDVEEEDTAMETQALPQDGVEGMAPREPTEYAASE YPQSELFLDRSAEQEAEQIAAFRKSKVNEADEDAEFPDEIELQPQVLARERLARYRGL KSLRTSHWEEDEDRVHEPEDWRRLLQIQDYNSSKARVTREGLVGGVPAGTRVHVYLRN VPLSLRESFKASKPATMFSLLRHEYKRAVLNFKITLSSDYPEPIRSKQEMIMQVGPRR FVINPVFSQAGNPQNNVHKFDRFLHPGRSAVASFIGPLTWGSVPALFFTRPSAEALAS DPKAAEMPLILIGTGTSLPPSSSRVVAKRIILTGHPFKIHKKLVTIRYMFFNREDVEW FKALQLWTKRGRSGYIKEALGTHGYFKATFDGKINPQDSVGVSLYKRVWPRNARVWKG VDEEVPSLVEDLEGMELQDADMDGGVAI VE01_09509 MPHSTATTVQSKSKANGNATTTAVKTAKGNGEAKAASTPKDDEN YEHFFWTYTEEPHRTRRMAIIKAHPEVTKLCGPEPLTKYIVTCVVALQITCAYLLRNT PFFSWKFFLTAYIIGATANQNCFLAIHEISHNLAFRSPLANRLFAIFANIPIGIPYSA SFRPYHLTHHKSLGVDGLDTDMPTAFEAVFLDSILGKAFFCTFQILFYALRPMMIYQI PLTNIHFLNIAVQVAFDIGLVKYASGNALLYFILSSFLAGSLHPLAGHFIAEHYVFAH LSREARDPRTKLDTPVPETYSYYGPLNFFTWNVGLHNEHHDFPAVPWSRLPAVYEIAK EFYEDLPRHESWVYVIWQFIWDKEVGMSCRVKRKEGGRKVGGGNVTAAWTEQEIQA VE01_09510 MSVEIDPQELGFHRPFTREVSQVLKIRNPNLTPIAFKVKTTAPK QYCVRPNSGRIEPGKEVEVSVLLQAMKVEPPADTRCRDKFLVQSVAVTADKEFTNISQ IWQQIDQAEKSSIQEKKIRVVFLAEESAGLPAVSTPLRNGVNSSLNDTTPNTAAPLYH SPYQEESTPQRSAPLSEATGNRSVSDSKSSQLRAESSPLPAEPAASKANAKENIKAIP SAVSSALPNSVEELKAQLSQAQATIASYGQEGGLRLRKAGNALGADEVVPAAQAAIRT TSQEGVPVHITAALCLLTFLIAYFFF VE01_09511 MASSDLDVLVDMGFDKERADMAVKKTGGLQGALQWLEDNQDKSL EEIKAAAAPKKVDAAIEDANEEPPALQEGEVARSLICNECGKRFRSTAQAEFHASKTE HQDFAESTEEIKPLTEEEKKQRLDELRAALATKRAKQSAIDKEEAKRNEKIRMKSTRE VQDAKEELAKKEQIKEASAKRKEKLDDIAAKKRIQEKIAADKEERRIKAEKAKAEREG RAPPADPTVTAAQAVPVVKRPVANHTEARLRLQMAEGNLQKTFAAETTLFEVAEAIMK ENGTEVSSFTQNFPKKTYTTEDFGMTLKEVGQVPSGVLIVK VE01_09512 MPAPGDQHNGGNNTANPFEEVRPHISEYTAQEIASLQSRLQKQL GPEYISSRSGPGGQKLHYIPADKCIQLANEVFGFNGWSSQIKELQVDFVDENPTTGKV NLGLSVIVRVSLRDGTFHEDIGYGHIENCKGKAAAFEKAKKEGTTDAMKRALRNFGNV LGNCIYDKEYLAKVTKVKVAPTKWEVDNLYRHGTYATKKEEAAPDVPVLKQEPDLIPS VTARGSFEDEFDDGAFDETDFTSAYDPDSHPDEVALPDVPPPAALHINAGRPANGPGP AAAAQNNAMPNQVRQPQARPQQMQAPSRPQPQNGARPPQPRPQHPSQPQTPNGGLSRS SSGAGRGISQPPTANTTGGIPQGAVPGHGRVLNQPNRQRTPPPPPNGSASPSFTRPSN PDADTTNQPFHPPPDGPPPIGFFSAKAALSTDGLAPDGLPKTAVFNPHSQSPSIRKTP GIDHTKTIPVNRNFKQAPPAAAAAVAPVGRGNINVVNPQLDATRRVGAPGSPSPLGNR GSYKPPTMVGKRAGDGGGPGAGERLPLGEMPMNGGVGGGGGHEVKRQRMSGP VE01_09513 MSNPNTPAAPRGGLSLYANLLDPSSSSNTAAIISRAPVAFNTDS GAADDEASAKKQQINAAALRFQPTKRPQLSSQKGKPKPGFPRPTAPVDPDSTKQTVSN IAPPTRPPAKSTLADWAVAGEDDDVNGFYGGGEKRQRGGRKRRKKNREEYAVAQDWDD IYDPSRPSSYDEYRHSDEQIREVREWKDRLYAHRKSRKYSSDIESDEEPARPNMSNQF APPPSFAPPPSFAPPSSYAPPPPPPDDSHEPAPGRSSPAYSPPPPPEPLSAGVISRAP VRYNLPPAPSEIPASEAELALALNETGDEEEPSGDQPRSSRPGQAGFAQRLMSKYGWS KGSGLGADESGIVNALHVQVQKRKKKPDSEGGGFVDPQAIGKIVGGKRKTPAGEEEGK FGAMSEVVVLEGMVDGMDLDSEVEGAGDGGIMQEIGEECGEKYGNVERVFIHRREGGR GTVFVKFTSQLSGLRAVNALEGRIFNGNTISARFYDPEKFEEGIYE VE01_09514 MTFKKSILDECTMIAVAAAIVAQIAITGLSLDSLSQTHWVARGA FVLSLTTSLMAVYYATTQQRMLGRLLQAEQVRLWIRGGRRIMDTGRLVPSLGEIAQKI SNKFERQSMIGEQTRAEHQAQLEARLPRTEDARPSDQRDLIFLEKFYYYSNLLLGPLA RFMIYTAVGIRLDFIPSDPDDFNPTERDRRNFKRSIISQCFTPSAASVITVSAPQMLL TTSLCSLLIGFGIYFGFRWTKSLDQTAGLNDSRNVFITYITSLVISILVYSISQLFQD DDKRPELAIMEGYLKEYAENNPEIVNKWGVRAEIVEGVLNFHSIEAQSYRGTSSETEQ NPQENGSLYSLGIELGNLPAVERSASAEIVKGVEAQSSRGTTS VE01_09515 MLVNYLVPALAVLGMATAAPTNPACKQTTVIISSQSDADALAGC TTFTGNIQISKDVPSGGIALNGIQQLNGDLIANQAVKLTALSATSLGSISGTIKFTSL TTMSSLEFPSLSQVGAISWTTLNALQALTFTTGVTKAKSVFITDTALTSLDGINLATV DSFEITNNAYLRKIETQVGNISQALIINNNGPNLELTFPNLIWAFNMTVRNVSSLSIP SLKVVNSTFGVYGSYMESVMAPNLTKVGGDVAFVADSSLTNISMPLLQTIGGGLLIAN NSKLLDITGFPALKSAAGAISISGNFTKAAFPALADVQGTFNAQTSANFSCNDFNKLH DAQVVKGDVFCQAQSDNVQTTLSGSTSGQTDSGSGSSDSTKDAAGSLKVSSVFVVGMA VLGGLLALGAHRVSVYVWLCGACVCSSSITCKDENDELQEDSGDDYRPQENDSGDEED ESDAPPARDDDEEEEDDAGYGSGDLLHSDVEEEGDESDIVYVTGGGVLC VE01_09516 MATRRSSRLSAASNLVPKNEAPAAVVAPPKNSRKRKTPTATTTS TDDEPTLPPPSTPKRKTARTTAPHPPSTPAGINEMAGASRPLETLHPPHAALDRLADP FGTNAAVISPLTSRLFAAKATVDASPVKGFAGRLGEGTGLGPGGGRLTTGNILQQALD HLVKVEPKLKIVVEKHHCKVFSREGLAEVVDPFVNLTSGIIGQQVSGAAAKSIKARFV ALFNATEPDASLHVFPTPLAVSTTSLETLRTAGLSQRKAEYIQGLAEKFASGELTAEM LVEGAYEEVFEKLIAVRGLGKWSVEMFACFGLKRLDVFSTGDLGVQRGMASLAGRDIS KLKAKGGGKWKYMSEKDMLEMAEKFAPYRSVFMWYMWRVEDVDTAVLE VE01_09517 MLLPKGGATTTWKSARSRFPPSRAVWVFVTRTRFLLGVALFGVV LLTWRSIRASAADMQRFYCWGPSKSPMDMSSNEYSEWTRNLQTPVLFNHHAPITINSS TIQRIDMNTFTSTPTAAANNERVLLLTPLRDASAYLSKYFDLISQLTYPHHLIDLGFL VGDSTDDTLAVLSKELERIQKHQDSVSFNSVTIIEKDFGADEIVGMDVADRHGFEAQA PRRKSMARARNYLLYATMKPEHSWVYWRDADIVESAPEILEDLIAHDKDVIVPNIWFH RYKEVDGKMVDVEGRFDYNSWIETDKGRKLAAKLAKDDILVEGYKDHYDTGRRYMTTE GDYREDKDVEWQLDGIGGVNIVVKADVHKSGINFPCYAFENQAETEGFAKMAKRAKYE VVGLPNYVVWHIDTEEKPR VE01_09518 MSQPSLAPYVLKRPFLQRFLTPFANWYANAAGYRKLGLRADDLI PEESDVVLQAIKRLPPKESYDRVFRLRRAFQCSLSHQLLPKEQQTPPEEDTPYLSPII REIEAELKERDDLDSLVVKRR VE01_09519 MPHDHDRPSTPTPIGSHESFVSPLPEHFPRASAPSRRDTTAADD DHVPATAWDRFITDRSDSAFHAFAGATGGFMSGIVTCPLDVIKTKLQAQGGFRAAQAR LKVPQKAAVYNGMLGTGKVILTEEGMRGLYRGLGPIILGYLPTWAVWFTVYGKAKAYI STTDSSEFVTNFWSSIIAGSCSTLCTNPIWVVKTRLMSQVSATSSSHDSRPPWHYDNT FDAFRKMYKTEGILSFYSGLTPALLGLTHVAVQFPAYEFLKKKFTGQGMGVHHDGEKK SQWIGILSASVLSKIMASSATYPHEVIRTRLQTQRKLAPGPSTEYAPFKPLTAEGPAN PVAAVKNALPRYKGIVTTAKTILREEGWRAFYAGMGTNMMRAVPAATTTMLTYEYVMS HLVKTRSEAQRKSAI VE01_09520 MVKAPEIGAKAAEDKVQVAQAEAPDFEKVHWRSEPHLRKLYAFG FVLMIASATTGYDGMLINTSQQIKLWELYFPEVSDKNKLGILVNMFNIGSIISFFFTP YIADRWGRKFAITCGCLIMCLGGCLSAFCNGYGMFIAGRFVLGFGNSLAQMCSPLLLT EICHPQHRGPVTAIYNCLWNLGALVVSCIGWGTSTINNDWSWRTITFIQIIPSAVQLM FIYWIPESPRYLISKDRTDEALDMLATYHAGGDKNNATVQYEFQEIKETMRLDKLTNR AVRYSDFFKTKGNRWRLAIIISLGVISQYSGNAILSNYINVIYEGAGIHDQNQKLGIT TGKTILDLSVTIAAALSVDRFGRRPLFLTSICGMVGCFVCWTITSAVYENSNLQNAGA GYAQLVFIWLFGCFYDIGFSGLLVAYALEVLPFHLRAKGMMIMNITVQAILALSNQTN LLAWDNLPNHWNFMLFYTLWDTCELVFVYFFYVETNGPTLEQICKIFDGDDAAAHIDL HQVEKEIAQQHHEDDIVEVQAASLKGAA VE01_09521 MASTAAAAATLTRYKLVFFVPPSSLSACKTAIFAAGAGRYPGPG SYTEVCWTTLGTGQFRPGDAAKPAIGTVGKLEVLEEVRVETLCQGEEVVRRAVEALKS AHPYEEVAYDVVKLEDF VE01_09522 MASVQLQTLDQQGSSSAASTSISPSIERRPTVTRATETSLPRAS AASPGPLLEQQQQQQQSSQEGRQLQTELALEEARRMIQQWFTQATSEETHGTPQQLQT EAQQGQQEIENGTHDTPPSLWSKIAERLGFIVAVLAFLLAIGMIAPTVGQYATGVWST AKDYQDWCKGEQSMEHTLTHACALIIDRPLPPPPGLNQVFRGVRRREVGSVDASPWSH LTDMELKGLYSTALFGFSMLAIALKGKDIELIVCSVSIGYLIEEAWAMLPLRSIFLII YFIWEPWFAYDLGYFSTTNPFVAMKHDDIASPGSSPSSSSSTSSSSSSTWSKVPEQTP EETEDARLFDYHLDLLKSGSGSGQQALQDVTTAPIFSMPVLKHAEAATVAVGDSPPVF PQYGLLAGMTESAPNEPKSEDGDKSYIHDPRIFFNVAAPSSTFICGSQGSGKSHTLSC LLENCLIPSDANKLPRPLTGLVFHYDTFISDGGGSPCEAAYLSSDPSIKVRVLCSPTN FRTIRRTYSAFKNVTVEPLRISEENLNTKRMLDLMAVSRGDGPMPLYLHAVYRILREM RMEQQEMGTGFSYATFKDRVSRTEMTPAQLSPLAQRLDTLQSFMPNTETGVIIQQRKG KLVRQYGNDWTSKPDSLTIVDLSCPCVTPEGACSLFNICLSIFLDQDTAGRRVIALDE AHKFMNESAEAQTLTATLLTTIRLQRHLGARIIISTQEPTISPELLDLCSITIVHRFT SPEWMKSLKAHLAAAASDITAPEPDAGDRDTESIPGAKVQTASMIFKKIVGLEVGEAL LFSPSAMVGVDAASVSGRYARLGSGFLKVRVRSRLTTDGGKSVLAA VE01_09523 MGRHPRRRMPIEGADGAISQPPSLLTLPAEVRFMIWEAVATCSG PILVCAESLTFGPLEQVARFSFAIPPPVLTNKQRKLRSSKAKAKLTANPLIWLLTNRQ IYAEARSVFYANICLAFDNVFCLSAFMFQSPETFIKPSMVRSLSLDLQLAINADTRNK FIPPFSNHCKFSFSKSVNHGWEIMMRNPDDWWGGRYICKCPFCLAPSSSALANGADYF TGLRELRLQIRFGCSHGPANEWEMIRQCCDPQRFRTGGQYFGKLGQLMDSDSLVCKDS SEKIADFAKWICTQVPLRVFSKLKLDAVDVQFNVERIERSPLVIHEYQRGNDRCWCDN HKVDKESIESCGFAEELKRRLMNGDSEEDG VE01_09524 MGGRKYNLNARTIATPLAAFCMATLLFVYARTSITAAKRNAQMH READGGQISWRNESLRRHGALAAPESKSTVKQLLGEKAAKEGAEGEKVARSDEEDRVR AAARSSRVRKE VE01_09525 MAPSVAEIQQEQVAPVVAKQVPVVAVPEAATEASADAPARRVRR QIDEEGGTTTAKYPHYLPVYDYDQKYAPLEPFEHVDHGVGADPTFKDLLVEGSKITKL TPATGSEVTGVQLSQLSAAGKDQLALLVAQRKVVAFRDQDFADLPIKDALDFGGYFGR HHIHPTSGAPEGYPEIHLVHRVGSKGELDNFFAGRNSSVAWHSDVSYEAQPPGTTFLY VFDTPETGGDTAFVNQVEAYNRLSPALKQRLHGLKALHSGIEQAEFSHKRGGVVRREP VTHEHPIVRTHPATGEKALYVNSGFTRSIVGFKKEESDALLAFLYAHIARGIDYQTRV RWAPKTVVVWDNRVTAHSAVVDWTTGERRHLARIQPQAERPVETPYVPETEA VE01_09526 MGSASIASRRGDDSDRTSVSSEGKQGVIPGNLLDGAQIVTKSGN LVTKDGVVVSTQESDSSLSTNVFADPEIRDFYVKMYEKAKYECRHVFDADLTWSAEEE KKLIRKVDWRICLWACIMFFSLQLDRGNMVQAVSGTLLKDLHLNTNDYNHGNTIFTAS FLVAELPSQLVSKKIGPDRWIPMQICLWSIVAMSQAAMTSRSGFFATRALLGILEGGF IPDLILFLSYFYTSKELPIRLSFFWTALSVTGIISSLLAFGIFHLEGAHGVAGWKWLF LLEGLITFVIGVASFFMMPASAVQTKTWFRPKGWFTDREEAILVNRILRDDPSKGSMM NRKAITPRRLWRGLTDYDLWPIYALGIIIYIPQNPPALYLTLTLRSIGFSTFNANLLT IPHTVVHIFTLLSITWLAGKFNQRALVAVFQLIWTLPVLIFLAVWPGLISNQWGTYAA TAVLLSYPYCHAIVGGWVSSNSNDVGARSISASLYNMSVQLGGICATFVYREDDKPYY RRGNRTLIGINVLGIGLFLFAKAYYVWKNKIRDKKWNAMTKEEQNDYIANSKDRGSRR LDFRFLH VE01_09527 MPPSADLTTQPPAAEAPPSTLPSKQAPHVHGSEEKTPLQAISHG ILMDGIPTFSSPAEHRKHILIHTAATFRDFARKGFTEGQAGHISVRDPEFEDYIWMNP LGRHFGLMTAGDMICLDMHTGAVVGGNNTRPANAAGFQIHSAIHKARPDVAAICHAHT DAGRAWSVFARPLEMLNQDVCNFYQAHAVYAEYGGIVFAEEEGRNIARALGEGNKGVI LMNHGLLTVGHTVDEAGYMFGLLDRGCRIQLDVEAACAGNPGLKRNIISDEEAAYNMK MASEKHVLYREAQPDLDYIFETQGMEAVARGVDNMVIDEQGGN VE01_09528 MDSTDRDLAAVGADISSQRAPMTSGRQLSHEAERIGSGYSSNSS SSDHPYADPIGLSRTHSTQADLERRHTSISRIQTARTQHSATVGRGIGYKESKKPLPN FGYGKSYPPPLPAKEEYVVEFDGPDDPLHAQNWPFKKKLYTAIMLGLTTFTAAFASSI FSAAIPTVSKQFHVGEVVGTLGVSLYVLGFATGPTLWAPLSELKGRRLPILIAMFGFA IFQFAVAVAKDLQTVLICRFWGGFFGACPLSVVAAVFSDMFDNKTRGLAITVFSMTVF SGPLVAPFIGGFITESYLGWRWTEYISGIMGIACFVLDLIWLEETYPPVVLIEKAAEL RRRTKNWGIHAKQEEIELDFKELVTKNFSRPMRLLFTEPIIFLLSLYMAFIYGLLYLF LTAYPVVFQGVHGMSPGVGGLPYFGMILGQLFAGAYIVYIQPAYNRKLDANNGVPIPE WRLPTVVIGGAAFAIGLFFFGWTGYTKSIHWIVPTLSGLFTGFGLLTIFLQSFNYLID AYLMFAASAIAANTFLRSLAGAGFPLFARQMFKALGVNWTGTLLGCVATVLVPIPAAF WYYGERIRARSPYAPTAAPQPGPDSQDDEESHAEADPYAGGAGLEEVEKSRSSERNAR NARTNTGDNAV VE01_09529 MADRAQDPLMGGDDHDYDDSIPAESVSEHEDPSSTPTLFVWLLT LSAGISGLLFGYDTGVISATLVSIGTSLSSRALTTLDKSLIAASTSLFALLVSPISGL LADSLGRKRVILIADILFVLGALVQAVATSVWVMVAGRSIVGLAVGAASFVTPLYIAE LAPSMFRGRLVTLNVLFITLGQVVAYLIGWGFAELGGETGWRWMVGLGALPAALQCLV MIAMPETPRWLAQAGRTEEAKAVLQKVFGAADMRRTVQPVMKAIELEVREEEEAKRER ARRSTTKDGGWFSDSWSELFGVPGNVRALTIACLLQGLQQLCGFNSLMYFSATLFSLL GFATPTLTSLSVAATNAIFTILSLLLIDRLGRRRILLLSIPVMIFALICCAATFHYII LPTNTNAHNPSADVPLPITSRTSPLLVVASIILYVAGYATGLGNVPWQQSELFPLNIR SLGSSLATAVNWGSNTVVGLTFLQMLDGLGPSWTFLIYAFVCLVGWFAIWLVYPETRG LTLEETGELLKEGWGVQRGGGRLGRGVGAENGEG VE01_09530 MTSDSSEYLSLTGEANEYVFENGRRYHGYKPGRYMLPNDENEMD REDMKHHISMLITKGRLHLAPIGADPEVILDIGTGTGIWAIDTADLYPNAKVIATDLS PIQPQWVPPNLTFEIDDAEEDWLFEPQSIDLVHARYLFHGIRDWPRLLQQAKRVLKPG GYVELVEMHVIPSSPDNTLPPNSQIMELYTTLAEVSQEVGLDLSVAQKYGSMMVSAGF ENVVEEVFDLPIGDWMQDRRMKEVGAFQRYQMVEGLHGIAFGALTRVAGWTPTRVQVF LAGVRREMRDRGVHCMYKL VE01_09531 MADSSLSDREKPYQDGIHAEHQEPGLITNSAPVKDEGQWDGPDA DFSGVDRAVVLRKMDMRLIPVLALLYLLSFLDRGNIGNANIQGLSEDLGLTGTQYALC LTVFFFTYALFELPSNLLLKKLRPSVWLPSIMVAWGTCTICLGVTNNYAGLLSVRVFL GLAEAGLFPGVSYYLTMWYCSEELAIRQGLFFSAASMAGAFSGLLAFAIAKMDGVGGY EGWRWIFILEGLLTVIVACGAYFIMYDFPDTASFLTPQERAWASHRLKYQGSKRSGRM IAESEKFEWRFVKAAVMDWQVWLGTIMFIGIVCPLYSISLFLPTIINQLGYEAATAQL LTIPIYISAAIMCIGTSKLSDRAAKAGNSRWPYIFWPMIAILVGFIIALAASAHGGVP GVVYAGVFITTCGIYPAVPGNITWIANNLAGSYKRAAGMGFQIGIGNLSGAMASNFYR KRDKPKFILGHALNIGIVSLGIAAVMTLRWNYARINAQRDRDESDGADINDDEIAKMG DRAPTFRYTL VE01_09532 MAAQSKILPERTVKSIISELTTLYLKHRTAISRTVYLTLFISLI NRIRSAINEQKAASVRHSQSKKYGGTAATDDQNQRRKKVELNREFFKSLFRLLKIIIP GWKSTEVRLLISHSFFLVVRTLLSVYVADLDGKLVSNLVRGKGKDFLIGIVWWMMVAV PATFTNSMLSYHQCRLALQYRSRLTNHIHDKYLSNMTFYGISALDDRIKNADQLITVD VTKFSNSLAELYSNLAKPILDMSIYNYSLSRSVGGEGLFFMSLLVQLSASVMRMLTPP FGKYVAEEARLEGEFRFQHSRLIDYSEEVALYSGHEAEKDTLDKGYFTLIKHVNYILR RRFYHGIMEDYVLKYVWGALGLILCSVPVFVKIPGAPAASTMGDRTQNFVTNRRMLLS SSDAFGRVMFSYKEVMELAGYTSRVASLLDVMDDIQAGKFEKELVSSADTEENAAMLR GRGEVVESEDIEFINVPIISPNGDVLVKALSFSLKPGDHLLVVGPNGCGKSSLFRILG GLWPVYGGTVRKPPFSDIFYIPQRPYLSRGSLRQQVIYPDSVRHMRAKGVTDAHLFDI LKVLDLESLVASYPDGWDAEAEWRETLSGGLQQRIAMARLYYHKPKYAILDECTSSVT LETEKVMYETAKSLGITLMTVSHRRSLWKYHTRILQFDGHGKFVFTKLDAKKRLELED EKDELDLQLRQIPEIERRIEELTA VE01_09533 MAENFYDEIEIEDMTYDGTLQIYHYPCPCGDRFEIGLADLLDGE DIGVCPSCSLMIKVIFEVEDLPQPPPGPGQAAPPVPV VE01_09534 MSRVNILRISRLANRNVLSTSQRCQVPAVRALSMKTILPVVAAN KSYISGSIRNFSCSQASSKGIYPETDNPPAKTLEPEATAQEPTELTIEEYHEAADTCI DHLVATFEELQEAREDVDVEYSAGVLTLIFPPIGTYVINKQPPNKQIWLSSPISGPKR YDWVVSGEAQNQKEGGGQGKWVYLRDGSTLNEMLEKEVGVDLTEVID VE01_09535 MINAVLVFNNAGQPRLTKFYTQLETSVQQRLISEIFTLVSNRAP GSCNFLPLPPLLSSQSTPSHAASTTPSNDVPSLVTYRHYATLYFIIISTSTESPLALI DLIQVYVEALDRLFENVCELDLIFNFETLHATLSEMIVGGVVVETGLDKVVEGVRSQG KIPRRPVNEGTRNIGLGGGFSSWAGR VE01_09536 MAASDAAAKALDDLHLSKTKELKGTEKRDSLIAVEKKYQKKWQD DAVFQPNAPSIADIPLSALSAKEVREKHPKFFGTMAYPYMNGTLHAGHSFSVSKIEFT AGWARMQGKRALFPMGFHCTGMPIKACADKLINEIKLFGKDFSGYSEEDEASDKLKEK SPPAPTSAREDVTKFTAKKGKAAAKVVKMKYQFQIMRAQGIPNEQIHEFADPNHWLDF FPPLCKQDLTNFGCRIDWRRSFVTTDANPYYDSFIRWQMNRLRELKKIKYGKRYTIYS PKDGQPCMDHDRSEGEAVGPQEYTALKLKVLEWAPEAKKAVADKLPQGADAFFVPATL RPETMYGQNCCFVGPKITYGVYKVSEKEYYIITERAARNMAYQGVFPVNGVYEKVAEV TGADCVGTLVNAPLSIHTEGIRILPMDSVLPTKGTGVVTCVPSDSPDDFATITDLAKK AEYYGIKKEWAELEIIPIIDTPSYGNLTAPFLVKKLKIASPKDTKQLEEAKELAYKEG YYQGTMCYGPFTGEKVEDAKPKVRQQLLDSGGAFAYSEPEKRVVSRSGDDCCVALMDQ WYLDYGEESWRKVALQHVDNADGNGLNTYSQETKNGFEGVLNWLNQWACARSFGLGSK LPWDPQFLVESLSDSTIYMAYYTVAHLLHGDIFGRTPGLLKDLTPEQMTDEVWDYIFA RREVSEDVLQSKISLEGLETMRREFEYWYPLDLRVSGKDLIPNHLTFFIYIHIALFPP EYWPKGVRANGHLTLNGEKMSKSTGNFMTLDEMVKKYGADASRIALADAGDGVADANF EEDVADNNVLRLFTLREWIEEQVKEQETLRTGEKNDFLDRLFDNEMNAIAREAKGHFD DTNYKLALKSGLYDFIGARDFYREASTAAGIKMHKDLVFKYIELQALLLAVIAPHWSE YIWLEVLNKSATVQDALFPEIPAPDASLSAMREYVRTTASNITSAEAAQQKKKAKGKD ISFDPKKPKKLTIFAAKSFPAWQEKYIDLVREVFDPATKAFNDKELTPKISKMGEMKK AMPFVQGLKKRLTGGEQPETVFDRKLAFDESKTLLQMVPGLKKAAGLKIVDIVEVAEG GATGVLVGGGEVASLPPPAAGAVPGVPTFHFENVEE VE01_09537 MPAPSKVAPTGKVTTYTGPKTFSHRLVGGLVLFYFISYAAKGYI VPGSAIYEALQKSWPGGAAHYLWLQEKIFVPVIAIHGVETAIMAYRLAGAGVGAGSGL WWKWIASCWIEGVGSHQRLSALIKGE VE01_09538 MSVTQRSDEELDREWKPSGRRPQSTMARHFSITLDDLFKIDNSI ADLDAAVDQKKRLVSTHTSELKALEEALRATEERLKATAALPDRAPSPRARKAISGDT FENPQDDNNLNAPNTTERPKTASRPGTARKPVPSPTQNTNLPPMPGARPPTPGASESE SDESKEE VE01_09539 MNNITAYTPLESLLLFQSLAVFGTEANVFARISDLLKNNILIRD GDRYDPGRLSPDSLRELYLQLLREELKSELEAEIPGDASPSKKRKIQSPPLPTIKDAK EYSGKLPQLVDRLYARYRDQTIQAIRDDERRYDVLKRELKEIERGEWDERIMKDERRG QRTTSEDSRRAPSVPVVSEKPERPASRGAELQAAPAASPLASPRTEPRHEGLAISDVL NTHEVPASPRPLEKDTVPRVRNVPPINHHRTPSTDRPHGPSPLQPGQRPPPLDRKWEN YQPPPTQPYSPHAPTPQGYSPYPQGQYAPVYPQRGSFSGPLPPARGPVPSSPHAAQPL HLPPQGQQGPGSPAKPLDQLAEVADQQYRPPQQVQGQQMAQYPPTPGQQMGPYPPGPG LQVSQYSPAQYAQPPPQQPFRSNGQHPQGQLPAQWNQYQQLPPANYYPAPGPQPGQRP FPVRPEIAQPEQRQYNSPYNPSGGQPPAFKSTPAPKPLLRDTQPNTPVTRPILSTGRA TRWTPVATPGTPKASISPDAPAFEPLSPQPKSSTLPKDKGKKPRGRPPRIREGSTASP APRPAPPSQAPDAKVKKETPGLRPLQDLALATSAEDTAGATTDETPAPPSSPLRKRKR SPARAPSKPPTHVLWTRNFSRISASALERIGAHRCASTFANPIKERDAPGYKDVILRP QDLKSIRSAITAGSRAGLAAVASMDEESDKGQASLQLPISEELVPPKGIVNNAQLEKE LMLMFANAIMFNPDPDHGFGRAFSVRKGTPAEVEDNRGYTLDEDGVVQDTKTMFADVE KIVGELRSAEQRKSEEKEGLERRARESESVDDADQEGPGKKRKRG VE01_09540 MQRRLPFDDVAWEQSDNVYFEWRTKLLKPETLTEIALFVANDDG STLIRFPKPGKVMFPEEKVRNEVAVMRYVHAHTSIPVPFILHWGTREESPCGLGPFIV MEYVEHACDVSDALNTPGIPYEERPILDPSIDGEKLRGLYGQVADVLLQLSKLSFLKI GSLAQVDNGDTWRVTERPLSSNMNEIVQLGTLPRSKLPDKSATFKTSSSYLTTLANMH LRHLTHQRNDAIDSSSDCRRKYIARYLFWKLSLEGRLSHPDHNSGPFKLWCDDLRPAN MLVNSNLELVAVIDWEFTYSAPVEFSHAPPWWLLIEQPEFWPRGIDEWITAYEPRLQT FLAVLREREDALIQEDRLGEEQRLSLPMRESWDSGDFWVVYAARKGFTFNAVFWKYLD SRFFGPVAGLDGDEWERRAGLLDEEEIMEIDSFVEQKVEELKTRVLAWEPEEQLG VE01_09541 MTELQPPEKTSPQSPSTAAHVNTCEADEGGIVDFDGPADPANPL NWSFSRKVVTSGLYSLTSLGSVWASTAYAPANSKIADEFNVSPDVAIIGTSLLLLGWG FGPLLWAPLSEVYGRKWPVLIPFFISIVMSFGTATAKDIQTVLITRFFTGFFGAAPIT CTGGVYVDIWDASQRGNAIVGYTLAVCGGPSLGPVVGGAIIYSGINWRWTEYTTGILQ ASILIPGLIFIEESYVPILLVRKARKLRQNTGNWGLHAEWEETTVSIKELAIKFGLRP LQMLLTPICLSVTIYSSFIYGTFYASLASFPIIFQEARGWNEVVGSLPFLGVLIGIIM GAALSALNQKYYNIAYEANDFKPVPEARLPPMMIASVILSGGLFIIGWTASPQLPWIA TVIGVTMMGFGYYTIFTSALNYLVDTFQRWGASAVAANTFMRSVLAAAFPLIVPPMFR SLGNDWAFSVLAIVSVLNIPIPFVFWFYGPRIREMGKYSSNMG VE01_09542 MASCQVLLFGDLAIRFEDELRQLVHIRGNESLRSFFDQVSYALR QEFGNLPSNQQDLFPRFTTLVDLISNLVETEGNPVLRFTLLPVCEIGQFIKYFGEGSR LYPSATDSYCLGLCAGGFAAAAVSTSQTLAELIPAGVEAVLTAFRTALCTLAVRNDIE EPDNGHHRSWSAVANIQEDEASDLIERFILEKGLSHSSKPYLSAITPSNVTISGAPSS LKEFFAAYSLKFYHIPVELPYHAPHLFHSRDIDEIICRFDDKLLGSYKPRIPIWSAAS GKSILAENFKNLLHEVVTDTLREQVQWRNILESTAKLLANRNFHECAIHTLSSNASTL LSSAISRGTKMVVTVNDLSAKTGSVPQPRRHSGRLDQSKIAVVGYSGRFPDAASNEEF WELLRAGKDVHRLVPKDRFDWEAHYDPTGKTKNTSRVKYGCFIKEPGLFDARFFNISP REAENTDPAQRLAITTTYEALEMAGMVPNRTPSTQQDRIGVFYGVTSDDWREVNSGQD VDTYFIPGGNRAFVPGRISYFFRFSGPSLSIDTACSSSFAAIQIACSNLWRGECDTAI AGGTNVLTNPDNFAGLDRGHFLTTTGNCDAFDDKASGYCRADAVGSVILKRLEDAQAD NDPIFGVIVGAYTNHCGQTDSITRPHEGDQTSVFKRIIRHANVNPLDISYVEMHGTGT QAGDATEMNSVLSVFVPECKRMPDHPLYLGSAKANIGHAESASGVSSLIKVLMMMKHN EIPPHCGIKTRINHKYPLDLKERNVNIAATPVPWHRTASGKRSVFLNNFSAAGGNTAV LLEDAPLSHPIDITSDPRSTHLVAVTGKSAKSLNDNIKSLINFLELNPDTPLPALSYT TTARRMHYSYRTICSGSDIKSISDALKKKIADSESMPLTSTTSVLKIAFAFSGQGSLY SGIGRQLFECYPGFKADILRFDSIAQQQGFSSFLPLVDGTASDVDGAETVAAHLALSC VQMAICRLWISWGVRPNLTIGHSLGEYAALQTAGVLTPSDVIYLVGTRAQLLSSHCRR GTHAMLSIKASSDDIRPYLVGSTCEIACMNQPTNNVISGPSEEVDRLMLLFLSKGYEC VKLDIPFAFHSAQVDPILEGFESATGSIRYRVPSLPYISPLLESVISDGCVLNASYVA RACRSAVNFQGALKSAKESSLIDDQTIWIEIGSHPVCSGMIKGTIGTQSITIPSLRKG VDTWKVLAGGLETLYLNRVNIEWNEWHRDFKSSHTVLRLPGYSWDLKNYWIQYRNNFC LTKGESTAVIDAPPAETRPDFVYLSPSVQRVLEEHHAPDVSNILVESDIQDPRLSPVF QGHKVNGTCLCPSSLYADIALTIANYMMKAIDMQGGDIGLDVGDMKVDKPLIALPAGN PQLFRVSGLANWASKTISLAFYSINDQGGKKADHATCHVKLTPNQTWLQDWKRNAYLI KGRIASLHKGVDEGESNKLKRGLVYKLFGAIVEYDAQYQGMQEVILDSSELEATAKVT FQVGGDGYCFSPHWVDSLGHIAGFIMNGNDNVDQVFINHGWEAMRCATTFAHGQTYQT YNKMQQVEGTLYAGDTYILEEGNIVAIFEGVKFLGIPRRVLDQVLPSKPSSVKNSAVP RRPTANTSAISPARQLPKLPIAPNTNLTKLSSNHIISQVMAIVSDEARIDPSELKPQT EFADFGIDSLLSLTILGRLRDEVGLDLPSTIFADYPTVQKLQSFIGVDRSPALSSGSS SQGDTDVLTAELVSEPDTDRIVDIIRSTISEETGVPIEELTVSARLSELGIDSLLALT TMGKLSEALDIEVPQSLFVENATLYDLERALDMTIKRTHVKICPNATKLQTIQEVNII DTAPHATSVLLQGNSKTATKKLFLFPDGSGSATSYSSLTRISPDIAVYGLNCPWMKTP QGMTVPLKQLTAKYLLEIRRRQPHGPYYLGGWSAGGICAYEAAQQLSHAGESTTRLIL VDSPNPVGLENPPQRMYDFFDSLRIFGEGGKPPPSWLRPHFDAFIRLLDEYKVKPFKG KLETHMVYARDGICKLPSDPRPEIRPDDPREMLWLLNNRTDFSGGGWCELVGKENMRI TVLDNVNHFTMVEAGPEIGKLSAFIRKAME VE01_09543 MPGRRSNPSMELKRGVAPDAFSSDSERGFEHNAQLKNTTVKHFI WQDVTVTVQDRATKQPKEILENVDGVVLAGEMCALMGPSGSGKTTLLNFLARRDNPGA DATGIASVNGDALSLNEFRKMSTYVEQEDALIGSLTVRETMNFAARLSNPGLTKKDRI MRINGLIDSFGLRKQEDTIIGTPIRQGISGGQKRRVSVASQLITAPKILFLDEPTSGL DSAASFEVVSFIRTLAKQNNLIVIASIHQPSTQTFSLFDKLLLLSAGKPHYFGAVDDV VPYYMEIDHPVPLHMNPAEHALELTNKDFGSDPVEAEEELSKLQTAWSSSPRAEAISS QVTSHLITAGEGKTHNSKTSSHANFVAVTVTLVHRSFIKSYRDVVAYGIRLAMYSCLA IMSGTVWLRLSPAQTSIQPFINAIFFGASFLSFMAVAYVPSFLEDRLTFIKERSNGLY GAAAFTLANFIIGLPYLFGIALIFTCISYWLTGFHNTAPAFFTFVMWVFLDMVAAESL VVLMSSIFPNFVVALALTAFVNGLWMCVGGFLIPTPLLNVFWKYVFHYIDYQAYVFQG LLVNEFADRTYNCDQNCHCMYTTELADQCMISGKGVLATFGMHTGQTGTWVGILIGIV AFYRLLGWAVLAVKR VE01_09544 MVAPPPDWVAKLTPSGPQGSELLATERAKSNINIDKLSNFMFGK EALENNAKILAILQSEKVFDKSQNAFMGRVEKFPVALARAKKLRQLQVKHNWSIEDYR VADGLISEPGPYGLHASMFLTALRDQGTAEQHKLFLEPAENYKYIGCYAQTELGHGSN VRGLETTATWDPADQTFVIHSPHLTASKWWIGSLGRTANYAVVMAQLILNGKSFGPHP FVVQVRDLKTHQPLDGVYVGDIGPKFGYNTMDNGFLLFNKVKIPHVNMLAKYSSVDVK TNKYVRPAAASLVYGTMTWVRSTIVLQSGQTLARGVTIATRYCAVRRQFQDRDDVSND VTENQVLNYSMVQFRLLPLLASTFALHFTGKAMMEMYTQNQDRMKKAVANTRRGAGPE ELQSGSDMLADLHATSCGLKALGSTIAAEGLEVCRRACGGHGYSQFSGIGSWYADYLP TTTWEGDNYMLTQQVARYLLKSARAVLKGKAPVNDTTEIFRTFLDAQDKGCAFDILSN DGDIVAAFAWRTSYLTFEALKHRDVEKQSWNSLLVDFWRLSTAHSQYLVVKNFYDALQ SSSLTYELDSVSITTMHQLFRLFALSTLEKEASEFYSSSALTVRQIQLVRTKSVMQLL ADIRPHALRLVDAWQFPDWQLDSSLGRKDGKVYEDMFYRASQLNPLNGLTVDPYPESD VLIKNDETGRGLQAKL VE01_09545 MPRTPEAESFFHAVYAAIQEIPPGRVTSYAHIAKLIGTPQRPRQ VGVCLKHLPDDPAQRFNSQTVPWQRVISAKGMISPRGHPSGAANQATVLRAEGVTVST GALGEYLVDFGEFGWFPRQLPSDVEAGVELSSEEED VE01_09546 MSFSKKDSGESRQRNGNGFRTDSAISGNRQQGERVLQPWVPDEN IPVNMALESTGKQSGGGWDQFAVNERLFGLKTDYDETIYTTAIDKSHPEYSKRYAAAD RKAREIENSVANNRHVEEERVADNLTVDDSGLDEEDKYSGVQRQDFPPLSVTGRADRY TPPARRAPSGKATVSGAPVDPAIISSQLARPPSEAPSTSTTKPDHALKVGKPEVSTPP TTTESSFAVTPEPKATPTNTSTSASGAASPHPKVIATPNATATVERDVVTAFRGFAAQ QRTQADKLRLSKAKADKEEKLQELKAFASSFKLHSPLPNDLVAIIAKDPAKQRAIQEN ARRQAEEVQQEKQMKADAIQAPRSGLAASDQRTTQRSGAGTGTASTSATSNRQAPGRG GYPPQGPYHNNQPFRQDRSARSQVSGSQQGHPTPPLSTRLRNIEQSKNGLAPPAPGAD TRQPPTGPAHGPDTSAPRRTSATTLLGKLNPNSHEFRPSPFAAAFNPNGNPSTASSPR SASATATQGIPSASGTLLRRKPGTHSKAKTDGPVLDIIERLAKETPPQGRDWSSNGGI KPAYDTPPTWRQVKDDEPQSSTMNLTYAKLFENARFSGQPMSPQQPSAHPQVAHQHQL PFHLQQGAHTQRPSPRQPPLHMHNNQSMQQNAQFHSNDDHRMVPSHSAQSYASPRLAQ VNVAYPSPMGQPAQLSFQQPGMQYPVGPGGPQMAQFRSYSGGHQFMPQQPTHMGGPVM IQGPAGSFMGNPNLMAPGPPMLYPVNQAHFTPQGNGPPPPMAGSNGYPSPGRSAPMMM PGGSQQGQQAYGMSPGMQYGQPIYAQQPPGQMPNMRVYPPQGQQFGTSPQMPQYSQPQ RGGPNGSYNKGYHQHNGPHPQAQQSQAPTGQQRPAADGDEAR VE01_09547 MQAPQPSQRSIQRRSPAADPGPPPSIPARAMSPTSVSQSRVSTT SARTTGTGSSSRRQNGATHGTNSGPPLSQIEKSVTHLLVATKQLLETLTRWSRHEATD TNVSDVYVRLGYEFNIACRAFAAIGVDTSDLGNVPELLREILESTLSQEASPEGLDQY LPKIRDIIITLLHGLKRKQQKLRQKQSKEVSSQNSDTNVPRNTSTSSVASANTGITTL LNEGIDPNYMPDDRMIVDGKVVTKPEVNQVPPRGSSMSTSPQRRGIPQRGLSSRGSMS SDQSSLSSTTMQNMPVLAPFSGDEAALPPRPGSGELTVDAFPPPPPPPPPPKQNALAA LQRGGDLERRASRRYSAYHISKHLGAQAPMPMLPPQNSPIPNRGRDLRETMNAVRGRG STVHSKQLSTSPMINEEASNRVPSRISETTEPSSSQEALGTNADEQTSGAKEPEDKGR KASNDLDWQGSAEANRISGATLQGPPTDALPVFATGLYDAKETGAPPTPVTAARQSPT TYTGPSENREFVPEQSPQPGKELTLFLQYKTRIKKFVMADGYNDLSIARLQLAFIEKF AWNTHNNGVDLPEIYIQDPVSGVRHELEDLADIKDRSVLVLNVEALDEVKRHIDEGLG GLRKMVEGVKTAIDDQKATMQRVSERQQDAAKEITRLSMVAQTPVPLAEPSARGIPPH SPKNEGNKADINELQSLRRNLAVVRQTFSSFQANMESSMSAIRTKASSVSDAAIRAAV PNMKNDSGRSYVNTGKKTLNDDSDKLVGRVDDLQDIVEDLRKDVVLRGVRPLPRQLEG VAKDISIATQELRKMQEFLKRERPIWTKIWEKELETVCNDREELTMQEDLAADLQDDL EKATQTFALVEQATKEQMKDLPGGAPGGRVPLKSLNHVTLADPTVAKEGVLGEVRALQ PNHEGRLEAIERAEKLRQKELEGRKGGEFQKELGNFVEGGKLKKAGGFEEVERARKAK DEKTRREVWERQNGITQADADIAPPLPPIPLEGEDELGVQEDQPPVI VE01_09548 MAMPPHSRAGGSSTAQRGATAAASSSSQTTTIHSPSTRQQHPVL RLRGAMRSPGERSDRRIQWAEDVIDNEGLGRKSSKVCCIYHPPKASIDDSSDESSDSS SSDSDSDDGGARPAGGKRRTNARHKHNHDHDPSGECHHNGKTKKSRRSHSPNAYEKIP KPKESGPA VE01_09549 MQPSASSSTGQRVPGAFNPMTTSSSLSLSSQQTRQQIRRVESTD LELASPATGRSTYSADNPQDASPEWPPTQSYAFHDTSFQSPISKAGNLSYPYRESNLV IQPPVPPFHSESSPRQPHIPSTGEVRVHQRKHAHTQGFFEPTIPTISIPSPNPGGSSA IMDPAQSPQQALSASQIAAQAAMQHQNHQRRRSQTLPVENVYGGQRRPSRGPVSPPLL SLTEASGERQNGFGAPPAGQGVVHGGQVYRNGLLGGTVNAATTAANVVFARSPQTSPN LATVESMQQQQQQQFKEPEKPAKAEKSKVKLFSRPGKLSGLGKEKESKDKQMGSPSKL GFGTHQPQRGNMSTHSLADSNMSGASSIYSLANSSSATIRPIDTSVAEKEPGKEKKHH HFLSRQKQKLSGKDDHHLPLSSANSNSRPVDPNAPSSFYNFNLPPSPGPTATSFSKSM SGLDLRHGGRALREKKREEKSAANHSFQESALRESEATSDWVGASSMSSTAALLPYAG LPPSAYPASIYGGDIPDLARYGLNNMGPDDAWPLLKSKIFAVFQGEDLKLPVEDFNRL VSIHIQRCIVKRAPNLIIDDIRDLLATGFLSIDATLRATTDDRLIPRLVEMWLFVFTS VLPNLQSVFLPLDLEFEGCGPLMSKTAAREFWGALPISSLSHGGSNPTPVPAHFLLSI RRLVLTTYRDVVILPRFEILQTLFSRLSLESINFPSQASYSQSPILPSSLSTSPPDVR PGTAMSLDPAFSSFGSQSTTLLNGSGAGSDSVGARSRGVSNVSFGSDQREAVYAQSMR PFAPSPMLSGSGLGSSGSTIGATTSQREVNAEDSKHVTETVGRMLQCMSVLAAVGIIA GDGSEVKVQKQATPTNTGTGGRARGYSTALVEDEVAQDKMDRLCKALKLNWLGRGRTG RNRRGLVGGRMKVAVPGVGA VE01_09550 MADSSQTLRATYNSPTNPTFSHTAEIPFSTSNTTSERTGYLSAL RSETSKLQDLINAELTARMENDKAQQASDLAASARKGVVDEIAEEENYGEEVMEED VE01_09551 MLSAFIARPIVELKARDKSKIESILAYGDRLIVGLSTGSLRIYR VNEPTVDVQNHNGEGPSSGEPTAPSTAKPVDLLRELEKFSPRAIEQLSRIKEANILIS LSNYVVSIHDLKTYELQEQLPKTKNATTFAITSNIVKDTTTGIPEIISRLGVAVKRRL LVWSWHESELSPDIVEITLAASIRTLSWASATKIICGMNTGYVIVDILSQEIEDIVGP GAIGGPGASDVGRFGGVGSTSMGYMGLGGYTPKPLITRLRDGEILLAKDINSLFTDTS GKPLEKRQIPWQQAPESIGYSYPYLLSLQPALKGVLEIRNPETLSVLQQVSLQSASIL HFPPPTVSLSHAGKGFHVASERCIWRMEATDYDSQITELVDGGQYDEAITILDTLEDA LLQDKEGRLREIKMQKAQLLFDQRKYRAALGLFTEVSAPPERVIRLYPKVIAGSLSTI PDPEEPEGEGDHDEDGKGQDDQAADLSTVGSPMKGFVNSFMKQHKKTPSDTASITSLK PGQKGDSDSSETASVKTKQAEDGPLEGKDLVSAVRELNAFLVDTRTRLQRFIEPGGGG LKIHPASAQNGTSKAAFESLLVSPSSSEDTEIEQKLIETAKLVDTTLFRSYMLVQPSL AGSLFRLPNFCEPDVVNEKLLENNRYNDLVDFFHGKKLHREALELLKRFGEAETSEEP GPTLQGPQRTVGYLQNLQPDAIDLILEFAEWPLRTDPDLGMEVFLADTENAETLPRDK VVDFLEGIDTSLVVKYLEHVINELNDLTPSFHNRLANAYIQGLSSRKDRDSESWKTLM QQCLAFLRLSKQYSPLKAFGSIPRDDPDFYEAQAVVLSSMEQHKQALEIYVFKIKDFE KAENYCNSVYLQSESSDSSTNRLESTSNSTDSSPSIYHTLLSLYLTPPPPQEPNWVPA LDLLSKHGSRLPASNTLTLIPANLLVKDLESYFCGRIRAANSVVNEARVVTGLRKSEV VRAQACLLLGEDDESGGRADSSGSFNAVGTGSGRNRHVVVGEERVCGVCHKRLGRSVV SVLPDNTVVHYACSKRAVQRGVNPDGGLGSLKRNGGSAARGLNRTVS VE01_09552 MMTSVAQSYSPHPTGLPQHPGVAQGHQMAGVHTQQGGPPGPGMP QQAFHMGVSGPGVPQVSQPGTMMSGMSPGVNGPGGPNAHALQHLTPSQAMYQQQQQQP QMAFATPQMQQMAQQHLLQQQNQARQAQAARNAMMAQQYNSGMPMNMVNGMSPGLNAA QFAAMRQAVPRGMQPVPLPQHLQQQQQQAQALEQQQAQVQQHQQHQASMQQLQNSGAH NGGQGQPSQMNPQQLQSLQIAAAQQQQHAHQQQQHQQQQQHQQQQHQQQQHQQQQQQQ HQQQQQAAAAAAAAAAAQQPQTPAPQNQQGPQPQQQQQQQQPPTQQQQPPPQQLQLQQ QQQQQQQQQQQQQQQAAAAAAMMQARPRNQMLKGQCLLRLMQFGDHLSQFVATKQQSD LSYWTNFVETFFSPIGVLRHSVWIVDEQTTKQYEITFPALARYFCTHFESGVKNMQLI MEKGTEKELPNHCNYISSEKSSFIYWFENGSQLVANGKLKAQFDANQMIELLEFETNN HEEYLPRTKVVDAARPLHEWQKDWQKINAPADGKQSPEINKKGKARPLKSPPSQPPDI DIPDSKVKLNMGITPSVFRFLELAEVMGQMNPLFSYSHQHSSLAPYSALDQYVAHVSQ AASLNPSTLPPGSRTPSLNAFTLSSPAAAQISLPDGTNPMQNMNMGSGNMQPTAMALQ QSQQGSSSGVSANTSPNTSNKRRRPSEEMSHINGAAAGKQGGVGQAGGQTQGQVANTG SKVKPSPRIGGKRQKGGPA VE01_09553 MIVQFRKFHVLAVVSFWSFYLAKGNKHGPPKLRKISRACSGLFT PWQTVMITLLYLYIARNFDSLLDLECPEPLANLYTRSYFRATWVTTALDAGFWTAMRI RRKWLRDLSSIIFSLYYLIAAERADEKVRKVRGMLTLDHLRVSWNKGNTPYLKFVSAL LRPRLMKYPPRAIRIPRPGGEPVQAWLYFDGPLSALKNQTKIVLDVPGGGFVAMDPRA HDDKLIAWAGKTGLPVLSLDYKKAPEYPYPYALNECFDVYRAIIASRGRLVGLSGDVM PKIVICGDSAGGNLATGTVLKIIEHSLSTSSDSEVPLPMPEGLVLIYPGLDFNIVNWM SEEHMSLFKDRRMRKTNRDMLRLKSMQYNHLAGTPHHSEGEDFTALSPKVRPRSTPGP ASLSEHPNSTNEPAVPPTATPTPGTSLAITSMISYINDRILDPATMRSMILLYVGPHN RPSFADDYYLSPILAPPALLARFPKTYFLTGERDPLVDDTVIFAGRLRKAKAEAYEKE KERGEHLAKEFDDRDVVEVALIPGISHGFMQFAAAFPEGWNHIFRCARWIDELFEHSD ANDKMKATTSSTLGSGIKATSLRRQSENSKYGKGNSANYHHNRTESSGDEALEMRMPL TARLRAEQELKERLLQETLGGVSDEGATRGRRTGRDRGRLTRKKSSVSLASEDDLLGR RMLGLVSGLTSMGEGNTNGDI VE01_09554 MADTPPTTSSPGGTPPSSASEQARIRRERREAKIKAGGTSRLNK ITGLGGGLQRDVKPAAAAPQAASVSHADPDEVDISEHFYEPPLNIRSQNATPNPNRPY PGLSSDPSQLDDQALRAMMLGFPPPNGSPQPQSNPFGGPPNPFAAMGNPDGTGDPAND PMMAMLQQMMGGAGGEPGAMPSFPGLPPMGFPGSDANGPPAVKTSAYIWRIVHAIFAL GFGICIAFNSKFGTETQRRYGSEVVDLRAFYVFATVEAILQGTRFIFDRGQDSQTGII GTVLRFLPQPFGGYLRMFMNYRSIWTTTSADAMVVVFVLVAANHWRISNEDGSELFGS THGIN VE01_09964 MYKVIWDPTWVPSIYSRKGGSAIQARASAPAPAPVRIAVHRCIV AFHSTIFVLVLVLVLAESACLCLSACLPALNSDPPAPKLRRALCNKQASKLTIPRGAS RGMEL VE01_09965 MKAKEAQTKLAELEKEDAPIAEQLEQAGAFAGIEWLDFLQTAIT TPGEARTGNFHGREEWVLRWLLKKLGGEEARRCPQAWRLLRCLVQRVPVPMAARCLNE RKGVGMLRLGAEEAVGRRKGKEVEVVKPDKSGSRKRKRGEEAVTNGNVEEETYDVAEA MYEVLEQIVGLATPEEQLEGDAFAAEYMKSVTRLALEESAKLLGSWLELCRLTRDKGD EAQLSGWLTPFIETWKARMDGGDDSLVFSTHCLEPLLNLSSSSDLLPHWQTQLEQLLS RNIILPARNAYTASKTTDVLASFVTNSVAARPDFAPILFENAIHSLQPNSTHRSRTQD AAWLQALFRVLSDACPAEQREVKNGAINKMMKMCLEHKIPLDVDLLRSITWECGLHSE GTDEEIIATVIALDGLVFTIPNADEKDILKELFSRITLLSTKPEWPDMADTYVDKILV PLMGDFAKARDLTGFINHWYEQLVAFDSIISERPSKKDLGHFGAWEDDALFAKLKEIM EASLTSEQIKSILDGIREKTGSPGPALVMMDAVAGALTREETVGTAELPLWAFSWVMA HKDVPERYKLRQPQILTHVMDATSLTAFQEQWGSEADMAKHVSNARVMVTSSNSLVEL FRSAASQHTLLSLVNKELANEKLVLAMKEVTEEFFKEDAIPWFASSGKGSKKKDAARR SIAIKLQTPDTTFALAKIILVDYPKLIKVVAAPVKKGKPVLQTLFWLASMTTPFSAEN SPNGWVRRNNDAFPGLWRTMLKNDDILNDQELIEIIIDIILNSGTHEDNPIESRMSCN GFAIECLNSLPIEVFKRSIRERVLRAWPPAAPEPSLNDTKTLAYRMSNIDAAVISLKM KMMERPSIYEGFTYKDLDSLSQAISELSPDPRAPLDTFAEYARRTLGHIGDTIGQAKS DTFVMDMMTDLRLRGPDDSSKSTIGCGRIQLAVAFLSFLATSESRVLKVEGVTAEKIS ELKTTFRDEFVSNLRRLVQKPSKLKKETDERSMSLYSTIRALENPVLGVNPRSLSHLY DDATTCAKDVEERNPALASQIKTFFISHIAEPSKVEESELFDEDVTTSAGRVAIMRAM QAKTATMDESEKRDLLLRGLLPGSAENLDKLLAIHYVLESCEDQSPPSTAEEAKTSLS TIYTTLTKTLISPPSLPHLSLLTSILSHTLRTKPRCITQHAIESTLAAIPAHLSRPRF SPARTHTHLTSLLSTLLTTHRLSVTSRAPLLNLALLALLAPLFTADLGIKHAEAYSRL LTTLADPAATAVRASTATPLVSATAKAKRQAGAHLPVIVGAYVKLSLDPSSRMQLAVR EELKRGLWTVFSAMGAEGRKVLGEEMDRSGRDVLRGLVGEWVRFGKWKGN VE01_09966 MPSKQHTRLTFSRTCSHEGHHGGPISDEQPSSTNTSSGSCLPPP PCDAIHPSSNCPTRLSPTVPVFPIIVPELVYRSPFRDRITPACPRSRSRCSKRQKLLS IHAAEKSLLVLELFGFLTAYTALSEDQRIWVVETVFGDVDWKCCADIDEGKGDGLGYP YNLYDQNDGGPGGVCRWILELSEARITTAFGELASRIVEAGWDVEGILLPPGAETSVE AQESPDINPTWFNWTVASGRAGPTEPITYQAVPEPKLSQVPLYGRDPMNPQIVAERAK YLRYNLEQYSAEGLEQACLDQKKKIRAYVSACEERGKEARERCWRLVGGRGSALSAGT RVNNSSLFNEMASGVSTADLSSIRSSGSAITPNRTQIDDWAFHCTSGGANSKARLLEP SAPFRVSESPKQKTADAGFEDQWEFGDVPTSAEEEERMMEHALRASIRVSAEKLPPPS IDNAVDWEDSNQVPWLNSRYQGNIVYNNMPIAQSEPLIVMQAKLDLGSGSEPPPPPKN TKLPEANPIEDVEMTTEELNQESAKLESTMGEAADKGKDMEIMEESDAVANSLIDQMQ PGRKPDRRRRNMRGVFSDLRAMSNELNRTFTPKSQKEGEVVKDTVEEIKRPKTSSGKP AEPVTENVSELLNSATEEAREIASWYPPHSDYRGSDDESIEDKPPPERLAEAFRQAEL EAEDPFRPPPLKKKSEVPAVVLPEKPRDIALEIRSKEGSLTRRSDKVKVVDFAQRAEN NAAYHKAKAAEEAGPETQRPNAEPDLRYFDLSHGAQESLRKSLEKRDREYNERKSKEQ SGEGGSKQPPVKSLIPPDSPGLPGPVVPPEPDISSAPGGSSNPSAPAGPGTRPKSPDP VVCGGPTAAGNPANPETPAAAGNPADPITPTKSPAPVDPSTSGEPGKLTEAPETGEGQ NQPNRPRRKRKWLCC VE01_09967 MSRQPSTAESLRSAASEATHTVAETLDPSEDKNPSKWRQEESLD GSRRLPSQGVSYKEQLDEAATSEQKGSEEEESIVERAASCILGASTVQSVIQGKQEAS TSEAKVEDVGKPPTRPENDVQVEEFLKEQYRSRSKDEDTSKPGKYKA VE01_09968 MAPIPITLVTGFLGSGKTTLLLSLIPQLKALNPDYKLALLKNEF GDLAIDSQLASSNAISGVREMLNGCICCNLVGQLGPALEELRESVPGLDRVVIETSGS AFPATLAMEVNRLARETKGQYALDGVVTVIDVENWKGYEDTSYTAKLQAKFTDLIVFN KWEAAGERRFDECLDRLGDMEGVDVAWVKSDKGSVPQGVIFGIDGGLAKELGEETSEH AHSHEGTDGHKHTNEEHHQSEVEVLSVTLTSGESDNTVDTVHLTKLLQRAPKDEVYRI KALFTASKPISGSDADIAITDAPSGPSRYILNWAFGRWTCTPVPEGVDEHESSDGVIL RMTIILARYESTKWKKRIENDGFVGLEGQDKGSLKVEKIA VE01_09969 MSSKLTNHSASYGSGRTEPGPGSATQLARDYLRERLYGNSKQGF IDMKPYPSQIVQVGSKRRFRTHKNPLRNDLWPGVIEAEKQAEKERGRRRHKRKRRPSD LTLPPSNTIFQSPSGKVPGGPQESSASRLRCDGSFGYENHLGAEHDSSRRYSLYRNGD EPSVADVREKRAAIVLGELERVIPPSKFALRDLRAAARPHALTWATLSGPVDTSFPNP PEISPPNSSSSVSPQHKYTSTPPSSYSSANRLAVPHAPALSNLPNSADVSQSGHRRSA LSSLAFSADLLDSTRRTITSAIRRSAEKTVHSFSHRSNDANYPDDDEESFYCIGEPLL PAFHLTETIPSPVSSFTSSIHSSDLSLDSSGVTRGRRLYPENRGVWMDAETECRLCKR KFPAGPRGLCSSCEEEFKRPITRYVAFPFDEEIEPVLPLRIVKVAAKNRGFENNTNQL PPSNSATKSRKLGSIFGQDPGLAPTIPLPKIPTTDAKAHTGDSATGLRLPSVEHRAKV VESPGYASQEAEVQSVLQTAMSLRTKNRLLRRKNLESMSREQDDEWNPPCLRVAYNNT AETALSDKVAREEKPKETVPTIEGGEHLAGRERRGSLIRGSHNRRRPNSRGEEAKHDQ GIVRRDTSFYSFYDEILKDAKERPARRRDDGRG VE01_09970 MPIFSDEDLVELSLLRANEIDELVEHGLIDASHRFDEDLLDILV LSYPELTISLHTGPTYPAEQLQVEIENISLPRLAVDELRNAVREIVARDADTNNYDGW RRRAHSDDFGIFEFEMSAFHVARRAFGHLESYRDSIMGPREESVEDRAGAKFKNDLVS GEGIDLSSITIDRKASDVLGKLPDEICALVPPFFRILHVESVLRDNLYSKFIACQNEI RDQLTALPRHELMACITKDQSSRRDTVEELVEHIAAPKLTFHGTSNRFVPSIVQNGFL APGAINPTTGEALPVRCGSTYGRGIYSSPSAEFSLSYSGSEAQPTAPDGFWGLKLLVC ATLMGRSAQMFRDDYWWTRSGPYPGAHSHVGNYEYEYVVFNSAQILPCYVIHLDWGAD NRYFFADIPEDKASFVAQAKKHPKLLAEPMAPGDKQRAKEALVAKASKYFPYGYGPAT GTSFVVEEVGEVDEDEEDYGEYQRDRIDETHEESNFWKWNDEPAGLEMDEYSRARRGK RKGGDKD VE01_09971 MSSTPQQDGADHPSTVAPSASHTTLDSISQTLYIVVNRGDPIDS YSMRHTSFWVKFSDGRNLLSHVCGAASFFEFEERWNEAHPQEGKNFERIIFVMTMRTT VDDMTIRNTLRQTPVNNKERSWNCQTWIGDGLKRLQDAKLLQEANTINAADQMVDVLL EAPDEE VE01_09972 MDEYTNGAFANRDGRVPVIRLDSPSSQSEPNNNSPGKENKGKNN SIRSKLGNKARKMVGRSPDNKGDNGEKADKGPSMQDRLLEKLLQQVIPVEDQPRNQEG SPYSNRIERPAFSLPTMSANFRRFNSRIGVVFVFQARMTRLLSWRKYSHTISLLAVYT FVCLDPHLLTVLPLAGLLLGVFIPSFIARHPAPPVTLSTSFEYSPRGPALAPAPTVKP VKELSKDFFRNMGDLQNCMEDFSQVHDQILTKLAPPMNFSNEPLSSALFLFLFMSTAA MFIASHLVPWRFCFLIGGWFVIFSGHPAVAKMLASTHDQHVAPRESEAKSWLDTWVAK DIMLDMEPETREVEVFELQKLDSRGEWEPWLYSASPYDPLAAPRIANERPRGTQFFED VAPPRDWEWSEKKWNLDLWSREWVEERIITGVEVETEGERWVYDILYEGSNPEPGLRE PAGKVSQKPKPTWEEGVEGEGKKGQWRRRRWVRLVKRVVVTNSPGDG VE01_09973 MIRDQIAPSGPRPAGLPSQKSSKENHDPVVSAPRPRLPIGREPP PVLNKIPLPSEQASGEPLDFLPSPSFDDLHMSISSASDDQRLEPFPDTKREPSLSSSM MSDRPTGGVMTREAVEAKGLAGRGAPITRPVRSGSLLRRQSTSNRQSSVSSTSSAIPG SMDPPTAPLAMRTRRQNQYPPISANNGGKGQRKSIGFGGTESDTSFRTSHRRRPSLAS SITASASNQSLSDATNGSRMSDSSGNFQGDTSRLTTPRASKTKSLQPPSRHNQESSST PRGTPDHSRSLSYAGKSPGRHNGRNTSTPSSAARRMSQMPSSNSHASGLGARTISPTD TRRMKRMSTMHGAPPLPNTPPVNQQDPSHLRGSSRSPSLLPRMSTTPSSLRNTPDFSR KTYGVSTGSNGGFTPRSSTGSLQPQPRLLPVPSTSRLPTPKSRNVHSSAGNEEEEVPP VPAIPKAYESPKESPAEPPLYAARKSSLPFDSSSINSRNSSSTNELSGTSSGRDPRNV DSSSHSRIQHGMGAGSDAEQHGKRGPPKKKHLQPLRLPPLNLLPLSTPTAAKIAAFRN DAASNSNGTVTPPPKRASAKTPTTPMTASKSTFFSHNRDDMSGHITDQLRSSTSIQHS RQDNGSTSPTKPLPAPPNPARQNAVSPFISSSLPKNSGEFNFLPRPQTSGDFPTDFSG EQRLSKLNGPRALNTALPPKADDPPTYDQSSQDEAQTPSSASSLRRKLSLGWRRTGSK SSISLSHAANERAANDKSSEYAPQPPKHDPKHNDMPPPRLPASMSMQNVNSQAATSSP SPTVKPMYLESKRRKSSISSLTLPVAQERTQGNNWGITPRGPKKEDTTDMKPLQPKLN PQRSSASMIAHGQRIIGPKASSSALRPVNPYASKLDKDDLVAEEEMRKMASRRKETEQ AAKVLDALRKRATPKERVSPQQAVQMAHLNIYERGEIVDFKDVYFCGTQSAAKHVGKV QSDNANFGYDDERGDYSIVKGDHLAFRYEIIDILGKGSFGQVVRCIDHKTGGLVAIKI IRNKKRFHQQALVEVNILQKLREWDPTNKHSMVNFTQSFYFRGHLCLSTELLDMNLYE FIKYNGFKGFSLKLIRRFTKQLLGSLLLLKVHKVIHCDLKPENILLAHPMHSEIKVID FGSSCFENEKVYTYIQSRFYRSPEVILGMSYGIAIDMWSVGCILAELYTGYPIFPGEN EQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSMGKPRLIVSSKGRRRKPSSKTLQQVL KCDDEVFLDFLSKCLRWDPARRMTPDQAVQHEYILGPKTSLSAPRPLGGTSRNESPVK RYNTTATSQSSQRPLPEPPVTALRNGGTRTRDPSPNKPPAPRRLSNVHNLSNPTISTA SKRASIGPAAQTTSGLPRVATRSTSGRQDLAISGAGAAMNRRG VE01_09974 MATEFKIKNLAALDLKPGEKRQVEVDGVEDGKVLLLNVGGKTTA VGARCTHYGAPLVKGVLTGDGRITCPWHGACFNATTGDIENAPALDNLPVFGLVEKDG GVYVTGAQDKIKGSRRKPNIKCSAVKPENIVVVGGGSGAIGTVEALREQGYKGSITLI SNEGYLPIDRTKLSKALIADPVKIGLRDQPWFDEAGITTVTDEVTEVDFLTKKVHTRS SSVYPYTKLVLATGGTPRQLPLPGFKELGNIFVLRNIHNVKDILAAIGEKNKKIVVIG SSFIGMEVAKATSKDNTVSVVGMEKVPLERVMGEQVGSYLQKQLEAIGVKFHMNAGVD KALPSASDPSKVGAIQLKDGTKLDADLVILGVGVAPATEYLRGNKSVQLAKDGSLTVD GDFLVQGVDGVYAVGDIATYPYHGPGGNGAPVRIEHWNVAQNSGRAAAAHIVSPTVAR KPFIPIFWSALGAQLRYCGNTVTGYDGSVVQGSLEEYKFAVFYTKGEEVVAVVTMGKD PVVSHASELMRRGMLPGKKELEGGLDILTIEVPAL VE01_09975 MKLIVAGSTGFVGTEIVRQALSHPAITSVVGLARRPTPTPHNAD PGMDTTKLKSVVCEDFMNYTDDVKQELAGADACIWLVSVTITRARSVTQEKAREICLE YTMTGLDTISELSTSKQFRFIYTSGAKSERDPTRAPWLMRDYLLLRGEVETRVLNYAK DSGSDVEACVVKPGLVDGETGRIVRGLQTVGCYMINMPRVEVSDLAAALLQQVVEGFD SDTLLYEDLVRIGQKALAD VE01_09976 MSVAADPQLGDPPDADGQFCDLPLSIPVTPTTPVERETECCCGR DSCAYLRHNNEALDGLEKDVRTAAQLGQALLVRHEAYIVEAEESRRQMSLRIESLETD KRNLEAENARTVQENRDLLNHLEQLNGAIAESESRAGNLEATLQGTLLEMKRLDSLAS RTHDLEAQLAELEKEQDDLRGTVVLTEAESRTAVRRWREAERRLGEVQDQLERIERES TEEKARHEEVFRRMERQKLVDKAIQDASNGKVAVSNAGQGKEGTNVVSHFVKDILQDN VNLQMGIVELRDMLTSSNDEVQKLRDQLMAHQPVRDGATGENTVPVTLASELSVREPL SLSQELHVHHHYHAPAKKEDSRRAVPKRKRNVSITTPTRLNSRGHNTRQSQSSIAATI LSQTSSTVPSPRTANRWSTQSEFASTEPSSPTSNYRNSFLFDRMSVDQNTDYSRPTSP GSSNDPLSPCIKPMHRRRPSDFSMHSFKAQMFETGTIHEEEDNDISHISGLVERPKTR RISQDDSNFDLNSGQASNGFDPYASHHSTHKLRRSTSHESIISVSGIDIHTLKSRPSQ LTISNSRALLRTPSRQDTSSVSTSFVSTEPIFTPADTPRPTISKQNYSSSSLLRSSIG IAERPLSIKSTVSADEAVTSVQKLGGWIFGRWGMSPSSNASSPAASVVDVPPPSLRIG TDRTPSTPAADPNRDPLRIFMGRSPGINQVGPIPGFRKTEKAPSRVIPDRVDWESLLE VLGE VE01_09977 MPEAAAMGRRFVAIPNIDIGLSPRHFLGSPPQQLRERQDIVVCP KPNSHRCDDTGFPNDCCLDTEFCFVDNNFNSSCCAIGNNCASVNICTDTAFFYCHTTS TITAATTSPTRSVSSGSSITSPPNPNITVFPACCPRPCSAASAYQCPESLGGGCCSLG YSCLSNACYKSIPTTSSTTSSSVPSCPTSDIPCTDDVGGCCNSAAHCTMLESTGYCAT GSAAPTERRIGGTSGNNVIEKPRGGGGLSSGAKAGIGAGVAIGALLVIGAAVWWWISR RRQAGGSAPRGSGRGSGPWGSAHGGTETVVSMQQGSTPGAPDMAGRGTEDYFGPTAGI GPFTGAEGAGAARSGAVPVSPNQPGDIQPAVEIGEALGRRTPVSPGPSTQHEYWKHGA KTVNEHVELE VE01_09978 MEALLSLSFDNLSSYDASKIRKGMRQVEGLLAQICLSKHKPNKR HSLLVPADNPPPSPRKELADLPDDPAFREFFKLQDGFEWNVALRLVNCLDRLLGKSND GQNDLLILACLDLIQGILLLHPSSRSLFSRELYMNHLLDLLEPINCPAIQSATLLTLV VVLLDTPANTRTFEALDGLLTVTSLFKSRSTPRDVKAKLVEFLYFYLLPESPSIPSAG ARDSVPAMLQRSPSKLAGAFGREMKGRGEPAAEVTRSPIEKQALVSRHLSSVEDLVED LRDSAPFGGIFS VE01_09979 MAHKTPSPPTRPAHLASPGAIPPRTSSTNGPSQTQKPVVPPLPE SSWLSSRHSSLAASVSPAVIDQVASNSPPTTTTTHPSTGPTPWSEAKETILTGPYDYL DSHPGKDIRSRLIGAFDAWLHVPAPALAIITKVVGMLHTASLLVDDVEDSSLLRRGLP VAHSIFGTAQTINSANYVYFLALQELFKLDELRDKEGGNTKPRGEAVRIYSEELINLH RGQGMDLYWRDTLTVPTEADYLEMVRNKTGGLFRLAVRLMQAESASTTDFAPLASLIG TLYQIRDDYANLLSPTYSTNKGMCEDLTEGKFSFPIIHAIRADPSLVLLNILRQKSTD EEVKRYAVAYMEGKGSFAYCREVLKELGKEAVAEVERVSEGKGRWREEVLGILGGLAV ESV VE01_09980 MSAYDKDGAAVYEKDKVGSSDDVSPSRYETNEHDVEAQPGQNPL LRKLKNRHMQMIAIGGSIGAGLFIGSGGALYNGGPGSLTIDFIIVGVMMLMTVNALGE LAVMYPVNGAFFTYSARFIDPSWGFAMGWNYAMSWLVVFPFELIAASITIRFWTGNDN DDSATVSDGVWIAVFLVIIIFVNVFGIRGYGEVEFVLGTIKVIAVIGFILAGIIINCG GVPTDTRGYIGAAYWHAPQQAFKNGFKGFCSVFVTAAFAFAGTELVGLAAAEADNPRK TLPKATKQVFWRVSLFYVVSLFILGLIIKSDDPLLSKASGANSKYSPFVRAFQEANIK VLPSIMNAVITLSVISVANSCTFGSTRTLQALAMHGMAPKWFSKVDKHGRPWVAMIFA LAFGFIAFIRLSPKGGEVFDWLLALSGLSSFFTWGSICLAHICYRRAWKLAGRGLDEL PFAAMFGVTGSWIGFLLNVLCLIASFYVALYPVGGPNLDAEGFFKSYLAGPIVILFFV GHKIYTRTFKFGVNLSTVDVDHGRRELNLGEEMEAERAAYKAMPFWKKVWNFWF VE01_09981 MDVATGCDCATSFPDTNCGDCPLQPCGSPQKVITPKGMLKTCSH GCVNSNDECDACFLWFKSLCNCIKRLENGDNTDCISSDDLSQGPPNHPIWMLLNSRTL ITTTQLVPGILELDQVKDSDGGFRLGQQVLQQNLKFSRVYGALALNSVYTRNEEQIHI HLCDVQMSKLRDLLSSLKRSDYNTLSSVTLPTTDFKHGSAMSCRIASAPGTIIDVARD LNHYLQSTVAKAPQSCDQYYVGAGVITDWYDHSWACVTTGTRSAEELFCHT VE01_09982 MRYTAPLALAFAASTALASPSNSLYVRDSNDNDNDNDHSQINIF KTANKRWDGAICKGKVTPATFPGLVTPSEDGGCVRYYQGIDMTGVVTTVNIYFPTVKS ACDCIGLCIKNITTCTNWVFKHTFAPDNLDHGKRTCTLYSSPNLPTEVTLAYDLSGSV NFAELGANPQVGGPAPLTFTNPASTQQDMFGVSGFIAQDQNSNIYC VE01_09983 MAAPLLGTAVIMGASRSSARHEVARQEQLYQNNQMANELRRRDE EDRERRTQAAIDEAIAKERQRVEQVQAGESGTQTGRVEFPPR VE01_09984 MSECPVHPIMKANIGGGGTQTRDWWPDELKLNILRQHTAVTNPL NPDFDYAAAFKSLDYEALKNDLHALMTDSQDWWPADFGHYGGLFIRMAWHSAGTYRVF DGRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNKISWADLLLLTGNVALE SMGLKTFGFAGGRPDTWEADESAYWGGETTWLGNDVRYSGNTDISSRDLEDPLAAVHM GLIYVNPEGPDGNPDPVAAARDIRTTFGRMAMNDEETVALIVGGHTFGKTHGAASADH IGREPEAAGIEQQGLGWSNSHASGKGPHTITSGLEVIWTKTPTRWNNDFLEYLFKYEW ELIKSPAGANQWTAKNAPAIIPDAYDADKKHPPRMLTTDLALRRDPAYEKISRHFLEH PDQLASAFSRAWFKLLHRDMGPRSRWLGPEIPAENLDWEDPLPAADQAVIDDKDVAAL KREILASGVDAAKFVSAAWASAATFRGSDKRGGANGARIRLAPQKDWEINNPAQLSEV LSVLTRVQQNFNNASQKKVSIADLIVLAGSAAVEKAAGVPVPFTAGRVDASQDQTDIP SFRYLEPIADGFRNYGKSTDRVRIEQFLVDKANLLTLSGPEMTVLVGGLRVLGANYNG TAYGVFTQRPGQLTNDFFVNLLDTNTSWKASGTDSEIYEGVERKTGATKWTATRADLV FGSHAELRAIAEVYGSVDGQEKFVKDFVAAWVKVMNLDRFDLIY VE01_09985 MDDLLGKASRSFQITQQAMGYAIRSGIAITSGYAVGQCSRFLKT VNNNEEFHELQGLQMRLDSKIRIISPAIDMIELISARGNTSLESAVTLTKELRWDIQS LGVRLAKAAGAEESARRGTGRSKSGPGHKAELRFIVQDIKRLLTRIEDAVPLINLAIA TSGASLSTTLPASVSPSRLLQASTFLTACDTRYSLDPSRPIQVGPTFTLSVYMLFTGH SNRPHDERGLRDMVWKEAIHKARVKLMRSSLSGSYDDGANSDFRSSTNTNDLGDGSTA GSNENDDRSELQIAGEGKANEYSYRLVVVEDLNDNRVHTYEENEPQPGPFEDVALAGL REHFPIHQISKIFYADTGKILNIGSEGEANSPVLLLKRDVNAVPPRRMMESSERDNLW DEHEPFESVEGEGRQLEDSIYDDTETDPDESQLDIDEQLRRENSVLEPNELPNADADV RKEPVWGFPPNLDPEWVAFEVYVGSDDEESSDDEEEPATVRPGSSHESPSDPDLTRSL SNLDIGSSSSTGSPSPQKRRYSRPPNAPQASFTPFGPVRSSLSLLEMLIRLTALQQFQ QASHLSIPDELLNFFLEESSTTGAGGDVEERRRRRFEARQKVGFDPYDESPIKHRGED YQYGSQPSSPATRGGPGFDEYDQSPLPRKGWERSRSATPNSGTPDWLLRNKENSSGSR KGRTGEFPSSPGSPYIPAPKASRPLDRIQQERRGAKGSPLGRGVSVETDSSLGTSPLS PTQSGKKLPKDE VE01_09986 MSHLNSWEDDPAAQEENLARQAQQMNLNAAAHANTFRPGASSFT PGAQTFQPGQQYPQYGGGYDQAQYQQYQNQGQGYGQQQQQYGGQQAYGQYGQGGYGGG YNQGGYQQTYGQQNSGYGQQQQAAQQAFVPSQVPTIAKRSDAPAGTAAAGTLNKPVAT KEGGPKVLSIGGDAPAPKAKVLSIGLPAVKKEEPKKEEAAKAAKEAAPAAGSKATATK AISKADGAATGKTSPAPSSGRSSPSAAIAEKQAKREADAVEKEQLADVDQETLKEIYG KEHVNIIFIGHVDAGKSTLGGSILYATGMVDERTMEKNKKEAKEMGRETWYLSWALDL TKEERSKGKTVEVGRGYFETDKRRYSILDAPGHKTYVPHMIGGASQADVGILVISARK GEYETGFEKGGQTREHAMLAKTQGVNKLIVVINKMDDPTVAWSKERYTECTTKLAAFL KGTGYNLKTDVFFMPIAAQITAGIKDRIPKDLCKWYDGPSLLEYLDNMSALERKLNAP FMMPINGKYREMGTIVEGKIEAGVIKKGASLVVMPNRNNVEVAALYGETEEETQIAQS GDQIRVRLRGVEEEDVLPGFVLCSPKRLVHCVTAFEAQIRILDLKSILSAGFNCVMHV HSAIEEVTFAALLHKLQKGTGRKSKVPPSHAKKGESIIARMEIIGGAGAVCVERFEDY PQLGRFTLRDQGQTIAIGKITKLITDQSATA VE01_09987 MAFDTMQELFWVGNDYGRVTSFYSSELQKHTSFRAHPYGDGPVR QLLFHDKGVIALGTKSVHMSTRRGLPLWHIVHDNMKDLRCMSFTSKGTNEIIVAGLQD QMFVIDVNKGEITKEIPTPDQYTIMKRSRYICAATTKGAVNILDPISFNIVKTWNAHT SMISDLDAQHDFIVTCGYSLRQGQSYMLDPLVGVFDLKNLVSLPPIPFPAGAAYVRMH PRMSTTSIVVSQLGQMHIVDLMNVNTSNVRQANILTYLTMIEIAPSGEAIALSDAECN VHLWGSPSRIRFAELSNPVEFADPEEEHSRMDWDTVTPINSIGMPYYREQLLSAWPTH IISDVGAPPSKIDPQFLAGLTPIEWGAYGRNTKTTRRNQVGDTRTPDKSTASLQAPKF LSEKARESANSNTMDRRISDVADALGAAELSSLKADVPVMYRNVEIKYSKFGVDDFDF GFYNKTKYSGLEIHISNSYANPILQVLHFTPLIRNITLQHTATSCANPTCLLCEMGFL FDMLEKAEGSICQATNLLKVLSNHPEAARLALLEEDAPGASLTTMLQGLNRFLLDRMT QDYKSIAPHSDAFGQLLATPATTAIRCMNCRSEHTRPGTTFVNELIYPLPKSVGRNLR PPKVSFSQVLKSSIERETTSRGWCSRCQRYQSLATRRTIHNVPPVLILNAAILSPEAK QLWGTPGWLPDEIGVIIEQGQFFCYEGEDLKLHLQRGMHNITVYSLIGVAADVDSGQH QKSHLVSVVNVAHSMPTSPGEDGWHLFNDFLVRPTKREEALSFNPAWKLPSVLAFQIK SANNLIDDSWKKNLDTSLLYQESGPNPSAPRSHTPLNPLTERPTHGTILALDTEFVSI RQPEIEINSDGDRATIRPIVYALARVSVVRGPAPATALDASLPPATPLIDDYIASREP IVDYLTSYSGIQAGDLDPRTSPHSLVSLKTAYKRLWILVNLSVTFLGHGLKQDFRVVN IQVPKAQVIDTAELFFVAARLRKLSLAFLAWVLLKEDIQVVVHDSIEDARTALRLWGK WREFVEAGVLEEILADVYRVGRECGFRPPGRGGDVGTPSKNGGTGAGTPMMGTPVRSG GMGMGMGTPVRNGGFGTGMGTPSGMGTPSKGL VE01_09988 MADDGTQWSHTSGEAEELNIVSSSGKNTKKDREVRKKKREQREK ENQEAKEKDIKEEGGKEKDAK VE01_09989 MLHKLAEVKYPGTVDEKLSSEVGTYAWIQHQCPDIRIPHLYGFG FSDHRHFVHEEQRPFYIRVWRMFQRRLRDLLRCHTLSPYTAHPTSQRLSAAYMILEYI SPDMGQILSSTWDKHQNDPSRRQKFFRGMARLMLSLANIPQSRIGSFQFHANGTITLT NRPLPCSVVILENEGAPRTIQRNETYTCTEPFVADMLTFHENSFLSNPNAAYDEGDCR GQMAGKALLWMLSHHYVERKRRNGPFYFQFTDLHASNIFVDEQWNITCLIDLEWVCAL PAEMLAVPYWLTGHGIDEIVGDNLNEFDVIRQEFMRIFEAEEVNMASKQHPPVLASIM HEGWESNGVWFWRCLTSTNVMISLVENHLCPRFSRLSSEAEEIISQYLCPDSAKVVER KVADYKG VE01_09990 MASRTFTLVVASALLRALAVAQQAPTPDAIQSLPTYTCTVAGGC VEQSTNVVFAWPYHWMHTVEGYDSCTTSSGLNATLCGTAEDCFNNCEIAPGDYTGLGI TTSGNALTMYQYRNVSGTINNASPNVMLLDPAGENYVNVQLLGREFSFDVDISLLPCG ENGALFLSEMDFTGGRNEYNPAGASYGFGGCDAQCGVSPYFNGTVNTEGLGACCHEMD IWEANSMATVFTPHPCSVDGIYGCKGDECGSSGVCNKPGCGWNSYQQGNTSFYGPGLI VDTTKTFTVTTQFITDDGTTTGKLTEIRRYYTQNDVLYPNPVSTSYNGLNYIDEDFGC SDTDSFGGLTVHGEALGRGMVLIFSVWQDSSQFMHWLDSDTAGPCSSTEGDPANIKAN NPDAAITYSNIKWGELGSTSNAPGPDSGSSSSSSTPTATTTTSSTAPPPATTTTSSTT SRTTSPPATTTTLASAPKQTQWGQCGGNGWTGPHVCASPYTCVYSNPWYSQCLSS VE01_09991 MIFPRLLSSLVATCLLLRPVLGAGVATFTLEEASSVTLVPRDLP TDVPPGSITSIPANGIDIYLSETTQKAVQDAISGSCGTTGSLQCQTAIQAALNTQSLQ AGQLQPRFAGLVVAGLVAIVAIVIEHYRLSNTAVPSAIHLSPAQLSQASNIASATSVV AVGGSQTTTFTLPPSPTTVTAVPTISINPSSGDLHISLTDSQAQSFMTIMGLPGTCSS SQSPVGIGSTKRAITTTSTCLSLHANALMYNSFSGLASAISLMFRSASPPNPFPGFRN AAVQAEQTEFLTQWALAAPAFGVTVDLMTAIAGVAFALAYIWTSLSVALSVDNTISGS LTKTTTGDCPSQEGDPDNTNLVCSLASCSGTSDTKLCTVDPWKDCTCMNPQKSNLEYY DLVMFADQPDALTQFLAGPPPDPPSPLFCVSSKPPLAPAYLTPKLAYDTYPDFCKGIS PGDTWNINSTFFPDTPEHFALLINGNMASSKWSSDLCNQAFKSILDNCDGNDPSNPMN YKFGGTYTTQGLEFRIQPIFDNRAVPLPTAPSWSCTSSYHGAYDNFGILGVGWASSDF GKNAFFGAIASCIGSKPSDWTFEYFLYDGTPDQHFGFEWLASFHTPIWVNARCFDNCK VGSNGGGPAWGDSCPGCGGNG VE01_09992 MYSTHFLSALCLSATTTAYVIDTTSCNLVAQAFLAEKLTCAFDM ATNVVTELRGPVRQEVQTLMDHLWAPTADPSFYPTPQEAILCRFGGTCPRNKARADYF QYAVGSNYQFPRGISSMADPAADLRGPSGATRAAAGDVVFYCSSERYKDITDQDGNAR IKDTSIVEFVDDDEEDPRKICIGALAYTWVSDDATRPSQITLCPWFLSYVQGLKFPNC GTWRQKLVGLLGRPIAQISAKVWPFTPIDLLSLFDKVVVHELTHTRHGGKTFDSPVDV NAGSGVAFSNVAYGWKNCRKISTNLPDNGGPDYGKPMNNADSYGLFASGVRVIGAGGS IDENGKVTKASSSSKAKRALTAVEKEELGSLAAFFDEESCDGGKEADMAMWGPVFNST FFPEGTSLSEEQKGMVVKALMGNMPGEAAHDH VE01_09993 MTSRRSRTGKALPLRNYELRLKPSKCVFEGHRSFNAPSICDHSH IVLNHPASLFSYLVPTNSPTMQPHPLAFLLGFTSRRKKKGERSPPKPLPPRSRRLTVG EIPSLEEPSSDPLPPPTIAHPQEVSPIFLLPTELRLQIYTLVLGNRNIHLGLETQDDN RLSPYLRHYHCKYGRQDLFIDPWHNCWSPRGGRPKDPVQKILSLLLTCRLVYSEAINL LYSANTFQLENLHLVKHLHTLVLPQRLQAMQRLVLILRFDRFPLAPNEIEDNELSQAM VWKTLSELKGLREVHLHLQAAETDDRMWRDDGSCREALRTQIRPLVERLDVFRVWLPV MKILTWEGMESDSFAVWPS VE01_09994 MHLLLVILTLLGACEALVPRSIVHKHDRRATTTTSAPAVYQTGI PCNCNNYYVVSTGDSCDAIVTAYKNAFTLAQFYAWNPAVGSTCLNLWVGYSVCVGVDA TVTKCTTTSAAPTITTTSKPISTTSPTTTATLQPYSYISSTVINAQPTVTELQPFGVP SPVQAGIYSGCETYYQAVAGDNCTSITDYFFGFNEAQFISWNPAVNYDCSNLVIGNYY CVQAQAYRPSPAVFSGPGLPSPLPNCTVSDCTAWYRATETDNCTSVPQTFGNFSLVEF TQWNSINPTNCTGFDTGYFYCINWPWSSSTNFNSSNFNDTDYSTWRFPINSCYNSTVN VTTTVPSTTTSTTTTASPTSTCVKSYTVVAGDSCAAIQAAYSITFAQLLAWNPSIGSN CEYLAIGNTYCVSQSAGTTTTTSSQPTQTSSCTKSYTVVAGDSCAAIEASYGITFAQL LAWNPSIGSNCEYLAVGNTYCVSQSAGTTTTTSSQPTQTSSCTKSYTVVAGDSCAAIE ASYSITFAQLLAWNPSIGSNCEYLAIGNTYCVAQSS VE01_09995 MRDRMLFKWVFVDWDLSFAPQKIALPAVATRANVILDGVCNGVT PQRVRFCGSTADFCGDTKVPEPSCSGNSATNGRVIGYYEGWNLERSCNTMAPEDVTLG YWTHINFAFAYIDPGTFEIAPMSNDVAALYQRISALKQKQNGLEVWISVGGWSFNDPG STATTFSNLARSTSAQSAFFKSLISFLVNNNFDGVDLDWEYPVAPDRFGSPDDFVNYV SFLKNLRAAFDNAGLSHRFGISLTLPSSYWYLQGFDIAGMEPILDWFNVMTYDLHGTW DSSVKSIGNIVQAHTNLTEINLALQLLWRNNIDPAKVNMGLGFYGRSFTLTDPSCSAT GCPFSAGGNAGPCTATAGILSSVEIGDVISAGATVTLDKDAAVKIVTWGGNQWVSYDD GETMKTKLQYANSKCLGGTLVWAMDLDNGTMIGDMGKTSNISKKVVTGDYSGLWFY VE01_09996 MNSSTSRSYSNTELDVADFRSKNGYLHNLLVTHRGSPNCYWGFV IIRTIYTPESDLQWPIAMAKLSDWLRVEMKTGSNASDNEQELVVKKFRNLIVDDKTLY DGLPMSDAVLRFEDILDEQYNVALNYSTPEHFDKTWYYRIPEFVEQSLAESNPDVKRD LLEASYWHLNNYICLVIDDESLRDLVELPAELHCFDLALALKEIREHPDGTLPARRIA HLKVAMRHSDFDLMDREDWYTQWAKWTICSGLGQMHWSIQSCEMNAGMMLELRDGEPY VEIYGQD VE01_09997 MKPEIGSPNDSTDDDSSDFDQVKHVGPPTNGSAKPLTCEVKEYE ERRNLKGEKVLKKVVAKMEVDEKKDGKEYVMKFYYGSDGVLESSRLEIYSPHIQEALR EVIKEYPGVSFCGDLLILHGTLKCVFHYRKELESYRQTVQDRIAKSYEANVATSPSSP AIEFKDLWMVFLPGEPVITGQDEMNQILSLVSTKLVKTNGNTVWMVIGKCFTHDGKDF GYLHKHINIEPYQGTKTIKSLPILPLRYYGDDAEIGRVRRQHIARGKKFCSLKGNHHR FYNGRAYMVGKEWEENIAPRPPLPPGAHYYSYRLESVTVNSRIIVDCKTFGDFKPPNR VWLHERKPLWPKDGEDEIDAISTEDLMICDFQIPGFSLFDKKWCFFAIDFLDDVEFNS DAYKQLLLPKNHKELAHALVKNHGSNEFDDLIKGKGKGLVFVLHGAPGVGKAFTAESI ADDVRKPLYVINSGELGVTPHEVETHLNSALKLATHWGAIVLIDEADVFLEQRTIHDL TRNCLVSLFLRTLEYYEGILFLTTNRLTSFDLAFKSRIHLALKYTALDAQRRKELWKL FISRTSSQRLAAWDESVLDDLAKVDINGRQIKNTVRTASTLAMSMNTSLEKDHIDIVL ATIESFEADLNEDTQDVVESRE VE01_09998 MPFNTATVGTAVTPTVIATLISHYLNRKKSKTRALKPTAHISYD EGLALIRQFLLYASHHTVEELQGFTSQKVPHPTWVKTKEVNIPPAQIAKAATTIQTQL GPEGIELVGGKTWWQWRRPGSELKAEWIEMRADYLARKKSADKGRRVMFYVHGGAYFF GSVDVHRYQLQRHARKLNARVFAPRYRLAPQFPFPAPLQDCLAAYLYLLESGQDASTI VMAGDSAGGGMVLSLLCILRDQGLPLPAGAALISPWVDLTHSFPSVAGDSTFDYVPPH GFHQRPSASWPPPNSDDIDAIMEALATQQAEKLKHVEGNDRASVVGYHVQDSEMGNQG PATTRLAIVPGKGPNISVAVDGKIVEVIDQIHMYTTNALLSHPLVSPALQPSLGGLPP LQILTGGGEILRDEQIYLAHKAANPSLYPPPEAFLKDNPIARKQINAWKPTDVQLQVW DDLCHVAPTLSFTRPAKHMYRSTAQFSAWALARAQKREIEILDDDAVSVISRSTSEHG VSPIENELAKGAIGKAGDPLPPFHHHMIRQRVDRHGNIFELAPASELPGCTMPREEIG SVKPGPARRWMAAKAKFDQRFAREKRKVQRRRIREMGEGYEVFGGEMPPPSALAGRRV KGKEAAERKRRTGWGLGMWSLWGSEHDKQTIQRELNAADVDTAAASPDDGAGAAGRGD SLGNSAVPVVGDAIQLEERERERSRSNSRRVSVMDRNQTGERGVDEDTPAADLVAMQQ QAPNGRTVVNQYLTPEFAARRGAGDGVAGVERDGKPVRPKAGGEAFPFSVRGAEGERP ESAASMATLMSEMGVRPVAEVER VE01_09999 MAPPSGEPTAEQKSAQLDLGISLSLFLWPALTLAVQNNWGGPSS SDKRDWFGGAISEYVTSSTEVDEEDVEAMLVQVMLDEFEVAVDDGSAGEVADDIIRVR GECERGNFGGVEELKRRWEGGRGGRVVGQEVKGGEGSEEESESESEEEEEDEDVEMGE PQAPRERQAPQIDEDGFETVVSRKKR VE01_10000 MDAYDHIQESALAPDEPSSAAGNNGANGEGGKQTAQNTLNADFQ DAYKAISSSPWGARLGGFFGSVVKQGEHVYKEASQELSAVGQEATKGLADLRSSLVGQ AKKSDPSTSDDTTTTKDGETPTAKDGEPTTATPSEGVLARLRTEAAKRLKDIERAEDA ADEALLRFGTGLRDFLREAVSIAPAGTEEGGQEGGFESKDAAGKRVIHSTRFEAQMHA IHCNADSFTKDPEGEEWAEWRKEFDAEARTGEVSADLERFGELRGMMERLVPGEVRYE EFWARYYFLRHSIETAEKRRKELLKGAAADAEEEVGWDEDSGDEATPASASASAAATK NKPTSSVASSQTINPPAAPPRDDSRLDPADAKRKTSADGRSVADSEASYDVVGARSGV PSAAPGSPRQEGKKGEESDEDWE VE01_10001 MQGFNMGRYVPPEHEGLTSANALQKKHPLGSRASKSHLGILTVR FEMPFPIWCTTCPKPTIIGQGVRFNAQKRKVGMYHSTPIWAFGIKHAACGGGIEIRTD PRNTAYVVTEGARKRDLGEERVGEGDTVILSEREREERRGNAFVGLEGKVEERERVEG ARERIGELLEERRGWEDPYEANRRLRGVFREGRKGREGEARRVEGMKERLGLGGWEGV VLPATREDGLRAELAFADVDRRREEDGGNGNGEREGKGEGRLRSAKKKKRKPPGPEER KEMLAKTVERNTRVRMDPFLDGGGARVAGGRAVLGVKRKREVVGERSGGSEGGGGGSE GGSGSEVKAGGEGTAAGAGPGVAITTSKGLLVDYESE VE01_10002 MTTTTSRDTDEMASATTNGFHAPNFILSPHQQDLLFAALNSNRN TATDQQQNGDSQTIAPAAFDNATTSPLQAPGSGSLANLDESPFIDYDYDFDNEASYGE YDFSNLPQGQMIGNLPGTSSDGDAAANESHDKRSLSDDEENDDEPDGKRREGDDKTSK KPGRKPLTSEPTSKRKAQNRAAQRAFRERKEKHLKDLETKVQDLEKASEAANHENLIL RAKVDKMTAELKEYKQAAVSRQTGNPLMPMLSTYQKAGQAPHNPNDVNFSFEFPKFGQ LPGPPVLTKPSKSTSPGEAPSRSTSFTSNLSPLDNGSHKSISFGSNQTNNYSGLYNGA ALEGMTNGNFDYMLHHNKGSTSASSADSAGSGPMSTGHNTSHSSPASSNSHHGTSSSC GTSPEPYTQSPPASKTDAAAAAATTTLSTIGEESHSADPAIDSKSSFCSKLSQVCGSA SQPIPRTMTSPPLGPSLSTAAPTPASNSSFDINSLDWLAAQNGNQFDPQLFGDYREPQ DNILTGLYDDSFFNEAFAIPGEFSGSPFSLDATPQQTQHTVAATQHQPQQHQGGKKDL IGEIDAQLGEEEVVPAESEMLTCTNMWEQIQACPAVQNGEIDMDSLCSQLQQKAKCSG EGPVIQETDFKDVLDTMFAPGGFHACSVTK VE01_10003 MSSEIAALEAEIKEYRLQLETVQLGLQSDPSNAELLELKNELDQ VIALSQSAIADIAPPSAPPKAQHKASSSAAAAAPSAPEKWKRENHPKFRDVAAAAPKE EEEEQREKPNFQVNDMVLAKWHSGDKGFYPARITSITGSSVDPVYIVKFKSYDTVETL RGRDVKAISTAAKRKADGTPISSSSSGERRESAGGVISAEAEIDRDAQMAREREASKV GDGPVRPERAPKKIKANKELEKGKSKWQDWAAKGMGKKGTPGVKKKESMFRTPEGVHG RVGFTGSGQTMRKDPSRSRHIYQTNGDEEGLQ VE01_10004 MGKFYDQIDSNLSEWALAQSVFFTASAPTSGKHVNVSPKGLPSS SLAILSPNQVAYVDATGSGNETISHIYENGRVTIMFCSFDAAPRIMRFFCTGRVIEWD DREFDSWLAKMGDKKILGARAVIVLDVFKVQTSCGFGVPKLVKISGGSEDDEEKAAGC EYGFEDRDTIGHWAKKKMDKNALFEYQQNNNHDSLDGLTGLKSARRDRGEVMLVADIR AWMRKVWGQKDAMLVGFMLAHLIYVAILIVDYFSLI VE01_10005 MSGPIPRKKAHFQVMSDLHLELSNQYSTFSIPPAAPFLILAGDI GQLANYEPFLVFLRAQCRQFSRVFLVLGNHEFYGLSRDEGLRRAASLEQEPNLVGKLT VLNRTRVSLEEYGITILGCTLHSLIPPEAEEVVRGRVKDFQRIENWTIASHNKEHSLD VAWLENQIKLIRDDKNTSEHKILVVTHHAPSVRESSSPLHSGNPWGSAFATDLLGNED KPALSDVQWWIFGHTHFCTEFSKANVRVVSNQRGYVMPEIAEKQVPTPFLQSLVQKTK SLSTNRQSTFQVKKVIRI VE01_10006 MVSKTQKKSVVPVEIKLETRHNINSTRVGKMNIWTHLIGCLGFV VTAFALYRHVINSTNLHLTIGDKLAFSSSIAAATICFGLSATFRTLRSHSYKVHHFWG KMDIFSICVLGLGAGSSMTFYAFYCRPVIQKVYWELNLFSALAAAVVLFDTGGGGSKT RTLRGGVFILLALFALLPFFYSAGLMGWS VE01_10007 MASTSESKLGGSSPAPFRNKNWRAKNNKETIHVVIPKILKASPR AQSAITRSKMILYTPGFEPSKKVQPSESSPSNTDTPAPPRITVIQSDTFDAAIALTSS KPGARVGVLNMASSYQPGGGVLKGSIAQEESLCSRSTLYPSLRDSFYRLPTLSAIHSP DIVVFRNSELNGTAILPKAEWTFVDVISCAALKGPDVEVDAQGRKIYAREKDRETMTL KVRLILQIAREMGITRLVLGALGCGAYGNPPEEVAKIFKKVICGDSRRYGYAEGIEEI VFAIFDDGENLRVFKEVFP VE01_10008 MAMRLLKSSGPTLPIAAPPVVKQKACISCRTRKVKCNKSSPCTN CTLWSLECVYPSPIRRCPRPRKRPIAYPPDTGGSANISPSLYERIQKVEELLKDLTSS INDHNGSLSETHELGGTGDAFTEGTEDARRLEALENAIGKLIIIRDRGKPLGFKPFDG RPITSATFINGFEPSFASSQSMNTSWAGGVFSFNDSTSETASLLPSTAQTQICWRAFL ENVDQLAKVLHTPSAQSVLRKAVNNPTSLNNGQKALLFAIYFSSISSMPVKDVEDCFK MDKTTTLAMYRAATEQALTTADFLVADDLVTFQAFVLFLSFSRYAQDAKLVWALTGLA RRLCIPINDASPFTKEMHKRLKWQLWYLDRRASDDHGTDACSPDPMKDWELPLNINDE DIDPTMTVAPSARKGWTEMSFCLIRFGIANTSRSLESDLSQSQKERMINECGFKIQRD HLRYCDNSQPIHWLAQHVSYVMISEMWIKLYNDEWLSNNTSSGIHVTRNQILLTAIDI VDTPRRLEAEPQAIRWKWLLTAYLQYHPLSFLLKELCHSRQSDTLERAWAATEDAFKR WTEDNKTSKNGKFLLEMLEKAKAVRAQISEWQPLEASLLSQEPFGSLDDMTLVMADAT YQDVAQMTDLAPFFPSECQFGHSPMPEGMSDDMNSLGYMTHTPYLTPEQYNSFNWTSN GSHLELLDGNSEDIMLDSEYQF VE01_10009 MNYYVDPAVFFSFVFASLFGSVSAGGIVVVDLPLVPNYAKAHGI LMGLALVVVLPGGAILMRLLSSKGKPTVWVHVGTQLVGWVLMISGLAMGAKVGKILDR LENNAHTILGTVVVALLLFRPLFGFLHHRRFMATRKRGKMTLFHVWYGRVLILLGMLN GGFGLKLATNSPGGNIAYGVVAGVIGATYVAVMVHFEVTGGSKTSSRTPDVPEETSKS QSS VE01_10010 MSSEDSPTRILLVIDVQRCLLGPPNPVPGSIKILRNLEAALARE RAASPPTKVIWIRHNEDDDPDFTPNTTPWEIVQTELFRIQENEVIVDKTTRDSFHKTS LGSHIETPGFDPQNLQLVIIGLQSDYCVQTTARSALARYPSARVSLVHGAHGTYDDEK AGRSAEEVSEQIEIELRDEGVIIEDIN VE01_10011 MGGFTLAGGQGFFMRGWGATVDQWVTELEVVVPDGSLIRANASE NADVFWAARGSGQGFFGVVTKFWPNGKLFNEVLTLAIEQNEKTPKYGTEVAVCTFFPE KFNPTIESDEISEPSSLMMSITAAAYVDSISEAETLLSAFDYVPDGLAEVVAGPAPVI LKSWKEVFQEQDMLVPYGNGEIWHIDSILNDPSIPLPEMIEAIKPSQTQLPTRQSVGV IYIGDTYPDVGKMAFSLPQQYYISTFTCTKNPTKIPALRNWMKNVYREAEKVACEA VE01_10012 MAVAMKMSPLPNNSHEKEEQQHALEFSYMYGYPLLEYAKYVACY PKASTNTLYHDRRLSTSDDLKVIRPNVDTLYSTIFIDVSSHDLEVTVPSIPDRYWVFP FYDPYAKPHAIQARFLPIANLGDSSRYGNNIANIGSLQGHKPGKYLFRLASKDFGFFS GPPPEEAGHHCNYLGYINFPTAYGMGLIRIASTPATEDQKIVNVYQDQIHVTPVKRLD LQPVAPALDLSLLITPAYRVSGENSAAHVVLALTAAFAAHNQSAVIQDRPWIADLLAK SGIEQGISGKTDLSQIHEYAEAQAVKLARSPNGRREYGNGWSELFPGCKGNFNSFYQA RYAVGKKGYLALTKDQALYPSLAAPLIIGANEAVLLRFSRRPALVPSGFWSLTAYNSE QYLVPNRINRYCLGDRDDMRFADGSSLADHTKDGEFHILLQPADLPPPWGWLNNWLPA PAGGGKLSITMRWYGAMEEMMSGSYECPKIEHISAITGSPVSRM VE01_10013 MSREKSQMRLAREKRREHTRRQPYRRSPDPATSVFPLDRRPDVA ATPPLTNDALESPSTVEHIDESEHRLSQTPRLTAPTDGGYIGDCSVMSNHGPLTSDST ATLGSFSTSLEIQTVIATGADQLPSQSVLDASSAAYFKYLYHRIPIMDRQDITTPCPS TLLLQSLCFVGSFLRHPKSMKDLQKLEEHYAKAKILFFLNHEKEPLTTLKTLCFFTLW NVKPPSVVTIDCSWNWLGLAMRLATQMGLHRESTHFQRSTPSCARRIAWYLYAQDKMQ AACFGRPQMLRTQEFNLRPLSLADFSGCENDQAHSFILWTELAKIYARMLSAKDAEFS SASEELLCVLEDLRTWAFSTSAVFRICDDQGNKVYHREFYEYLACYLTCIITYFHNFG KYFQLSVASSISLVASSCIIALYQEMDYHDDINYLTAINNWSMMAASLPQLDNIPREN RGIDAPGNSSDHRPSSLEELDTLVDILRQRGFKFPGSKAIVSKIEHLKLEVLSQNNDP SSASSSSAHRNMSEPNPEPHLTVLRVHELFPFPKTLSPRLELLDAIEAEDLVDGFFNN YVDWPIANIFGFDDIDLLDYA VE01_10014 MDPSTSQLSENISPSFEDTADFIDADRGFVGSLNPCVIKNARGD TVWDNDEFNFLHQQPCPETVNPKLWRQAQLLSKQGLYRISSSIYQVRGFDISHITFVE GKTGIIIIDPLISCECAAAARELYYKHQGVRPVKAIIYTHSHIDHFGGASGVLPPVTN DNDTAPVPIIAPEYFMEEATSENIFAGPIMRQRARHMYGSQLPKSPKGQIGVGLGMAT SHGTTSLVPPTINITQTGQTLTIDGVRMTFQMVPNTEAPAELNIYFPDERALLVAECA THALHNIATLRGALVRDAKAWSRYLDETLLLYCEDAKSNVQFGSHAWPTWGADKIKKF LAQQRDLYAFIHDQTVRQMNQGWNGTEIAERMVLPPSLSREWHTQGFYGSVSHNVKAI YQRYMTWFDGSAENLWKWPPKEEGARYVACMGGAEEVLKKSQVFISQGDLRFAATLLG HVVAAGDEPGNDAIAHLQCKNLLANVFEKLGFGAENATWRNFYLSQSVDLMNGKRAQG KNSSGMAAFAPNLSVEQWFGALSITIDGQEAGKEEKPFCIDIHVRDISEDWRLILSNG ALTYRSQPNGERSSNRTEPDLALTLNKIGLCRLLNSKSSSSDILDLKGDVQALNKLLS FASITSKVKNQLQSYI VE01_10015 MSQSESYAEKDAEKNVLQVEATDLKQDTTCEDEDLGISYAEGRK IIHKIDRRLISALGLMFGVSLMDRTNLGSANIAGMAKELHLAIGNRYSIAIIMFFVPY LIFQWPSAILARQIGPRIFLPAVSFAWGIVMLGMGFVHHWGELVALRAVVGLFEAALL PGALFLLQMWYCRYDVHKRYASFYLISVVGASLSGVLSYGFMQMAGVGGYGGWRYIFI WEGVLTCVIAIIGAILIVDFPQKAQNSRKFLEPRELEYVIKLLQRDRNDTHEEPFNWA AFFKAGLDLKIWLFGLIFFANTVIAYAIALFLPIILHQKMGFGVGISQVMITPPYIFA GIFMYVQGWFGDKYHVRGPIVVFNALLGTCGLCLMAWVKAAGWQYFGVFLVTATSNSS IPTVMAYQANNIRGTWKRASSSAILVTMGAAGGIVGALVFRAQDAPQYLPGMYASIAC CILQVFIVGVLSLQFSRMNKKVANGEAIIEGLPGFLYTL VE01_10016 MSSSFDISERLRIQGDDGPDAQMRARATQEQQHFTDSASSERIF CTPNGPLSTSIWVLDKRDNPTSIELYLQLTAEGTSTWHAISQTPMTKPPVPSILVRVF ALEYWEDEWLLCHRGHSEPNWQLTAAPQYGMLPGYGERPCLLRCCGTDRPRGKTARLV VTPLDGRDFVSVHDYLTTVHPWLMSFRGDILEYMGLWKGKPAEEESVLMLSNFVGPLA VMGQTRGVRSWSERLRVISNLIDTVSGQQDTLRQGNLHSCVGKGQCNVLTGGVEMSSG WK VE01_10017 MGPQSEGGPPGPSWAYGGPPPSGEDNRYQSSRDMGPSGYPQQQQ QQQQNLPRQNQNNGYDQANRGPPPPSLQTNQRMDNIAGDAHKDSSERDRSRHRGGKRT GSGQLRLCKKCGEPLTGQFDCGQIVASKFFPVDDEGGQGQYPLCETDYFRRLGLLCHQ CGGALRGSYITALERKYHIDHFTCSVCPTVFGAQDSYYEHDDKVYCHYHYSTQFAQRC NGCQTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLAEASSEPPPERPLLKDASDV EARNLVRDEEERMEEKVYRIWSVLSTFEESSAANISDMLLHVSNGAYVDGVLTAKKFI WHVDLLFKSADKLDAVISQQGVKGLSYSREAKLLCKKIVAFFALLSKTQETGVRKLGV TQELLSLVTGLAHYLKLLIRICLQGALRVEREMKNTHGLDLFLGDLGDVDQVRDDEKS LEETTGTTGLAGAASDQCTHCQRPIEDECALIGEKRWHLSCLSCSVCSRDLSHNLEDV RWSDSDRVLYCNNCQNRSPDSVSGFTHVTRLQQYVFLLRVALARLLVMLRNSGTLPHT SDNPNLNGYNSQEGHRLGESSDQHPAALTQESRSKSYGGTSEENPRESSYENTLNDVR RLRSTRMDKHLSSTIKKARTSRIMDGPESRSVRPGSAGADGSDTRNNDFHIVEERDNQ GEPRSELLFGHQDALTLDDIPRIVAAEQAKEQRPNAYKHARHELFKTSITEPKLLNGH QRTYSNANELDNPNLESEPSPQRGPPGKRYFSELTALEYFIVRHVAVLSMQPLLDGHF TLEELLGLIESRKPTFWDKFGKAFKNDSRKGAKKKGVFGVPLEAIIERDGADSTDGVG PGSLRIPALVDDAISTMRKMDLSVEGVFRKNGNIKRLNEAVAAIDKDGCDAVDLSSEN VVQVAALLKKYLRELPDPLLTFKLHRLYITSQKIADEDKRRRVLHLTCCLLPKVHRDC LEILCSFLNWTASFHQVDEESGSKMDTHNLATVIAPNILFTNTKNAGMDDSFLAIEAV HSLIECNDQMCEVPEDLQSILNDSSLFSNPSDITTKEILKRYGDIAANGGPRHTVETT QGPARSHDSGSGRAHAHPVVTRVETENSQNGTWQNETSVRHVQEGSGNGAGGGSGGSQ GQGQSQTTPPQQQWQGPGEDLEHPSPYGQRDGSGSGYPSREKLPFVQREGNGTPDSHK ERSGWRHSAWGRSGGGGGQQQQQQGGPGQGQQQGQQNGGMGVMGTS VE01_10018 MSNHGIIRIAKELSGIQKSNDLSIGVACRDVDVRNVRAIILGPP DTPYEFGFFEFAVRFGKDYPAKAPSINATTTNGGRCRFNPNIYAQGKVCLSILGTWRG ERGEEWSSAQGLESILISIQSLMSSNPYENEPGYESAKSSEDKQNQKNYVMKIRHETL RISIIQRLEEYLGIAANGTILPPLTTDSDSDSLDSNSDESLTAFEPFKDLCKRRFLWY YDSYLLAIRKAREEVTDGQLFARMPFEGQGNDMVGKFNYTELTRRLEMIKGTLNAETH RWADEGLAQKKKDSGVAANLQRQYEQVVEAYKRDSAVTLDIELVDSNPFVWAITYFGR PMTNLDGGLFRITLRLSPRFPEEQPRARFETSLFHHRIARDGTPCYTATRSDDIKSHI DGIIEALEEESPPYDPRTLVNPEAAKLYWGTQDERKAYNRLLRRAVQRSLE VE01_10019 METPDGTLAPPAVTALRGTGAAMERTLSGDMRLERQDLREAAEQ TLNVILDLGLDGVVRWVSPSWTDVIGTAVEGVQGHSIGEVVEAGEEVFGKAVEGMRGD AMRSQIVRFELELGEASKLLRVREAGEEGDEEAEEVRETVDLEGQGIMVYDRSTGEES HTMWMIRPWVEPRELKIDLPSIIVESLGSGAEVLASHLNMLAEAGLDDPAHHPPPPPV LCRICERQIPPWWFEKHTELCLQEHQAEMKVQICQENLTEHRHAIVKVLDALEARKSR PLSTTEMPLSSPQAEYKGMPIGPTSQHSSASGSSSPAPNRPSRDPSASKSNHTRARSF AVRRPQARIVELLLDLCDTAIEIGTPAIKEVSSQIGEFRTQSPASESRVSQVLQWQSP STNTLDQEQGLALLCADSEKAAKEKVDAVLRHRGILEYSERIRGEFALIVQDCIDEAV RKAARFAAGLDTDSMDEDDDVPEHDDSFFRGSFDGPSTLAAALREAEMKQHEERLSRS SQAVSTTGSSSPKECPTPRSHAGTLSMLTQARHSSRASAGPSRRGSLYFESGNDDSDS SIRSSSVMSRPTRIESPVSEFGDLRRAASSRQRNRRSMVLPGHRSSSPQRQESPSRPG GGISSSPLRIAKPRSLATGQQAMRSPVTSPLLSYHEFASPSLAPHHHRRQSSATGSVP PPSPRLNSASVPQARAVPPSIKDFEIIKPISKGAFGSVYLSKKKSTGDYFAIKVLKKA DMVAKNQVTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLIKV LGGLPEDWAKKYLGEVILGVEHLHSRGIVHRDLKPDNLLIDQKGHLKLTDFGLSRMGL IGRQKRVLSSAGSEQAPDLLKQGPFARASSLASSRSTSFDFQTHQSPGSTPMMTPDLG TAMGQPSYFSLTRENSMSRDSRQSQRSDSGGSDTLSAMFSSFSLTEPQQVSPRPREGE ENESERGSPEMFSLQNVNSHSSVDTKNTPPQASMMPPPMALFDPDDNTRRFVGTPDYL APETVKGEGQDETSDWWSVGCILFELLYGYPPFHGDTPEHVFENILARKISWPSEDEA EVSQEAKDLMNAMLCMSPAERLGANTDEKFSSGAEEIRNHPWFADINWDTLLQDEAQF VPVPENLEDTEYFDSRGATLQSFAEEMEDQISPAAATAPPEYPPERPHDALSRVRTQI NSMKRGLMPLHIPPHVRDLKSRRLSEPVIADDFGNFAFKNLPVLEKANKDVIQKLRAE AIAAQSKAAVVSLVSTSNSAMVSPVPPLEGSPVIPMPLQRTMSNAKAGNRPSSPSPSQ ANSSPSRQSQPSSPLLVSFVAGQQQIGDGNGRRKTSSTSSSLSQQQSLQPGGGFFDVP RISPTLHKSGIATVSTSPIKTRGIPAPLALSPAKVAGSHSTPGRSRSQTVGSQESSPI TVGDLFSHHKRRSQVFDMSPSSSDNEGDKANALLRVQRRRQSSRRLSQINISDGPVFR PLDVLICEDHPVSRMVMEKLLEKLRCRTITVADGAEATRVAMSDIKFDVIMMEFKLPA VNGADVARMIRSTKTANSTTPIVAITGYLKELQQPHFFDALVEKPPTTSKLTDVLCRL CHWKAPAPGQAVPLHYPMPSGLRQESLRLDDSPSSGSSARLAGSSFRGSSRGDSIGSS LFGDESSVATDDVAVIISRKATGEWDVDSGLGISEDGSLPHSHEIPKLLPHLSAPASL ETTHEPPGTPCPVPQSSSLATMLKRPLSPARLRAKRDAIRGSGSAQHAESGDDEDEEL GDTSVKERSPKGKTGAGHRGSKLGTEMMRTNSRGSVVSGFDVPYAMDGSAGRGEDDLA GGMEKMALTPPAEEGGDMDETPKPRSLLGTPGEEGTPRPGKRGGGGYLSAGDAER VE01_10020 MTDPISPEALRQLYAKQIVLLSEIYKSTIPPIGVFPDGMEMQTD QYANPSGGSGYGSSEQSESGKSPLSMSLGFLKTLTEKKSTRGEPNDKIITHRERKELY IKALEQEVLRLKQNFSSVARSKDTLAEENRQLRNLLAAHGIPWTGSGGVDELAATGGS TSYPSSGSFSGSYPSSVSPTPQYSTNAGNNGAHLAPPLPQTPHTHHPSAAQGLDLDQA GIDFVLTLERPCMDHLQFLVELSSTPSGMPCGHALMATCPPVPHPADEMPWGHGVARL PHEELKTWDLQKGDLANLLDLSMRLNLDGEITPVMAWGMVLGHPRFRELGTREFGMVV EELTPKVRCYGFGAVLEEFEVRDAMENMFSTL VE01_10021 MAPISLAEIVSALPSADGWGPATSTETTLDGVPYAPYSKGDKLG RMADWTSEGKDGRDGRGGRQQYNRNYRDQQVYGAGTSSLFAVQLAEDESSFSVVSNTR DTAKTRFGRGGGFGRGRGQRGGRGDTRGGRGQFQRVSNGRGGAQGGYGGYDARGGRGG ARGGRRFGWKDYDKPQRNRDASVNIKPDWKLLEEIDFNRLTKLNLDADEGEDVDSYGF VYRYDRSFDKPATKSNERKLNVVDRASYNVTTSSDPVIQDLAERDEATIFATDSVLSM LMCAPRSVYPWDIVIVRQGNKIFLDKRDNAALDMVSVNENAADSPMDASEGNKDAINQ PAALAEEATYINHNFANQTMIEDDAHRSDMANENPFYNAAEETDPPASKAYKYRRFDL AVTDEEPLNLIVRTELDAVTKNAISGEDQYVTVKALNEFDHKAQGSGGALDWRTKLVS ARGAVVATEMKNNSCKLARWTVQSIIANADVMKLGFVSRANPKSNDRHVILGVIGWKP RDFAAQMNLSLSNGWGIVRTIVDMCMAQPEGKYVLVKDPNKQILRLYDVPSSSFEEEV EEEAEVAEEDEEE VE01_10022 MHFNFPVVLTTLALATAATADRLIVNHYGFLSGNTYTGTFVTKY DSYIIGNVDGCHTNPGVPALNRLCMDFGKRRGHFTFNGQGKRCLKETSNDFAHCSDDF AWATCSKLYYDEVKCTW VE01_10023 MDFPAHLLVRQAAETPPVMDFTENNGSQIMAVVGFLMGFGMLVV VLRVYVRIFMTKTMGWDDYLMVVAQSFSVAIMVCMFFEVSKGVGRHSADPIYLQNMSE IIKISFVRSLFLVSGISLVKISVGLFLLRIVEGTIYKRIIIGTIVFLVIFTLACIGTL IFQCIPVSAAWDFAQRPPPFGTGNATCYSISVFTAIGLFNGSVNIATDVAFALLPIPI IWKLNVNIRTKITLILILSLGFMACAAAIVREVLLSTFFEQTDENFHNAYALWNYAEL CVGIIAACLPALRPLFAFLLDKASSTFASGQPGLSTRHKYYMQSNDINLSAVGKKGGD SETPRGDGRYGVSVTSNGRDFYEERMPRRPMGKLEQSLTEGEGDSDENILPIQDVERH ANNRIVKTTEVLVT VE01_10024 MRFDLTTCAVVLAGTASATTATTQSKWRPDPTFGTDVLAGMGMI NVAINQLTSGVGFDTSKCSLRNAVVRREWSTMSNSERTAYSNAVLCLATKPSKSPAGF APGAKNRYDDFVAHHVNNTLNIHATANFLAWHRLFVWNYEQALRNECGYKGYQPYWNW GKSASDPVNSAYFDGSAYSMGGNGVFAAHNCTAALPNGLNCIPPGAGGGCVTTGPFKD WIVNISPTAPTSDAVQVPAPNFLAYEPRCLSRDVSQWVSSQWLGDAGITDLISNYKTI GPFQDFMQGIVDGVPFKDGFFGVHAGGHYTIGGDPGGDFFVSPGDPMFWLHHGQIDRT WWIWQNQDLKTRQNAVGGSIYLFNDPPLPLGTLNDWMDMGVCGKSATIGDVMNTQGEF MCYIYL VE01_10025 MSAQDAIDEDDLSDVSMSAETEDSDSSDDEEMDAPHPEPAKHES TTQNPVATLLENISRKRKVPSDGSDSPEDIPQGNRESGHTKRVKLELSPDAAFGSIRT PEGRLPSDKSLLPAEVWHHIFTFASPRTLGRLLRVNKIFRAYLDPQSPASTSVIAPLS RSVVPLRQPEVIWQASRRLFRPWMPNPLNEMTELGMWQLSCNFVCDFCDKRQDPVSSI SPDQWNSGPGEAGVRPIWAFAIRACGPCLQLRTIKEIDLLLSSSVPSPLMAALPFIFL TAELHVVASTTLQQGQPPTNMQIGKHFYKPHVEDIKQEFFKVKALGSGTAEEWIKGLE TRGKDKRLDLVRWERWESSGGLQRMRSKEPTEVNGTNGKVDLRLPAAVPMQHDGHPSH GPPGLSKIPVLSKEHLPSSHRMLPKNTHPLPQVPQFPTLPQRFESPSQIGFGPFPRPF PPQTRHERTKEEADKLKAARRAEIERRCLLLDPPITANVLVHMASFQAALQIIKPFDD NAWEMLKPRLLSQRGDAQQREDDRAALTRAVRVKLDERLYQDGQAREAKEFVDRVWED AQSIVRARTGAYADEIIRDGWAFGKKVNKETSPRFAAEVLLYVRKRFYAEIAKEDAQS KATGQELQADSPSGAESRKLSLENMRWVFDMKIKPHTEQYRKELFLCNGCENFKWYAF EGVCQHYASKHTSDLSSGSIIVHWKAEWPEEPPFNPEPSLEKGATVPATSSVAPYMNG ALTAPPVSAHGGYQTGVAPIPPNPHNSQPFYNSIPGQYADPHHALDQYTAQGQATYVP PASFQSYPGFPGPQYQAQQEAAYQPYPYSGFEPPYSGAPPVVYDTSQAVPAYQVANFE PPASIQTQHSVPPPEIPSHIPPVVYRTEEYKAQLQDIALIAKGLWNSTSGVQDMPGSV RVYTILYHILQRSREKYADDPPLKMLIDGLQNNKDMRPVRNVNGLACKTCTIERANRP INPHTVSSKRTSAADRKLFSFPQLLNHFQSTHIENGKASAPSLDWTKDMVELPDKKKM RNLPNANGMDDYKLQLIKEALPDAFKPNTPEPEAEEPQPYDRGVSRENSRELAPSKDN HERYYTMPAPTSLSPPLETRRPPIHALGQRGGDSPHMVPDRNDRAAMGYMERRVASPM GYKRIKREIYQDGNMSDYAAEPRPYPQLQEVGRRSRNARTRVISPTLQDIPLRPEYNY EEANLLPRLIEKAGMVAKPPSPQYQERYVRRVDDSYYRAEESRPPATYDYSRKSVVAQ QRPRPDTRGTGSEDGEVRNQLTPGESVATKEVSADAKIAAEQFLDNILPAETGEGAPR SPDKGQHLNGEEPRAQWAPERREASVQPYMGEPVQRVQYGSRNEEYRIINGQAVTRNR SLSPPHMRPIPETAGARQPQYLPYGYEDHIEAPRQYYATRRTSDMVDRRYVMDEMIYR DERPTQPDAHPRKSARYVSYENLRYDDEVPRSHSPVYITDRLPERRQYRALTPGGRHY APQDPIYEPHRAPQSQQPMEQVAYERVPRPEYYRVYPDEQPRRYPGEPQIEYVRADAQ GEYVVQRSSLRREQEPMYTYDGAPRYEEVPQRYGEVNRYDEPPPPRHQRQPVYEEAPQ RPIYERAGAGVPPSTGAGVSRNDPEYYEEYDPRHPAPPPEVSQRPLRYE VE01_10026 MASLNTSTNGPSIRSSYQGVVQAPPPTGAAANSPTYAQWAIFSV SAPLVNAFQQDSGSKESVLKVQDTGEGELQDLIEDFSEGRIQFAFVKVKDSNSALPKS VLIGWCGEGVPERTKGYFTSHLAAVSKILHGYHVQVTARSDRDLTPEGIIQKVADASG SKYSAGSASAAPTAAPAVASKPVFTPTQSGAGSSYNPLGGAASRKTGRDSNVDEDGWG ADAPQVSRSQIEKVPSAYQPTKVNMAELTKQKQEPSRFNNAPQQPDADSGDVVRGGYQ PIGKVDIAAIRAKAKKVEDDRPTTVKGAYEPVGKVDIAAIRAKAQKPSDEPSRHTSPA PAKPSVATEESDEPKSLADRSSAFKQSERLTSLPKPKVANKLPSNFAGTKALTPGTFG LHSAVTNAAAAPAPVGVASRTFADEGGKTPAQIWAEKKAKERGTSGATEAHAPAEPVT SQPSGGGEWKSGYKGKSWAPVAISTTATGKSATSDLDQQRTGDQEHDHARDEEEAPSA PAGGIGALKDRFKGAAPMGVPATEETDASPPPVPSATRPTGGVSMPGLPSRPAAAEED EDDRGRLPSPPRVARSPTPPTPERDESPVRIAMPVSRGSEPHIEAPEERHHAPSMPVR SLEKEVPRESELPDEPKGQDPARAASAALAGAAFGGAAVAASKSAQQGGKRAIAQYDY EKAEDNEIELLEGEYVTEIDMVDDDWWMGTNSKGESGLFPSNYVELVEDDEAAPAAPS RPSAPEETQAPAAPAAPAAPAAPEPTSSGPTATAIYDYEAAEDNELSFEEDAKITDLE FPDEDWWFGHLNGKSGLFPSNYVQLDEK VE01_10027 MASLGENLLGIVNKLQDLVFNTIGNDSLDLPQILAQVVVGSQSS GKSSVLENIVGRDFLPRGSGIVTRRPLILQLINVPSDNDAPEGSEVYEPHTPASVESQ GEWGEFHHIPGRQFTNFQDVKREIENETARIAGNNKGINRSPINLKIYSPHVLSLTLV DLPGLTKVPIGDQPSDIEKQTRNLISEYIAKPNSIILAVSPANVDIVNSEALKLARHV DPLGRRTIGVLTKIDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKTLAEA LKSESDFFKHHPAYRNMANRCGTQFLAKSLNTTLMAHIRERLPDIKARLNTLMGQTQQ ELASYGDMHFNGKEHKGALILQLMTRFASSFISSIDGTSTEISTKELCGGARIYYIFN SVFGNSLETIDPTTNLSALDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEIPSQ RCVELVYEELIKICHTCGSTELTRFPRLQGKLIEVVSDLLRERLGPASSYVESLISIQ RAYINTNHPNFLGAAAAMSHVVTKKQENEKKKLLQEERERREKRRQKELGATNGVDGH EEEDEISEKAEGLPHRSKMHKSGRSASPHVRQNGTSASIAERIGQRAGSEMQFSAQAN PKDSFLNYFFGKDGAPGGGPGVGQAASSAQNPNLGRHVSQSAEPSITQSIRRQEERNT HRSPAQQTRDEDYAREYDYNSPFGNNTEPALTDREAMETELIRALISSYFDIVRESIA DQVPKAVMHLLVNHSKDVVQNRLVSELYREDMFEELLYEDDAVKKEREKCERLLKTYR EAAKIVGEVL VE01_10028 MATPSSTTVLVTGGSGFIGSYVILGLLSEGYTVRTTIRNLSRSA SVLTSLENGGATPASLARLTIFAASLDDDNGWAEAVQGCTYVQHVASPFPAELPKHED DLIIPAREGTLRVLKAAAAAGVKRVVLTSSFGAMGYGHAPRAEPFTEKDWTNLDGVVK VTPYMKSKTIAEKAAWAWAESDANADKMELVTVAPQMVCGPTLEKDISTSLEVVKKLM DGSIPGCPNLQFSFVDVRDVASLHLLAMTKPEAAGQRFLAGGNDPAISMLQIGQIIKK QRPQHAKKVPSIQVPNFVVHILSIFDKPIRQILPDLGKVTQSSNKKARETLGWEPRGT VESIVDTVDSLVKYGVV VE01_10029 MGDISNPPGDGDFTFEETQALEYDDVFRARTGSAVGGVTVRFSG YDRHQQRQGSFEMPTAAISASALELIGFNQSTAESIYERFINRPDPSINIDSFLDYAT SQAQRIWVLKSRDYTDCEAMTRVGLTIDFQDRMLDPRFKAVYETETLLFWVLDTLKVG YTALEQLHERLKHRVKVIKATIAISQTPEGHSLPANFIPAETEPALPSPLKYVALYKA TSALEMGGTPWIDDDGGVDMNVISSFPGGDSNYCSMVHYWTPEREVAELYRKWAQRRC PYSETWIVRICVPIALINSIPTAELWYSPDWKEFVWYCRREKTPPEKFSRLDPENRAI GLIEGHISTNIAQQVAHIKKEDVQSMINDDSALRNPITGSMATQWVFTIPSTIDRLGI EIRGRIHIDVTAVPKSGGKE VE01_10030 MTDNAIDWKEWEGRIVPRQFTPGFVVLSYLVSFIGAWTTLELLH RRTAGKGLYNWLLLFSSSISMGGISIWCMHYIGNRAIVLGDGHLGIQIAYNQGFTALS FFVPIIVLLAAFMAVGSDDKISRVRLPVGGALAGLAICGMHYLGQAGISNYTCIYSVG YVVGSAVIAVFASITALSVFFALRAAWTDSWWKRAMCAVILGCAVFGMHWVASVGTNY RLKMANPGKSHDIPRNSTVIVIIVLSVAACVIILGLTIITQRRRTRSANRAQQVLLAS AIFDPNGRLMVTPEGLLPNQKVTTSYVEWSFDEVFGTSHPVFQWIFRTTRNWSSISTL IPGMRTHIQQTRKYRPELKSETDPHDSEDVYAENYSVIFRELFCTAAADLAEQLNQPL ENVGVLFDEILNTGEMHNTEPGARRKGTKSSADEETNVAAARVLSSKGQLLILVRSVD RPEADRLAASGYRFAEVKGVAPILSRSMQINCDDLPGQLTNMYEYSKDTPIMNPGVHL ALFALRARVRGGFDILVPKNRRNQLPAMKLPIDSLRGWESEYLSQLDGMSVSACLKFL NAKSPNAVTVSKEQLFAIEFRDALVALKDEIDDPIFNDALLIAHPLTVPCRGPNPDAP PGRAAIITFRLIVPIQFRAHGQKLEFVPLSFFRTQQYVYRNSPDHQHFTRKVHREFAP ILSKRRPSVDDGRKSIMSSGRRESSEGFKRLESVYGDNAMDMPMPALPPRAQRRFLGV RFGRRHEKREALTPPRLSLESGSEAMLVEDQFGGIMVSQEVSVIVGRDEEGGGGDDGQ RGTELVKMMPQAKMGTRGGATKELDEPETYVDRLIAICVKARG VE01_10031 MRFLPIILLAGLVSTSIAAPHPHKNGPAGKATAATKNAQKGPKG GAAAAAAAAAAAVAVGTGTAAVAANGTAAAAGAEEVEDPNKLDLAGVFGTAVALQGGD IQQDVLFTKSAVGALEVEFKNAAGRTLTVTENKTPAAPPTGFQALEPSSFKINLAEGA DALTLQKVDYIIDATSPDIVGLDIANGQIGKLCTETNTFVIDKALGELEFEADENELT LTVTNMNGEWGIFVPVAAANAAAVAGGDAAAKAAAAKADAAKADVAKADAAKADVAKA DAAKAAAAKAGEAAVGEEGDTDVAGKFNIAVATPAGNQKTDILFTGNEAGNLEVEVNA TVANAVTVVNGNPSGNPPTGFLFVDPQSFKISTKSATAATDVVKVDYIFSAAILAAVD PTQGRIGKLDVATNEFVIDGLGEFEFEVEENEWSLTVPDLNGEWAILIPQAAVL VE01_10032 MTIMVATGLYGQETLHDEHHGLYEHVVALGKILFDQQRVAGFTE GYIFCFEPGVIFPLFFVAMKCRHPLIRRQAIALLETANHQEGTWESVGAAKVAEFVMG VEEENLPQGAGSEQVLESARVHLVNISSKIERRRIDLRCLLRTSEEDSWYFREGTVFY VE01_10033 MEILSYFMLVSLAVVAVYGSDSVDLPRSSRLTLSQKKSTGFLHL DRRDGLASEGIKIDAGFWYADFTVGGASNLSLLIDTGSGDIVVNPGIYIPGKTSENLN ITFENTYGTTSKDGTGSATIVGDLYSDKVIFGGLTATQIVGAANGTSPLQKSGIAGFS GQRFNQFPASSNATPFFQSLCDQKKVSECRFGLALSDDGTGIQILGELDTSLYTGDLV VAPIIENWVLLGDLVVGGEVQERDMLIELDSGTATIVGPIVTVSAIFNATGIQGVLRS TSEGDLLTGYFPCDKPPTVGFNFPPKTNASLAGKDSLSAISMRSSTFNIPLNAWAAVD NGNNNCTAVLSGQNYEAHPGLWVIGQPFFQGLYIDHNLENGTVGFAKLKRTSPGRSTT APTASVPTPTGDAIIHKHSAWAMLVLAFSLVVVVTM VE01_10034 MAEDGKGDVHLYENATRDDKEKIGLTGEALQLSDDVDATKQSPW TKSMFRLYGVLAVAYLCGCLNGYDGSLMGGLNALTNYQHYFGLAQAGSSTGIVFAMYN IGSIPAVFLTGPVNDMWGRRAGMFTGAIIIIIGTCVQAPSVNSGMFLAGRFILGFGVS FCCVSAPCYVSEMAHPSWRGTLTGLYNCTWYIGSIIASWIIYGCSYYENDYNWRIPIW CQLFTSTLVAVGVWFLPESPRWLMAQDRIEEAVSVLARYHGEGSVSHPIVQLQMKEMS HQISSDASDKKWYDYHELWNTHSARRRLICVVGMAWFGQISGNSITSYYLPEMARQAG INDQHTQLVLNAIYPVICFIASCAGARLTDKIGRRPLLLYSIVFCSFCFAIMCGCSHI AHVSPSNKAAANASIGFIYVFGIVFSFAWTPLQSMYIAETLPTSTRAKGTAIGNLNSS IASTIIQYASGPAFAKIGYYFYAFFVAWDLIEWVVMYFYFPETKERTLEELAEVFEAP NPVKKSLEKRSARTVMATMRIDHLDEKDAL VE01_10035 MPSLESNFVLSTGQGELPDYGNELVFQRNRLPARAYHIPATSLL LNGTWDFQYATNPLEAPEPRKHDSRVSSDIESVLEGTTLDTPDHSIQDTESSLQAPDL EWTKINVPGHWQLQGHGRPQYTNVIYPFPVCPPHVPTENPTGTYRRTFSVPSAWDESS QLRLRFEGVDSSFHVWVNGHPAGYHQGSRNPSEFDVSAFVTRDSINEISVRVYQWCDG SYIEDQDQWWLSGIYRDVYLLAFPGEARIEDFFVKTILDEQYKDATLAVSLDLALTVD VEVSLRLRDSAQGNQIVKSDTFSVQADKSKIDLSLQIPAPRKWTAETPTLYGLEITLR SKALDKTLQTISHNVGFRSVELKDGLMCVNGSPILLRGVNRHDHHPHHGRAVPLSFIK KDLLLMKQYNVNALRCSHYPSHPRLYELCDELGLWVMDEADLECHGFYDAIARPLNIP EEMDYGERKKLAFPQAAAFTSDNDVWKDQYIDRMEQVLHRDKNHASIIIWSLGNEAFY GKNHQAMYDYAKRVDPGRLVHYEGDADAQSADMFSYMYPSVETLLKLSKTAGVDGDKF EKPMILCEYAHAMGNGPGGLEDYQEAFRDNKRLQGGYIWEWANHGLWTGSESERGGFY AYGGDFGDTPNDNTFVMDGLCFSNHTPTPGLVEFKKVIEPVRASVRDEDIIIENGYDF VDLQHLVAVYKLESFTDSTQIGPSGELPLPDVKPGETATVRLSQDILDYLKASGGWLT VSFRLRASTSWADAGHEIAWFQHRLIQTDSPTASVPQYPASQSSALQLRSSKVEYSVG NSDFDIRFCRARGSITSWTVGGVPLLALDPETRAAIIPGFWRSPTDNDRPKDYLYWTH YGLDMLTSQLRDFTIESVDASTIHLKIKTYISPPILAWGFDCTLTYTISSSGSVRIKA HILPCGPFPAYLPRVGLDVRLAKNLENASWFGLGPGESYADKRSAQRVGIWDKTVEEL HTPYEVPQENGNRMDTRWVKMASQSGAGIQASRVSETGDGMLQWAASQYSPKVLEKAR HPRDLVVEDDGAVLWRVDVEGAGVGSAACGPGVAEGSMVKCEEVEFEIVLDGVLA VE01_10036 MSSPTTFLIAGGTGRQGGAVVNALLADKSISIQAKDIYVLTRNT AGAGAAALTTRGVKLVQGELGQPDAIFKQLSDLGVNPTKTAAFLSQAHGPTELNDAKG FIDAAITNGLSYFVYSSVDRGGPELSDRDASYCKTFSDKFQIEKHLESASAGGKNINY TILRPTWFADNALWGFPGKLCMAGWRENMRGKRMQVTTIKDIGRWATEALLRPDASGI RNKPLSIASDYLSFDEIDAIFKKETGKPVGVTYGWLARLMIWMVEDLRTMFGWINERD YGADLEELAKTVKPTTFREWLKEAHKA VE01_10037 MVNTSPVVLILGSGPNIGKHVARAFAAKGYKVALASRSLKEADS TADQVNISGDFSDPESVIKTFSKVKSLLGAPSVVVYNAAAATQNNPKDPLSLPLADFT RDLTINTNSAFVAAQQAVLAFKELPESASRTFIYTGNITNTTTIAPLLDLGVGKSATA HIIQSASQAYADQGFKFYYSDERKADGAPAYSAIDGEAHAELYLQLAEGKSQGPWQQT FVKGIGYKKF VE01_10038 MIATTYLTPAILALASFNVVTGAAIDSIKTPEVIPGPGLPSLKE LGLTSADLYKIGRPDSSVKESSGDDSVKTSSIQARFEPRCGPSDYAYTNVNEVIACYN YLKALGNQNCVAPSGLGIAHFCYAGSAEITGQSLNPSGSSSYCHHVADAVLWTVDHCT RPDQSVAGFQAATGNGDLIVGSTSKQYIGSK VE01_10039 MAPPTKRPRRNVVVSSSPSAPKGDNGSDDEYTQKGAAAPIRTID FNSSPIEEPSPFVRPTRSLKQPPTPTKSRQSTLTSNGQRNTTSLLPNQSRAASSRKSA STSPEKPRARGKPVEKGKSKSLYTFFSTQVQKQQSQGARPTGERNREALVEEDEGISD EDEVPESKAKISSSFAAAAAKRTRDDMEGGVGVISGSQRFMRPPVPVQANKKEGDSRP WADRFAPMDLDELAVHKKKVSDVGGWLEAVMEGKLRQRLLILKGAAGTGKTTTVQLLA KSMGAEILEWRNPAGSMASDEGFVSMAAQFEEFMGRGGKFGQLDIFSEDEPTPPSTSV VKPLDRRKNIILIEEFPNTITRASTALQNFRSTLLQYLAANTPSLADMYTRPNAATAK APIIPLIMVITETLLTTTATTDSFTAHRLLGPEILHHPAVATIEFNPVAPTLLAKALN LTVQKEARASGRRKTPGPLVLKRLAEIGDVRSAVSSLQFLCLRGEEGDWGGKVAFSAK GKKAAGREQPMTKMEEESLELVTRREASLGIFHAVGKVVYNKRDTPPTEQDMRAVEML PPYLAHAARPRPSQVNSSELIDEMGTDTSTFISALHENYILSCDPPASYAEDSSDLVH AIGCIDALSDADLLTPSWESAYGQGGAGDVARQDELAFQVAVRGLLFALPDPVKRRGA PGRGGRGRGGGGGGGGGGDAFKMFYPTSLKLWRQKEEIGSLADLAASRLLRREDGAST TSSAAVNREVGTVEGWRRDAFASSAAATVTEGGEPDAPSPSLVGLGASARTEMLLERL PYLFAIHVSRTRSVSLPLPRAEMERVVKFVGIGGVAEDDEDGVGLVVDVDGKGEGNER KVKILRPGAAGVGRGLEERFGALKAGGTVLSDDDIED VE01_10040 MEYNGLPNEVLDRICFYLCFHCQNPGIFPNSDTAENRADKAALT GLCRSSKRLNAVAQPILFHYYAAGNLPRKVNTDLGRTRQFFGEEDDKLPLFVRTLVAR PDLAACITSLQLQTCNAGTGCPPEILNSFARASKELGLATPTPLYPESNKYRTLATRY RRNIHRWLQALSIALTPRLEMLLHVLEDVTPYDYFEDSSVELPALKTVALRGSHADYD LHDVGPLVAAAPNLDTLYALDCGDPVESQSWLPLGRLRRIVVEGLRTGDLAEVLSGCH SLRDMEYYMYSWVDSVPVMRALAPVRADLRRLCLSRLPSLTKNYHDMLPSHDAVFIPS LYSFEQLEDLVIDQVIVYSKQSVPADTGRLTSFLPPSIRSVHLTYVYKAMNADLLHLA AAASSSFPNLHSVKIGFVDVTPAREAEMEQLPVVEAAFAESGVRLTWGEDFTGPYLYT VIPGGAPGMTIAHVNTTVPGGEPGTTVVHVPAVADSVHCSCN VE01_10041 MESGRASSPQRQPPTSGFEVLDDAYLLEEETFSWYLADDFYHVR IGEVFQSKYQVLGKLGFGSVSTAWLCRDLIGHEYVTLKVFVSGHRQAENEETTDHPGV TGIRSLRVSFQLPGKRGPHECLIHDALGLTLGEIREMSDGEKVSIDLQRPFTKCLLYA LDFLHTEAHVVHTGKLIQYSLEHSHELIVYGTDIQEGNIMIAVKEDIIFKTFEQEEME EPRLRKVDGERIIYASRALDIPDDASHNVLCDFGDAQFGAETYEGEVMPYLYRAPEIV LGIPWNEKIDIWAVGMMVSVMVWDLYEGKHMFKERLPSRMESVPAHIARMIALLGPPP KELLKRGQFSDMFFDEDGDFTRDVKVEETSLEDEEENLQGEEKRKFLTFSSGMVRWMP EERKTAKELIDDPWLNNL VE01_10042 MRFSALSIAVLTLVGAHSPALTKRTSPSLSLTDADAIPAFNLPT LISTENVEANTHNIEAIRATLALYPLAIDGKNFDSLSRIFAQNAVANYSAPLNVLTPL STIQSVLKSSLNPVTTQHSFGTQLIDILSHDSAFSVTYYTATHFGRGTFGGKTATAFG QYQDVWKKQQDGKWKITHRNLIYMGPLIGDLTIFTFTE VE01_10043 MAQIAKLSVLNDADDGITRETKLRLWWTCYLVDTWASGGSGLPR QFDILNAAPRLPMDEEAFLNMRPGDPDVPASEWRPGFWGYNVKMATIYSHISDLTKRI IISTIWEEEAIEDAVRESAVELTAFEESLPTTMRYSLSNLTLQVERGLGRNFIALHLG YNHYATLLYYQYLDRNRPFTTNGAAYAQRCKHHATLFSEILEASRNHGGAEALYNIVG HVTVVSSSVLLHSFLFGDPNEVDYIRQRIESNFESLVQLRRYWPSVELMINRLIVFQR SCLRSETSNTHRLDKWMVKFLLQHALALDDKELEEDVYDLSSWPLSIQDSHMDPTHVN TGLMERSRVTKEIIEDMRMRRQ VE01_10044 MSAVYNFLIGGGLNYTGKIACNSGETCVFANDYWYQCQTALIPT CTTSFAPITASDAFAALTPGIRVLVTWFGHISVDSSPWTIDSTWLDRVEAVVDQVLDR GFYAIINVHHDSQLWANLATSGANHTLIEEKFKSIWTQVGVKLGCKSSKLLFESINEP AGSTESEAVELNALNDIFLDAINIAGGFNPQRTHLFFGDWGTTIWGSDDDKAALDLDF SLFHDNFTSIPTFIGEWDATPAADLLDCSTHTWYDETVIDILINAAADTVNSLPESTT DLSATSQSGSAYLFHAIGAPVTDQSVSYILNGNTLASIKNSAGTSLTTSQFTFSSGGV LTLSMAYLSPFYDASSTAGIKDTLTLQFSKGADLSLQIVQYGTPTIGATSYTAQATDM EIPISYAGLAKGATVRAVLADGTYLTNAWTTSSGPLQQGRWTQGNYGFDSSNFIIYDS GGQQIIAAGQPVSLMLEFYPRSVGENVVNITVHS VE01_10045 MGTGHTAASPAQNEPRTNAIYQDASKPVKARVADLLSRMTFLEQ LAQTRNVGGILGENASFDNTTVYSFNNGQGGGSISFGNYQNPAYLAAATLEDVVLQFQ KNDRLHVPLINVADSVNGVTLLNTTLFPATLSMGQSWNIDLYGKVVQAMSIENHAVGI HWVLSPELDLAVEPRYGRVGEMYGEDRYHVSRFGVAYVKNMQDTDSKGFSRVATTVKH WVYGSSLGGINEARILGGINDFYNVHSYPYMAVFEEANPMALMPSYSSYDNVPMTTNI QYTKNVIRDLLKFDGVIISDYAAISQVLSTQHTANNIQAAGLKALAATVDHELGPPNQ SGMEALSILSKNPTVAKAVREAARRVLTLKFLTKTFDEPIPDLKKLNSSLRTADAMQR NLDITRESMVLLKNDGILPLKSSLLLKVAVIGPMADIINPGSYAASDYSTGSTILSGI KKISSDVTFSRGCFRNNDTDFDTMKAEAVANARNAGLAVVALGSVAQIVDTNANDRTD GEGFDHANLDFPGPQNELLKAIVETGTPVVLIVSGGQAFSMEYAANATNAIIHTFLQG ELGGDVLAEIITGKTNPSGKLTVSIPRLSSAVPIYYNYINSDRKQVGWQQVYTDYQSP VLDRLALYPFGHGLSYTKFDISGVTVTNNTSTHGQITVKAIVKNTGSVQGKEVVQVYF NQFAPDIERPVKNLIRFTKIDLKPGASTTVSFSIPVSELGYFVNGVKQVDADQYTIFV GSSSDEADLTATKIVVK VE01_10046 MGSICEVLEVPENQKVEVVPKTIFNHGFARIAEELTPILHEWID RPLSVVEFQPDPEEFFKCKGLPKYTIEQLSSISYGRGDQFILDFGSHRVGYLSFHLSV DGVNIDAPARLRITFGEIPYDVTDNLHPCNTWISTSWLPDEVINVDWLPTDVEMPRRY AFRYVKIEIIDTSQKYKIRFSDIKIRAVSAVSPPTMSGVDILKTSDKELVSIDRISQE TLRNCMQTVFEDGPRRDRRLWMGDLRLQALTNYCTFKDYSLVKRCLYMFAALPREDGS IPACVFEKPKLSAASDYIVDYDALFGPTVYEYTVASGDVQTAIELWPTVISSMQMALL HLDKAGKFNPDATKAWKFLDWSKGLDTAAGMHGVVLFCCKEVNKLALLVSKPPPFLDI VEKMTAAAMSFYDPSLGVFVSGPDRQISWISQAWLALAGVADAETCLTAIKAAMANPE AVKPLTPYAYHHVAEGLARCGGQEDCLKLMREYWGGMARAGADTFWEFFDSEDPRSSP I VE01_10047 MGKAVTIGSGIFLSIGGFLFGYDSGVITSTIGQETFIEYFGNPN ASETGGIVSSFTGGAIIGALSVSWLADSLGRKKSVFIGGVISSFGCALQAGAATIGML IAGRFIAGIAVGLLSAIVPMYCSEIAEASYRGALSGLLQFMLSWGFFAAQWIGYGCNY SSTAFQWRFPLAFQVVPGLALAGGIWFLQESPRWLMEKDRHDEAREALRLLHGNGHNE EYLELEYREIHDTIVAEKQVSVRSWAGMVSKPAWRRRLALGMGIQAFGQLSGINVVNY YGVPIYKLLGIDTRTSLMIIGISGSLSIVYCALGLYFLERVGRIKPMIFSAIGCALTL LVNAILSQYYVTSANTESANGNALRAMVAMNLVFSFFFTFTGIITWVYPAEIFPVEIR ARGNSLSTLMNWCLGLLIGQISPLALDAVGFRYFYAFFVFNLCAAICYIFLFPETKGK TLEQMDTIFGDQLVPHALEGPEAADAALKIFQEKHAATAEQEEHADETEPKA VE01_10048 MFSLRYAVQALLLSASAVVAIQPFEQLSEVPRGWSLVGAAKDAT AIKLRLSLKQQNVDKFYEEMLAVSTPDNAKYGKHYEGLELRSLLAPTDETSNTVISWL LDNNITSIADDGDWIVLQTNVGAANKLLNTEFQWFSNAEEKTPVLRTLQYSVPDEIAS HINFVQPTTRFGGIQKLKSTVQIVEEGQAVSSLKWTPSVSSKVDPACNVSITPTCLLQ LYNVHYKGDPKSGSRVGYASFLEEYARYSDLEKFEDAYAPYAAGQNFTVIKFNGGLDD QNSTDDSGEANLDNQYALSLGFPNPVTEFSVGGRGLLIPDGDSPTPSDNTNEPYLDFL LALLKLPNSKIPQVISISYGENEQEIPVPYAQQVCQLFAQLGARGTSVLFSSGDSGTG DFCLSNDGKNTTKFQPQFPASCPWVTSVGGTRNIEPEQATYFSSGGFSNLWPRPLYQE AAVRGYLAQIGDKNAGYYNKKGRGFPDIAAQSYRYHVYDSGKDVQYQGTSCASPAAAG IISLLNSARLSSKLPPLGFLNPWIYAIGHIGFNDIVHGAATGCNGYSRFNSAPNGSPV IPGASWNATKGWDPATGVGTPDFGKLLKLSTPWVKNEGGPVSA VE01_10049 MGTSTRPNNLDGAYAPVDIPGDQGPSGGHVVATNRARPHPAFVT DEGPTLLVDTLGSILTRRAPAVRMICYISAILLTTDFLTVGLSANASSNVGSTETGSA VDPAGILITTVAGFGEMTLFAILSFCFLFWNWHLAYFLSIALIGVSALGTGAYGLAIM AISMSNSVCADKGSCNEALKKGLAASCIRVLLTGPFCLVLAFWYFLKVRPEVLKAKAE FRRPIQTPLRRLDGGHDLEPLFVPR VE01_10050 MRPHGLTSLASLVSLSLYCTQGVTQELPPGLPLQYASTAPGVAV TNGTKLRILPVGDSITVGWLGDDHNGYRKQLRSDLSADKVVFAGTERYGSMDDPYFHG QAKRSTPTDPAAAAERLGALIDKMIQKCPDATILVAMIISTTRPAQAPQTAQFQALVP GVVQTRSAAGKHVIAVDFTRFPTALLRDGIHPTDGGYRTMGDWWYDFMTQIPPSWISV PEGPDPVRDISSNGGPDENIPPLDWGTSPITVKTPSEIFEAGKTASLESRKICKVNPH WYSTGKLASGRGVNGDFKFRSKWESVGQVMPATGLDPKFARLHDMDGDGKADYVWIDP DTGAIRCWLNKYPNAWVKAGNDGLLADGRGPSDSIFLADMNGDGLDDYLVVNPDDGSA DIYWNHGPDAGWAHGWKFVEGGQIASGVPHANWKTLRLADMNGDGRADYLTIGVRGSA ALWLNQGSLGTDVKWLGQGGITAGSGVTDLDTIFFADIDGDGRDDYLIFDDEAGISGY LNIMTQKSGLPLFAKQEGNQPIAAGIKNTKNWRDIRIADVDGDGKADYSYIASDGSVH LWLNRGSADTSRASDSVVFADIDGDTIDDYVILGHDSGSISVYLNKGPDEGMGWKFEA LNGGEPIASGRAPASQIIFADINRDDLDDYLVLDPVTGALRVWLNEGRDSGSATGWQF KEMGQIASGLGPGARVRMADIDGDGYADYIFLKPNGGTTIYRNNWSEGGPGNYFVPFP KMDASGINENPDEIQFVDINGDEKADYVWTRKIDGVAKVWYNNYEFKEEGDEDKSRYG WREGGVFASGVGANGDNVRYAIMDKSGRASYVVLDPATGAPAAWLNGCDDKGDSPRGP VSCEGGVANNPYHPAGDSFGWAVYIPEPIGLTPAYEVQECGNHFLKVLKKQGGCETPT SWGCDAYGRGVLLHFDTSIFCGKGQVQKAVWDSTNPQIGGECINHGNSQDKWSGKSPI EILLGILSLLPGPSKGKS VE01_10051 MSINPQTQSPFLTRLLTLPPELRDAIYLSLWRSHGLRQHIIWHG NDSSGRHFCSWPCTTDFSAADNLQRDVDELRIRLGVRLGDNMGGFGPGKDPEVSVLTR HLQSPWMNHWACGERAAEVHGIDANWGFQTAGVKCWKTHSTGGRKELVPSWSPYLPML LSCKLLYVSYSASPSFPAPTLLYSIYSPHLPGIRSEECLKSIYESTTFILTDLTTIQT FLGYCALHPAIKKRSKQGITPPAFFKHARSLELALMPDFPSALLCAAYDLPDLPHRHS VYDFHWLHLPRFQNLQNLNIWVSARSLTMADPKSDQSYGFTGITEHDAAALEGILTHL SPVAKVTLSTPLAPSVGPPEEEEGYLDGVKARVYKRGSGDRFHPPLYPIEPGGRFDGV IYTSPTRYLIPIP VE01_10052 MSRSRVLSRRQIEGLIANNQSIVIFDNKVLRLDKWLERHPGGKL PIQHMVGRDATDEIKIYHSAETLPRMDSFRIGYIDGPWVNFEPPISGGIFRPYEGEAN MRKCAKTITPILCPSKTSALPGTDGTCNKKGAPPPCPDAKPPLSNIQDKLDVNQLVTG TLDKFPRSCTQVEFMDLAEQLEIDSDISRFPSVDPATQRTIINRYRQLHDKVKEDGHY ECRFWEYGKECIRYVSIFALSMTALKYEWYMTSAMLLGLFWHQIMFTAHDAGHLAITH NYIADTLIGIFIADFCCGLSIGWWKSSHNVHHLVPNHPAHDPDIQNVPLFANSPMFFQ SLRSTYYNFDFAWDRACEIAIKFQKYTYYPVMGIARFNLYLLSWLHLISPRSSNKGTA AWTRPTEMLFMACYWYLFGYRLLWLTLPTWTIRVAFVLVSHIITMPLHVQITLSHWGM STADLGATESFAQKQLRTTMDVDCPAWLDFIHGGLQFQAVHHLFPRVPRHNLRRVQAL VREFCKDVGIEYTIFGFVDGNKVVLSRLGDIAKQVEIMVACQKHNAETGDMSI VE01_10053 MNTAKAMDLTKVEILNQDIDSDGKTPSYYRMLATKRPSNTSPST PGSTKSTTSKTLGSPPIFAETTQETPPSITPPWHPQSYSYLSLDIGKRLRANVYIASS PEFKNPVIAKFARFEWEIGYYIAETQAYS VE01_10054 MTAIRNIAIAGASGDLGSPILHALISSNVFNITVLTRDSSKAQF PPSTRVIRVDYTSIPSLTAALHNQDAVISALTSSAMDTQDLLIKASIAAGVKRFIPSE FSSNIGNPKSATLPVYQSKIAVHELLKRLASENPGFTYTLIRNGPFLDWCLMKGVFVD FKGTTTPFYDGGDRRFSTTTLNTIGRAVVGVLLHLDETKNRAVFIHDLVTTQREILGM AEKLAPGRTWTPVDVSTADMEAVAQGNYAKGVVDLGASMGFLMRAVFGEGYGGEFEEV DNEMLGIPLKTDDELEGLVGAALATLEA VE01_10055 MPGLLDLTSSNLRFTLEAQVFSVVGDGLRLREAAGVFFGTVNTW MPVVEEGGYYARLSRFRIEPAPADFSLLTLCIFLVCAMPVGGEMTLETRGLYILIKGW VGMLEAMGTNTLAMLQSRLLLTTFEIGHAMYPAAYISAGANIQAAVALGASAVSSADL SKAFPDPRIAEEARQTWRGIVITNRYASLESNARHTASLGRLIEGMCGNNSSEDLTQM NPFTKMTYSSLLLDQVLSHIHERTSQQEFKRVEAMQILHSLTSFLASFEGEDNALKTL SDSSLAIGRSAMLEVLEFGSKVEPQDNEYCVQASLNILTSLTHEIAHGARGVKTDSAG LAALPVFITHCVYKAAMICLHDAKVSRDSNLKLRIQPLKDLLGYIGLRWGAGKYYVEK IEQEQNKIKL VE01_10056 MRLINTTTLGMEIFFDGHEPPYAVLSHRWQDGEVSLQEMQNGTA VERPGYVKIVQACALAARDRLGYAWADTCCIDKTSSAELSVAINSMYRWYREAVVCYA FLSDVEDEDVEADAGAAVFANSAWFSRGWTLQELLAPSKVEFYNVSWHKIGTKATLAT AIVAKTGIDMDALNGGDLAAFSIARRMSWAAGRETTVPEDTAYCLFGLFGVNMPMLYG EGQRAFIRLQEEIMKHSADHSLFAWSSNEPGARGLLARSPADFVGCADIVVTRERWNK TPYTVTNLGLSIQLPMLPWAMETYLAVLDCERAGVPDSRVGIFLRLLPQADQHARVAL EGDDRFVFREELAEKLMYRNVFVQQHLWGMTLEPQRFYGFHLRNFSSPIHTVTKTESE QVSLSTVDLATTQVAWDDEKRLLELPVGKNGTVGMITWARDEKNWEVLKFGFDNEFNP ALQLGGDYRSPNRPFTMDPKSAEDWLDPSWMDGPAHSKYLHKADRLSGLHEEVFITEK RISIEEGEIGETGMRGWVIDILDHTPRYRRYQDLCEGCVNLSKPVRKFRMSS VE01_10057 MSSDTNHGVVEESQEPSPPEYEPGTEPTPPEYDPGTEPLPGYFR EISGLESIILARRNSTAPLSRDDITWDLETWRQYIQIYPPSTKPWDERDAKENAFVAS SLFMGINLGKGDVIAFLIENGIVTPNTKLAEETPLLRAVAKTNVRIVKQLLDLGAEKD ALGSVSTYYDSFQGTVHVFRTPLQHAASLGHLVLVKLLMETYHCDDSIVAPDGQTALR LAAENRHHEVVDYLPTRRSGGFRRWKHAHRKSLRRATKAVVRILDFLKFFCWSVPKFI LWDIPKNTIVKPIMKGCAWCWKNRKDFGPWCKHQLLNLPGRVARFGKRVGKSLAKIPG DCWDFGTKTLPRWIKKFSIWLWKLVSERLPRAFGILARWISSIITSSAKAVWNVILKI VSLLSTVVEAVISFLRRVTLTDVWNGFVEVLKAIFMTFPKIILSWIKTGGKTSYKVLK ALFGTAGKILWYFVFALGWVIIFIPRQLWKIIKSFGESFMKGSHELRVWLNPKVR VE01_10058 MLSIEDDGMLADFVKAEVDSPTPRKQIDESRIIYKSRKFGRPDK AKGYGLPSLCDLGESRIGATQESNPFVQPHIYRAPEVMFDMPWGSAADIWNVAGLIWD LFEVEHLFGDVFDARGGHDPFKHFALIVALIGEPPSEFVKRSETTGQCFDTNGKSPAP T VE01_10059 MATVDIPVALATDLSKHATTPATHGQVTQVTTEISPSDPIIKQL TIEEKVQLLSGRNFVSTAGVARLGIPPLKLVDTVNGVKGSDLHNGTSTLCFPSTACLG ATWNRELLTRMGKKLAAQAKSKSAQVILGPSMNMHRDPRGGRNFEFFSEDPLLTGELG AALVNAIQSEGVGACPKHFVGNECETKRRIQDVTESLDGRTMREIYLAAFQVMLRNSD PMALMTAYNKVDGVYCSENIPLIQDVLRGDWGYKGCVMSDWYGTNSETAALKAGLDLE MPGPSVFRGASLVKNVQNGTVDEKLVDQRLANVLRLIERTAESHSTEPEKSLNDESGN CLAKEIGTEGIVLLQNRKSVLPLQITQKLAVIGSAATTPPISGGGSASAPPQYLQRPI DSIKALHSHPEFVTGSEGVKVHATIPICAEDQIFARNGEHGVDVSYFNDRIEMPITEE FQKIPQVVMLGRIKPGLKAEGFHYEMSTTLIPTTTGLHTIGIHATGSFLLTVNGKEVL SETVSGITVEDFLFKPERLEVRCQVEMKAGMPYFLKLVVQPHKPASMTGEPLVHGAKL CYIEEYSDCNAISEAVAVAEHADATIIFAGRNSEYESEGFDLPEITLPANQVKMIKKV AAASRRSVLILHCGNPIDVRDFIDDVDAIICAHFLGQEGGNALAEILYGKVNPSGKLA VTWPKKLEDTPSYQSFPATETARGWEISCGEGIGLGYRHNWVNSPQFAFGFGLSYTSF ELSSLKVTRSNTAASVGDNEIIVEVELSNTGPVSGAEVVQVYVEDVASSVTRPSRELK AFEKTHLEPQTSETIRFFIKDKYAFSYWDEETRCWRAEAGEFKIHVGDLVAQIHLEQG FSWKGL VE01_10060 MDANRAAFRKWSIIPRMLQDNTIRDLSVELFGSKYPSLVLVAPV GVNKIFHHEGECAVARAAANCSVPYIMSTGSSTTPEEIAETSGSGSRSGSRWFQPAGF TTLVVTLNLWALSWRPKDLDNASVPFYLGIGDAICLSDPVFQKKWKDGPGKGKSIQDD FQNACMGWEKTVFSGHSHTWEDIKFLKEHWDGPIVLKGIQSIEDAELAVKAGVQALSF LTTGVA VE01_10061 MSVSNPDDSQIGMETPSHPPAAVGGADSDSSGRPQKQSTEPIES APSSAAIPLDSSDASNTVAKAHTNPPPPQITAPPASSSSPPPKDAASAAAPYGTRSRN RAGVSRPNYAEDKEMDMEFEIQPPVKEDDARKSARSLDARPNVLESAASGATGRKTTG TVPDPNSAAQLVPKDHIPGTSTFSANIPGANPTQPSKKRKATTNPTSSIPNGNHTTGN MGMATATGKGTLPQNSQAYKESFMLSFDNCGARLQNGKLIADDGTTLGINDHVYLVCE PPGEPYYLGRIMEFLHMSNDSTKPVDAVRMNWYYRPKDIGRKVNDTRQVFASMHSDIS PLTALRGLCQIKHKTEVENMDEYRRTQNCFWYEKLFDRYIHRYYEVIPTRQVVNVPAK VKTVLDDRWKFILVESGRGKELTSAVKSCKRCNGFCASNNSVDCAVCHQTYHMNCVRP PLLKKPSRGFAWACGPCSRAQERKLEARNTPNVADTGADAEDDEMMDDEDEDLLLHGT ERSTGSSSPVGSVEDDVPIHPGTEEQIYQASLWPFRYLGVHCKVEDALDYDDRIYPRA SSRLGPRHQANVPTWPGRPIQLVKPIEVKRKYMKGGSHKKDAKLSKETIIALEAEKLA RETRPKWVMDEPHGYVHRGEDHARGSSNSTAELLFQPEATEDVAKTGDNEALIDAYLK SSEDIAKQIGVRAYSVNFLDKALSILNSASFDVDRALKELAKVERKDLKEPDLTPTEV KKFEDGVAKFGSELHSVKKHVKTVSAANIVRFYYIWKKTERGKQVWGNYAGRKGKKEA KKVEVNAGKLQDDVADDQDDSAFDNEKAKEKKRGFQCKFCSTRNSRQWKRAPGTPAGT TVAADPTNKVVGKDNNTQLTVALCLSCAVIWRRYAVQWEDTDDHGKKASQGGRSNKRK AEDPLREFHLSDLSWTANNTDTANTPSNGTPAPQAASLVAAVQEAPRKKPKSNSERDQ TDSAPHDPGSGPALAQQKKKAPEKPPAPPPVPEIPKQKSLPCAICLQMEPAGQRLSCR ECRMTVHRNCYGVAADSRGSAKWTCDMCSNDRNPQVSIQYKCMLCPIESTPQDFLEPI KPSHKKKNDKDREKDRVERELAIKAAEFYRKKQEEMNRPIIPREPLKRTANNNWVHVT CAVWTPEVKFGQGKALERSEGIPSIPSARYDETFHVECSHQAGYVLGFDISPVKGSRR DHVNIVNINGESGTVRKATLVNQSTKISAVPISSSTVSNRRASTTVISSSGRGSISNI KPEDTQTTSTAEALEAPTYLKSPAKVCDTCGVDVSPKWWPYPALPVTRPGPLKQLYEH RPSNNQLDDISKDLGNAILGSNPKQQVALAAAALCERPPNNVPELFQCHKCHWNKVQK PQTSPAIPAPKVDNDAPQPRLPPSIPVSASSTLASPPVPPTHMHSGSRYPWGHSAYPP TSGYGDWSRASPASQNSNIALRQYSNGSHSPRGPPIISQHLPGQTQARQPGAIIPRSP HVNGSLPLVANSSYPSSPHKSAAGIHPIQHGVYAPYAPSPPQHLTNGGPPPRALEISF PHGTHSPYRQAFGGPHESPQARRDGPQISREPINHNGNNAPSRRVNGGASASPSLQNL LS VE01_10062 MAPKVAIVFYSMYGHIHKLALAEQEGLKKAGINADLYQVPETLP QEVLTKMYAPAKPDVPVITPEILETYDAFLLGIPTRYGNFPAQWKAFWDATGKLWATG GLWGKYAGVFVSTAGPGGGQETTAISSLSTLTHHGIIYVPLGYKPAFAQISNLEEVHG GSPWGAGTYAASDGSRQPTALELELATIQGESFGATLNKINF VE01_10063 MRKFENCVLLTRVGGFYELYFEHAEEFGPLLNLKVAQKKTNAGP VSMAGFPFFQLDRFLKVLVQDLNRYVAIAEEFANDTSTKVKSGGLLHDRKVARIVTPG TLIDENFIDPLSNNYVLAIQRGRSVSQTERKDVDELVGLAWLDLSTGNFYTQSTTLAL LSSAISRIGPREIVLEKDQENSATDGLLAVLSDDRHVITYCSVEERKPMASWGLMLES KMPADIVASFASEEVDAGSLLLEYVGTRLQGLSLKLQPPIQYRSQEIMAIDRNSLRGL EIMENYRDGNYVGSLLHALRKTVTKSGSRLLKEWLGAPSTSLQVINDRLDLVAHILGD TALRERLMTLLRRCHDSQRLVQKFALGRGNADDLLALSTTISATQELASTIRATLQEG PGFPESSPPESLSRLLSRIELDGPLALASKIRNAIDEEGVVQQHRIEDEEAGTIMEMA EQIATSEGSTDDIAAIKRGRGKKTTKSIREHYSEDTQVWIMKPLASASLKRLHADLLS LDEDKAKLHDNLQRELDATTLALRWTPGLGHICHVKGKDAKRMAEMRSVSSSKSTKSF HHPEWTDLGRRIDQVRLQIRAEEQRVFQKLREEVIKILVKLRRNATVLDELDVACSFA THANDQGLVRPVLNNTTAHKVMGGRHPTVQVGLQQQGRSFVTNDCLVGDEDRVWIITG PNMGGKSTFLRQNALITIMAQVGSFVPAEYAEIGIVDQIFSRVGSADDLYRNQSTFMV EMMETATILKHATERSFVIMDEIGRGTTPEDGIAVAFACLHHLYHVNKCRTLFATHFH AVGDMSRHMENVGYWCTDVAEDQNGGFSYMHKLKRGVNRKSHSLKVARLAGLPEAAID IAQKVLDGEPRK VE01_10064 MGGKTANKAGYFDKLKGLLEEYRSIFIVSVDNVSSQQMHEIRQS LRGEGVVLMGKNTMVRRAIKGFVGDSPEWERLLPFVKGNIGFVFTNADLKDIRDKILS NKVAAPARAGAVAPDDVFVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITADLKLVE AGTKVGASEATLLNMLNISPFTYGMGIAQVYDNGNTFPPHVLDISEEQLLKAFSSAIT TIACVSLAVNFPTLPSVMHSVVNSYKNVLAVAVSTEYSWTEIEELKERIANPEKFASA TVATTSDAPKAAAAEEKKEESEAEESGDEGFGGLFD VE01_10065 MSHNFEPIQNDLILRAARGEEVERAPAWVMRQAGRYLPEYHEAK AGNDFFECCRSPDIASTLTLQPIERFAGLIDAAIIFSDILVIPQAMGMTVEMIDKKGP HFPEPLTSPTDGQYERVMKKEVDVAKELGYVYEAITMTRHKLKGRVPLIGFCGAPWTL LCYMVEGGGSKLFIETKTWVFRHSQASKDLLQKIAEVCVEHLALQVKAGAQMVQVFDS WAAELSPASFKQFSQPYLAYISANLPKRLAEMQLEPVPMIVFAKGAWHALDALCDLGY DVVGLDWLQDPAAAVKIRGDRSVVFQGNADPGILYGTKDAITGAVTEMVDGFYGGGKK GWIANLGHGITPKVNPDDLKFYFEEIRRLTAS VE01_10066 MPSFEALETPWDVEAVRPPAHDFAALWGFRGAKENNIWQWEAPH KPPERAATDQLPSWLMDLDQSRANNPNQNHLESNDGLVPVLQSNATDDLEWFRDRIRA KTTPIDFSDICGTFCQTFKQSLSLGQVSAAVLAGSIREVPRLIRDNTASKDIEHALCI SFYQATWRGIMACKVLRPAEIEPEVLRLLLTNLPRLPVHMSAALISDILRSITDKQKH ELKKEIYAIGASLFSPDTSASHPEEISQSTETAKAKYSPPRKMFLNIYVLKDIVGALM ETFGLYAPEKQAREEICHLVQLSTAYASRVIFSGSGRSTEVLVREGEDLRTTLLKLIA RGPFASEALL VE01_10067 MYAAYQCVEVIGEFVIAASAHTLQTFNLFDGSQISSWACPDQLN KTALATQSEPPNDEDGLQGSGALSIEATGSDSNQPAKRRKLSDPSNEESSSVGRAPKG ENPRACSQRTTTKTSIYPNIVALTSSNDGKYVIIVTGEDKTIRVLRHDGGHLQQISER SMPKRPCAVTLSPSGSAIICADKFGDVYSLPLLMDLPEGQDATTAPLVEDENLSEKPK QFVSSANDLTVHSARNRRALQNQLKQNLAKADKVEANVGQQLLLGHVSMLTDIALVEE CGRNYIITADRDEHIRVSRGIPQSHIIEAYCLGHSEFVSRLCLPKSANRLLISGGGDD DLFVWDWLSGDLLQKVNISSHVELVLRGSSGEGLGVGIGANDDSHSPKVIVSGIKHLQ QEPNSNSGSVVVTCEGVPALFFFELSPAGNLSHYQTLPLNGNPLAMATIVQNNTLIVS VDLWHCPGSVVQLRDVETIGAEVPLKVIKSDGKDWNTVASHFGKVDITGVDHQTMPAA NVDWWNVLYNLGNLRKRAGWE VE01_10068 MAFSFGNASQTASGSGQAQIGPDLLDIQTEALGFLAIAGEAKLQ LLPSPWPSTNLPPPTSSLMSIAPKKGLVAAAGPDCVILATTESIRKAYEGPRIGESHT RPFHAQLTLPMPMRLSQVTFTADETYLVLSAEVGGGLAVYEVQNLMNGSQASSFEMST NSAPLRAAVPNPTPEKGELLAIVTMDGKLMVANLKERNFISGATGQILKEGVSCISWS VKGKQLVAGLIDGSAFQMTPEGVAKAQIPRPPGVDPGYHVSSITWLENDVFMMVHNPS NIDANNAPTSVFHLVTRLPKSTEHIFQKLADPAPNFGLNRSPPYHFLLRLRDFPPNLR DLIIVSSTASTDIGLFTRSAVPLVSDKPADKVTDVFTMTEMSDDSRRAQLPVNEAMED TSPIGAILDLSSRESVPRPIPSDEMDESRTPLPALLVLNNEGVLASWWVVYSESVRQG TSYPGLVAAAASQPGGAMQPLSSSQNAPSVPGFNQTFGSPSNVGSAFGATNKPASSFG SGTAFGGQPNKPSGWPSTSSGPVATTGPAFGAPSFGTPATPAFGGPKFGTPTFGNAAS PASGGVAFGNSALPGSRPSPWSAAASGAPATTFGQPSGLGVAGTTGLGTAKPPGSVFG SGGGLAAPATGGFASFASNGGFAAVGTSQKDQGNIFATKSESTSFSLSPKTVDNTSSF GFNGGTPAAPLRGTFGSQGFTLGSTFKPDSSRKDDGQGTGKAGSGPFFGGGFGKALGE AQVSPIVSSPEATMDDTTDLGQEPTVAPKDTVTEPIIKTDEGLLGTGPAITAPKFQFP TSQPKAIGPGGPAPKPTNTTAPNVQIPSSTSFSFGPPVGSTESKDTASHTRESAPVSE SIPVSKPRLPSSNDVSQNLPNVTKAERPSAVASQESQRVSDAHVPAKSQLDAPLPPDF INLPKPPATQTLNPDKPQQSKSLPFQQADLTPPLHVPFSPGEGPGSDYSDVGDQSYSD DEEKSDEDSANEIANADGNTPGLTPESSFDKPNYANRGNAAFATGPLSGHQPPSRSLF GEIGNRNTPVLAPPKPESPRSPSPIRSSVPTRLLGRPDASRSVSAPIAASQILGARAA PISSNAFGKAGEYGEEEARRRTEASKKKEAEESQALVDIEDDRMQDFLSRDVEGTTRL DEFVAHQDYVGGADKDSIPFQVEAVYRDINSMIDTLGVNSHTVRSFTKGHTEHYKDAG RERADLEGDETWCLGEIENLSSIIEKDLLRELQQGCIKDVDSKLQLCSNFVKELVKLR TRTEDALIVITSSRDQDHININRSQPLTAEQIAQQHDLRKDLAHFQKLLGDAEEQLIM LRANISSFTGSGGKSGPGPTTEAVMRTIVKMTAMAEKRSGDVDVIENQMRRLRFSSVT SNDRNSPEGSPFASPTKSAMRHNTTFAKSGRPYTPDGTQKGSRALHMSLSSSTRSQNQ STPPRKKLEGFTEEDKSTIKCSFSRKREVTDKLKLALERSKTKDQVGSLESLLGKGPQ QPPSAATLPHIKGNPADIKDEDLPQAEDEKDLEPTPLAVVDAVYEDDADDDLLDLGIQ IGRMRITERIGGFFRPRLAQELAYSLYDTSKPPLSPDNSNTTRSGVQTYQYLMPSPAY IAPASGFVFGHADSGSSLIDYLPTRLAADRLVKQYFTVVHPVAQLLHYPSFEKEYENF WDDISLGIEPPTSLQAIVFAAMFSGAVSMSEMVILRDFGVPKDRLIDNFKSGTETALS KSHFLRTTKVETLQAFVMYLTPLCRAETSRAHSVLVGAAIRMAECMGLHRDGETYGLN PIETQVRRLIWYQLCFLDIRTCEAQGPRPSIRRGDFDTRLPINVNDVDLHANGKPVTG VDRWVDATFTTMRFEVNEMMRLIWSERPRIESRKSTLTNLLGKIEAFRQHLAEKYDHL IDERVPFQRFAKMVKNLLLGRLTVMVLHPYHNSVQNMMPPRLHKMLIAAATNTVENAM WIDSVPDVHPWAWYGGAFNQYHSAFLLLLDSHFFSHGENLDRIWHCLDYVFETDPSEP REVKARKVLCELQEKTTVYQQFRKMRASPSMLKHVGQRPPRRVDRNINSGASSVSAQH GDAGGTKPSLIGKVPVPDVVFAGVSNGEALWALPNYGSPAGSSDSGGVPGQTNPVQME NTKMEYSMPEIDWDAFDILFPPNESGEMMQQAYDNVDKVSPTCTEAELKKAYKVGALK HHPDKNAHNPDAADKFKDLSHAYEILSDPQKRQIYDQYGEEGLEGGGGGGGMNAEDLF SQFFGGGGGVFGGGGGMFGGGMGQRGPPKARTIHHVHKVSLEDIYRGKVSKLALQKSV ICSKCDGRGGKEGAVKKCTGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGEIIREKD KCKQCNGKKTVVERKVLHVHVDRGVQSGHKIEFRGEGDQTPGVQPGDVVFEIEQKPHA RFQRKGDDIFYSAEIDLVTALAGGNIFVEHLDERWLSVDILPGEVINPGSVKMVRGQG MPSHRHHDFGNLYIQFDVKFPEKNWTANPAEFDALKSILPPTVQPILPPAETMTEAVD LEDVDASQQARAAGHGMMDDEDEDGHPAGAERVQCASQ VE01_10069 MPGFDFSNHTRNAALHARGVPLPKATSTGTTIVGCIFEGGVVIA ADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTSLISSQLELHSLSTGRK PRVVTCMTMLKQHLFQYQGHIGAYLVVAGVDPTGVGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKSKLTEEEAIELASNAIQAGIFNDLGSGSNVDVAVIKKDMTTLKRGY IKPNERSKKQKSYVFKRGTTAVLNEKITTKEDISRYVTVHELESADSAIAEKMDLDT VE01_10070 MAKDKKSKSDGKKAKLEEKKKKQVKKGQKKEKAKNAKANESDAE DIDLDAVLAEYTRQQEQFHKVSETACEPPRSRAAATIIPSPANTNELFLFGGEYFNGA LATFFNDLHVYLTDRDEWRIVTSLNTPLPRSGHAWSRGGNAGGVYLFGGEFSSPKQAT FYHYNDFYRLEPSTREWTRLESPKGKGPPARSGHRMTFYKNYIILFGGFQDTSQQTKY LADLWLYDTQSFTWHNPVLPPASQKPDARSSFSFLPHENGAVLYGGYSRVKATVTGKQ TKGGGQVQRNVLKPLVHTDSWLLRVVPPAAGSAPKTPPSVRWERRKKPANTPNPPRAG ATMAYHQGRGIAFGGVHDVEESEEGIDSEFFNTLYAWNIQRNRYFPLTLRKPRAAKKV KVAERGTRRGRGQANEEELLRNLAAIEAGKPYEDADDKEWDLPIEEKEGSDKVVKENA SEFPHPRFNAQLAVQNDVLYIYGGTFEKGDREYTFDEMYAVDLGKLDGVKEIFRREPE NWQGSEDGDSSEDEDGSDDDDEYDEDGESADEDADTTAQEQKPLHSESKRKSNRATAD DDANSAPVEPPVEEEVETSAPVVDDPRPHPRPFESRREFFVRTSIEWQELLMESLAKR GLQIEGFSAKEIKTKAFEMSEEKWWDSREEITAMEEEQDAAGIGEVVTLADRGDAAAT GAARRR VE01_10071 MAARLIESRSHIVPGKLKITELFFDVPLNYSSSSSETIRLFGRS VTKHEPTIATLSDEELRKKSQKPWFVYLQGGPGFGCSAPQSMAVTNLVLEQGYQMLYL DQRGTGLSSTITAATLATKGDSHQQADYLKAFRADSIVQDCEAVRKNLTADYPPELKK WSIFGQSFGGFCAVTYLSQFPQGLREVFTSGGIPPVGKSPEEVYKATFAQVIKRNQAY YQKFPEDIEAVHDLAIYIKSQNGLSLPGGGTLTVRRFLMLGLLLGGHGGVDTLHGIIM RMRSDLSQFTFFTRPTLSIVESLVGFDDNIIYAILHESIYCEGRASDWAAYRVGQSLA EYHWMFSTPSSPATVRQNPLHFSGEMIYPFTFETYPELAKLKDVAQLIADYDGWPVLY DEWQLARNEVPVYAATFVDDMYVDFGLVQETVAKIKNCKQFITNAVYHDAIRSKTEEV VKALFALRNDHID VE01_10072 MESIPNSRATSAHVARLDRTLKDLQKKVKEQEEALKKLRAAGKP IQEQPDSNKDIQLLQISKIKSTFDALTPVEPYAPLPDSPLPSLLALRTTHSTTNEAKS ALVITKNDLSHVEDLLRKETADLEDGRLVENALQTRISALETAIEKHVQKPKAQVAKD MMRGLKNKKARYDMGTVMLVKSFNEFIDDHLAVMLAAEELGGPVVGELLDIDEANLEA GFNAQGKARKPKDPLSEVGRQKRIDEIWKPQPERERRAQEPWNETTAAAAEMREVTEQ LLNNLVEADGGMNGGYVELDRESAAARFLVRSRVAQFHPKDARRLRLIDFGKDLVS VE01_10073 MASTSGTYRPSNHHGLKEDGTPDKRMHSSDTTYKPSHHNGLKED GTPDKRMNTGQFAHGKVDPHQAGQVGGHAGTTSTAAGGDVSSNSGKYKPVEHGGLKAD GGKDKRVGTHEFAHGKVDPHQAGHEGGSK VE01_10074 MSASPPTPIQSAKRPLEDPSSPSGPTDQPDAKRPALDKVTKEEI DETAGQKALEDEAGDAKVEGGDSTNGVQTESKDTQGDVVVSDAPDGTRTVEGSIPSTG DQGSSGNALQHDESSWIHIRAVISSAEAATVIGKGGENVTLIRKMSGAKCTVSDYQKG AVERILTVSGVVDAAAKAFGLIIRTLNGEPLDQPSTPQSRTYPLRLLVPHVLIGSIIG KGGVRIREIQEQSGARLNASDACLPLSTERSVVVLGVADAVHIATYYVASTLLEQLTE RFGGPTASAYATRSGGPAGVIPGGMQVVPYVPQPAGGNYGHPDNVRHNDIRSRQTPAA QYGQPYAQGPGPQQAGASMHYGGSPAAGYGVAPQQPQQVGHGGPASHAGPPAQPMQGV VPGQPLTQQIYIPNDMVGAIIGKGGAKINEIRQLSGSVIKINEPQDNSNERLVTITGT AECNQMALYMLYSRLESEKHRI VE01_10075 MPLGIERINARRQQPNEHINFIKPLDGPDKEFSEDFLERIAASC KPIMRSNHLAVMSLEEHKCNPEFLGRNFNAGEVIQLVLKAPSGHWLPFKFVQMVMMHE LAHCKQMNHSKAFWKVKDQYSTELKALWQKGYTGDGMWSRGRTLLTGQYDQASLGSEE SLPEHLCGGTFRSSGKRKRTAKPKLSYKERKERTIAKKFGVNGVALGADEETKAKLEK GKKTASNPRVAGSNRGRELRAMAALSRFTPTTENVKAEDELVQSGSETESDWEAGLSD PEEAVGSDGKKLLDGKGRTLIRVCDDEDPDEINVKVEMSELRKFGVAEDSKKTNKPLV PVRIEAATKSSMSQTPTEGTHRSTNLSQERRAKPSIGVSTDTASRTTACPVCSAEHSV GSLTCMVCANVLDPKMVLDVWKCQSEVCRGGLYTNAGDYGVCGLCGEKPRDSGRPRTI YFPEIL VE01_10076 MSYQYPPPPSSGADYKLSPRSIGTFQIPPTIPPELSQQVLDSNL FSQELDQHAPDQGGKVKRSSSTSNIHDQAIADAALAASAEKRRNKLGYHRTSVACGHC RRRKIRCILAPGDLQNRCANCIRLKKECNFYPVDQPPQQPESKGQRGAPGGRGVGGAS SSSSPTDQMGRNSETQNGLSYPNNMAMPPYLGSSDLKRLKDDGFPPENRGLVFGAQNE YQPNSAAWMQSKPPPSSRPVADASGYWRVNSQESLAPAFPMYSQPLNQPQHQNWSPGA VEPGPREELNWVVPQRSVSFGHLENMPQAPYMHGIPSGDPNSIAVSGPTIGSSHISDP RQQPPSSAPQPWSVPQYGYMKTSAAPTAEGFNSWFPNNSNMPLNPQGGGDNMPYDSVY YNDTAQAGRPQ VE01_10077 MFHPHPEDTYHLRLHRARSVILTTQELVEIRAAQRTFEGAYIRT AVSQFTFALVVLKIFTAEFYSVGALFAIYGMAVVLVSAYRRWVGNRQFFSEIGDDGVN KKKFRTSGEVVVILTALSMGAYASLLALTLRLHP VE01_10078 MADFHFGGTEEESAEITKLNNEVLEDTDNFENWEKLVRAAESLE GGLNRNSSPQAITTVRDVYDRFLLKFPLLFGYWKKYADLEFLIAGTEAAEMVFERGVA SITNSVDLWTDYCSFKVETSHVPDVTRELFERGASCVGLDFLAHPFWDKYLEFEDRWE AHDKIFAILSRVVHIPMHQYARYFEKFRQLAHTRPVSELLPADVLAKYSEEIMTEASN YPSAPKGELEVERELRAKIDNDHMAIFTNTQTETTKRWTYESEVKRPYFHVTELDVHQ LVNWRKYLDFEEAEGNYVRAQFLYERCLVTCAFYDEFWFRYARWMLAQDGKEEEVRNI YQRASTLYVPISRPGIRLQYAYFEESAGRPDVSQEIHEAILMRLPGNVETIVSWANLQ RRQSGLEAAIEVYKNQIDSPSIDIHSKAALVVDWAFLLWKINGSVTDARQVFETNAQW YPSSRQFWEKYLQFELEQPTSTASESEQYTRIKQVFDDIRRKARLTTATVKDLSHYYM EYLQQRGTRDAMKEFLQVDREINGPVSVRSSGDGGKPAVQENDQDSQDPKGDASYSKY YRLPELVASSQATAQLAPFH VE01_10079 MSKTIQISSPAQFAGLLKSSAVVVADFYADWCGPCKQVAPIYEK LSESLSQPNRVTFVKINTDTQKEVAAQYNVSALPTFMVFKQGKPVEKVQGADVQKLQR VVRDLANMATDASGPSNSGSGSSWRKIELPKGYGDVTDQVEIKGLELLNSDGEFGTVR TLLETGKPTALNSNGKEKADTKDWVESDTDEQLMLFMPFQANLKIHSVQITSLPPANP DNDDEIPMRPKTLHFYTNKSHILGFDEAEGIPATQVVVLEEKDWDSTGTANVVLRFVK FQNVTSLVVFVVDGDGSSERVRVDRIALIGETGDKRELGKLEKIGDEQGE VE01_10080 MDETTSAEQRSATQKLLNESRRNQPGSKAGHEAHRPYNLAMENN DPLLIPSGLNSMLKNTTETGDIGIFSIKPSRLPRHPAITRTAGRTRSNGSNYRRAEPQ LPYHGPRVFSLPSTIDDRSRLPSYGRDATSEVASLYENSSQKSSESSKILEDQDRRSY SMTVSSFSGYRLANPHSSTSLSGQIDQNDAQRPRSSFQYPARLKRPGFRPSSPALTDG GAVDYRRRVEIQREPGSPNCQNVSLRPLSLRSNVNRSTPMASGQGLSPHGFNRMPVIQ RDDAPGECSNHIDTPESPSTANVGVASSSYSHLPSRTAASVPIQNKARRPSPLYYDYT EDFNEAREGDHAPEELEGISPPSFLVEKTIHEDRELSSDWSYLAMTDLKGRGFLANEQ LLSPHEGTKSLRSQPADISYVGGGKLLLQDDSESVPENAKHHRSLPESTKSPAFKHNV GITRPNQTPSQSIETSTSTEISKSRNLVRDGPLSYTEPESQPKEVVDEPTFSDSSSYA VSPRLQQLFSPPGGRIRTYTDSSLPTSSQGAAIHDIKRKPLSREVSGADKTDSDERSG NKLDPVTPTQAPQGLETRATTPILQRSVSAQNKRDKSSPYFRARPNSTSSTQPDIIPE VSMSSTQSRYFSFDAPSQNYVESSDDKSSSMPLQRYKHSFKHSRTEFPARKYRSDGSL VVPSEFTFTQASGKSNQHGNSGGYLDQAGPCNKAPPSGGHDDFGTVGVVSPIPIHPGQ QYLDPLTMNDSETQVGPTTQHFFSKFRLKTRASTVRAKASPPVTRRRDLDGIYPLDER RRDVQLTGSLRKPVNSAPKLKLKVARASVSSLGTVRINREAAVQADLGGPEVLSPEDL FTPPARFASLFRQVSRHFRPKDEYEEIKAPEATSVGELGETGLAVISSNPEQTSGAEA IMNGPYPQILPRSSAFVGIPSSPYPLVSREPSQDRRSPATSQRARQPPHDAAVIPADV QPGGIQSCFSDYGSQLEGSSHLQDNIPSLRAEQYNQHPGTRSRQASVSAPWRTGDAWD QFQVPDFSEMDDESELDPTGPSHKLKTTVGGWLEDIKAKMFSCGRARR VE01_10081 MFNFKNVAFGLIGAAAIASASDVHDLKTDTFPAFIAENPLVLAE FFAPWCGHCKALAPEYEEAATSLKEKDIKLVKVDCTEEAELCQSYGVEGYPTLKVFRG PESVAPYSGPRKADAITSYMIKQSLPAVSALDTETLEEFKTADKVVVVAYVDAEDKAS AEIFTAIAEAQRDSFLFGTTSDAALAKAEGVTAPAVVVYKKFDEGKNTYTEKFVSEDI DTFIKTSATPLVGEVGPETYAGYMEAKIPLAYIFAETPEERTELAELLKPLAEQYKGV VNFATIDAKSFGAHAGNLNLKVDSFPAFAIQEVAKNQKFPFDQEKTITLADITTFVKS FVDGKLEPSIKSEPIPEVQEGVTVVVALNYDEVVINNEKDVLLEFYAPWCGHCKSLAP KYDELAALYAADADVSSKVTIAKVDATANDVPDEIQGFPTIKLFPAGSKDAPITYSGA RTLEDLVKFVAENGKYKASVVIPEPVEEVKEAAEEAAEEAAEVVEEATEAVKSKAAEA TEAVKEAAEEHDEL VE01_10082 MAVAVDPEFPCSLVIDIPLPTERLASSALQALQVDKELSALVCR TLTLVAPTSDAKCDKTVLRTEYKATTNRMLRVAVNGFMESLSVALAMMEELDVDVLDT VE01_10083 MPSTVRSHYGQIIEYIPDRLYLASYTSTPGPDTYFPYHDKQPSR RSPSKRSSRAIDGPEPVAKDQNPPCYFSVDDTLLYNAFHHDFGPLHIGHLYRFAVQFH DILGAPENKARPVVFYSKADPRSRANAACLLACYMVLIQSWAPHLALAPIAQADPPMM PFRDAGYSQADYGITVQDVVYGVWRAKEEGFCALPQFDLEEYERYERVDQGDFNWLTP DFLAFASPQHTPVAPIAKSSPLYATLPTTLDQVDAHPTLPQPFKNVLTHFAERQIGLV VRLNSELYSPSYFTALGIEHLDMIFDDGTCPPLTTVRKFITLAHETITVKKKGIAVHC KAGLGRTGCLIGAYLIYRYGFTANEIIAFMRFMRPGMVVGPQQHWLHLNQGTFRKWWE REQFEIDMRAQIESEIKAKLAAAPSTPTKATQKFRLNSAQVATPPNGSQNRRAPLGEV DNERNSLGVQEDCLPAPTPGQPRKGSRSGNDRHHPYGRNTGSYGSSEEATEVKRETEM ISIHRQSVHGESEDEEWNVRVRTTRKSSRSPARSEARSVSQTTTTIYSAIDNDSSNDI ENIGSGRPKTPGSMKSGSGSQTIGMKVRGSPKRADSLMGDKARAGVRKASGRVGSVSL TAATRKASGL VE01_10084 MGYEDSVYLAKLAEQAERYEEMVENMKNVASEDQELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNAGQVTLIKEYRQKIEAELAKICEDILEV LDKHLIPSAQSGESKVFYHKMKGDYHRYLAEFAIGDKRKESADKSLEAYKNATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPAAEAAPAEEKTEAAAPAPTEAKADE VE01_10085 MFRQSIVKATRPATRAFATTARAMGAGDTGSVRPSGQTDAFQKR EKAQEDFAIRQREKEKLLELKKRLEKSQDHIKKLEQDIDEFTKNQGGEQK VE01_10086 MSFSFGAPGGTGGNLFGQSTTPASSGAPTTGLFGQPTAGATDNK PASGGLFGGGGAAATTKPGGFSFGATPATTAPSNLFGGGAAKPTASAPLFGSSTTTTA PSGGLFGGPTSGATAGATPAAAPAGGLFGGGFQANKQPSSFGNFSFGQSATQNPPSST PTTSSFPTPNKPETPAAPANLFGGAAKPADAPAAAPTLGSTTPAPNKPAGSMFSFPSS TTPAGTPAGGNAAAPSLFGGLNKPAAPAGGGLFGGPKPAAPAAAPASAAPAPGGLFGA AKPAAPAGGGLFGGAMAAKPPAAPATTGAAPAGTTAPAAPAAAAATPSLFGGGATAAA PKPGGLFGGAAATTSAAAPASTTAPAPAAPAAGSLFGAKPAAATTSAAAPPTAAVGAA PATGLFGAKPAATGATPSTAAPATAPAAAAAAVPGATNPNVSTAGPAPQMSRLKNRTM DEIINRWASDLSKYQKDFQEQATKVASWDRLLVENGDKIQKLYVSTFEAERATSEVEK QLTAVENMQGELEGWLDRYEKDVDELFNRNLGSSDNLQGPDQERERMYKLAEKLAGRL DDMGKDLTSMIQEINTASSSLSKAGKPDDPLQQIVKVLNGHLSQLQWIDQNAAALQAK VAAAQKESRSLGASIHGDNESEASHEMLRSYLGRR VE01_10087 MAEDDTQATLAPPSPPSQAIEDPGAHELESSDSDDHFSDARSDR AAYSRTASPIPTTRVERVDHEASYGEVPGTAAYDQRSEDARPDEVAFVEDDTPSATEP VPRPLTPIPQTVLEEVPPSPIRGHAHKPSTPKVYPVDAQPDRVIHIPDSGAASTEEEE GGSVSGTRPRSPSSPSAPESETRSRTSSVARPLSVSHALAPPAGDYDTDADGDEDEDE DAGFGDDFDDFEEGDEDAEFGDFDDGFQEAGVQPVQSLPQIASLSLPPLSFDTLDSPA EVHAATTPYLDTLFPPSPPAPTVAPPTSLFPTPRSASLWAQLSAPPPLNPPNWLRSRI RRLFLVSLGVPIDLDEILPASKQAKLVLPSTASPRTSSDARLGSVARLQGDSNGSSAS VDSSGKSKRRRGPPPAPALDLGAARRLCSTTDEALAGLSDRELREHVEVLEGLRGAAE GVLEYWTRRTDEKLGDREAFEGVIENLVQHARKVRK VE01_10088 MSFPSFDPSQGQPAPFTENGNTGLTQGQPPIQNMQQNMPSMQQT PQGSDTQAPFQGQGAMEQGQGGSTGAPDSKTTLWMGELEPWIDENFVRSVWFGMGEQV NVKMIRDKFSGNAGYCFIDFTTPEAAAKALSLNGSMIPNTSRPFKLNWASGGGLADRR DDRGPEFSIFVGDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSD EGDQQRALTEMQGVYCGNRPMRISTATPKNKSGGGGPPGAMGGMPGAPMGGNMAPGMY SMGAPPIGYYGAPQPMNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPP GKGCGFVQFVQRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGTPYRPAPPPPQ YQGMGMPPSHPYGNFAPLK VE01_10089 MTLLHCLRSSLPDPSSTSNIPLIALTSLTTLSLLHLTRLALSAP ALTTRGPTSTLLPHLSQAAREGLEYPPDILPGGRDVDTPYGSIRVHEWGPETGPKILM VHGITTPCLALGGLAHGLVEKGYRVMLFDLFGRGYSDTPTSLPHDLRLYTTQLLLVLS SSSLPWCVPGGFTLLGYSLGGGIASGFASYFPHLVSKLILLAPAGLIRPQHMSSRSTV LYCTGLIPESWLVWLCERRLLAGPMYAKENKAPASVLDAELPGQEGVPNPDFTPLSLT RPYLTVPEAVQWQLRHHPGFVPAFLSCIRYAPITGQQEIWERLRRGRTDEVLLVVGTE DPIVLPDEVREDAEKLLGEGRVRYVEVGAAHDFVITDVGEVVEAVVEFLEGGKGKVE VE01_10090 MDLFGVHDLPTSKSASAGWAYIPDTLSSAAAPTSRKRARHTASA HDQSARQDAKVARDLAALEREGGGREVSIPVVPRGRDGAGRATHGKVTPAVRKILQSQ KTFANHLSDAEALAALAPPSSTTAAPSTTAAATSTPTPAAASPAPTAAQSKRGYKRRS LAAGAAATPTPPPMKIETPQPDTVMADADADAGGLLLSTAPNFTPHPGDSDPLLRSRI PSMPTKEEVESLLAERPRGYLEAKAGFEGTAGGGAARVKGRRFCEVCGYWGRVRCMAC GTRCCALECLGVHREECFGGYGA VE01_10091 MASRAFTPAALRRVANTAVAPRCARAFQTTARRAEEGSVLPVRK PVGAFRGGLFGFFLGSTLTGAGVYYYVLEEYRVANELLTEDIYSLQAAVQRVHAYVET LEEKLDNAERRK VE01_10092 MMDTTTSWESSDPTLSAASQDDFQFLDLGINGMGDEVGFNFQDY APQQQQNQQQQQQQQQPQQQQQQQQQQHAQIMRQRGVDAMGSAMGNEAAMMDLQKHGM MQNHMPITTSAAMSAMTQPSPVITQNAAAPDSSLVEIDAQIQYLQLQRQQQQQRIVQE QHQNYYVPHNNRGVPPTPNSIEMHSGERQLYQQQHDPQQQAMFDSYQMRLREQELAFT PLVSPAVTPLETHFSLPEYTIPGAYFSPLSSPALHAHTEYSSMYSRAEVSASSPSDMN IDLLPPPANSTGGPGRKHSIGAGRKPAKPRAPRAVRQSPVVKAQRRKMTSTSISAETL SELVEPALAAKRSSTNSPSQPQPRDSSSENNSISPEHLSDMAPPPLPSSNSVRKSPYL TAQNSHPRVQQIISGTAAMSPATPASLMRIPRNKETMASPSLSVHAEQDDDQLMEDFV LPEAANPHAEPPKVATLENNVAAAASLPNPGSRTPSFKPLNDRDTSSSTSTITNNNPT PSPQIKPQPPTPNPTSTPSLRKTPKLAARGSAPRPPSVHASPALLPRISPSLKPMTRG PSISDDTASLLLATKSNYQNILEGTHLPGVSYPTELSTNLTSKRTSHKIAEQGRRNRI NSALQEIATLLPGSAAAGSGSGDAENGDGGEGGGGKNQQQSSSSKASTVEHAIEYIKA LRGEVEEATRRAERAEKALAEQQAAAGAMET VE01_10093 MSMSLPVPAVPLGGCSTIYNNTLYSYSADAFQALPLDKGASWEQ LPGGVSVTGGVCVQTTSHNASSPAALYIVGGSANASETSYQGLQRYSFEDSTWESISL SSAVTQNRLYHNAIYLNASSSIFIFSGNQDGTKQPSSESFTISTMAPYEVRSFPSFAP PSIEPMLLTWSDSQAAVLGGSDSNTRVMLFKQTTDPLLAWEDSKITLDAPLKTDGSVK AAIVDGDDKSKSLYTFDMTVSPNSVNRMLLVDQNGNPMTNVKAVKSRSSNEVRDITER ATLTATDWPPYNSSLAPKATRTKYSIAQDADDRIVISGGNEEDVLCMFKARENSWVNA TLLLSKAQVPIGITNTPTSVSTEAPAASSTAAVAAEASSQLSRRLLGIIIGSVAGFVL IVLAIFFCIRRRRKQRVFAEAGHQRRASGIPDEKDPMDFADRGIRFDPTKRYQGHAPQ TSTASFSSMAILMGKVSSTQQPALGRRGGSNSSNASSNFNKNYKNTISKPIPQARAVP ETYARTERPPVVGGSNAGPTPRPRGSGVNRQGSTRRSSGWNRYWSGGSALNVLGFGNK GASTYGSQSDQDSMYSETAHPTRGTQQSAMVPPLNFGSQKGRLSQVASGSPTIAHQPR NSPLEQGMSGKIERPHSAVSSVSSYGDLRDAYPGVASSINEEHQTWTPFGGESGWNQG ERVASSAYTESQYGTPRATMVEGRSGHQGPNQQSTDMSWLNLGDTRH VE01_10094 MPMLSPILLSCLLVASLYMMFTWHSAESALSNPWVPPPEIDLFV QRIKGNDSELASYASALITATPLRTSGRHILDADDKPIKLASVNWYGGSDELFVPSGL DIQHRSAIARTIRKLGFNSVRLPYSDEMVVTNPRIPPGLLAANPDLVNLRALDVFEAV INALTEEGLAVVVNNHITHATWCCGANPCDGLWYNTHLPASACRIHQSESGWVDNWVT VMGPHVDNPLVIAADLRNEVRALWGTMSWERWARAAERAGNRLLGMRSDWLIVVGGLG SQNFLNEVRDRPVKLVVPGRVVYSSHVYSWSGWGSRDGRYAKRPYASFVKSMRENWGY LVEGNIAPVWVGEFGAPAKPGEGDARYWGHLMRYLKKIDADFAYWAINPRKPRDDDEE TYSLVEDDWETPVLDYRMKDMLELMEKKHKKM VE01_10095 MGPRSGFEEITVKPLGHQSGRDRYPRKYVEDNDIEIPRCAAWGM NLTALSQMYIVAYGPQIHIYKPTGPQQLLTTEPLLILDLPSTPEADALRDKDAYSTHS INHLIVGNLGTREIILCACADGDVLAYYTTPVHRVTLTRPSTPPSTPPSTTPPLAPPQ PTPFFHENVSISAWGLAIHSQSRLVAVSSNKHEVQVFAFALSSAPPSPSRPPSPSLCR PLSNFPLISPLPPSPPSRCDNFRLCLRLGTRGDNIPSIAFTSTPQGDADSIVASDIRG ALWFLSLWDWGVQTRIPTSPGGVNWRREPQGWGVLVLDDKFGRGAKEVEEAFGCTPGD REGEWDISRSIAEIRGTRVGARETPGEYPGGFFAWGYGAGGGLDVADVEGIDLGLYWG DLLDVDNMDDEEFDGDEDMGDDLDGGDDDDDDEEESDDSATNSPAAHLPVPVPPHHLI LHLNRHALTLYPPTGRATFCSPLLRQDVSHAPAQFAHPMEFYNRLNMAVPVPGISCVV IGSQVGRVAIMRIMKGGVREDRGVREGNGDKNGNGGNSEKGGKKGKGGKKGENGESIP ATTMRIETILPLATQEGRNRERPATGLHGVAVSPMPEWLPGMEGSPIGRGNGGSARRR RGVKRWRVMMQYWDLSVLSYVISDERALGAEDL VE01_10096 MHLSNFPVACLLSAIALAVPTTSLSSPTQVDSAPNPIGTLYPTD VTGTINGTIAVVPIPYSLARELIPSQYGILKKAYKSALPGFPHDMYPLIVRSILDHDV GLNGTQLIPDFQSVHIFYPFVDLLGDGYSSFAYGKYLILTGTNTVAIGGSEAYGQIAI PATFKPENNSYAFSHPSRGGQEIYLNAYTNESDSAVVTTKFKPLPSVGPWPLEFYVNV TNQPIFADGVKCDQQITFFNTTLSTGVNEPVGIIGDISISAPYLPSDSTFRNVFGLKL DVAFLENNLLECPSLKGL VE01_10097 MKLTAVILAGLTNLAVASDHRDLSGDVWASSLTKRQTSWAKRQT TWNPPSNLVKPLQEVWDHESSTYNNGNLLGFKNYGYDIIKASGGKLNFCVRWDSSATV TEAQRTAIASSLQKNVKKWTDNLTGFMGWPYDNIPVNVVGWAAKNTNLLQGSTSGIDV YTTTDSEGVAECDPRCGRIFHQDNNYGSCPGGADRHYDVSLWLTAGMDGGAGGDWGQR VGSEYFLSALDNPHIWLHEFGHTMGLDDFYDWTPTGQTNFIMLAGSSQVVTEFDIWMM RDFWRHVANR VE01_10098 MSYTGIGPPVPFNGTEGDGGDSTTTNLNQWYQSGDQAFILVACC MVLLMIPGLGFLYSGLARRKSALSMIWACMGSFSVIVFQWYFWGYSLAFSQSATNGFI GDLRHFGLMKTLGAPSPGSPLIPELLFSFYQMMFCAVTAAIVMGAVAERGRLIPAMVF TFWWATLVYCPITCWIWNSNGWAFKYGVLDYAGGGPVEIASGCSALAYSMVLGKRQEK MMLNFRPHNVSLITLGTVLLWFGWLGFNGGSAFGANLRAVMACWNSCLTAMVAAATWC LLDFRLARKWSMVGWCSGCISGLVAATPASGFIPLWASVLLGVVTGVVANFATKIKFW IQIDDSMDVFAEHGIAGVVGLLFNALFATPTIIGLDGVNTGVLTGGWLVHNYRQLYIQ VAYILACGTYAFLMSAILAYTINFVPGLKLRADEAAELLGMDDDQLGEFAYDYVEVRR DYLAWTPAKEGMSQVEERIEPSDLHGIREHGEMASLSGMGMDGSSRRGSEGGGVGGMT RGSASSGVGTQGTLVGGRLGGVEEGVAVGAGGEMSEKVDLGNGTESERSGSREGEVEG RRSG VE01_10099 MSSQRLSGKVAIVTGAGFGFGAGITEKFVAEGAKVVLVDINAAN GESVAAAQPQGSAVFLQGDVTSEADWCKALDLAISSFGRLDVVVNNAGIVNKAIVQEE FDRIFRVNIKGLYQSARVISPFLQKQGQGGVFVNISSISALRPRPNLVWYAASKGAAS AATKGLAAELAKDNIRCNAICPVAGETAMVPLFLGKPDTPENRKQILSGIPLGRFATP ADIANAAAFLASDEAAFITGIELPVDGGRSLN VE01_10100 MADARTRGEASGSRRKKRDSPSKQQDRRDAARSDSYQTQTQPQP IYSNTRAAPIYAEPEEDMNLRGTRGPRRYSSDNVSSSSSSYIDISRRSPTQRRSAVGF FRSFFAAPDRRRRVKKQRSRASMKLGNSSSSSVDSNLAYGTGYVKKRKERYVSPYGSR RERETGGVYAPAGPAQGKKRKEKDVETEILEVGAGLAALARKQNRMDLIEARKQGIVH IRREDSGRHGLGVKGLESSRGLGSSKPSHGADEDGWESASDDESDSSSSVDLGLAYGG ENTWNWGRPRPPMPVKRKSTIVDPKLFGPQNSLNGVLSRPVGFGEVDINAPGGFMPEP SSFAARDTGYVSPQQPPPAPSLRGKDDGYIPTAAPARRGSAQSSGSLQHVFPMPTDDP LNFDAGRRSVASVQDQPIYNVSRTDSLPLRQPKPFTPVSQTIYEQSSIPAHVDSTPRK RDSGSKRDSGSKRDSGGYATFAGAGLAGVALAGMAANRLEKRRTEDDYERAKRRERRS KEVHEPEDDRERRRESRRESERYEKSDRPRDSRRDDEQRDYRPDERRERRRGEEREER EELPTSRQLVSATRPDPFQYQVADDAFEIADRPHKSRQAAADGPSARALEKAPERALE SAPELPMDTLALGSTIERGHTPAIVTVERVPRFARGGARTPVVPDASAARDDGPSETR GRSREKSPEQVRVVRVVTDEPPAASSDVAAAEDSSPTKREFKKGKRKSYSASTERERD LIQEDADRVYRETIAANKAAAKEPAPRPVTPENNDEDKEKGPYDSPNADFELDHVMHP KDMPTFSPVVLRAYPVSQSREIEGPFDPAMMGLSRPLLNLVYPTPSPEAQKLREVKAE AERTRSPETNDREVSDRDIVTVSPSESKGVKWGEDEVKSFVPVTPYGEAADPIHNAAA PEPAKKKSSRWGDIRGAFIARAAEIKAEEAAEEKARAAEAPASPAETFEYRGITVEPE HANDHDASLERDLPRDDHRDPGPPPSVGPKPGADVESRGMPGAFDDDIDFAATLAAGL QDSGFDPNIVINDSSFARRSSPPGSDVETVVYHKPFSETVTDLGVYERHPSGASDGPV LEEASREIEEEFPVSKKLSKKEQKKLEKEEAKRAKDHEFGGIEAAAAAAVAAAAIAGV AHHYSGEREVAEPEQPADEADEFTPSKKLSKKEQKKLEKEAAKLAMDREFEAAEAEAA ATAAESADREVVEERVDEVEDFAPTKKLSKKEQKRLEREAAKLAQEEEDAAREREHQA MIAQIVVEPEVVGPQDEADEFAYGKKLTKKEQKKLEEEAEKLAQDKEFEDTEAANGRA AEEPEEVWGEEAREVPEFFDRGRVGLPGAPQEEIRDEFDDFDAFMPKLSKKEQKRLEK EAAAAKLAEEKELAEAEAAKLAQEKELAEAEAAKLAQEKELAEAEAAKLAQDKEFAEA EAANNHPVEEPEVQSRELQDDEFKEADEFSFTKKLSKKEQKKLEKEAAKLAQEKEFEE AEAANSRAVEEPEAWDQEHQADEFKEAEDRAVEEPEAWDQEQQEDEFKEAEEFAPKLS KKEQKRLDKEAAKLAQDKEFEEAEAANSRAVEEPEAWDQEPQEDEFKEAEEEFAFTKK LSKKEQKKLEKEQAKQARDREIELEEAGEGLHAIVEEPETSKSQGEDDKEADEFAFTK KLSKKEQKRLDKEAENLARDRELELAQTEVPSTQTPQEAEEFAEFSTSKKSKKSKKSR KSAGYPDDDEEVPATKISVPIDAFDLRHDDDAAAPVDEWDAPKKSKRKSKGGGEVFED AQESISRKGSKKDKSRGNTDSDRSQTRSVVSEPVEDDTRSVTSESKKKSRGSGFFGFF GGSSNKSDVGETEKVVKPDEAHDLGNGHSAIEGSNGISNGNGHEAHEEEDEWASTTKS KKKKKKQKNGIADDEASEQDSFLANAGTLGAGVGLVGAAAVAAALHQQYKADDADSKD DPAARSLSAESQPQNIAADAHRDPYPNDFVDPEIVERPFRVAIDPEYMDLLALPPSDP GTPDPHHSTDLPALPDSRPPSPPGTEHLAPIDRSLAAHDKNPATPRKTESRKPNRKAA SLSAVPLTFKLGNRSTPSSPGLTRPTPLASPLTPGLGRPSSLMSPLSPAMDPAGFSRT KARPTSWDNSREIKPLLLLDAARRESLLSDEERPTRPRSTEPDYGVYSRRSSLASARA SVVSPRSDDVADLPALPESREASLERVEELPSSVEEPASAVEEAPLEKMHARDDDVVS KEISLEPEVDQAKEVSGIERPHSPDLFEESHEFPELPESRPATPMDDGDLHVSDAIVQ EHGDSQQDETSREVDDLPQPTPVEPDTCNRSSHLFQPTPQTTPIKHSNTDDIILEHPT QDETGDQDGAAVQERSFLEEQGESYVARDIYAADVPIDLPTSTSDIKDEVMPTSEHVA DDLDAHFDSTARAAEVVPNVAAEEEAEPIDQWSSTPSKKAKKGTKKVKNAKKGGYQTP PAEETPEPAALPETDSAEKSLDEQPHQPTEDLSRGLPLAAAATAAAIATFDEGPGASR DLSISDEPIIPQEPEEPIEPEWALKPSKKDKKKAKKSRGDPVSTPADDTLAETTLPEA DIEQPPQAAEDFAVEPEWTAKPSKKDKKKGKKSRGGEATPPVEDTTVEYILPEAAVEE PAQPAEEFVAEPAWALKPSKKDKKKAKKGLSDQGSAPAEDNAVDAIIPEVENQLPLET TEEVQPAEDVVAEPEWALKPSKKDKKKKSKKDRGDQTPVEDNALEPIVREIKSEQSVQ PTEEVQPVEKVLPVDDFVAEPEWALKPSKKDKKKGKKGRGDQTPVEDSTVETIVREVE SEQPVQPTEEVQPAGEIQSPEEVLPIEDFVAEQASAPVDEVILETTLADADSEQPVQP AEEVQPAEDLVAEPEWALKPSKKDKKKGKKGRGDQTPAEDNAAETILPEAESEQPAED IAAEPEWALKPSKKDKKKGKKGRGGPVEETATEPSNSPESALETEPLQTLEDEAKEIS LDVPVTDLGDEPSSASEQQPQPFEDVTDVPVDVPATTLTNEPEPVSERQLQPLEDVAE DVPANIPAPAFDDEPTPAPEQELQPFEDKAKDAPTDIPIAAVDDTTIAESEEPEEPMW ALKPSKKDKKKAKKGRGAPVEDDPVAEQQLSPLEDEVKEAPVDMPIVAIEDTPIAESE EPAEPMWALKPSKKDKKKAKKSRGDLVEDTAAEVLDSPESAAPEPAAEQPPFEDAAED VLPEVPIVIPIDEPTLAMEPEEPSEPTWALKPSKKDKKKAKKGRSEPVEEDTAAEPLD APESAMEQPPLEDIAREAPVDITTAAFDEPAPAAESEEPSEPTWALKPSKKEKKKAKK GRAGFLEEDTTVETLNTPEPITEQPLQPQEDVVEDATTSDIPVATIDAEITPDEPTSD KPTGEEPIVDEPAADEPVPVAESEEPFEPTWGLKPSKKDKKKAKKSRGGQGQFEDTAI EQPLEDLAKDAPIDIPTPAPRGDEPTLDEAIPDESISAEPAAAPESAEPSDIAEPTWA LKPSKKDKKKAKKGRGGQAEDTAPEQEEQPLEEMAKDAQIDIPVAALDDEASRDEPTA DEPTPSAEPEEPSEPTWALKPSKKDKKKAKKNRGGLFEEDTASEQQPEPLEDVAKDIP VEIPLAALGAAALGVAALGDEPTIEEPVAVEPAAIEPTPATEPEEMLEPTWAPKPSKK DKKKAKKIRGGLFEEDTAAEPQGEPLEDVAKDISVETPLAALSDEPTIEEPIAVDPIA VEPTPAPESEEPTWALKPSKKDKKKAKKNRGLFQEDTAPEPQGEPLEDVAKDIPIETS LATLDDEPTAVEPTTVEPAIVEPSIVEPTIEPTVDETVPAPEPEEPFEPTWALKPSKK DKKGKRSRGVPTEDTAVEPLSAPEIQPSEASTNDAPAVLDTPTTALDDEPMAPLGEPE EQIEPEWALKPSKKDKKGKKAAHGGQTSPPTEDTIVEQPTLPEAQHSEDSPPTVDAPA ISTIEDEPIAPVEPEELAEPEWALKPSKKDKKGKKGKKAFEDQVSTLVEDTAEPSEDL TRDASLIVDAPVSALNTEPIMPAESEEQAEPEFALKPSKKDKKGKKAKKAFEDQAPTP VEDTVEPSKDLTRDASLIVDAPAEPEFALKSSKKDKKGKKGKKAQEGQALSWADEVEE AADDPAKDASLIVDAPAESEEQAETEFALKPSKKDKKGKKAKQAQEEHALSWADEVAE TADDSTKDTPPVDVAPAESEEQIEATWAPKSSKKDKKGKKGKKAFEVEDTPELSEDLT KDASLIIDAPVESETPIEPEWAPKASKKDKKGKKGKKAFEVEGTPEPSEDLTRDAPAA IDMPAVSPHDEQAAPAEFGEPMEETFALKPSKKDKKAKKARESQVSTPPVDFEYESTS QTKTVDGFEAGYKEDQLALARQLQAEFGSGAAKSKKSKKKSRGGSQPSVPSTPVEVEA AEAEYFESAPQPRSIDGLEVGYEEDQLSLAKQLQAEFGSGSKKSKKGKKSRGSQGSMP STPVEVETPEIESTESASQPRAFDGLEVGYEEDQLALAKQLQAEYASGSKKSKKGKKS RGSEVSSPSTPIEVESFESDQQRAIGGLEVGYEEDQLSLAKQLQAEFGSGSKKSKKGK KNRSSSATPKSNYETYDEEPQTFESEAATLDSHHDKPRDLDNSPIHEAVEAPRDFQDV RSVEVPETAEDNSTIEAMVGAAAALGAIGVGAVIKHAVEASEDKSAHDIVEVPKEDLQ DPRSLDAPEYSEDTTVPQVVVDAPHDLQASRSIDAAYVPDVVEAPRDLQDFESSKPEE HMATIHQQENPEALEELSHPSFSKHVTVDREIGSPSHVTSDYLSREPQWHTPSRLPVV LEEDSTDYRKSSDSPPQEYIPFGDRSIDDFNRDSAYVAESPITTSRSLAADHEYTRDS GVHLDGRSRSHTPERRSSPPRTPAPAVSDDALARLSWPPVNDTDETVDLHRPKSRKVQ EQSRLTPLSFPPPAIGLGAAGAGLAAARGYDKLSRTGSPDIRRSSADSPELRRSSGEI KRMTTPHRIETPRSVSERKTPDINRLHTPDQVRSRPVSATSSKFSSGTPPLRRSDRKT SGDLRSLSQRSQPNLDEAAREAKHSPAGPSTSTSDITNPIANEGRVRVKDMADVYDGY GEGRIGSPRSPTRPHSMRRRQSMQVIDLEARLEQLAAENRMLAEAKEQAESNLHQSQR ATSDLADRDAEIDTLKRTLDWFHKEVDRLKEINEGLTSANVTIGQQHDNRYTQLESQH AQTTRELEEERHAHGNLSEGMAVIIQGKVQEELQDKDRELNQLRNELESAREQIKEMQ RQILASKSEDASFLVVRNEDYFDDACQRLCEHVSQWILRFSKFSDMRACRLTSEISND KIIDRLDNAVLDGSDVDDYLADRVRRRDIFMSMTMTMVWEYIFTRYLFGMDREQRQKL KSLEKMLLEVGPPAAVHQWRATTLSLLARRPAFAAQRDQDTEAVVQAIFQTLSTILPP PSNLEEQIQVQLRRVVRVAVNLSIEMRTQRAQYMMLPPLQPEYDANGDLAREVAFNAA LMSEKISAGGSRGAPQVSGEEREEEGAVVQVVLFPLVVKQGDDEGVGEEEIVVCPAQV LVARPREPGSSVGMRGGRNSSRVSIPVSSAMGSEI VE01_10101 MAHYLSSMTPEEEILGELRARLYDLGEARAYAPRSSTLELYQLS LYGGTGLRITDIIVELLRNQLHATKESSRLREHWTACRDNLLKTLRTPWGTCAANTGS RKCLGGSSGCEMCRYSILSIASQLNTLTLGFAGTTFAPEGTYREVQGQENESSPPDDN RPSKSDLEAVQKELSSTKSKLLEAEENSRCLEKVQELTQEELKTLKTELEEAKKELET QKIELDNAKKGLQTLKIELENAKKKASDLRGSREQFRTAYHNMANRTNECIRVLTSDK GNSKTKKDTILTATMRKDILTVSLELYDALNKDVTSRLQALPKQHSSDEDTNRRTALE QEALGHQHGRVKTLMKMDKHKEAEEIAKRVLYDRRKHLGKDSEKTQDIFKDYCVILEK GIGEKLNAVEWEYLQVWFDDSLMDDKFRDGAGISLALLSQRRDQIDESALWYRKVATR RLARDDVNGAANAALEMVAAQKSFHLSSESVETLRKIWGKAADINLTDDVLSCGQELG QFFVSVGNYKEAKEVLLVVWKALEKRSGNEYINRCIKTALTLVSTIGHLPNDDGGDLD ELYKSIAKLAEEKGNKKISLQHQYQLGLAQLSRMETGDSEKTLKAAWEEAKAAAELGV NNVLTIQIGWSYGRAILSQPGRDADAMDVFRLLCKTALLPIGHKKDDSRPLALTVANL TIGRSYAELLIADAEACKNEEKQMATYKSAKETLRMVWNEASPRVNKILGDRNLDALT DLLWIGDSYGECLTYLRDPSKAITVLTEVFNLRSKWTTNTSDIETTSELLKEALVAQS KLKYAESAKKATDKKVVDDAAKAGQKSGGDVPHLSPSGPNRERAKSPAPPAQPAHPRP KPPKARKQSRRVGLFRYFAGIQG VE01_10102 MAASILPFDIPPSFRLLAHDFGRSLAYLLLPLSLLYLSLYFSLK GYKRVYGVLTLGALVGFATATSVAPINCPPAASLMNFGVAVGVLKSLDIFARRHHPRT YAGSAPLPPSLLALLLTTELRYESFTPNPIRVSTSPFSEPTDLALHIAAFAALQMLPQ EHAVILALQVLLTIYILWTTLQLILRYHDSPPLFGPLYQADSLGGFWAKTWHNAFASP CTSLAYHPVRRALSRIGLPIDAARAGGLVAAFALMGAFHVYALSPLIAREGLRRVWWF FVGNGVAVVFETGVWGKESSMGRGRRRGRAVLAWAFEIGFAAWVVRGCGVPEGLGGIR WGEVCEIRQGPVGIGWLGM VE01_10103 MAVQLSLPSLTPREAVADALHRCILGIDTNNRDVFESACLKGED MIITLGPATFSGWAAINELFVNVFKLITTHIASNIRVELEDGADTANLTAHVISYHVK PEDAVKVEDTSYTAGTLYDIDLVRDGGDGLWKIKRWDLKIQWTTGDKAVLHG VE01_09737 MPLPYFFRSSSPHYSPSIDTSGETDTIADTIASSSTHLTVPSSR ASVSSSTSNFDHSSCPSPYRIDTLISQPILERHYENITTLSINIESPPLVFYGGASTS TGALLSGQLKLDVGDDEMEIQGFAMRLAVDVNMKKPYNATCPDCATKSSDLTSWNFLP DTTILGKGEHLFPFSFLLPGQYQVCTHSSLSSVTYTLRALLTPTNTAPLHLSHPLSVR RAIRPPLYPRHYIRDFPPTSISAHLALPPLIHPTGSFNGALKLSGMNNIVLKRVNWRL DETQTVFAPSCRRHTPRAGYLKGVVRSSFCTLGSAELTSGWATPTSSTTTLDFTFGIA PNNTALCDVKTEDGTETAHALVVELLVESKAGTRLLRMRFYPVVTEREEGGVAWDEEA PPVYGEAGKPPPGYMYVAGRGEVPRYEEVVGGRGRGAGAGIMEGVALHG VE01_09738 MPTIERISATWQTIKSPPRYLVACGIVAMVGLLNGLDTGTIGPV TAMSSFAATFGALSSTMHGLVVSSVLLTTTLASLFGGAISDRIGRPRTIVIGACLFAI GAAIEASSVTLAMFIAGRCIAGLGQGTNFSTLVVYICEISPPSKRGPLASSVQLLICM GIMVGYFICYGTVNIASSLSWRLPLALQAAIATIGAAASAVWLPHSPRWLAHKGRREE ASRVWDLLGVSAAEREKDLLENPEAMVAESNELAELPAVHTTALDTGFLARLRRNGEK STSVFGREGRRQMVLGVFMMAMQQLSGIDGVLYYAPLLFRQAGISSAEASFLASGVSA ILIFVSTIPAILLSDIWGRRASTLWGGVVICASMALIGSLYASDSVHATSGAGRWVVI VTIYIFVLAYCMSWAVGFKIFACEIQPIASRATATSLGQAANSITNFGVAFVTPVLLA RSSSAVYFLFGGASTLTLVVCVLFMPETKGRSLEGIGEAFRVHRVGGGGVEKVVKRVK RWVGFGGVVRAGRWE VE01_09739 MFSKPLTIAAVVLQCLALPAIAQVTLTGCHLHDGVEHCFLPNGE ETEIGRPTSSLVSASPTAPTSVAATTTAAAQTTAITGCHSHETTQFCIKGNGEEVQVM ATQTGNTPLPTAYNGCHSHGAEMFCIAPDGAEVEVVAAGAEGEHTDEHAGESAAGASG ESCHFHAGVEHCVGGSAEATCERKDRDYNIKLRIGLIFPMLFASALAVYAPLIMKKML KMNGSGIVFTIIKQFGTGVIIATGFVHLLTHAELMFANECLGKLKYEATTTAIAMAGA FIAFLIEYLGHRLASWRRRTITSQALSASTPKEEAGAAQAGEIIKGHPSHSDSDSPGL AALSHHYNTEPCSEVNPNDTMTVLVLEAGIIFHSILLGITLIVAGDSVFITLFIVILF HQMFEGLALGARIAALDPTPSDGENTVSAWRKTKNWAMPLTFAVITPIGMAIGIGVLH KFNGNNPSTIIALGTLDALSAGILIWVGLVSMWAHDWLFGELKDAPLVRTVVAGVSLV CGLVLMGVLGKWA VE01_09740 MRLSSVLVGVSLQALQAAALPVGSSESPFTSTSPALASSTAPPV YDWSSGYESQFIIHPSCNSTERHEITSGLEQAVTIAKHAKEHILIHRNSSKVFQKYFG QGPTGPVIGWLDKIATANRAGVIFRCDDPDKNCVTQDGWAGHHRGSNATSETVICPLS YTTRRPLTALCARGFDMATGRTNDYWAADLMHRLYHVPAVGEDEIGHYADGYSELLEL AVGANRTDAARNSASLSYFALEAYAYDISVPGEGCAGKVVEEEHDHDHDHDASATSSA AGAAATSVNPTRVIPVSTTAAAPVATATGGGAGKECHTHDDGTEHCT VE01_09741 METIHRAASAASEALFGEHGEHGQTTHGKTGTTTHTNVAGEYTH EPLSGRTGDTKAGEPYDAGNLGDERSQQRIAASRGEPTNTTGTAREYTSTSGTSGGYA PATSTTGTAGGYAPTTSTTGTAGGYAPTTSTTGTAGGYAPTTSTSGTAGEYAPTTSTT KSSTGEGGLRRSSDISPRSGPAAGTIPIPSNIDRQAGGGSYSSARETAARAMGSAETP GSGAGAYFGAGAGSGAAAGGAGLWSAGQRREEAGARDENGYKPGEEGYTGKGTEQAGK GGQQARFAGEGGEADAADVTPSRAHAEGKKVRDVGESSTTGPTGAGAGAGPTGAARGP GAGPIGAAGAVGAGASGAGASTGGAAAGSAPVGRRQSLTAHLPGEPGGEGLRRTSNAG QGTGEQWVKSTGFKAEGGDFDASAPGAGREADRLLMEKGIKRSGADEKKVTGQMDTTA PNGGHNNASDNLGLKDKLKNKLHKGPL VE01_09743 MENNGGEQDPIGKQGETASFQGEMHGNLEMAHNSGERRLIDNQG EMAAPQGETDGPDVAHGDNVQPNENYQVRVEAGSGTIGIRGPVPATTFRSRKHLALAF GFSDGEALDTWMKSEAFRPYYSDLLTNLNKISGGGSGYSIRTIRLAGVKDAIIYEEEN GAKRYSRLYQVEHFTSMDWSFLWEEYDARRHADPDMASDATGKT VE01_09744 MTSKGKQLPHERRKGESALSEFAEYVEKQQATRYPAGSSATEDH DELDILNSLDLADSAPQVRLRELLLGDADDAIPRLAEVLRARILEGHGETVFDIGFEN SGECMNLTKEEWEGALARLREAASNERAECQVLLTKNVGGEAEAETVDDKEKESSGKV LVRQNPSTAEDVIETRIAVVGNVDAGKSTMLGVLVKGGLDDGRGKARVNLFRHKHEIE SGRTSSVGMEIMGFGASGKIIVSDVPGRSLSWEEIGKRSAKVISFTDLAGHERYLRTT VFGMLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVVITKIDICPPHILEQT ITQITRILKSPGARKIPIFISDRESCINTATQFVSQRICPIFQVSNVTGKNLDLVRTF LNILPHHGHYDSTAPFEFHINDTFSVPFVGTVVSGIVKSGVVHAGDHVLVGPDSLGHF TQTTIRSIERKRIPVPATSAGQSASFALKRVRRRDVRKGMVVLAKPEDAADAPRVFRE FVAEVLILSHATTIKPKYQAMLHVGPVSQTCTIIAIDRAHIRTGDRATVAFRFVQRPE YLVPGDRLLFREGRTKGLGIVKSVGYDPLKPLGGGGEGLGEEEKGKEKEVGDDVAGEA GEGSSAAAAVAGTAVKGE VE01_09745 MADVDEGRGAPIDEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVLGDVVETVYVVDEDDEDGETLKTIHKKSEMLFVRGDSVVLISPQASS VE01_09747 MMLWVTLSTLPILLVVVWLYTVATVKGRFPTLKNRRICLLIAHP DDEAMFFAPALLALTDPALGNHLKILCLSSGDADGLGETRKKELVKSGMLLGLRNEDD VFVVENPDFQDSMTATWSKEKIASLLSSAFAPHLANTLTSKSADAPTATIDVLITFDR SGVSAHPNHISLYHGARHFIASLIKNRPGWDSPVDLYTLSTVNVVRKYASILDTITSM MIAAFTKRSVGAHPSPLLFMSGVGEIRTAQRAMTDAHISQMKWFREDIMNEEGKAVYH RREGVNIALEMGYRRGYATGHLLFEDFKA VE01_09748 MARQRRQKDVSSSDIPLAHPDKSGPGPSRQTLLDLAAQRGLLDI ETENRKPGKLPAGSVPLRDEQPIIGRFGEAVLWSSSLAMLHFTLDVLTQHQYAEVLSW PRVITQSLQAFGVILVFFYALHPHSVPAAYFPRIPSLLHQILFFASSVGSGCYLIHIT NEYSYYAVLKQAPPLGVIWIWSVIELDLLWAVGSLLGCLAYLWLGGYSFM VE01_09749 MAGKGKWIVEGHLPLAIPVFKKHGILGYTLSVTPPTLNSAMKED LGRYRPAWDFADFDCFIEYVVSDTQSIKNVMADPEWLGAVKDEEHWVNTSKALATVGY ATQYLLPSGETVNLPK VE01_09750 MPTADSKTSSDTASMFKRSTASSESNNEKHTTILNRQDGAKRAA LVEKSMTLKECVVGFRKAVAMSLTLSLACAIVGYGLVLLASFVTLPVFRRDFACPGAS LTEVCQIPASWQSSVILGPMAGQIMGLFLSGWVVEKFGYKKTILAALSLLSGFTFITF FATSLPIFLVGSLLCGIPWGIFQTITTNYASDICPTAIRGYVTAWTNVCWIIGQLGAS IVLQVLVKNSTELSYKLPLGLQWAFPLPLFVVAIIAQESPWWLVRHNKIEEARVALSK LVSKKHIPAGYSVDDHLDLIIETNQNNINSSDNGVGYIDCFRPANLRRTEITVVFWTI QNACGTALMQWSAYFFVEAGLTQEKAFMMQIMQASNFYPLHLTQSCLLIYVVGFVGFC GSWAFIGLYGRRTIELTGLALLCLVLCSVGTVASLSISIETSGWVKSGLLLVFTFVYG SSVGPITYTAVSEISSTRLRSKTMNIGCIGYLSFGVFNSIITPFMLNTTAWNWGANAA WFWAASCFLCCIYVYFRVPELKGRTFGEIDWLFANGISARNFAKTVVPLYEIGEAGIV QQSQDADSVDSAPHLPSPVRVAPSGAEV VE01_09751 MSTFIARILMIAASVTHASASCAYGTHLSPRAEEGAPIEVNTFG YTGVIGPLNWAALDGGSANVLCATGKNQSPIDMVDGVFKTLTAADVKLQINDMPEGTE FENLGTTVEVVSKGGSLEVGGKTYEFKQFHFHLPSEHLDNGTSIAMEMHMVFQTPEED IAVVGVFVDLDTGVAAAADAATAQVITPPAAKRDLGRHPHSRRGEAASAPRADSHLSA IVQLLTSSLATTTGTSSALLETVFSQVAEVASPGSVVKTQPLVMSEVVNTLLAGSFQS YSGSLTTPPCSEGVTWLVSTQKLKIQTATFEKVRSVIGFNARYPQNTPGFNNLLSVGA QSAVAAKAAAAVPAVPAAKI VE01_09752 MDSFDSDGRPAKKRRFFTDKPEELEVDESSPATPGPTELDTTAS EAGHRSPRAAILDDRDAQTNVQHHTSSESALVSDRETFELVIGDKIDSDTWRLLKQAS GDNMERAINMYFDGSWKGPTVAVASTPSAAALQPLTINPVKPEVPNVGRSSKQTLHPI ANLRRIPESRYIGAFGVEGWATRSGTALIKHGERVRIERQKIQPPKLPPVKVGKNKIA PLQVTPKTKGAASRRIDVIVRFTNSRGEEVGRLSRETANWVSTLIDQQVCSFEGVCVY APDRVRTNDTIFLQLRCMLLKSAFDNTGFKPPDNRTTGLFEEKETSEEKDLRLRQVAL VKLLVEVNLLPSRTSETTTKHKRQGLLQAAEVAEQYEKEAAKNEKSGGEPGSSPSSDT EEGKELEQDQLDTLYRKAQSFDFSAPEAEPADTFAMDLRPYQKQALHWMMAKEKDEKA EKKEVSMHPLWEEYVWPVKDMDDQELPSVEGIDNFYLNPYSGELSVEFPVQEQHCLGG ILADEMGLGKTIEMMSLIHSHKSDVAMKLQDGEPTITSVNHLPRLQTFSSVERAPCTT LVVAPMSLLAQWQSEAENASKEGTLKSVVYYGNEKAVNLQSLCCAANAASAPNVIITS YGVVLSEFNQVAARNGDRGTHGGLFSLNFFRVILDEAHHIKNRQSKTARACYEIEAEH RWVLTGTPIVNRLEDLFSLVRFLRVEPWSNFSFWKTFISVPFESKDFMRALDVVQTVL EPLVLRRTKDMKTPSGEALVPLPPKTIEIVDVELSEAEREVYEHIFFRAKRAFAANVE AGTVMKAYTSIFAQILRLRQTCCHPILVRKQNIVADELEADEAADAVSGLGDDMDLQS LIERFTAETDDSADANAFGAHVLEQIRDEADNECPICSEEPMIEQTVTGCWHSACKKC LLDYISHQVDKGDAPRCFNCREPLNTRDIFEVVKHDVDPDAPDAKPRIALQRLGSNSS AKITALISQLKALRREHPGTKSVIFSQFTSFLSLIEPALTRAGVAFLRLDGTMAMKAR AAVLKSFRDAKGFTVILLSLRAAGVGLNLTMARRVYMMDPWWSYAVEAQAIDRVHRMG QTGEVEVKRFIVRESVEERMLRIQERKKFM VE01_09753 MYSTRASIRLKYTARRVSTAKCAYATTSYSSPAPNASRLTDQDI SSARSYCSTLVQKFDSPSYTLQTFIPAPTRDAYLAIRALNIELSRIPDLVSNPTIGAL RMQFWRDNITRTFANTPPKEPVAILLHHALQSLKERHPNAPTSSLKAWFMKVINAREQ YMDNRPYPTMDALENYAEATYSTLMYLTLGFLPLHSMSIDHLASHIGKAAGISAVLRG MPLIAFPPPPNHHSNSGASAGSLGGEGGARQGAVLLPLDVMADTNTKQEDVLRQGANA PGLKDAVFQVATRANDHLITARNMLQRLQEGKDMGHDFEHEGEEGHDYGMQGRDLNEP QNSAAEELARGFGVLMPAVSTRLWLEKLEKADFDIFREEVRGRSLSLPWSAYWAYKRT QL VE01_09754 MRIVLDAGYSRCINPSKIQVPRRDKARQGATIMAASRDFAPGSP LGAQGEIPIANDGPDIEAGRKNSLYPPLHSVDTQTSIATEADNHNVAPILRQRKSHIG TYWGTFPAVVDNPRRPLWKPGQEPGLDPARPNGGREQLLPLRAECDITVVDYSEDDMV MRRLTNETLPLWLERTEKMEKEGSEELAWAKCRWINVNGLSWDVIQALGKYKNLHSLA IEDMVNTKNRTKADWYSDHTFLILTLQKLVKLPEDCDSDSDSDEESDLSKSPTGSVKE KRGWLSKLLHRLSRQDPSVARARAAVSSESPEDIMTGHSARYKRAGSQLRTLQRYGGG PNPEFMTFMERNSAMTRNGLAVCAEQVSVFLHGSNTVISFFEQSADDIEAPILRRLAT PETILRRSCDASMLVQSILDTIIDHAVPIATAYSEIIQELELDVLTEPDIKHTRELYL LTSETATMRNFISPIATLIRALRDRTVAPNSPAAANNNNTLTRHESGPSVSHTSTVDI SPLAHTYLGDVEDHCLSILSELDQIATSASGLISLIFNTISAYQNESMKQLTVVTVIL LPLTVITGFYGMNFTDFDALNNNTNYFWSIAAPVAVGMGLLMMREMIVRWFKKVILKW GRRRRRERRERKERERRERELRKRR VE01_09755 MPKDDLMEHASSTVDFYALLGVEFESSSSDIRRAYRKTALKYHP DKLGAAFDPEKFHLLQVANDVLSDPTAKAAYDNARYARLQKVRQEQLFEGRRRQMKEE LEAREKGGVGAGIQVGGKRPREEEDEMGTEIRRLAEEGKRRRREMEEKIRAQGGSAPS FAEPSASTPPPKRPADITPKPAAPTKPEPAQTAAEEAEEEDEVARLERRIREIAEAKE KRKADKRARKSGVYVPADTPSASPAGDWKARLKEKGIHDQKDTSTPVKRPDLNGLKAG EKPLSSPKFSFSPKPRLVPQAKDEFAATMDRLKDAEKKRLEEEIRRKEADSPL VE01_09756 MKRPLSTRLLLQLQSPTRTLTNPYICTSCFQSRRARFSTSPRLN ANPPPPPQSSYALLPSRRLISLSGPDAPHFLQGVITANIAPSTPRTSGFYAAFLNAPG RILNDVFIYPDSNNLLKGKYDGSGGENWIVEVDAQAAETLYKHLKRFRLRAKFDIRLL PEDEMQTWSLWKEDKSKEVGMGWTPHTIPTETVPAPAGHIECVDIRAPEMGKRLLLLG GSKPPAEDTEGLVETDEMSYTIRRYLKGVAEGQDEILRESALPQESNIDYMSGIDYRK GCYVGQELTIRTHHTGVVRKRILPVQLYGAKEDEPQTLEYKPEVEIAAPPAETQIGRF EKRGRSAGKWLKGVGNVGLALCRLEIMTNAMQGEGAGYKEGDEFKFEWKTEDGAENLV KVKAFVPAWHLSK VE01_09757 MQLEDWLDDLCVRFIINLPEEDLSSVARICFQVEEAQWFYEDFI RPLDPSLPSMSLRSFCLRIFQHCPLLSAFSIDNHMRAFEEFLLYKTRVPVRGAIMLNQ ALDAVVLVKGWKKGANWSFPRGKINKDEDDLDCAVREVYEETGYDIRAAGLVPDSEEV KYIDIPMREQHIRLYVFRDVPMDTHFEPRTRKEISKIEWYRLSDLPAFRKKNHQTGND AEVAKNANKFYMVAPFLVPLKKWVQQQKKKDSDRATSNQYLSQYADEGQTEEEGFRSA IEGFEMPISNVHGASAPELNTMEGATAALHRLLKIQPATQGLQPNPTSAAQYPAANTG DALLAILRGKPQGEAQQAPPQQAQTQLPRGPADFAYNSAPTQRNPQHQYPMNPQESNP QPYNGAPIGLDNRMQIEQQQNYNYPTQHHQLPNPMSGGMRIANPQAMGTVGNPAPSAG QQHPAMQGNASYAADPSYFSGAQIPGPQVQPQFGRHPQFLQHPQPLPPQVQQGVFSGG QGHNPIAQFMQQASQQNMPQIPQQRPSQFSPPTQPNLPNAHEPVISPPKPTPPQLTSH SLALLNAFRGQDRVVPDSKVSNGHPLSRYTQGHVTPTTQLQELPSEHLLQEQPNVSRP VASYEQRPPQQDYRNLPVGSPPADVHKSALLSLFKTPTAPAARMAKPTSAEPPQVPPM PTAMDTRPAVSPASITRARGPLPDGNLALARPKPDGRPEVQPRAPEQQQPFRPTAILS RPPQANSKPSTPQPRQPSQAQQAQQPQQHRQPPANGKGARKAGGTPKRQADVEAPQQA PKPFAPQILKRPAQGVPMPHMPAELSGISPTRVSPPVLPTIESQHTAQPTPPQAHKQA LLSLFKKLPEPASPGPSQQQKLGAERPFASGGENTGARGSRRTSNQAPMSPTDKGFLL GYLDTIAKGGL VE01_09758 MTSLLAKYVSKKFLGESLQNNFGTEDPYFESVPATRLNGRPSKT KMKKVRKALPPGISEHDGKILTKVKRRAYRLDMSLFNCCGIRFGWSSVIGIIPGFGDA LDAFMAMMVYRTCQQVEGGLPATVQSQMVFNIVIDFFIGLVPFIGDLADAVFRANTKN AAVLEKHLRAKGQARLKSQGAALPTVDPSDPDEFDRLMAGGPPPVYTANEPSQQPPMR QTAPPGTQREKPSGTTNTATTTTQSGGGWFRFGKKSKQPDVESGSAGQRHGDTPLSNL PSRPAREPSTRQKPARTR VE01_09759 MATQITPSKKTASSLENLKMNDSPAKKLDFAAVGKENLPFDAQA PVDDVVETKKPIVAEADLSLIAPTIKPEEADEPLLQSNPNRFVLFPIKYHEIWQMYKK AEASFWTAEEIDLSKDLHDWNNRLNDDERYFISHVLAFFAASDGIVNENLLERFSSEV QIPEARCFYGFQIMIENIHAETYSLLIDTYIKEQAQRTYLFNAIETIPCIKKKADWAV RWIQDKDSTFAQRLVAFAAVEGIFFSGSFASIFWLKKRGLMAGLTFSNELISRDEGLH TDFACLLFSHLRHRPSKQAVQDVITEAVSIEQEFLTEALPCALLGMNANLMKQYIEFV ADRLLLSLGNEKYYKSTNPFDFMENISLAGKTNFFEKRVADYQKTGVMASTVKKHEDT AAQIESKAENGGDFNFDEDF VE01_09760 MSSHNWPSVQIGQPQYPPFNPNTASYATDDTEAFTSDLINPALT SPISTYHLNPEHDINGAGGMIGLTLDQYKTGHRASIAPSIPTPASNKRQLSHDQMMSS PDRQSGDNAGNHQTQPKKRARKGPSAKATLPIPRSRTLVLGESVFSPEDFAAGLKHFK KISTDQSLEDDDLRKMRDFLTWGEDEQSKWLAKLSPEQFGVVLFAEKQDRDHQQSAVA QLNSSHAIKLQNHDATPSFGIQQQGNGVHNGAQYQHAQNVMDFNNLSNFNQQFSPLAQ NANFQQMAMQNPQGQPVQFGSQIPSFIVCPSGQLIPQQQNMFENMPTMAGYQSSNMQT SGAYQQQIKVNGQLMTVNLQPAFGGHQNGNFNLHQLTPGALGHVPQQYGTNVNQTFNS MGQQNSTTTYSLPSGRQLNNTNQGENFVLPTLSHAARVAEAQLRAATMRRQHADLRAR GLPVPNVPNYPKLPPMVMSGPGLGNLQGAINNLPSAPPSFLINAFDGVNWEKDPEKDA AAKAANGSPPNNSLGGHTPTGDGFPMKFADISGANPVFLSQKGNAVMIDIAGRPTEHG TSPTAPKAVGYHGVNTPVVPFYQVQLPNSAGQLSIGPNAQGSTSPAGAAKGTATKTSP KSKKTTTKKKSSAASSSASSCAIANVASALFPTSIPVPSTSQAIVGAAQPNASFPIVQ NPGYSNESQVNPISLNMPAPVSAITRSAVESLTTKLTFPPLPSPESSPVATSSSSSFD ASASQASSTTTAQTTQPTISPLDFPESNMQITSSSSDLETASEPSAVPPPGSTDETNN ISASTESELTTADNDELDDLFGPIATPELEPSASNEAATPDDSQPTDFNNDPLDGFFN FLDTPGIAPNGEYVGVGVYDETQPVGHGFSMTGGQFDSMADVDFTDMDFSDMGFDNWT DFDIAQ VE01_09761 MSENNEPQDSLVDVSSQLSKLDLEGGAEQGQDLLDRCRVLVDEL EVFQNYLQDEKKETAELRHFKSSVKTEYKLLRKLCKSDPTVPKTAHTLRSSNFPFYAA VWDAAKGCTGVVALGKRFHWPSPVNLRDGEKVRSTPGSIHKRSNVLVDIVAQDGLEWV KVSTLTEKRILFDIAKAGWIVDSSSDEEYDSGTDSSSSVQAEGLLKQAEGLVAASRAT RVRYRHPKIRMVLPKIPRGCVGAVEAILGQIEGMGIQIQRSEDLQPAPPLADVLDKVA VNPFADFTDPVNIDCTILLALVSDLSHCPVETEEWHHRAVKRQIEMERDDQLLPKSLW PACGPRKLLCTREAAQRMHEIVDLIGTDSEKRRRDFILDRDGTAELTCEQRVSGLQEL STHRIPQELKLPIDVVEVDIPGMERRIGPMAIEVSKVLSVINQSVFLYGWSNDMTTIS SNRTVAKEIETLVENGRKCDSDKGPDIWLCPTARSLVGKEKTRRNWNN VE01_09762 MPYLPTAQEWLTQSALLLQARPTTSRITTKYTVKPSTRRATAET AAPAPVAFLTLKTYDPASGTTLKYRTDKAAEVGRLVLSLSRLGREMAGLPELKEEDVK MEEAGDAVTGAAAPVPEKAGAQPGGAKKGKKKGRK VE01_09763 MRAWKNGLLLAGLLLTSVNAGEVVLDQKEDNRLKCAGMYSRKSW GGSVDPFILVKFLKPPAEQKAASVSLVIFEWKDEELVGVFPEGAAPGTPKEYICTEKN VQRKLCDKADLNKYILAPNATESSTSELKTTAIDLKNIAATNYLIKTTGYYCVSTDAE DGVDYQAVVEFRNAYGELDGAQIAKLPFYGGLTIVYALLAVFWGFFYVQHRHDILAVQ NYITAIVIFLIVEMLMTWLFYDYQNRNGSNVGSKVLMIVVAVLNAGRNSFSFFLLLIV CMGYGVVKPTLGKTMIYVRWLAFAHFVFGLIYAIASLTVTPDNAGPIVLFVILPLAGT LTGFYVWTLNSLTHTIKDLTERKQNVKASMYRKLWICILLSIAVIFAFFFFNSVTFAG VRDPDFVPGHWKTRWFILDGWLNIVYFADVAFICYMWLPTANNRRFAMSDEIAQDDEG FEIGDFGADDSGDEEEARDERGTEGPRYDAAPKSAPTKAQAPNDAPSASRQSLDGETI FAVGDDGAGWSDDEADDDDDKKKLVGKPGKDA VE01_09764 MATQIIDPGKAVAEAVSICKRCNKDEATTNIRSEPVCLQCFTYY VNTKAIKRLETYKDRNRQSETKRYLLPLSFGASSTSLLHILDEQLRKQSERMGRTSYE LIITHVDLEFEDEVASEKIRSLWKAVQDRFPKHTYVEVSIADALDIKSIDWPSLGLTV DEQLPKKERLANLLKSISTATSRSDIASTLLTRLLVSVAGKNSCGSILFGDSTTRLAE KTLTETAKGRGFSLPWQVSDGASPFGDSLLFNYPMRDLLKKELVAFTKLTSPPLSGIV IPVNTKSALSASSKSTTIDDLMTQYFESVEENYPSIVANVVRTSSKLKTPEVNDGAEC TLCGIPVAEGTDGIDGWSGEQSSSSQPTREVASARPILCYGCARSING VE01_09765 MPPEEAPTTTRGRGRGRGRGRGRGRGAGVTPASVDAAHGVPATP DVEMASPNTSPASPPTETPASTPITTSGAAGGGGSTAPVAPMGGTTTTARPAVQRLES LRGRGARGANAAPRAASKFIPRAGRRTAEEREQAAREEAERLRAPEAGDKRGGMFGPG SGMRSGAGGGGAGGGGGMRIKTDGGGYRSGGGGGSGGGGGGWSGGGGGGGGGGYSEPV YPEELEEGDDIAPRTDIEHINLISDDEGDVVTGQSRARKGKGRAGGHAMNPIRLTRHE HKARVMLVNTDSSSGETKVEVEEEVKVEAPEEGGLFVPQEEPVVKSEPGAQDAGADEM EIDATPMADIQAGAEAEASPSTAQETPKPAPATAPQRPSAFLAANAKKPVLQTEEDKQ EYERYLEDIDILARELGGMSTTAAPPQTEGDVLMGEEAQISPDHKEGRLYLFQFPPIL PKLANVEQPVKQDPGDVDVQLSDIPTGTIDLDDTPEVKAEPAAEADAAVPRPVTGSKV KDALVQEPGLIGKLVVRRSGKVELSWGGTSLALGRGAEFDFLTTGVVVQGLGAEKGEE GGEEMSGTGMGRVMGKIVATPDWEKMF VE01_09766 MVDDGSKREGQPPSSSPQAALPPLDFMDVVSPALQVGSLTGVSG LLFGAVSGVLRSTTPTLFAIASGLQWFTLGSTFWATRTLLLHELRAETGESARKISAS AIAGGVSGGIGGLLRSRKNVLPGSLIFATFGALGQAAYNYADAREGRKVGDGGKEEGK GGWLNSRWSPVKALSDEEYERILEERLLRLDAEIALVDESIEAVKRGAADAKTAAVSA KVEIEGKK VE01_09767 MTAHDAAGDARLITPPSVHGDAQYSAAVQHNSMQSPVPNPTPKS VAFELLVPERPQYRARLPMRVQIYPHDTTDSIITTVKNFYGLYNGSGGPTGIIFEDER GNTLIARYENLRDNMNVYVRVIEASPPHVSPTYGPMSYHSVSPTTGEQGHYNGNSHHM PPPQPAQALSYGQPAPRPDSRASRKRSVSPNSGRGRRSASASANPPNKKARSQSGYKS RNSSAHGSFADIYSDGVNGYSSGDGAPCSVSSKTKSEHLGNTEISLDNIVEGGRRKRA KFESSELPLFAPPQMPAATSNSSVSPARRMENQRASLPFTRPAHHAFAHPQVLQSPQS YNGGFAQPGMYTTPNNDGRRTRGGPTYPPSRGSTNITPNGNSSGILPTPDPTIGSCMS EEDKDVALQLMRLGDMSNNSHGRTSASTLDDTFSGHADAASSTGATSESESESENELP PARRQKLEHSPILPPGAVKRFGKHMDDNLPSQDSEMSEDYDDGRDATFKPEVYDDIPN NFSLAFGDKARARSQGPSKPRAKSAKPSKTTQRPGGANATKVKVAKAASVVGRQPMSP ASTAAHSRKTSVTSAINFQHQLGEDEEDLSSKPRCQRCRKSKKGCDRQRPCQRCKDAG LSADQCISEDEGNGRKGRYGRHMGVKVKKDEMEAAAANGGYPLEMAMNTVGGVDKNKK RKR VE01_09768 MDAKPHRRGLSHIHNDENAAPVSKQLHQRHKSTGALYHTMSAAT GLNAVAKRAAFGDVSNTSRALNHAKDDLVVSAKGNGTEYVKLQVLEKENALSRPAQRP LTATVKNTILGLNRANQSALPTTTNKISAVPSVIPTQVVRPRASSKRITAIYKDENSV KPQQAAEPAKQQNVPIASAPIPPVHQTLGPRHHKSQPQLKLDQPVLRRTQSKYMYNVL PTVLSDSIPSSSSISFKDAPVAEEPKLPSVADNSYDYQSYDAPVQSLVKADPIATEIA VPTTDEYVPEERLLPALPSEPEEYWEEEEEVYDEQGYTTAHSYRSRGDNTTGGATTVM IPKLNSKVERELEAAKMIVEGSRTREEIEDDMWDTSMVAEYGDEIFGYMRELEIKLAP NPHYMDNQAEIQWSMRSVLMDWLIQVHHRFSLLPETLFLCVNYIDRFLSHKIVSLGKL QLVGATAIFVAAKYEEINCPSVSEIVYMVDDGYSVDEILKAERFMLGMLDFELGWPGP MSFLRRISKADDYDLETRTLAKYFLEVAIMDERFVGTPPSFVAAGAQCISRMMLKKGD WTPAHVHYSGYTWTQLRPLVSLMLECCRNPEKHHAAVFDKYSDRRYKRASEYVKTTIA SGFKLPLALPDYSLPSRGMIGDSDGFMPYDSYSSPHGIYNNNNNNMVQVKG VE01_09769 MATVRDVVPVASKVKETVKETLLGSEEPAQLSAHTQATFLKHAH HDEAAGELVMTESEFVDAIAPKKEDYHKIKREQYAILFRVADRKGAGQITLQDYGAFE NLLAKPDAEYDIAFRLFDVEREGTVKYDQFKKLCELNKGTDSIPFDWDCEWAKLYSGG KKNRHELTYEQFAQMLRGLQGEKIRQAFHLFDKDGDGFIEPEDFQRIIVGTAKHKLSD HLLDNLRTLCNISAGSKISYANVRAFQNMIREMDLVELIIRHAADRSPDGKITRTDFL NEAARITRFSLFTPMEADILFHFASLDEPSGRLGLSDFAKVLDPSWRSPLVGAVSDMA ARNAAVVKTTSQKVIHQILESVHHFGLGSLAGAFGAFMVYPIDLVKTRMQNQRSSRVG QALYKNSLDCAKKVVRNEGFKGLYSGVIPQLIGVAPEKAIKLTVNDLVRTHFSKDGKI RLPHEILAGASAGACQVVFTNPLEIVKIRLQVQGEAAKSLEGVPRRSAMWIVKNLGLM GLYKGASACLLRDVPFSAIYFPTYNHLKRDYFGESATKKLSVLQLLTAGAIAGMPAAY LTTPCDVIKTRLQVEARKGDTAYTSLTHCAKTVYKEEGFKAFFKGGPARIMRSSPQFG FTLAMYEVLQNLLPMPGSEQDHAPHMGAVSAAAGGLREAQGPLTFLRSRNALKVILDV DEDFGRVRTPDEKGWRGIPMIVGGKA VE01_09770 MASSPALARPEDGNTIYKYKLPSFINSMIFRFALSLAFVAGAVV TAGVVSTQALLKEQQDSLLVQELPAQAVFPGPWDRYIQAPANKAHIRPKAIHLSNGDV VNPSAVLEGGLGSSTLGAGGNVIFDFAQNIGGRVCFQVASVVNNTFLALTYSESPTYA TVDGDATNNNKPTDLPLYFGLRNGTNCVGTGFIRGGFRFLSIRLPLDPKEDKGFWDTS SRESVTDASKRDPGATVSLTELWVNCTAFPSNPNPRAYTGYFSSSSNVLNRVWYAGAY TLQLSTIVPTQGGASIDYNAIVDGNKSPVDSWYSNFTISNGTSVTTDGAKRDRMVWPG DMSIAVPGIAVSTRDMVSVRNALDTLFAHQYGDGSLPYAGPPMGTIHEFSDTYHMHSL LGVYNYVLYSGDLGWLEDHWYNYTVALNVSIAKVDNSGLMHVTSTADWLRSGMSGHNI EATAILYDVLQKSIELAGFLGDDRSEAQPGGLWSKTGDRIRAGVEKLDCPRAGLFADN SEDRRCGGSDEVLPQDGNSWLLLAGVLAPSDPRRLNVSKSLKSRWTKFGPPAVEAPNI ISPFASSFELQAHCAVGDHDTAVELMELMWGYMLDGPGMTNSTLLEGYRIDGSVGYPA YTHPARNSHCHGWSTGPTMVLLTSILGIQFTAPLGRSSIIRPHRTKWLNHVEGGYSTS LGKFAVKLKGMIGKGAREAEVLQVLTPTDTSGTVYWGGNQTVHNGGVLKVARYLDSPG QWITLLNTTDYGDEKDDTTWATDSEGDGEFLPDTAWVKPSSSEREVGVVNWSLIKPIV VEEL VE01_09771 MASPTEETQDAITEEEIQGTIVPPASSTSIHPNRNAFTELMRHK SRKTTIVPSSSPHEKPIVFEGRRGLGAYTYNPAAFPPSDVIFYNDTAVAVNDLYPKSS VHTLLLPRSERNLLHPVDAFEDAAFLAATVAESEKLRKLVAKELRRRYGKVSKLDQER ERVLNGEVELADGEELPKGRDWESEVMMGIHAHPSMSHLHVHVLSVDRYSECMKNRAH YNSFTTPFFVPLDAFPLAADDPRRDPSEAGYLSQDLKCWRCGAGFGRSFARLNEHLAV EFEAWKKI VE01_09772 MVKKGQPKGPKPGAGGGAKKTTPVAATGADDSFIVFSNSDKEPK PRKTNAQAGPSGATGPDILGEAPKRPDVKKLIGGASWTGKLPVNMLSEHCQKQRWEKP EYTMSKTSEGYSSMVILKARNPKTQELVSLAPFKLPPSHKHLAAKPTALEARHFAATY GLFRVCSMRNIHMMLPPDYRDLWKGEFEVLKKEDIKEGKAWMYEADPFAALREREEAK AVMEKKRKERDAAREKAANTPGAPGGVSLTHRSGGPGGAGSGAHNIHRGWMKVPKVDM GKRARAKVEELIRKHTIWNPHDHKMSEFQRHSIIKDFKQLEFRESHIEEAVLECKDRE ETLEWLLIHVPEDDLPRWALPEGYVAGISMASTDLKKEGIIKRLGEAGYSIDLCKQVL ELNQGDEGKAAEALQNILMASGQDDTATPSESTNWSDPDANPDEIWEEEQIGLESVFG DKYSRPSPNVCQIKIQPANRGRNPAVDTEILIRRSTKYPQTAPVISIVASLPAYIRLS IMKRALSHCTETLLGEGMIFFLVDWVEQNFYGIVERPGKLREVSAAASSISEVSAPNR QKRARTTRHPPPIRWIENPRSKDEWQRRQTDAKLQARIADRRTLPAWEMREDIIDTVN SHQVTIISGETGSGKSTQSAQFILDDLYGRALGETAKIICTQPRRISALGLADRVSDE RCGVVGQEVGYIIRGESKSSPRTKITFVTTGVLLRRLQTSGGSSDDVVASLADISHVI IDEVHERSLDTDFLLVLLRDVLRKRKDLKLILMSATLDAGVFESYFRSDGKVGRIEIS GRTYPVEDYYLDDVIRMTGFNTGRGGRGGDEDEETEGMDSDVRSAIQSIGMRINYDLI GQTVRAIDAELTYKKQTGGILIFLPGVVEINRTLDNLRSIPNLHALPLHASLQSVEQR RVFPHAPSGKRKVICATNVAETSITIDDIVAVIDTGRVKETSYDPSNNMRKLEEVWAS RAACKQRRGRAGRVQAGKCYKLYTRNAELTKMAERPEPEIRRVPLEQLCLSVRAMGIK EVAAFLASALTPPESLAVDGAIDLLGRMGALDGDDLTALGRHLSMIPSDLRCGKLMVY GAMFGCLDASVIIAAILTLKSPFVSPQDKREESKAARAKFSGNQGDLIGDLHAFEQWD EMMSNRSIRQGEVRNWCGDNFLSFQTLSDIASNRTQYLSSLREIGFIPYRVSATLNHN NSNAALLRSLIAGAFNPQLARIDFPDKKFAQSVSGAVELDPEARTIKYFNQENGRVFV HPSSTMFDAQTFPGNAAYMSYFNKMATSKIFIRDLTPFNSYTALLFSGPITLDTLGRG LIVDGWLRLRGWARIGVLVSRLRSMLDDVLAKKIDEPEMDLSNNEVVAIVTRLVELDG MDQ VE01_09773 MANISLPFLAFPGEIRNRIYNLLLVVPPPSTTTALGETPPVHPE ILRVNKQIHYEALPFLYAHNTFIAHPIRLYNFPQLRRWIEPVQAPHLISLIRRYHVFV RLECDAGFSAEAATDAFSGIDELTVEVFESQFKGSGNEVLRLFEGVRGVRKARVFGSI AEWPEYVAWLQKRMMSKEGGELLGSGGRKGIEDLNLCPHMSEAVQVTA VE01_09774 MTASLLLPALSYIFGWGYFISWSLSFYPQPLLNYYRRSTSGATI DFPAINILGFAAYFISNAAFLYSPEIRRQYAARNHGLTPTVKLNDVAFAAHATICSAI AMSQYFPQIWGFEDRGRRRERVGRTVMGIFIGSIIGVGAVAAVVASYPGDDVVTGWAG IDVVYAISYVKLVVTLVKYIPQLVTNYNNKSTHGWSIHQILLDLIGGFLSLGQLGIDS YIQRDWSGVTGNPVKLSLGNSSLIFDSMFIIQHYILYPGAGKNEHSESSRLLDAEGEA A VE01_09775 MSGRLLSARLLPLARRAASQNARQFHHRASSSAGGLLRSDAAVR VARRRMWPLGYNSMHNSVAVRNASFARILPKLVLKFARIPAMFGGAMIAGIAWIQYQA AQAGNYAMDVFQQTADTVGGIAGSLFGTVAGTAEQMKRGLENTKEELPEMPEWLQKVL RIHEEIGTGKGGSGGKGGGEAPQEARVGAAAAVAGSAAAYGYGQSDEEDDRTEPEAAR DDQMMVLTKKMIEIRSLLQTIGQSEALILPSIVVIGSQSSGKSSVLEAIVGHEFLPKG SNMVTRRPIELTLVNTPDSMAEYGEFPALGLGKITDFSSIQRTLTELNLAVPDTECVS DDPIQLTISSPHVPDLSLIDLPGYIQVVGQGQPLELKQKISDLCDKYIQAPNIILAIS AADVDLANSTALRASRRVDPRGERTIGVVTKMDLVDPRRGYDILTDQKYPLRLGYVGV VCKAPQSVGLFKKGSANITSAIVKNEHAYFSAHPVEFGPENGLNTGTTTLRKKLMQVL EKTMASSLQHTSDAIHQELEEATYEFKVQYNDRPLSAESYLAESLDAFKHSFKAFAED FGRPQVREILKDELDQRVLDLLAARYWNKPIEGLEAPVAEPSVLADLPKADADSLYWH RKLDASTSAITKLGIGRLATTVVANAIQANVDRLVTQSTFSHHPFARQTITEASSGIL NERFYSTSDQVENCIKPFKFEIDVEDSEWARGRDNITRVLKRELVACESAHKVVEDSV GGRKKLKDVMNFVDRARKNEIQVDGNGTGGAGGFSAALIKKGQEAVFLRDRADIIKMR LMAVKSKQCASLKNKYYCPEVFLDVVASKLTQTAVLFLNVELLSEFYYNFPRELDTRL GRHLSDDEVQRFAREDPKVRAHLEVIRRKELLELVLEKMESLRALEGRDKRREAAGSK MKGAEKERSKGWGLF VE01_09776 MCRFLVYKGSDEILLSKLILDPSHSILTQSFDSRLRLDTRRPHN GDGFGIGYYTDPKLGPEPCIFTSTIPAWNCINLQRIASKTASPLIFAHVRATTEGSLS DDNCHPFRHGSLMWMHNGGLGAWKYIKRRLGERLADKWYLGVCGGTDSEWAFALYLDT LERMGHDPSNPPEHGFGPGILRQAMLKVIKDINQFIAEVPPKLIVEEKVDTRSLLNFA VTDGHSVICTRYVSSQTDEAASLYYSSGSTWHDEKKGNFQMDRRDKGADIVLVASEPL TFERDNWVTVPTNSTLTIHNQTVMVHPIIDENYSQNPAHKRSAQFVAEKGLTTNPTSK PCTETGTSTPSSVSTSKATSPSESYNKHVSLPVSRLNECMSGLSVRSLSPESASDSGY RPVLSGRDPSRPQEQGNIKKKRVSLIEIDPQVLRDADQDYQSLGSQSQRSGRLGSGNT SPNPDRTNYGSAAKITQYFPELS VE01_09777 MASNLPIPQPPRTPTPPTPNPEEERSGLGIYGGPRPVSSTISFD PNSLSPMTDTFPHRFGSMATPIPSSGSIGSPATSNDAQDSDSGGAPAKNPFNFQTQTY TMAPVAKSNIGQRRGHRYKHSSVSTQHQVFLEPAPRAPLALPASLPIPTFKEAWQSMS REQTTRLVWCLCHALVATYVVYSAAGSLALTALSHLIFFDAISATICVGVDVFCNFEA WKRSSIRHPFGLERAEVLAGFAMSVFLLFMGFDLISHNLKHLLESLGTHTPHHPHTHE RVSPGSVDSAALAAIVATLVSAIGLKNHARISHAMRFAYISSLPSVLSNPSHFLTLSF STVMLVLPLLSVTLYLWLDRLLCGLIAFSMFFLGIRLAIAQGLMLLMSYSGKGVDECL HEISTEPEVCAIEEAKFWQVHYGLCMANLKIRIRGSRATVDEGRMSKIRDRISILVKN RLGGGYGKGGVKWEVTTQLTLDGNL VE01_09778 MDDGTRASDVSEERQTDAAKGTSSDDVAPSGHKRSPSGGLSKLS FLRASAEDNQIQADNGPPSPEPVANGQPTSPKKPGRAMAVAVQQLKTRRRKGSLRKAA LLGRGAQRERKELEIPPLITRASADIDDDNASSPISPEDLKQNRGFNLGALDTSGYSS IDHNTSNGLQNQYTPVPILAPVPIDPTVPNLKTSPTLSYTSTTDDDDVLSFPKHLLSP PMNNIPLSSGSEGYFPAEGPGALFRRRSTKKPNSPLPHGGSTAATLTSSEDDWDYAET EWWGWVVLIVTWVVFVVGMGSCLGVWSWAWDVGETPYAPPELEDDPTLPIVGYYPALI ILTAVMAWVWVVVAWVGMKYFRHAKISGD VE01_09779 MRHPGGFRIKTIWFSPKDTIELPIRSEYALRDMQIAAAQITKAW GEEENPLMVRKVQFSFLVTDANKLNSKVIKHLTKICSLVRDLGRSATIFIGMGAGFAH RRGRFHLNDDQEEWVYNDDGTFCNPDLQRELEHKLSATPGEWALSKDYDPSNVPALFN ELNGLDSIASSGPDQSSIGTTGIVLPCLSRCAVISHYSAYNYCLNSEIIEAHLAEVNP RIRYVDQIRDGLVEDRYTGGWEKGEAWRRGLSFKKTGLYGEDSQDGVWSDLFDDTNLW NAGI VE01_09780 MVNKSKLKMALVAEKQTDFKQEHQKKMVKKARKEKKAKQPVAPV VDDEEAEDDDEEEDEEGICELMELDEKENGDEYRRTEIDLAGIDDSDTASSSGVEDNN GLSDDEMSDEEDIPLSDLEDLDDEDKEDMIPHQRLTINNTTALTTALRRIALPLKTLQ FTDHQSLTTAEPVAIPDVSDDLQRELAFYQQSLTAVQEARKLLKAEGAPFTRPTDFFA EMVKADEHMAKIKAKLVEAAAGKKASAEARKQRDLKKFGKQVQNAKLQERQKEKTKTM EKIKDLKRKRQDGDGPTGTNEADMFDVALDEASTKPEGRDRSEKRNKRTKKDEKYGFG GKKRHAKSNDAHTTGDLTGFSSRKMKGQSKPGAAKARPGKARRAGKK VE01_09781 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGVERLVCLLKAGRSKDMMDMWKWNLAFQCVVNIGVRGSEPV RTRVVEADMVPVIATILDNYIKVVDRCREKAEEAKQKSIQEQGSSGRHGSRSSQKGTS FGNRSGRVDAENRTFRRQAPPPSIEIPASSANAPASADFAATDATPTNAAFSLTSPPE RTTFASSHHHHHRHHHRNQDPRHTPLFVPPSRHQIQPLATAIPSMDATDGFNIRPVRD VERLPSMVPYHHSGLTSQPDSPTTPLPPAQLRSPTARPAPASNPTARARRRPSIRHQT SMGGEQEDPNGDSMVSDESGEPDIASASTDIQTSVGIQDISMDDEGDSMITAVETPLG LTTPTVSEAQDAGTFNITHRSAIDGSLIADATTPTGPPMGLSPAQTNMANNSPAIMIT TIPRYLLDRPTPANAQVLAAMPRDEDVLMSLQLLAYVSKYCNLRKYFQQSHLVPKLKI GKELHLLDQDDSSCHAQTEDGCESDEEEEYLLPDDFNIFPLVEKFTVRHHSQDMQYWA GVVMRNLCRKDDTRGGIRQCAYYQCGKWEEFTRQFAKCRRCRRTKYCSKECQKSAWVY HRHWCVEASQ VE01_09782 MNNENRIRPGAPVTGQPPHPGFVIMMIMMMYFYSSPAGGPPPGM ISPRELFNTKMARESHSLDVLNQTRWGDFLPREIGEDKEMGADVRYVNITGFREEDGY SWGRLRKVKERFETFQQAAKHGLEKDWALYENVTGIVKGPWVKSQGSGFENQGVGGWG LNLSAIAPGTNWAWNQWNRNITGAEGKAMMRIEDYSRVPAFGEPGEQETRPIVPGAPR EVTATLTVQDESSTGDGWDMRLHGIQWPQTGALVLTTTSNKFAGIYGLPHLTSNKDEF NDTQHALNKTLGDILHSKQKSAWIDLRDPWSEDSMLPLPHCEYVAYVQVYPMKKEQIS HSSKLDEPSLQTAVQRIEQELRFPTGAPLSKAPPLQMSFIVFSPDCDFVLESKGPPSY APAQGLHLQGYKLEAFISRVKNWLLVWGTCLFGQVLLLLSQMKDASTPSTVGRISFYT ISFMLAVDFTLFLSLMMVANAAPAVFPTMTLAGFSCAMAVGLGARFLADINKVQAPER RERERERDRAQAAARAPQAAQATVPPTAPLADPAPVTTGIPIITPGGAEVLPLAAPIN APAAPAAPAVVGNGPIIVPSDQDVDAEIADNLANGAAAIPAPATAQRVTIPPLTRRQE MAASLGPALLGLMFFFLLSVSSISWPPAARTFYVNMLSSIYLSFWVPQIYRNIMRNCR KALLRKFVIGQSLLRAAPIAYFYLRKDNIFFAETSGTTMAMLGGWLWLQVWILFAQEV LGPRFGLPKTWLPEAWDYHPILRDDDVEGGEMPIGLAQAAPDGRRSTEEPRKKRDGHS RTVDCAICMNALEVPIAPARDEGEKESASTGGVQGMLARRVYMVTPCRHVFHSVCLEG WMKFRLQCPICRETLPPL VE01_09783 MSANAQNNAGEGLTSRPLSIQPSANQDALDLVKMVDDLLDDIST RFTATSTELFSKMDEMARRLDHLESSILARDSQDDANRTPKK VE01_09784 MIFTKGFVLAGLAAVAVAKSAVIDLIPDNFDKIVLSGKPALVEF FAPWCGHCKTLAPVYEELAQAFEFASDKVSVAKVDADAEKSLGKRFGIQGFPTIKYFD GKSKDPQDYSGGRDLESLTKFITDKTGIKPRKAKAPASDVVFLTDANFKEAIGGDKDV LVAFTAPWCGHCKTLAPIWEEVATDFAAESSVVVAKVDADAENSKLTAALEGVSSYPT IKFYPRGSTEGVAYSGGRSENDLLEFLNAKAGTHRTPGGGLDARAGIIDAFDKVIKKL GGTTNVAEITAEATKIAQELQDTAEKKYAEYYVKVFAKLSESKEYAAKELARLQGLLN KGNLAKVKEDEMTAKSNILKTFEEKEQEKKAHEEL VE01_09785 MPHPDGDSYLESQNLSQPIAEYRDAPIAVHASYYLQKFLDTPPS AEPLLSAIGGFPLAIRIHINADLDQWQKHGITPRFYFDGQATVGLEEVAMKDAKSSME KSNAAWQPYYQNQPTEAVIAFGKTGSVKANHLQRYFQQILIERGLTFEVAPYSACAQM AYIDSKDLDCAVGIMGPPELLLYNINESLITEIDFEKGLVYGLVKTQLLKKLNVNEET FIDSYLMTGTPSLQPFPALNDPAVVPKQPFTILDAVNMYRTAGKSIANLCETWSEALQ KQDPNWQDKYQKCRMAIKHAVILSTNGTLEVMKFNELSGDHHEYIGLQLASEIYHYQS KALVGPRVLNSLGSLRMYVYPPLDGGESDEYRKLVTQQLVPIQEQTIALYASRLHRAF QHKNIEMKFWYDDKKTVKMSHQDVTPAPDRQAATWRVDDETIQSLKDVKAQPGSIGFA LKALEDENFRKATLKKDTPAKPGSIVSTESVVANTLWRFLHLREYINDEHKLTDWGSA LATTVRALPTPNQEEAALLAFELLRHDILNARNRHDEWIGGPNMGNDEQNKLCLLIAR CACLLKLRHKEIGFTGPLSKNLLAHHSIITAVRECDRDLIEAVAASMFISSHAERKNR TDWEKIGASLLFGEDISIAFGITVKTYLDEQHQGHSSAELKAREKSRYEKWPIAHAED ILGDLELAFKFFDSLHAGVKSLGAKVEDQDVWESAAKYLAERR VE01_09786 MGRKVSTTECDTDAASQSRSTKCLSEEEVLQPVSKTIGSDDWPI YLLQDAVVYGKDGETLANLLHTELEGPFSIRGKLVVDRDYHHFRILKDKEYKDCLVEV TNVRGFSIGDGPITLWANGKAGWFEIKPAPIYQEIYNRMTEAIELYYILCDIHTDTKS RGKGKSQKPPDVEQVLDKCIKEKGNKSTQAKMKKLCLEHAKFLLSQMKNRSSADMGWK TTPFFKWLVQNSPDITKQHNPTGRQASISATDQSGSKGKPASSQAAKNKPIGAAATIL QFMNDLHTSGFLRIPRMNIRSLARIMYERFEIIDEDLAKEVIQVHAKTIYHGLGEEWA GSPIFHKLEILSTGHQKVADAECHKQAIGLVLLMRDGIEDEKREVAEPSPTPEPVHRR AGKGAGKGAGKAAGLRLKSTPASQQKRSPTPYDDEPDMRSAKRQKMKDAAAKEESAED EFEEDEDEGDDGDELPIEFDLESTVLPSSAPQGPNGLWTCHKEACGHTIPNAGEPDGR AKVQSHFLWHADEIAARENLVIEAQRPYLPISNLLDKLKRLGEMARLREDDGEKTAAG KDAPAPIKRRLAA VE01_09787 MSQGKIAEGVFALHKPTGITSAGVIRELQRNFDSSNLFQPLLDA QRAAIEKESHNQKRKRRNKKISVKTGHGGTLDPMATGVLTIGIGKGTKVLQSFIDSTK TYDTVVLFGAQTDTYDREGKILKRGPYGHITKEIVEKALSQYRGKIMQLPPLYSALKM NGKPLYEYAREGKELPREIQKRAVEVKELEMLEFMPGGTHEHKLPTEEAGSAEVDVAE RLWKLEREQETTTDGSATSPSAKKRKVDESQDNLVTGPQGTKRRGSISAEGESVKMSG ALPTEQAGAESQSADAPQVGPPAVRLRMTVTSGFYVRSLCHDLGEAVGSQAIMAELVR TRQGQFELGKNVLEYEDLAKGEEVWGPQVSKMLDEWSGEYRPFAGSNAESNIATEQKP VAPTELAAAPAAAPAVAPVEAAEEKPAASTEPTVDSVETAKAEAV VE01_09788 MSTMTLPVAPQLADTEHQLSCPNCGSGVSLDSSRLALDAQRQIE DLQAQVRLLTQKATAAVDRWADYEDELQMMRQQCASQEIARTSTPPAAIQNRLSSFLT SRKSVPNLGQQPPLPSTTTSERDLAAALSREQVLRQQAEGKLDEASGELEELSQQLFE QANEMVATERKARAKLEERVAVLERRDVEKRKRLERLEGAMGRIERVRGLLATQGDK VE01_09789 MVAAKKHVPIVKKRTKRFNRHQSDRFMRVDPSWRKPKGIDNRVR RRFSGQAVMPSIGFGSNKKTRHMMPSGHKAFLVSNVRDVDLLLMHNKTFAAEISHSVS SRKRVDIVARAKQLGVKVTNAKARVTTEV VE01_09790 MSSGDGSEEVDLYVTLGIEKTATKSEIKKAYHKAALLHHPDKVP ENQREEADTKFKSVSQAYEILHDEDKRHLYDTHGMSAFDGSRPGGPGMDGGVDLDDIL QQMFGMGGGGMPPGFGGGPGMKQPRRGKDEEQTYEVTLEELYKGKTVKFASTKNVICS HCKGTGGKESVKPKSCSSCQGKGMKIGLRQVGPGMVTQERIVCDSCSGTGSVFKEKDR CRKCKGKRTTSEKKVLEIYIPRGAMQGDRIVLEGEADQVPDQTPGDIVFTLVEEDHDV FQRRGHDLLAELKVTLAESLCGFSRVVVKHLDGRGIHIDHPRGKVLKPGQVLKIDGEG MPHKKSDAKGDLYLVINIEFPDNGWIEDEASFGKLEAILPKPNAPIDAPEIDEVTFTE DADIEEFGASAGQGGRGAEWEDDDEDEGAPQCAQQ VE01_09791 MSLLFIGSSRRTLTPLWTCSSCRRRISKLATAGTARPTYKLPET PARTRFAPSPTGYLHLGSLRTALFSYLLAKSTNGQFLLRIEDTDQPRTVADAETRIKE DLKWAGLHWDEGPDVGGPYGPYKQSERLDIYRDHATKLLDTGRAYRCFCPREKRNLGE AGLHASAGFKSHDCNRVSKDTSDGRAAHGDPFVVRLKLPEYTKRYRDLVFGNVASSKV SPMDADPVLMKSDNWPTYHLACVVDDHLMGITHVVRGSEWIPSVPTHHHLYDAFGWQP PTFGHVGLLTDLNGQKLSKRNFDLDISAFRNMGVLPDALSNFVALLGWSHTQKKDTMD LQELAKNFSTKFTKGDTKVSFDKLWFLQRQHAQRIITSAKTPDDVQSIIQPVLAALKQ NCSSWVIPESGTPADQQDRLLQILKSDAPNYKNAGDFVERHKYTFDGPSAEDLISIKS PITVLGHLCHLSNLPEEVKPEVVNSSIVKVVQQVSAILSQEDPSLSTDEKGKRLRAHF SNVVQNTVSQNLREINVSDNEDLFVALKKSWAALLHKYIRWALVADTPGPDSASLITA LGPMETLRRFDQAEKVALGQLGSNEMADVEK VE01_09792 MDTARRAWELDNNVQLVDAHRDALYSYDPTEQKAINDAKPWKTN PRHFKNVRISAVALLKMVMHARSGGSVEIMGLMQGKISGDTFIVTDAFRLPVEGTETR VNAQDEANEYMVGYLEACRAAGKMENAVGWYHSHPGYGCWLSGIDVGTQATQQQFSDP FLAVVIDPDRTISAGKVEIGAFRTFPEDYKEEGNASRDGYQTIPLAKVEDFGAHSSRY YSLEVTHFKSTLDSHLLELLWNKYWTQTLSQNSLFTNRDYSSKQMLDLSYKIGQAASA TSRANKLTVGPGMPRAADQEMEKISKDSKKIAGEVRIGLMAGEVKAQLFNGVGSAEST KQVDVNSGGGQ VE01_09793 MDTSYLSSQVSSIVGQLHGLFDEIGVPNHDRESREAELFAALSE TLHNQVRIVSAEKNEMAEEAHRIITTIKQMESSLDDSKDTHDYNVEDPSLKVSYPLIQ CLQTLKEKHLQISKIHKERFEQVKKLVQALESYSSHLESSFIRIPLPPTTANSSVPPT FDLSPTYVTSLDNEFTRVYEEYTRRVATVKALSENIIQLWAELGTPQAQTDAAIVKYY RDSPEQLGLHEDDIARLRSKRDKLGDEKKARERRLKELRTTVEGLWERLGVDEPERKR FLNNNRGCGVRQINEFEDELSRLNELKRQNLHLFVEDARYKLQELWDGLYFSEEEMLE FTPAFSDVYSDALLEAHEQEIDRLESLKEQRAPMLSLIDRHRSLVKDRDDLSAASQDA SRLMMRGQKGEKRDPTRLLREEKMRKRIAKELPKVAVDLRKSLEKWEDEYGRPFMVHG ERYLDELEASEVKQAPGPRSRTPAAPPPAPARAQKSAPASRANSMRPPPSRAGAKTPV AQESIKRNPLASSVSAAGGRTPSRIPARAPLSSLKHGNNSPERKAQADKRPATGTVRN MGPPARAPPPKMREFFEPPRESASDSRSESFESNSIVRHVPPEDVYDDRNYEQYSRGF SNANSYSSSMRQDERAPKFSCPPNPPSRQISNTSASATTVSGSENWETYDDVSEPEQD ASDDYYARLRAARGKRFTPEDGYAPPQGAQMKKHKGYPPELHAGHVVVDSHGNRIMSG SEANWTDEDAF VE01_09794 MATGDAPHDSELRSPRPPTGPKQNAALQGATMAFGKPPVKPKPA LKTINQNPALAAASKAGRDSNLAGRTVSSQNTGTTTDDDVGDTVARHHTGRRISGAGL DGGPVRSKLNDFPVDEKKPIQRQAKGNSESHIAANLAASRSVSTSPNRKPTTGQQRAV YRLGGQHNAESRELLENTNGYLSPLSAETNGNAFIPPTSQLINAFEKISSTPSKTDAS PRYQRPLSYSGNGLSSPRPEPNLPSPAPKSPEIRTSLNNPKPKPKPKPKPETLPGRVL PESLGAYSPIPEPDLAKRRPLPPSISTTKPTEKYTEKHINKDHIAYPSNTEDDEDASS ENSFVSASDGLQSDYRPEPVAPRPRHTHPNQHRPFIASRDTTATSQSSMTVNSLANAM VASALASSRSQSPSGPSKKSAPAPPPTRRSTTSIFANAQAHMSQSSRTPSPSKPSIQK HHTGGKSGMRTTMRKTPRSSDDEDEGMLKRGRKNLMKKHPNKHHEGDRKRWRDAVSDR ERKRYEGLWASNKGLFTFPSTVTKSVPGITSPSPRRNSTISAAKPGNADSLRSPPEDC VSSLVVRDIWSRSRLPGDVLSDIWELVDRSGTGMLSRDEFVAGVWLVDQRLKGRKLPQ RVGDSVWVSVGALGGVKVKDKHAKGGRKAKPGDSRDLR VE01_09795 MLAPRSFIFSSTKQSAAALPLSKQPPTSDAQRATAGNEQKEILA LGCDPTEIIPADPILGNVKGSSNPVGIPIRQVRDPSQRNHGAKGRRYDGGETSGPRSR DQQSQGKASAAVTSLLANTTIPPPRFRTLPRRNGQAQRLTLDAAFSERSDSEKEYSPI LGGSPLDLLLCSPDELPDIDPLGSDAESPPQLSYISRGAISTDSVPSLTDNSIGGSYL SFGSSVSPQSRGKRFLPTRKLEPLASTLGDLPLDHPLSDPDLDSWEIDFRVFGNENKE RQKLGEIPTLRQKSAFKSNLTASLRALRSAAKSISNMTAPMITPDDFLTRSIIAIDPK VPFTDERMPPRLDKMPTPALRRYLNPTTNAPSEAHVHASRPQTTCSKCTASIQMETYN KVSKAPKTEAETATSKHSGNSSPEACNGPGTRQRDMRENSDFIRVAVMEMRMRKNGKL DENAPGRARWALPPRRASTGIYEINEEGVPLRWVGVSAG VE01_09796 MSSQRAPRHIDKEAREDDDLQYGHGPLSKEELEAKYPNRPLNHH KTLPFHELLVSLFTPLSENKKKPTGPSAAGRAKRGPHGPTHQSPHEVRRIIIERFISR WRSEVGHDFYPALRLIIPEKDRDRAMYGLKEKTIGRLLVKLMKIDKNSEDGYNLLNWK LPGQTFASRMAGDFAGRCFEVLSKRPMRTSMGNMRIAEVNQLLDQLSSAPKEEAQLPI FQIFYNRMNADELMWLIRIILRQMKVGASEKTFLDLWHPDGETLFNVSSSLRRVCWEL TDPSIRLEGNETGVTLMQCFQPQLAQFQMHSFQRMVDNMRPTPEDTEFWIEEKLDGER MQMHMVEDDSVPGGRRFGFWSRKAKDYTYLYGSGIEDENGAITRHLKKAFDSGVRNLI LDGEMITWDPEADIMVPFGTLKTAALSEQRNPFAGNGPRPLFRIFDIIYLNDEPLTQY TLRDRRRALERCITNVYRRFEVHSYTSAHSVEAIEPLLRKVVAEASEGLVLKNPRSIY RLNSRNDDWMKVKPEYMTGFGESLDCIIIGGYYGSGHRGGRLSSFLCGLRVDQNHIQK GADPMKCYSFFKVGGGFKAEDYANIRHHTENKWVDWDPRKPPIHLIELAGGEAQFERP DVWIKPCDSVVVSVKAASVCASDSFRVGLTLRFPRFKHLRMDRDWSTSLSIQEFINLK SRLEEESREKEFTVDTRRKAAKTSKKQLLVAGNDNKATKPYAGHGSDAFHGLNFCVLS EATKLPKRSKAELEQFIKANGGSIFQSPTIQADTIVIADKKVVKVASLIKSGLQNVVR PRWLFDAVQQHEIDLGREGQLVPFEPRHMFYTVQHEVERIQQNVDRFGDSYGRDVGPA ELKEICDDMPKEELGSGVETDALLSQLQGQHHDLGLRDMKGFMFSDFHAYIASWQRGQ GVVDLGEDDLRCLLVEHKLRFGLASVISDLEDASITHIVVQEGVDKLKSIREKISHRK VVPRIVTLEWVEDSWREGTLLDEDRYAPRG VE01_09797 MSATSLPATVHISRHPCVQAKLSQLRSTKAGARETRALLHEIST IIAVEATAAGLTVRDGPIEKTTLGYEYTTSTLAPESISLVPILRSGLGMLDAIQTVLP EPVPVHHLGLFREPTTLSPVEYYNNLPYHIPGASSPSAAPARNSSASKLAILLDPVIA TGGTCAAAIQTLREWGVEKVIVLAVLGALPGVIRAAAEWPEGTEIWLAGLDNDVNEKG MICPGFGDVGDRLFLTIGK VE01_09798 MSPPPPTSETTPLLPSNSAPEPAPRETRSVTFNPTPSVATIPPT PMPMLSALNHRLRRRNSSGAPAGYSPSPLGPNPIPASKIGPQRTSKTAQKLKLLPNPD FGEEGPDEESGRDVYSQYTRIKDPTARRDAARLGKADRERLPRVTAYCTANRYRMDRL MQFLKGRGKGRGAAPRLFDECIYTPYNYGKGGVGGKTVRSAPVREAPAETMERRYSDS VVEVEGRQEDLISLAAADEDVPDALLPPPAAPHVIEEEDTPDFDTTVHVPEIFIFAYG VVVIWGMSVAHEQRFLGELARFEEEKLGRDDIEAECFNFYYTQEYQARVYNDFITLRE KTNYMVKLAISHALAQSVKTSLFEELVDNTITTCQDIPASIARTGTIALSRKEINMQI GELFILRISIHLNGSVLDTPELFWVEPQLEPVYQAVRSYLEMDQRVQLLTERLDVIAD LLAVLKEQLTSGHGEMLEWIVIVLIAAEIAVA VE01_10278 MSLSLKVFPSLDKRLEEGPATTTDIESGASVHVDKATTDHIDKA SERSYVRKLDIYLLPYLALMYFFNAVDRSNLGNAETDGLSKDLHFVGNEYSLLILLFY IPFGTLDLPLNILTKRFTAALVLPILMITWGSVSLLQCAAFNFGGLLVCRLVMGACEA GFFAGVVFYLTLFYSRGELGFRIAIVFGSALLAAAFSGLIAFGVFHINTTIPGWKYLM IIEGSLTLVFGVIAFFWLPASPQTAWFLNQDEKAAATARSLRDSSNKVSTKFNLKDCF QIWKDWKFALWCVIAFVYPVAFATTSNFLPQIVQRLGYSTVKTNLWTVAPNAVGFIVL LLVAKSSDYFRERTFHIIGSLITSLIGMIILITIDVLDHKGVAYFACFLMAAGAYIPS CLVQTWHNNNNLDENSRAATTGLLVGLGNFAGILSAATFRVEYAPKYIPTLITTCICN VIAIASVLILGLWMRAENRRRNEAIGTNLRAQDVSTSELSDGENSLKFRYFV VE01_10279 MLFTEEERMLMSNHLTQSVGYYHLDKALEEVESSSRVQSAVTAI VGPFGSFHVSVLSSCPNSDQSARPRVVSSSSQQDSEDERAITSASPLIAPDGWAQATS NNDPFEDSSLTWGLTDNGTASFTSPVLTSLLEMDGLGSGNWGVGFPTDFLQDVTISQS PRSLQVRGSIMDCGPIPENMHFLLDHYKNQAETSFSPLRVRKPPWSVLHLPSALSAFS ELTLFKETNNAKAALLYAVLAVSAFHLDKVVASAGSSNYWWTVGEDLRHRAKAQLQSC SYNELVGPGRSKYKEILMAILTMVTICVVNGQQNEARSYLLNAELLICTRGVTKQFKS RKVRMLHYIYLFLRVMEESTYIYPRTWRPLVTPDYSPLMRFPSIRTYSVGQGTDIDTI SGGNFEIGLFGESCEAPTSETLFEKIYGIPEAILSLISQTTSLANEILALGGDEACLP PDLAHRSKVLENEICSWAPPASVSDYEPNNDAIPENRTIMPHLILGIHCSLVIYFYRR IRNIAPLLLQSYVQKTIEHLESNEQAKLDSSVINTGIVWPGFIAATEAISQELQERCE RWLRECARQSGMRNFDTAADVAREVWKARKESRDMGVSWVTLIRDRRVALILT VE01_10280 MAPSALHQNHILTDSNEKQECETTDKTALQAISKGVCLPGIPIF NDFEKQRHWMLEHMALAFQVFARKGFTEGMSGHISVRDPENPHTFWTNPLGKFFGLLQ PSDMILVDYSGSPIGGNTSLPANAAGFQIHSAVHKARPDVIAACHTHSKAGKAWSTFS RRLEMLNQDVTHFYGDAQAVYSEFGGVVFDEAEAQRLATALGQKGKGMILRNHGLFTV GKTVDEAAYLFMLMEKSCEVQLLVEAAAANGITKVYVDDEVAEYTHRMTSDSETLYCE FQPDLQWELECSVGNRERRLRGKVDT VE01_10281 MDYAKQKGSVKGAAANVDIYRSMGKAIHFSIFTPFTAGFNIVEL SIADLQQALTSDLITSVELVDKYLQRINTYDSSGPCLNSITKINPNVFEEAAASDDRR SAGLPPRPLEGIPYTLKDGFKYKGMTVAAGSPAFRNLVPNEDAFIVKKLKEAGAVLIG KTNMPPMAAGGMQRGLYGRAESPYNPEYLTAAFSSGSSNGCATSTAASFAAFGIGSET VSSGRSPASNNGLVAYTPSRGVISCRGLWPLYPTCDVVTPHTRTVEDMLTIMDVLTAE DKAKKGDFWREQPFVTLQQVKHPATYKSIMATSSLSGKRVGVPKMFIGGLDLKGKPTH VSQPVIDLWRRAKADLETLGATVIETDFPVISNYEDDSVSGQNNNVEGCPPSWHSRER SEILSYAWDDFLIANRDPNYPSLGSADPAQIFPKPEGYIPDMFGEVKNIIPYPDIVAF IKDGRREGRTLFDIADMPQALRALEDQRKRDFEDWMDSLGLDMVVFPANGDVGKADVE TNLASAEHALKNGVKYSNGNRALRHLGIPTVSVTMGMMADTGMPVNLTFAGKAMDDIN LLRYAYAFEQKTPGNSLEDLKAVIERLSAVTTTFESVLADVIAQDQEPPLKNNASPIV KEMKNVLEWTELLNTKADTTSSEPEYLFRAYKYTGTETGRPTFTPEIDVPFSLGHRST IPVDEFIRDLAGHLSKTRMETETYFVSMSPMLEWTLHKAGQIRGKNKNTKVGLAIFDV TKLCNNSDTTIFRVWDVLEFLIQKSKEYMIPQDVSRWAKNCNEYVSVGKIPDNGLVRW VKWEELYPSPVTVISSTFVWSYTIKVFRDKVVQQTLEIDDICGRIINLGKVLAGPHDN LILPLVLLILKPTIRFWGFSTEMSDDVIEARIHDLLDDTAAEKIVKLNIKD VE01_10282 MPPPHETLFFLTGGTGPRPTQAAFFAAAAQRTAKTQEIRREREM RKEKMREEKVQRDKALRDVPGLGARVMRLLGLRGLGGKGVRKGRGVEGGQVEKGGDAS SGGGGDAGKDGGGISKGELPKDTPAIMEPEKGTVENDTVQSAGYDGGILSHGETCAGA HQGMPGLNLDGAGDDGHINIGEIPHRQHEEVEMLFDAEEVIAAGLKFDGDNNPEDIQP PIIVPAPSPDNDAKGGCDPGPNLLQVPPKDTQPELEIDINPDDIRQPTIVPAPDAGDN LDCNHNDRSAPLPALLKNTLPGSESDIKPGNIRPPIIVPEPDPGDSSDPIPSNHAPLE SEGDLNSDDIKSHMLVPAPDPDDSAEGDVPKDEPAELESSINPDDIQAPIIVPTLDAD DNANGSHNLPLEIPTNLPVVLTPHDADLDVQIQHDVRDPFSPTPHLPPPWEVTNIPYP HDGNDPFAPTPQHPRSWERPDEMFQAIHSSPPPQLGLITPSEASETPHPSLPILSPVS AEFGQRNTPSPHIDLPTPSFFLYEASSRASSRAPSVRDVIVEQSPVSSPAFERNGLDA HLVPLPASREPSVVGPVRSLGNHIPINDVESHGINSAEMRFAAGTEDVSHRVLTSKSS YTGNKMGNSVNESYDQAITHPLPSREVAQQTMKWVRSGGGGWSGEWMRVIE VE01_10283 MGGKIQLPTGKSSRGPQLSYRDDPDRAETASMASAVTMDEYPEE EHLPSYHDVPDIVPQTQHEISYHVIPAVPESTVSSDLKTISTTYSPFSTSVETLSTFI TEQAAYPPTYTLYLKGTHTETFRGRGDKGNKKETVVDFDIKLPMTHLLICPNPIHKTN ASHCTDQRGTQCRYLLTPQAGEKAHRGTVLANSKDINWQPEDGESMIHSWCQAYVEDK SSIKSLEITRTVLLHDTSVVEGLVRRIVDSTSYRGHTKVHAVATNSKIIIYSPHRINT LRQNKYLCWFTYLTFLWLFTWPALFFMTKRYTGITAAFPYRREEADKINAKNLNNCTA KPLVMAEPAFVASWRDALRRAVLGQHQGWVDEVYRAQTSQMAISGNDNARVQSTGAAF LQGVVGAAS VE01_10284 MAPANIEASLQPGLILRMSPADFASHLCGLVASAPADQGDATVA AITSQLQSHVHSNAIPPILFSIWLPMALAHLPQLLGDVLGDKESSGMRKAGTRQLRRI RRGRNWSENEWKALGGIEGVRSLFNNLSVSDVRPLVMAISVGARTRGAAGDEAVDHIL EALTGGSSEVQRLELNDIASLLVSCSTPFVTKWLSKEPLPSFPLRALFKWLVGSRPDL ARNIATGAAKVHPEVRSSLVTNPPAGLIWSPAPYKPKYTTVELSPKSPPGMRFCFDLL HSLRTEPMSKTGPSAEDILKFVQIAENQAIRHKTPFDDILSLVQVGLDVAELQVEKLK LKLTDPRLVALIYYWSMAKYPDYIPDCSINHKKGPLSAASHPSRPNAKHQADLETLIV HIIKSAIPYSNVYYMIYELFELLPKKSFAPSAGLPLLKLLYCHIPTVRVDLDSPHLID EEWRRIDLDAAILTKLPSSDSKWLFGRRPNLLDAGSLITFYQRGSPWTSVDTDTPWWF KHGLLKIMWEVEDAQPRSGFYETLKFLEKVKTEAEKSRDPDIRASWALRAAEVAVSSN SVAIMRDVTVWSRRYQRDHNVYPVITEKLQHWSAGDVLSCVTLPPNRRPASLSELKAL VDEANSILSLHIEAAVQYLREPSFIPSMFISLDRLLREVLDTRIDGVQTLRKLGLGSK SELVSILIESMMPVLLLYEETSLVDGNGPLRWDTPNGPLRTEPIFSPRRLFALPFLDN LAKRRDELWAQARLKRNDLVATLDKGWPRGLPIQYLFPEDQWMVQALANPEAAPFVAE RVRNVIFCDAETALVPIPHNTEAIGLFVDKLDVAIRSYVGSGSSAGALGRIERVWEHY SHVVPAAAGHIQFVKSVLKDIASSGGIEWLKDDVVSPLPPSLPVSENNFEVDLEPTEW DPRPNGGGRDDKITKNNKVDEKPQNLLLSRVSATKSVHYRCSWYQQEFSKPVHSTLTK SVDSEPGFWRTWIKEIHKLPMSSRDALVASAMLYLDAFVAGNSRLLSNQFPAGAFHPR YPPMYLDYEFLSSAGKSSNTEVYVKDAIIALRKLKSIVPPLLLRDLANSMLNKLVDMG NDEHNHQLESATFKIISLLSHSDQPHLASDLGLKVIEKMPDSSSWHRRVISVGLCKRL TSTQVEDMLHKFSHYIVDSLKGQHAGHETENVEYSQDHPKRKYAKVTTIKLLAELLEN GDLVSNKISLDILRSLFVTSNHIDVKVAALSAIRELLGKSVRLGLEPDLRAYKTFTSF AYAATGPDERSVVSEAEWLAAENGGPLPNCDKKRPLLELFLWAKSRIPDKFHEDYVSS VLLKILDESTRQHTRWMRIFLGRFNLSAKEASFASFGPFEQIFHSHPPGVENYADKLL LEWPEYISKDYLLLNRELSLSLGYRDCEKHAHINKKITAEDPTLWETNAGDHWRHYLE THTEYRSAFEPLGRLMRQNIQPRVPDGITLEDVEEEYFQRVAIAARDPRCYKDRDSIV SLEPFEYAISLLVSPGDSRHNLISILVSYGDTGNMVESKRRLLERIVTDVRDLHTKIR PDESSERPPLLPSLWNLRCKLAMTSPLIELVERVNSLCEECAQSSFAMGQYQQLVNAV STIPSDSKTFLLVKLGVAAQNQHDTFAGCIKAQLVHHLLQGTKTITAPDMRMEVQALL ASWLDSTNDNIRRLAFGQAERGRLGKLEDEFEEGDNGENKNENGEL VE01_10285 MDQYGAVANRVALFQLGLDYDFSAADFNDPFEFNASGSQFPPID FPAPATSSTDSRPRPKTRVSLACIPCRSRHTKCDAISPACTQCIGSGKTCVYAESRRG RGKLAILEQRQISSNGGTQVESEERQSRSASGANSTTHSMDSTSSSFYLRTSPIDLSP VGTANETTAGCISEPENSSKLLDLYYAFFHDAHPYVLPQKFLNQRLQTDSDSLQHVLP VLEFIGSLFDQGSDRSSMQERAESPLLIDSLPANGFSVQALLTFAIAVHSCDEFTNAR VILDRAIRIALSINMNSEAFATSNGEGSAVLAESWRRTWWFLFLTDSIFAGIRNCPSF ALQDIKSDVNLPCEDADYNAGNIPRPYTLEEYNSREFAGEELKFSSCAYLVDLGYIAG SILALGTEPQGTFEPAVISADAKLMNWFMYLPKEKQLVVEDPGKVDEVMFHAIMLYNT LKVYLHRPRSQLAYGSVERNSRCAHPPSEKLVEEEQRAFDFHTTKVLEAAEAGTGLFT LPSSFTTHSPLAICGLTLLILAQMSACRFKLKGAEYKAARDRVRLGLGAIKVLGKVWP IGHVTVGEIQTIARDVLSLQRPGDDGTIANNSNGSTGSDGSLEVVMT VE01_10286 MSATKTEWYKDQFLISTSQDLLQIDVITKAFNADYMYWTKGMPE DRMKKMLSKSLCFGVYILPESSSDIAGRGSLTQIGLGRLVTDESSFAYLTDVFIIPEH QANGLGRWLLECINETLDSWPDLRRAMLFTKGEPCIKFYKKTMEMAILEPGANGLVIM HKDGHGSPYKEV VE01_10287 MATTTTTIQESTGESIGKIAVAFDEKVHEGSRYTAYLPVYDNET KFPPTQPFEFRDRGLDADPAKPNLLKTGDPNIEVTRLTPRIGTEIKGLQLSRLNDAQK DELALLIAERGVVVFRDQDFKDIGPEKQKEFGQSFGPLHVHPVGAHVENHIEFHSIYL GSDNLYRAQQRSSKLTTTGYHSDVSYEHQTPAITILTLLEVPSTGGDTGWASQVVAYE RLSEPIKVLLEGLRAEHSGHEQAAGAIRDGKTVRREPVKSQHPIVRVHPVTRQKALFV NPGFTRRIVGLKDEESDALLKLLFKHISQGQDFQVRVRWDDRTVSLWDNRVTAHTAIS DYDVHNPEEGLRHGFRITTLGEKPVGVNGLESTW VE01_10288 MATIQEARGSVSLIGEAIDILATSAIPDLKRKVRDFQIQTTPFH ITLVTKDEKRNLSPAALASLVKFTAASASEIGIFHHLGTACIKRGGSDVAFIVVIWVS GQQIRKRLGLPHKDFHITLSANDNHNIDKSIACLRVGEFDVQNASLECLDHLTFTLHN AGRYLDAKAYSQEILLRDPESSKGWLRLADAALQLGEFKVSMLAYAQAWKASENDKMS AYTVKMLHKCSTDTEWGHLLQEEELTQLESVSKQIRQRLLTPWPNNLRESIADMGVPP SLCLEPRRHLSIPDSIGVFSLPRFFRWLVPFKIAVMSTPRNGRDIRALSSDGIGIKTV LTLTEEEPLDQSWFNTRIKNVFLPIRNYYPPSIEQMDIAMRILTDEESLPVLIHCGGG KGRAGSIAACYMAACGFTKPNLQSDDWQPAMSAQDSISKLRAIRPGSIETEQQEVFIS KWVSVLWKRQSLFPTAVPEPPACPLDITGQLDGSVDFLMLVGIPGSGKSWVAKSLLAR DPRWTYVSQDESSRSACETAVSRTKGKLILDRCNTSAADRKFWLQLADAKNAVCVLFD YDTELCVSRAQQRADHPTLPPGSRVLNAVKQMTEQFSAPEFKEGFKAVLTVKSFAASD DLISQLSPTIGLLKFPRTAHLIDLGAIGSDDILLPSAPALSPGCTVVITEKVDGANMG FSLSSDRQLLVQNRSHFVNSSSHSQFKKLDSWMARHREELFGLLNQDKYFPQRYILYG EWMHAVHSVSYNSLPDRFLAFDLFDRGEGKFVNRETLETLLSGTEIHITRVMEKRDTI PTDIELRALVQRQSAFAEGRVEGVVVKIEDKNWVKWRGKVVRGDFLAGNQHWSKNIMQ ENGILVTNMEELDIAS VE01_10289 MVFSKVANREEPVYDATRPFPALEVLYHYKVLSAPGNSVLALKV TFPPGGSTPPHTHAGAFVAVHVLTGSVLNKMNDDPMTIKNAGDSFYEAPGCRHRISDN ASETEEAVIIATLMLSTEKMDEIVNKEGAAGLVVIDEEYREAVGAQMKKLQAQQGAK VE01_10290 MATPKLQKAILQPDVQSTDVILITDHPVPVAKPSSTEHLIRVHT TAITNGELLWGKNFPIPAEYSATKIMVPCNDVAGTVLTAPESSPFQPGTEVYARSNYY RTGCAREYSILLTEEMAKRPQRLTWAESATVPMSAETAWQALFVQAGLEPKAGSAKGK RIFITAASGAVGTWLVQLSKWIGAEVIGTCGSSSVEWVKSLKADTVLDYTNTNVKEWA SVEGNKVDLAIDCFGGKSLEDAWWVVKDGATLISIYQPPEQKKPAGVKGNIKNFFFIM ESKGDQLQKVTELIDCGFGKTALDSSFPLDHFQEAFAKLKSGKAKGKVVIDLGVV VE01_10291 MRHSALPPLRSTFSLASIRSSFRSSRSSSTASSTRSRAPSTASA SWNHHDTFAPSAGSSASSSPADEFSSLSYNPLSRHPLRAARRQQSPVATVRSILSRQS SLVDMEEEERDFGREIGVLEPRPVVFWQGLEERMGF VE01_10292 MASNNPSPRHSQSPPQSIPLQNLSRPPDTDAGPSEGEHRGHTRG RSLMTGNAAMRGPAVRYSRLDDSSPSPTLRVLLPTGQHMQPSVEDELSGGSPVENSHA FQMAVVGLSMPPSSQPLSPRSPDPYGPSYGRGDVGNSPYGTQQPYGDMGDGYFNTTTD SDQVGLTDSNYLAPISGAPNPSTPRQSSDRDRTSFQSVRFDSPQTSRQSRLGDNLGSS PNGRSGGRERSRSFGKSLSPDSRRLSPSPSPSGAGPLTRAGSMMRAMSQRVVNFSNDA ELPEPAYRRKDSADETLPNSGLPPILVTDEENMDYGPEMQQLPIEKVPSTPTAELPEE PAAPPWPITNPLRGNSLRIFAPDNYIRMRLCEFLVHPWTEPIILILIILQTVLLAVES SKDSFTNPMPNTWSGSPMDYAMLALFGIYTLEITAKIIVSGFFFNAEEYSTRKDVNQG LKTTFMSKYMTFFGPRRQQSVRVPRHLDAPPISEAISRTFTTAMKTPVAYPTTIEEQQ RYQLARRAFFRHSLNRIDIVAVVAFWISFAIGITGVETRKHLYLFKMISCLRILRLLW LTNGTAIILKSLKKAAPLLVNVSFLIGFFWLLFAIVGVQAFKSSFSRTCTWVDPEGQS NYTSDFQLCGGHLDNISGVALPWTYENGTSGARASKGYLCPRGSLCIEGESLYNGTVS FDNIVNSLELVFVIMSANTFTDLMYYTTDSDYLAAALFFAAGIVIMLLWLTNLLIAVI TSSFQVIREESKRSAFTMINTPVAVVEEVKPRSRTTVLKKIYTRSFWFWILVIMFGIV SQACRSATMSARRANFIFVAEIMVTFALDIEIFFRLASDFRKFPRSWKNGFDTLLAII TTVILLPPIRNSGQPYAWLTVFQILRVYRVVWAVPITRKLIEKMLGNATGILNLVLFV FLITFLISILAVQMFRGDIPAYDSDGNTVRTTFFSIWNAFLGLYQILSSENWTSILYN VQTYNDHHNTAWMGASFIIGWFILAYFILINMFIAVIQENFDVSEDEKRLEQVKAFLQ KKDVSSSSSNLSLSTIFRFGKTKKTKDPLDYGQSTMEMLLKASVVRDFLDDESGEPQP GLNTSHTFDNAPEIAPGSLSGLWGKFVAQVWHREPNPFYSSIRFTNAAEGLDPRTMAR EAVSATALRKRAQRDYLNRHPSYNTAMFLFKPDNPIRRFCQKIVGPGRGAERIDGVEP NKIVWYTFSAFIYAAILTMVVLACVTTPLYQKEYFETHNFALRNWFVWTDMAFAAVFS VEAIIKMIADGCFWTPNAYFRSLWGFIDGWVLITLWINVITSFLNDGAISRAVGAFKA LRALRLLHISDSARDTFHSVIFVAGWRVLSAIFVSLSLLIPFAIYGVNLFNGQMQVCN DGGSGIINLSDCSGEFNSSPFSSEWTFPAPRVPANPYYDFDNFGSSLFILFQIVSQEG WTDVMWSAQSIVGRGLQPRDFASQGNAVFFVVFNLMATVFVLTLFISVFMRTYTEQTG VAFLTAEQRSWMELRKLLRQVAPSKRPSTKSKPKWKDWCYKKAVQKHGFWSRTVTITL VLHLILLMLEFYPEPFWWTRTRDALFLFFTVIYMSNIVIRIVGLTWPRFRRSSWDLYS LVSVTGTMTTTILLFGKQDSVTLYQLHNIFLVSIALLLIPRNNALDQLFKTAAASLTT IGNLLATWLILFLVYAIAMTQTFGLTRFGSQGTNNLNFRDVPRALIVLFRMSVGEGWN QIMMDYANTRPPLCVEESTFFDSDCGSSSWAYALFISWNILSMYIFVSLFVSLIYESF SYVYQRSSGHEIVNREETRRFKQAWATFDPDGTGYITKEEFPRLLGELSGIFAMRIYD EEDSVLRILEDVRAQPMGSRTTSVATVSGAMGIDLKKLNDRIARIDGQKVRERRHLYN IFYEEVMVSADPELGISFTSVLMILAHYNIISDNKSLKLDEFLRRRARLQRVEEEVHR RVVIGFFDTMYWARRFRRHLNRHSLSRITEISTFPVPEIFVEGDDADARSVALSADPD DTRRSMRATVDFSDRHASLRDMIGGMGMGGLHSRDGSVDSRGSRGAISGLGSGASSPS RSPRLRPHRTNSSVSGATMDLSDVVPARREGMHSRSGSTAGEMAVEAFNDSAWGASMR RSYTTRKSGDRRT VE01_10293 MVSSRKRGRQEVEAVEAPTPAPKEPGLLERIRNMWQFANLAQWV FLFGKVVKLEEDLDIEDLEMECLKHHSTKLAEIGLALLKFVSSHRGLIPEIFDEYTRR QYVAKAPERNPFGTEDEPAKFAEFDIFTKIKVLQQLTQWTFTNPDRIRERMEEQKDSE QTYWRVEPFGWDSEDRTYIVLDDNRLYRQTEPPPPPAPKATPKKNSKKARAAARASKR RKLSRVPASDSEEETEETEEVKEDKAAEDDGFGGIKWECLAITLDELNNAIASFAKSR DPNEKSLRQRLVDDLLPLLEKQEESRKRKQIQKERELLNLEKLATAKRSSRIAGKLEH QRQEEEAREEERKRQEELAMAKKEQEKWRKLEKERESRMQTREQRLKEREARRILHEE ELANLSEDNKKLESGQGRLSERHLKAEIERKKAALDELQEEDGDWVFDCICGAYGHVD DGTLSIACEKCNVWQHTKCVGVSDADANRDNFQFVCKPCIRRAKEAEEAKKRPPITIK FNRPTSSASNAPPTLPPVTANAPPTLPPVAAMVPPQQKDETVRSPPSSPLKHRLVHSS PSRSPYDSPYSNGQPARQPIAYSNGSANLSAAPFPTFDNRPVSQGSVPAAPNWPPPMN GSTPARPVFGAQLNGQSPFTSPLPHSPNSFPPPAPPHNYALINGGHAPPAPASVTSTP APNGARYAPYDQSGRRSSLSFPSPLASAPVLNPPSTPGSSFSSVAGPPPPYGLPTSTP AQVRTPYASNAVLPPTSAGMSPTKQSPPRPMTANGAGSFSGSVAMGTPTYIPAIAPLS PSPMVQDLSPPVKASEPGRWTGGERT VE01_10294 MSAFTALNVEPDENPEDDFDHTREIQLEEALKLYQNALKLHAQG PTYYEQAAEAYDKLFKSDVFKYPESLLDFTQDIPSVNLEPEPETESPLEVAFAPTYDD GPSTLPQILYLAYKNHGQFIMDCLKHQMKIAPAMPRSGLAPQAQHALEQFTQALARDE SDTELWRRAARVGELLGSNTISRYCLEAAVEVDDDPTLGEVDPGNLEEGFAGIQLKEL LVVLSDNMALSHPIMAPYEKKHMPVKLKKYLDPYPFLSKLSARDDDDAASAATLEGPG LGEVKTFTWNSVAEAIGRIFGAYNGESGSALKLTGGESITLQLPEGDIEMIDPEVETQ QPPDIAVTSSEITPPATDAPLGGQEPQETTQDGNEVKAEEEESPPLTRKRSQSTAGLP ETPEEEAGTQKRSKRIRNRDTLGGESALPTDPNIGLKCAYDAMCSGDQDMFSYIDGLL DKLNVKELGKASDIQALVDSDRPQVESSVQNIAMRDLRDLIHKWDSALAAKFQSGNGL DIMGTSAGLQKNGLTAFLEHSKTESLKSQTQAEPTSAAGISTFVKLINGNSLTIQEVA YRYFKAICSSYRTTLWPSTLKDENIKLMHIMDSVLYSQLETEYQELQYKDAELVEWEQ LKEFSQMMLELHLDHYISIGKPQKAEDDNAYLTAKGRLDKWAMLTSDIMQGQPNEPAS EIVMRYLWASVLLANATEHVSREHMVLCWTDLQSLLQKSETAKIELYNNVAMPEVSAA AADREISRLTTMDFFLNLFKDNSDPTAIIETLEPVIDDQTNVSEDKEGDGEKEPAPEV VMSPATRDMRKFLENGTPSLRLYLWQRLREAYQEIEYPTRVFSCHLKCIEIIVEYLQS PTFSQIPQEARHAELLGYIKTIDELLVKALTLALNDQTAFEIVDESHLAASTTAIANL CRILHTGTLMEDQVTAGLVPDNTTVVSKEKGKPNQTLLKAFLLRLSEMAIRSWALLYT LVREGVSQNKDRFTAPDNDLSTYLATVHHTLGLRVRCERSNKIFLKMMKVELIRMKYV DKWEDYLGQVLWDLYGLRLGEKIGVFEIMDHGCDREKLDRRTAMSLVEHVVLLANRKS MKDLLRDELRSTIESMQQVLGAAKTNAQTSHNLRNITEYLKLSVSPLRLNKALKGMEY IDTLPVNTTESGLAEKGWFFLLGMVSLSKFRAQKRTHAGATDDLRVAASFFRLQLQFT AEHWETWYRLAQCFDSELEEDVMWSTEKLNNDQAPLISEQRSAIHCYTMAVSTAMRTA NPSFETAEKMSAVFHDFGLRIYSSSRDPFRMEAFYMDDFERHMSGPSGMYKKNVHEEL TRYKAWRFAAELFKRAIAERPNNWMSHYMLGKCYWKMFTRVEDEPDARARAGRPTVAA LVQVLERAIETVPKPRDSRSDPTLEPHYKIVSVMHKLVQFRQIDPQEAANILQRQHYP IKKGEQVVITDDASWEAYIVECVRHLRNLDRSNWQHRFIARSAHLIYDNKEQDNAVIL ATRAELSMSIFTKTMVVNVWKPENERPGRHCVYMSKYVQWMVHLLAQLDDRASMEMLT KRVRKKQTEYYHFNEVWTACCLAYCKLIRRAGKIDLNQDELVRSLPPEDFEEMAADLE LWVDNLHTPHTSFDHLKESLELKKLNSNLMKAMPIDDLIVDIYSSLIWEVGRHLTKER RVREAAEAAARPPVVEAPQRQDPMSMNNLINNTDSSVTPMQGVIESAPKLKPKPPGRR EILRRAEVLANRGHEVKEKEKEKEKEKEKEKPAGKSESHRPSDLQNLLRRRSRDKSSR SAEKDEGSNQGHQEEHDDDDREGGDADGEGGSKDNGDDDAHSSPPGSVHDSADDESDL SDAPGPVERMPQPSINGWHSESQDAGRKALGE VE01_10295 MRGTAALCGLAGLALCNAETITDAACEASFETVTAAAAVKDLNP GWNLGNTLDAIPDETSWGNSAQEATFDSIKASGFKSIRIPVTWADHITTQSPSWTIDT AWMDRVEEVVDWAIARDLWVVLNIHHDSWEWADVTQSGADLAMIEEKFSALWAQIGDR FKCKSSKLILEPINEFPGDTQAHGDELNKLQDMFLDEINKAGGYNPQRVVSLGGLGHD SAKTSQFFERGTTYPDQPWAIQFHYYSPYDFIFGAWGKTLWGSDDDKAVMEADFANLR SNFTDVPIFIGEWEANGNVEPAAHWKYFDFLIRTANANDFSTILWDNGAHFNRPTSKW VDPSEPELITAAVAGTSNSLADSTVDIAATEQESSAFVFHKVGDPVVAQKVSYALNGN TISSITNSAGTALAATDYTVADGVVTFTAEYLGSLYSATDAAGTKETLTVAFSAGASL SITIVLWDTPTVATNSFAVDASTDLKIPVAWNGLHRVAAVKARKADGTYLSDDWTVYL PALQQGYWTFGSWGWDDENIIVYASGLSTIKAAGQEVTLSFESFPRIMGENAVNITFT Q VE01_10296 MGSLDAQIHGKFNLFVIDAGVDDADAWQRPYLTTPLFRKFVNRS FPLIDIRPNISSPGYNPADLLKSHGFGVLDQKSALFDPSNAHLSIDDEELLHETYYPE ICDLLTKTTGAKHIFVTHSFVRKSRPRGITRPNPPNIARRAGSNNHADANFRGTVNHH DNATNVPKHIPAGAGVGPARLPHLDYTPLSARRCIRFYRQDIEQQARESGVIDAEDGI CADLPFPATSAEANSVIAERYNRGELGPRYAAYSVWRPLRTVQRDPLCIAPRQTNTGE LVEYPYDLRVPGNAGLGGDFLREVALLGVQGEDVGVGSAVDAGALNWYYVSKQTREEV LVIKFFDSSALGRGEEEAEAPWHGSPDIGDVCGEEARESIEVRVIAFW VE01_10297 MGTEKSLGPLGQITPTYTLVTLGFSIEKKSQEAAAISTLEKAAG EIIQAYPWLAGQVVIEGFGDGSSGTYKVVGYELHETKSRFVHVKDCTDNCPAYADLVE ARAPSSMLDGSILSPAYGFVNSYPRHVVKPVCIMQANLVKGGLLLTICTFHGVMDANG NDQFISQFGSLCRGEKLAEEYVRWGNIDADTIIPPLKPGEEPLPMEWIRYPSQLGAEE PSWPPPPSTGTWRTFRFPRASISALKTEAMKLCSPDSDVKYVSSNDAISTFIWLRTAA ARSTHLPKDSKTMVLRAVNGRQKLDTPIHEGYMGHAVMCSLVQVTLDEALNESLSAMA IKLRQGLSQIDDHAMRSFFHILRTEKDKTTINYGASMKAETDIILTSWVSQKLYDTNF GDVLGKPDFVRRPKLPDALSLAYMMPLTREGDVDLIISLSDQDHEALRKDAQWEEFVE YLG VE01_10298 MNSPLAILKFLVLKTPFILKTALFHVFSLSPTASKWDLRTAFVV NIIREMLTNSPPSTISKQQEGTLRDPGIKGKMWVSKVAMPAPSEDALLDLLVSTIDAL KQEDESYSRPALVPVEAEWTGYRADVADNAPEPSISEAEKYENMMKEVTTDVTLLYFH GGSLYLMDPCTQRSAVSKYSKITGGRVLSVRYRLAPQNPFPAALLDAFVIYLSLLYPP ASALHKPVPASQIVFAGDSAGGNISVVLLQTLLQIHRTAPTGTVPTVLFHGKEVEIPL PAGLALSSPSLDLTRSMPSTQTNAIYDYLPPPTVALTKSPPCAIWPANPPRAYLYCEG SMLCHPLVSPLSGESWAGAPPIFVVSGEEMLADEGKAFVQKAAAQGVTVVWEQYEAMP HCFSLLLEGNPVGAMSFDSWAEFVKMAVQNPAEIMTKADFITAKALVRQPVDIGKLME MSDEVILGRMRKSRQEIIERAATN VE01_10299 MASRTIPVLPVPGEPNKLILKEWQEVYGGSAAKEQRRLEVEKAA AKKAAKKAKKEKSQQLEKTSTVDQTVDQKLKTLTVAEAS VE01_10300 MRSSTLTLLSLLTTAHSAHLPPPARLPRRVDQSINPRAEYIGGW AWSTSDTCTGAWSQTCGTSNNSCCPTGETCFTTGYGAYCCPTAADCINKLLTLPACAD QSMEMYPWPYAPRYFCCSAGLVAIVPYSGSGGLCLPADQNIPASLILTASAQVGVGIS RTSVPVVSATNPARTSGTVSGYSPSTPTSGSATSTSSTSCTSSPCPGTTDTKSSSGSS KPLSNAAIGGIIAAGAVLFLILIYCGAKRKRNKSKMNVPPRMEVYPPIAPLPAKPMYV STGQPYYTPQPARGTETFNAPAPQGSANTQSPVPANAVPVSPLSSRVASPPPAYGATP AAQYGELHSQAAGPGVQYGELHGQAVGPGELDGGRAQGWARTDGRESVTGRQEM VE01_10301 MVAPAVPELTEEVMHESVEARTEGLSSLRELGPPDLVHLVKQAP RNPARQSGIYHHVTGVDASSSASLAAYINTLTYTVFDKAVAKIVEGTYCCYNAFSRVD MRVQVTIPGSVESYCIDERGDKRVASDELWLETYLCSVLRSYSYADDGSGETIRKIMG VRRFNPVTNTETEHRFLAAAEHLFFRGWQLGSDSMVQVPNNVANHLTAGLLRYFHTTG RFASGINLFEKLRVKNVEVSSLLAKVMIMGNEEVQAVRVLHEAVKQSPMDYVLLDTQA DFLMNKALNPTTPELKKERLAWALGCADRSTIAAPSEFGTWARLAQVYVAMEDWENAL TTLNSCPMFTYQDKDAPLMPEPKDVHLPTLPETRLDEIDSEPDSKFSEQVHPSLLGLR AAQYKGTFKKAYSILTEMTAKIGWDQLLKIRSQVFVMEEEYRTDRQPGEEKRNPSTDV LRGTPDPQANGAEEVESEVNGSPAEDADETASDAGAALEKPEQTVHKEEAKAGNEDPD TTEEQLARFNNKRLCERWLDSLFMVLYEDLRIYTIWRTEMAQYRAQSLQYQKSAEEWE ILGALATRLHHAPEAAEAYRACLAIRFSPKALRGILGGYEKEHRTRDAVQAVIRLITW QYRWYSEFSPELLWTVRSLIEEDGAMKVRSIIQASSLPQNVLELTHHYAALCAAFRSS GTEG VE01_10302 MRFSGLLQGALSAILFSGCVSAVKFQEYILAPSDRNVQCRDLLN TTNKVENPGALCTASKNSDGTTFTGDSAITIDFGKNVAGTVQFNVKAVSGDAEFIGFT FTESNMWISTTLSDSANAATFDSPLWFPVGAAGVYAADKTHQRGGFRYMSLWHNSTGK MAIDSLSVNFTASPEMANAQDYAGYFNSDSEKLNRVWYAGAYTNQLCTSDPAYGNSQN VPGVGWYLNGRLSEGTSVLMDGAKRDRLVWPGDIAISGPSMFVSTNSLDGIKNGLSAL FSMQEASGRLPYNGIGYFLKGYFDYSFTYHLYTLLDLYDYYTYSGDLAYLEKYWPQYK LGLANTVALIDSTGMANVDSPNDWLRSGMGGHNGEANSIFYHTLEKSITLANVLNDTA LIPTWTAAMSSIRTALNANLWDPSQNLFFDNAEDKSATAVHPQDGNSWAIIAGLVTPD RASAISKALADRWVRPFGAPAPEAGKAISPFASGFEVQAHYLAGAAHRAIDLMEFMWA DFMLDDPRMTNSSLIEGYSSDGSLHYPPYDNDARVSHAHGWATGPTSALSFFGAGIQL TSAMGRTWEIHPRLGGLNRISAGYETGLGEFEAQWEASGVVVVGSFTTPQGTSGRLVL PRGGGYTVTGSNGVVVPTEKGGDTFVYADLPGGTYEIALA VE01_10303 MPNLKVLIVGASIAGPTAAYWLSKAGADITVIERFPSLRSNGQN VDIRTSGVTVIRKMPMETAVRAKTSQMEGINFVLADGSTYACIKPTGNPEQQSLVSEY EILRGDLSQILFDLTKDNKSIKYVFGEQVMSMRQQIDGKVNGPIIVEIANGLATAEYD LVVACDGATSRTRAMGLGRGSRDYVYPSNCWAAFFSINEDLFAGNAMGHAYSAVGGRF IAAGSDPSGITKVVLMGLSPPGDHSASVSFCDAMKRGDDGLKQFVASHYEGAGWKTEE IMKCMLNSTDFYANEIVQVKTPSLCNGRFVLVGDAGYAAGFTGAGTTLAITGAYLLAG EINKHAGNLEAGIKGYEEQMRPMIAKLQREPPLIRTILAPQTAWGLWVRNRIFAFVAW TRILEFAQKYFASAFASSDKFGLPEYEWVA VE01_10304 MNAAAASLRIPMTKGNFACVRCSERKVGCDRKNPCGACVRHNVP CIVRPPKPPRRKQGPSKEKLVLERLKRYEALLQEKGIDPHQVAGGSEPETPSESNSLT ESETVWRLPMQATIFKPRLVHRQSGTELVDNNLWSRVAEEIHDDEATLGDDSENDGSD GEASDDDFSFVLGSKSPITTPPSHPPAEIIRQLWQTFIENVNPLMKVVHIPSLQIAMD KAISNIENIPRGFEALMFAIYHIAIISLTDDECKEVTGETRAILLRRYLAATKAALSR ARFMSTSSIVVLQALAIHLISIRDIYEPRAVWNLTGVAIRIAEGMGMRIDGKLLGLSP FETEIRRRLWWQLKLHDSRAAELCGQAKFQDFALNNTSPKKPANVNDADLYPSMVQAP EESIRPTEMLWCMFRTELAGFDSAQIARMPKLDQAMFTNHEYAAMDGVKVKENSMEGL IDDLETKYLRFCDPSQPLQLMVLFGARCATNIIRFMTYHPRKWVNNQDQVPASEQKLV WDIVLQLLEQYNQMQSNPQLRRFAWNVPYFIQWHAVIHVLDSLRAKPLHVDAWKAWGL VNTLYETNLEMCLSTKKAMFVAIGSLCLKAFDAREAAMAKDNKSVSNTPKYIIRLREQ REEARARREAAVLRNKQREAQNGEKQSTPMDAGTTWQDVNHSPENARVEAQAQQNPTA TQAANTVQSGARTGDDAFWLNDAANGDICACGSADPMNLDSNNILAQDYWFDTPSGEV IDWAKWDTWLGNNDPVRPSFAS VE01_10305 MVNLLQTALLALVVSNSAIALPNPISYPVPKPDPIHGTSKYQRR GLTLEGRATRLTYANEAVAGIIALHQWYGDNGLWDNHWWNSANVITMLADFQAFSPDQ VSGYTADVFPKTLANAPSWGAFTGFINDYYDDELWWCLAWIQVFDVTKEQKYLDMASS IFEDAKKAWGQPACGGLYWKKGDPAVNAIENELYLTAASKLANRKPSSPSGGYYFNEA IKAYEWFFKSGMINSEFLINDGLTADCKNNGATTWSYNQGVILGGLTELTWSTGDAKY TTLAVNIATAAITKLTNADGILTDACGTCGGDGGQFKGVLARNVQFMYNRANGLSDAT KAQFKAFLQLNADTVWEKDQQGNFLGPVWSGPYIQADVNSQSSALDLLVAAAGVS VE01_10306 MSLRASEDAPHGGHETDPAPAPHATWKSTWNNNKGLIFIILAQA IASSMDAIVRFLQQGEHKMHPFQIIFARMGMTFVLSSLYMWWTKVPDFPLGRADVRGW LVVRALFDSVHYLPLAEATVFRFLVPIVTAWACSIFLGEIFSSRDLLAGVVALVGVIF IAHPSSLFGPAANDNIPRPSDIDHVSPAQRLLAITASLLGVLGASGAYTMIRVIGTRA HALISVNYFAVLGTFGSAAALLAVPGMSFTMPRGTREWVLMVLLGVLGFALQFLLTAG LQLDRSSKATSMLYTQVLFALSFDFAIWGVLPGGWSLFGGAIVIASTLWSALSKPTKH AATPAKTVVVDEESALLGAQRGEDADQTPQRKASVSA VE01_10307 MLTTSIFAVALAAGSAIAQTTTTGSTGTTALNFTYIDIANVDPQ EAASWCIGERTGCQTLCDGNAPTNDCDAQKLTYKCLCEDGSTPDLAKYKNTLPDFVCQ ANFAGCIKAHPNDAVGQGNCKTEIQDTCGTLNITDYKSGSGSGSSSGSSSASETSSPS STGGSSGAGGASETTGGSTPSSTSGSGAAASTSKAAAVANGMDISAGVLAAGLFGVFG YIL VE01_10308 MRSNSLLPLGLAPANALGAILYATHYSGTLSVLSLSGSSLTVVS SEKNCGPSPSWVTFDSVNQVLYCVNELNSGGSVNAFNADAEGALTAIASAKLLGNPVH SALYGGEDGISFQAFAHYSGSLISTIALPITNDSKTLQTFSYTMDGPGPDPSRQEAPH PHMAAVDPTGGFIIVPDLGADILRVYSVDKPTGFLTSCANVTAAPGSGPRHAAFWEGA GGTMMYLANELGNDVTVYSVAYPTKEGQCLSLFSIQTDTPYPADQAVKNGQKIGEVRV SGNYVTVSNRADQSFGTNNDSIAVFPIDASGAISTPVMSPTYGSYPRTMQINAAGDLV AIGNQNSGTVVVVSRNPATGALGNEVASVSVGPQGFNGNGGLSSVVWAE VE01_10309 MCGIRTPLRCPSPVNFTANSPPEQSTSERLTSPSNYNSGSSDST SDHSTPLTSQSDLFENNPFVNSSPSNDRFIVIVGGLGYIGSHTSLELMKAGYNIIIID NLSNSYRSVFSRIEELARKYSETECQAMPQMRLYDADYRDESSTREILDDYVVDDTND SPRPSTTSQKISRIDGVIHFAAFKSVQESIQEPLKYYNNNVAGLIKFCAILDSYNIKK FIFSSSATVYGSIANDGVPLKEEYCVHQSEGFVDHDGQYKTVLPGCTGLTNPYGRTKW MCEAILADLAFADPEWTILALRYFNPVGCDESGLLRENPRGIPTNLMPVVVNVVTGLS PVLDVYGTDYPTSDGSAVRDYIHVTDLARGHVAALAAAADRCCQIRFRTYNIGSGSGN SVFEIVKAMESASSTKIQLNATDRRKGDVGICVAMPTRAETELNWKAEKDIQTCCRDI CNCIALTRAMEHDGPN VE01_10310 MAFSFPTKARMLNHVKDYNECNFDDEDNYFLTYVPLSCFPTPPT SCHTSSPKEPALDFNTTNSFDTNLQGSATYLSYLIPCTASLLLTSPSLVQSLLSRANV NLNTVALAACILDSLTSRFAASWRHSLPLTSLSLKTQHINYVRPEVIILGALLVASKF LDDSTTHISSYQHDIANGRWTCEQIKATERCILENLEWRIMPLWREDLIEDAKEDMRK VGMVVQRRRQSAA VE01_10311 MKFQYTSRQKIIYSTIFVTLLLGIIIPLAVMLGLRRYSTPKSAI IVPLYIYPAPGAWDPLLEAISINPSLNFIVVVNPHSGPGAAAVPDANYTREIPRLNSH TNVQTVGYVPTAYTKRDLTLVKHDIRTYSEWRSNSTVPGLGVQGIFFDETPSQYDDTS AYFLLEAQKEARQVLGFTSRCLLINNPGTIPDTRFLDGPNMTVVFEGTYDTYQMHGFS HKIEMLGRLQGIKASRQDFGCIMHSLPKDVAGSEASVKKVVRELRNIAGAVFLTDLGE NYYSTFGTCWKQFVELAK VE01_10312 MSVNYPTKIKNPQPWFRRNLYLLFFALFLLVLGLGLGLGLGLGL NSSDNSGDANQTATPTPTTTPLDGGGVGTGNATIWQPAVGSTWQIILLDALSPSILNA LGRTWSNTSIFDIDLFANPTSTFAALHGANKKVICYFSAGSYEPGRPDSGEFSKSEMG FELDQWPGEYWLNTSSTNVRSIMLARLDLAKTKGCDGVDPDNVDGYDNKNGLGLSTET SISYVSFLADAAHSRGLSIGLKNAGAIVSQVVGKLQWEVNEQCVQYDECAQFQPFIDD EKPVFGIEYPSSAPTVSSKTKTEICLNKDSQGFSTILKEMDLGEWVDAC VE01_10313 MKLLSFVTLALCSISIVAASVAEPHPIRAAKKHHHHQSNENTHV ASQNAHKRPPVLPLATVEVNGQTIHVNTSGAVDVAPAKNRPRLETLPRAVGTSVSSTV LVIARDTLSAYSGYSGLRGYGIPYQILTVPQAGVALPSLNSSLTVGNFGAIVVLGEVS YDYGATGFQSALTAAQWATLYGYQLAFGVRMVRIDVYPGAGSGTVALGGCCGSGVEQL VSLTDTSDFPTAGLKTGAAISTIGMWHYPASIVNSTIAKPFLQFAPASGFAATSTAAV INNIDGRKQMVFFIPFATDWNAGSNLYQHAWIHWATRGLYAGYRRINFNTQIDDMFLT SDIYSPAGNTFRISTSDLNTHKSWVPTINAKLPAGSSYAPEIGHNGNGNIETSDGNGR NCGIGPIEYNDQIDTALEFQKPLGTGTNLWPSTPLKYPYTTTCTNGDTLKTWFASTSN RDTFYHISHTFTHEAINNATYFDVNQEITWNQAWLSQVGLSGNAARFSQNGLIPPAIT GLHNGDALRAFKTNGIVNVVGDNTRPVLRNQCDTPSCTVAEWIATSAGSGDFNALLAL EKNTNTRNLLGLHHDPFMFHQANLRAKQATVTINGVSGQYSLLQAWVETVLGEMIRLV TWPIITLKHDTLAATFMDRMTRDACNPQLRYTINPTANTVTAITVTTNGNTCAKPIPV TVPNSVTSTSGHTTEQIGSDPLTIWVTMGGSPVTFTLSTPIPL VE01_10314 MVYKFDIHVVHLSELKKHWWQLAILSLGLTAAFIGILYIGTKLI LKVRRLLRLKSLKDTSTQLALNVRTYFQTLNTVPIAPCVSERSKVHNPTSFRIYLGAL DDLASGSQSRILSDWDLVILDPLQPGVKNAITSTPRSRRIALFILGRLDIEALLGTAQ HDSDIEDFTIYALDCILGLVSTYFPVPNERNHGFTGIVLAGWENLFSVATLHELSKEL SKRALDVYLETKPPHFLNEVNAVVHNSIAGLVIRNGLLWPNGERRDCFNMDEMRPTIK AFMSQEIVREFTTMMWEELDNNAVVSISVLKRTYSWSRFHNTLAWIAPSYALLDAEAI VTQLEPLSAFDWLKESSVTELHDLWKNNQTVSSASSHRLVFGPINTLLPTLRTMWKDN TCNFCESSEATYDPESESHEPEWTGLDCFKKTDTLSVSETGFNYDGMGCFPIGINVTL EDFVQVVHSQRRLRKLGLLDEIAPNILKEFGILLGDFVRSKLVGQSIITSQIRDTILQ LSRNLTETLDRGINNVRVYQGLDTGFHSAGAKRFWGVYYIRESHGTEIYISSKSEDVI GTILHTYLSSRYCPRRQRFEAEFALADWSGTLAPSRRSTKQVQQDIRSLTPSESLILL QKLALYDLSLNSSLVIGVQDEVEAQLIKQPSKTQLKSIGTVDYLNGSVDAKGLIFSRI ASHCQHGLRHPSQPASLQLFSDVEEAIQQILRDRRISDLESILMTLRQSMKYSCADTV TDILALAVFCVMRKLAFEEVYIEVTDRNPLFNDQSDQGAAFAELFALGSRCEVYFDMS PSAFGKLLLLKFREQYNNPLRQPPLFKETSMALQTAYSEPQMDIAPISTSMKMPSYQR FTFMGVFAIPALIDVLLLTTTKHGLFLSGKMSEAEQKYATIAFMLSLPLSGAIGTWIA CGGTYYLASMAFSAMNYLVVTRLLGGLVFLVSAATIGFVAVSCVTGVQPALIFFLYLI ALTAYLSLLAALANYNLNGSSFQSGRKIILLCIPVMFLSPVMTILIPGYDSEVYLAVL YVFITMLLLGTRHIGSLWTTWFQKIEIIDDKALKDWYLERKIMSEDRTPQILSEHALL KQAQQDMLREVMEALPSLFNFRTTKDPMVLKLAECYEATSFMMDWYSLSTGLPTPIPF SSTWNIQSKVALKQLVESQRGIRFHNCFLHWRQAGDEIGCTVLYFIVALLDKWIALLG GKQSISGQKGGILLGLTSNNPEFGHAVGFGLAYYLIGAVLLDYNAQKLHELATKCKQE IVANDDCLLHATREVARERSALYWKTLRLYLLLDVWSLAITSAMMLLFSYTKDAIILF LAYVFSYTGLLWYQYTKTFTGPHALKPLLISASLGLIVGFVLRSIFPNFIYSDVVALG FATWLVAILCLWAVRIVGRSLDEPIRLPNTGYHVLYNPGPDASWSQSELRLNYDLLRS LPDDKHPLLDPKSYQGQQIQLILSSASREKLSDLAGLAFPSAGKLFENSLRAFQDQKV VVELVSMKYLNEEGHSTRAISYATDDLMRVLVDCDPVALSSKQQLSQHCLYNVAEIIL HSVAESFLDYSHSHACLSENLLKSTVDPEMRMPHIFRCQAECCSNVNDETFALGTYYK QEMLQNLCLGFAPDLTWDSLPEQIRNHLIQRCIGQTSSLTEASKKCVQSLLNEGDFNT HLARCDYGANMSALFYGDTKISPTRAIMSSTSSLAHEEVKKYLPTPLSRSMMSMPRRT ASYIWHKIGTMAKFFAVAFVADAEYQRELNCSLDKNPKVVVEIFHNRKNVATLWKSIQ GRKISFKRKHIDIYVSDGQYTAFIHHNRDGSFNLYQYRGEHSSEPKSQDKLSYVNTYS EKTLLLRREELRGGETVNIADYDYCNLGEGGRTRLSRSTGPSALPITRRVIAGQDQFQ LMHYNRKGLIESGSYIKDGNLVRFQYHYGKVAKLDGELLRAEFVLPHMSCTVSWCATS PHHSDKLDKWISSSEVTESTFVLGTDVWESKYTYDHKCHPTIATTLNGEPEDTPPLIK YDYLDILKKPKNISFLDDNPLYSFTTVRPNPVARWLGLCTRQYPFWTSQSRYLLWKAW KNDHDYDGVVVRWMDEQLLRRDNVLKPYWRRRDWGNLSSAERYLQQNGDAVMAKIDLD MTISSWTPLAITMNDLLTFGPGGDASARTRSKLVCQEDEDDGALHVIAVDTGTWPNEG GGVSACRRDLINNLTSIKWHMVAESANDFGLPKHQIERNVHSLKVIALWGLDLMNPTH GLFQNRLDSEIEHISRCEDLEDVKNGFIPILAALVYGARSLNFEESGIKQLTRALLSL NAYFGEGRHHWGIVWNSKFVKNAWRRLWLSKHSDNARPCSAWLATELPTLAQLDQGLE LWSRYLFIFSIPVPEKMPDVFQASHHSVSASYGIVCKVKRQCILQIWDHAISWRETNL YLSSALCPLAPFVRNSLLGLLRMTSVLTLHHADTILPCADIFNPSWEVEIGTCEGRIE HRNAFRRKVDPVVNGITDTRRFIPVEIKTKTPTITMLSHVWYAKDIKTAILAADIIVN EWGFKDYQLDVYGALDKAPAYSTNCLEMISTKSLRRNVALRGEADPIAVLEQTWLFLN SSVSEGLPLALGEAALTGAPIVCTDVGASRRVLTDPQTNECYSAIVAPNDARALAIAQ IHLLAMMGEWHSHSDKPETQRSYTSSHSSLPDSSLGFDTSSSSRLNNSTTSLVEKALT SLPENPTREDVEIITRR VE01_09910 MPSVEVNGKCLFYTVDGDSTTKTTTVFIHGLGSSSCFYHTIIPG LKSSTRCIALDTPGSGLSELGKSEQSIATIAEDVIGLLDTLNIKESVIVVGHSVGSTV VNFLAATYPDRVRAVVLLGPVNPEFAIVPVFEQRIEVIQSDSLETLAKKIPLTATGEK AGSLQRAFVRALVLSTSPEGYMSLCHALATAQPPDYGAINVPLLIITGSDDVTSPLSG PKEILEKYGTKKTEKSTKDLSGIGHWHCVEAPDDVKELIKEFIQTIK VE01_09911 MINQGQTGIEIAESFVLPHTLQRAWHAQGYYGSISHNVKAIYQR YMGWYDGNPAHLWEHPPAEEGRRYVFCMGGADAVVCMAQTYVENGDLRFAATLLSHVV FADSKHDEAKQALALVFEKLGYGAENGPWRNCYLTSADELRGKLYPVSFDTSNEGMTA ALSLNQL VE01_09912 MSKVTSSSFTDTTDFDNASRGFIAALEPCIVRNHEDHVIWNNEE YDFLKGSCPPSANPSLWRQAQLCRQQGLYQVTDGIYQIRGFDLSNMTVIEGKQGIIVI DPLISVECAAAGLKLYREHRGNRPVTGLLYTHPHGDHFGGFVEHAVGENIFVGNAMAR RAVYMYGNELKKGPEGQISTGLGSTISTGTTTLIPPTLEITKTGQEEVVDGVRFIFQI TPGTEAPSEMNFYIPERKALCMAENATHNLHNILTLRGAVVRDAQAWSSYLDEAIVLF AHDADVSFASHHWPTWGREAITQYLSEQ VE01_09913 MLLFGILLSSEDENGLFELSEMWNLVRECVPPLQKLVKYSTVAA RDVKVISIMLDYDAKIQRSEWKRLQIVDVVARVLQWEGIERNVGPEGYPGIPEIGIEE VDIISSESLKEMLAYIGNELDIARGPRDF VE01_09914 MVGSGPPILDFSALTSWGRGYSPYGVQMLEPGTKPEMNEGFFLG DDIPTTHPYFVNKKMQSGPNVWPKASTMAGASDFKVTSTEYLSAIRELASDLLKALAL TLGLSEDYFNAFKTGAVPLLKYLHYPPQEKDSEDRLARGIGAHTDWGAITLLLQGEVD GLQVWDNVTEA VE01_09915 MTATEPPSDNDYGLFAGADSETELEMEEEDSDAGDAGDENTATI VFPNIPSIPGYSTALSETAVTAVPTSNNSSTIAFSAGQSKVYIDDMFVAGQ VE01_09916 MRCSIVSLGLAIVAPLVVAVPTPTEDSTVERANIVKRATITDVA DTGFATQNGGTTGGKGGTVTTVSTLAQFTAIADNSKNNDATPLIIVVKGTITGDVQVR IGSNKSIIGLPGAKLSGVGLYIWKQKNVIIRNIISENVLASSGDGLGIQASSNVWVDH CEFYSDLSHGKDYYDGLIDVTHASEWVTISNVYLHDHWKASLIGHSDNNAAEDTGHLH VTQHNNHWFNIGSRTPSLRYGTGHVYNSYFDSMNTGIDTRDGAQILVQSNVFVNCTEP IAALYSDKTGYANAFDNDLGIGSNTAPVGTLTPSSMPYTYSLLGSANVRSAVVGVAGA TLSI VE01_09917 MKFLIFATLLPLVLACTNPNSDACASAVSVLGQAFCATYTTKVN TATTSLPAWASACSNKPTKISSVCTCFATATAPATATPTTLKTSTTATKVTTTTSAPG GGGGTACTVTAYASISAAVASCSNIVLSGISAPASSSIDLSKLKTGAAVTFSGTTTFG KTSSNDFNPIVISGTDITITGSAGHVIDGNGLAYWDGQGSNGGVAKPDHFIVAKNLKN AVFSNLNIKNWPVHCFDITGGDTITLSNIHLDNTAGNAANSASGGLPAAHNSDGFDIS GTSNLILENSYVNNQDDCVAVTSGTNILVSGMTCIGGHGLSIGSIGGKSNNVVDGVTF QDSTISNSQNGCRIKSNSGTTGAVNNVTYSNIILSGITSYGIDVQQDYLNGGPTGDPT NGVTISNIKFINVKGTVSSSAYNYYILCGSGSCSGFTFTSDSITGGKTSCNYPSSGCP S VE01_09918 MGDDYNIAIFDDDDGDDNGDDDEDLNDTRDISTQAAGRRLCNEQ EANSAMLQEQYPQLENEEAYHGNATDSPLIEDICEGENVVDEDPSEEEHNFLHAERLS NHEQSDASNTYKSLQSIARLQDPEGEFADEIPNIISSDPFMPAQYIDGNRHLREIFTG IPKPNSDNDTPLPHNLCLSENSCSRLQRPTQVTFDIDSFCAYTSSLAVAKSGIE VE01_09919 MASDDVYPLFMLDDNKILHGIVVTWTLRFNDLLDADRLHTSLCR LLSIGDWRKVGGRLVRKVSPTSLEIHVPPQFTPARPALTYSHTANPSDIDDHPLAKTL PKATPTPSIQRGSNEFRDFECRKDAPATLEDYLINDTPILSLHITSFNDATIIGLTWP HVLMDVMGQQALLRGWSLVLAGKESEVPALLGAREDALLFPDNQEVYHPQRLQGFGMF KFGLRFVADLLWNRVVETRTIFLPKAAVAKLQLQAQEDLSGEFVSEGDVLTAWATRAV ASSMPPRPITALHPLNLRFRLPSLIEAPGVFVQNMAVSAFSLFTPELLRGPLGPIALE NRRQLMEQATEPQLLALLREMRQSYTPGSDTTVLCGESHALLMPFTNWTRANVYQAAD FSSAVVRAGEGDSRNNPPGTIVYQHANSMRSSPTMRNVVVIHGKDHGDNYWLTALLLP AAWAKIEEEIKKL VE01_09920 MEQNTIKRGGVGLRGVDKEQTFGGYTLFAPLAGDIAYLVDIDGK EVHRWQLPGRTGRHARILPNGNLAINTINPETPDIFPFIHKYGGGVMSELDPAGNVVR QVKDPLGHHDQFHYGDGGFLYTSLEALSKEDSAKVVGGIPGSEIDGVTYADIIKEIDP KGKVTFEWKVSERLPREEFPLQSHYGREHYPLINSVLPLKDGNILCSMRSISAVLIIE RATGNIIWSLKSDVIAQQHCATELENGNILIFDNGAYRTGESNTYSRVIEVDRRTKEI VWQYRDPSQWNTFFTPFMGSAQRLANGNTLICESAFGRIFEVTVKGVLVWEYIVPHFA EYKDDITRGIFPGESNALFRAYRYSKEELPWLKV VE01_09921 MASPALPVAEITTQLASSHLEKKEDATPLQLLEIPGYDQDLSWS IHEERVAVRKVDLCILTFIVLLFTFMQFDRTNISAALTDTLRTDINVNTSQINTAQTL FILGFILTEIPFNIITKKIGAETWLPITMFLWGVCTWCQIFMKSASGLFALRFFIGAM EGGYIPGMALYISRYYTNPELGLRFALFWASNAVAGSLSGPLSLGLLSLSGTHGLKGW QWLFLIEGAVTCFLAVVAYLYLPHSAPKPKSFFGKSWNIFTEREAAILTTRVLRDDAT KGYTQGKSVQIQDLKDTFMDWKIYGHVVSAFLSMLMIYPINTYAPSLIKSLGFGGYNA NGLNSVGSVVSLIISISIAWNSDRTQERGFHIAFGFCVGIAGLLWTALAPVSSSKWVV YGGIVLTQAGMGSTQALNAAWLSSVVDDRKRPIALAMYVMGIQLAGFPGNQLFRQQDA PRYSRGLIIAAACAAAGAVIVLVWKGIYAFVERRQNRSPVNVTESPRSEESAWGEKK VE01_09922 MTNFRYAILGLIPVVLGCSNPDTNSCASYIKSNQAVASPYCGTF TTKVVTATTGLPAWATNCDSKPSQISKECSCYWTGAGGAPATTLVPTTTAAGGGSGGA TPTGLTTTLPKSSGAVATNKAIAVTGSFDGGMKMYDRSPAVCAGQTETGEADAMFILE DGATLSNVIIGPNQAEGVHCKGKCTINNVWWSDVCEDAITFKQASGTSYINGGGAFKA SDKIIQFNGRGTVSVKNFYANDYGKVARSCGNCSGNGGPRNFIFDNIMAINGGVICGI NTNYGDTCTITNSCQNSGKGCDRYTGNASGAEPPKIGSGFDGTYCKGSISSSC VE01_09923 MLLRDLSPSHALLATLLAAAPQLSSAFYLPGVAPTSYAEGDSVP LYVNALTASASQKDGALRSIVPYDYYHPMFQFCRPDPEPEDRPESLGSIIFGDRIKTS PFELKMGVNETCKAACNPVKFDVGSAAFTNDKIFRDFDLNWLVDGLPAGQLLEVDKTK TQFYSQGFKLGNSEISPPALNNHYDILIDYHESTTGQFRVVGVLVQPSSRANSGVHDG TPDCGDASTPMQLATDHETTVTWTYGVYWRPSPTAWGTRWDKYLHVFDPKIHWFSLIN SAIILVFLSLTVVSILYRALKKDIARYNRLDSIDLEDFSGTSAALEDGVQEDSGWKLV HGDVFRAPKYSLMLSVFLGNGAQLFVMTGATIAFALLGFLSPSNRGSLGSVMIILYTL FGFIGGYASARVYKSFGGEAWKLNIGLTPLLVPGLVFCTFFLLNFFLWVNGSSGAVPF TTMVVVVAIWFVISVPLSFAGSWVGFRQQAVAAPVRTNQIPRQIPPGTTWLRPIPSML LVGLLPFAAIFVELFFIMSSIWFSKVYYMFGFLFLCYGLMIVTCAAVTILLVYFLLCS ENYHWHWRAFLAAGTSAFYVFLHAVAYWVTKLQLGGLTGGVLYLGYSALISFLFFILT GTIGFFASWMFVQKIYGSIKID VE01_09924 MALDNSLPSLLATLTQALVSSEQSAPELDSIAPPKDGISLLDVK NELLLSYLQNLVFLILIKLRDYNSDESDDEEAQSIDDEVVQKLVETRVYLEKGVRPLE ARLKYQIDKVLRAADDATRANLPASRGPSNAITRDSDVSDDSDVEEDAGGVEAQAAQI DDLQYRPNPAGLVRPADSGLESHSAKDMDGVYKPPRINPTVMPTTGPREKADKRPQKS ATLDEFISTELSETPFAEPSIGSQIIAGGRRSRSDKERKEAEERKEYEEKNYTRLPKE SKKERAKKGGVKDAGYGGEEWRGLGEGIDRIERLTNRTSSSTRTALEKSRKRAVEDGP RASGGVEVGEKFQKRLKTLDGGRRDRGRR VE01_09925 MDKTVDAQFLRVEKALATLVASISTYNPNPVLAHDLVAADQELS HGLADLATHQANYARIQSLKNTSSDLDAQIKGSLQLLSSTRSELLSTPATTFPSTTTS VSYTDLLSYARRISKFTLPPTYREAQPTPEPEGTGTQTNGTVTPTAPSNGAVVNVAEL PVTPIQADTDKTSLPPQIAEWLNPHNSAPGFVPWPTEETIRRGALASIQVLIDQGEDP ATFDPERSAELEASRKRLEEEAERAKEEQQEAERARQEQRMREEHARREAMGAAGQRV EEKPKVFTGLDLLDDMDDDDE VE01_09926 MGKSQSKLSQEQLSELQRSTHFDKKELQQWYKGFLKDCPSGMLT KEEFQKIYRQFFPFGDPSSFADYVFNVFDSDRSGSIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLAIVEAIYKMVGSMVKLPDDEDTPEKRVKKIFRTMDKDE NGSLDIEEFKEGSKRDETIVSALSLYDGLV VE01_09927 MTKQDKDPDGLEPVEPRPRGPPPQTRSQRPSGDPSPSGSTKTAP VTPAAQSAHKPEITSGLGTAGKQDNPNIIAQQSPTSTQHLHRALQQPAPDQAKHGSSS QQPPPPPPPPNVIFDDEFREAQDITYEKLCEMVKDSDLDSFLAFRDQLLAVEESLGPG SNYLPLKQSLYRIELAIRGANILKSNRETAAASQVSSTELNVPEVGTSATVEAEPSKK RRKRKKRTGHEVVIADSTDGETASADTAKINIHNDPWPWEDNDADLEQTNRIESSLAE LQSAPDSAAQLEEDLETEELLPPGDAEAPKKKGKKRRGKKKAQGGIDKGKQLAEDKSP AATASSYSPNLPTAAQASAEHTCDHKQHSRCSHTTWKNDDTTFSKVLAETIRELLSDT PLDSKLKLELHVDMNKVKRRVDEIEAADGDATILRTKKPLSTVSNKAPFSAFGKVATP KEQRAAAIHLLSSPKYYNAFLDYIEHLNYLVACGDEKAAAMADEADLVYNVVTKVYKE VSDNTRSKVDDTPLVESATHARRLAESAKKMKELQELEQGIRQPDDPTPATESPRPVS AQTIKDNTPSSAELETKAMSLIKQPPVLRRFVADYNAMRTNSDAYAVPKLQKQPFSLV GRKAPSMHPVANFEKESSSKGSRKAPSVRPAPNLEKEFPSNGTPKEPLTPKRAVYALA KSTSGEEAFSIISEYFASHTVNPESRKTVASYYVFDDAKMSAYLLKKAEIEERFTSSN NAELARVKDEIEKLWKCIVEERKARELKDSEEVEGESGPIICNPSKTKDFVDKVMRKM VKQFARSPTGVLRFLWPLKFIAEHPSIQEKTRAASVCVLTDLTQLSRYEPYLRKAKDM PWNQPTLSNLSAQQHVQLFMNAVSSMKTALESAPPCVLRCNANSNPSILPLTKRVGLP IKAQILYNIRDYESAYTATLDDEGRASVNMDFRLPAIDCPNYFRETAKELYEDAVGYA AFIEQKNKLANLPACFGDSEVRQSLVASALLLHSALAELDDSYPHERLRIMEVVAASR SYAILPPAMGSVAICRDAVTSYLSAVLKVETNFVREDIHYQAAADKSGDESAGSKGVG VHSKEHVPYVLGHWVPDAMAGEQIPGKPSVAEELVQPLQTTSIRILEATGDPPEELAP SQKKLKKELIAANYRKQQTVPLTQHEVQARARRIVSDSSMLSSFNKSKEAMEYDTGFE DNADEKFFKNEIKRIYAEIKKVMDAEIGLQEPASPVSSFMPPHTAQPSAPKEVDDATH LPNSSVTLIPSKSFIKSPVDCVSVHHLDFQTNLDKITTAALTLVPTPIIPHNQVVVYS PLGLPAHFDTSLRPNAAPLPSDPELAKECIIFETAREQRKSLAAYFAKSFHGYAYFLE FKTEAEEAVVATVLTNEEGSRARQILSVLDNVDSMVQMLRVGARRDAGKDNEHIRAAA ADLVSVLDDRPRLMITMEAIEQLFDNESRHRNPTFSSLMGLKLSKRFDEMVTAVCYGL FVPEAIEDGKMSSQEQEPTAKHVPKIPPPGSIIDNKVRPFIGQGSKRMPKFTDPADVL VFATDMYPGLSDLLSGATPLSSCGKSDTTKLSEMEIEKVLGGLDSMPVPPQAVADLYK SRPPVPLSKVPRFTDADEAVAYMQSHMEGATAPISVSVAPNLSHSDIQDSKEAGSSLA IEKYGVSIPDEYTVVPDSTPEGRALGKAMEDIQLSACKGDVPTDYQMAALGKARRELY NSRLAKAPASTGNPNTAKRHHPTSLSVSARAENRAAANLLARSEAMTLVGTRYFPNAQ YAAPSLKPQQISFSPAGFALPRPAPFKSPSAPSNNKPDALLVSLRTPITEKPLIAAPI TVEGVHHEISKLYASINKSSDFHECRTSLRIPDPTSVEFQPLLDLNMIFATYKAIQVI EDRRIDVLLESAEEYQSSIEHTIKVTRLWNEGLDAALDTAKEYRLFNKRAIAAMNGEY DEMCQSPKRRSRKSEKRRKGMGKKLGAHRKSIIEDFEAPEEFHGDGPKLGSFRVR VE01_09928 MKLSLSLLLPVAISLLPAVSAEHTSNWAVLVGTSRFWFNYRHLA NVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNSDRAVDLYGTNIEVDY RGYEVTVENFIRLLTDRVGEEMPRSKRLLTDENSNILIYMTGHGGDEFLKFQDAEEIS AFDLADAFEQMWEKRRYHEILFMIDTCQANTMYSKFYSPNIIATGSSEIGQSSYSHHA DNDVGVAVIDRYTYYNLDFLETQVRETSSKKTLGDLFDSYDESKIHSQPGFRWDLFPG DEEEGRKRLIMDFFGNVQGVEVGEPEENLGRRPNSTEEAEWKEDLLSLGKKIRELADA QEAADKLSREAETSRHEKATEEPTAAPEAKTVQKPGQVRIRAAKVSEDEGWWGKKFVG AWVLGGLGMAWAAGSYMEAL VE01_09929 MAGPPAVLIVVRHGARLDAADKQWHLNSPTPYDPPLTYGGWTQS RALGARIGNLLNAREAGLQTTTTDENKDSRPEAAKPKKKQRVVIHTSPFLRCVQTSVA IASGIAQNPARNQPRQPTATQLSDAPVFQSTSVFQSSPRIRPKIKTDSPRLEPIFEPV PPVATKDAPTISKATLRVDAFLGEWLSPDYFEQITPPPSSVLMVAGAKADLLRREDYS SITPREATATSGFPGGWGSPIIAPDSDKEDAMISPRQPAPRDRTNSVSSVNSTTSRIS GRPNAKLSSPQNGENAAYVPPIPHYAVSGSDPIPSGYVAHGKDACVDIDFQWDSMREP QNWGNGGEYGEEWSSMHKRFRRGLALLVDWYRQNDEPKRRTSRPSTSQGPTDSPTETT ADQDTELVIILVTHGAGCNALIGAMTNQPALLDVGMASLTMAVLKPTPQNTPASTPGV TPAHSRTSSRTTTLYDEYDIKLINSTEHIRRTSNASASSSRTPSVSALPFRPPNRYNA NGSLANPFVEPINLGEPVRAIPQSGNFGSIRRAASVASSSLHKSYTPVVRGSIGLWSA PTRADEEAVEEGGEGDDMVLNFGDMAISPSSYPVQFTEPKPVRRLSSNHGESRDEVAP LSGGLWGAPRAPADAGVVPERDHSAKRRWTINEKSTL VE01_09930 MDNLLLHPFLFLYQLFQWIADKLLSPTPPPPNSKLSRPRIAIIG AGITGVSSAAHCIGHGFDVQLFEKEGRDGLGGIWSRVNNTSGLQIHSMMYRFHPSVQW ARGYPNKEQIVEQVTELWKRYGLDSRTTFNTSVDKVTQDSEGRWIVNSESNGKFDGII AAVGTCGDPSTPRINNQQAFKGEVYHSSQLTGKEAKGKKVVIIGGGASAVEALEFATN SHAEHTTILARSDKWIIPRNPIVNVLLSFNIFGQETFLSFIPEFLLRKFFYRDLSDLA PTDKGIFEGTPMVNSDIFDLIRSGDAEWLRGDILSFTENGLKFNQRAKGVPKRGPGKT VNVDADIVILATGFDRPSLSFLPSETFEAPYGPPNWYLQTFPPQHPSVCATNCTYINA IGSVGNWHIGIYTRILLMFLLDPMTRPRPWWTKCWIDMTRLLKHFSPTGAFDFFTYLE LVWWFVFCVAINPFRWKWALFVFFGIGREGPVGVVEVEDRVREKLGLTNGVKGRDEGM GI VE01_09931 MAQPAQSESEIPTAEPPNSRPKDVLRLVCGWNEKTNTYLYRDEV VNPPPIYGNGPQKAEKAEKSERVSKRPKKATAKVRLSRGEDVKVSSADAKPRNVSARG REKMEKLRKERAVRRGKKATPHQQPSPASTPASEAGHRSRRKRAPSQSVNSESSDSDD DSSDEVPPDKRLYAVPDHLQGTVRPVPRPENSGEMPWTDMEITTVPLPEDPAVKIGGF DNIMVTLVSPENGKPHNEPAKVLDCRLHPDGTYFLLVSWWFERRALSKNLVGFKRYLD RRWPADAPFKFVLGCHFDVITNDAITEKMEDDERFCNSMVYGGVTHNCELFSDVPDEM ERRECLKKGAKGDARLVEERKQKSLFRMLLRPEMSGK VE01_09932 MISKLALATFTLLAAVSGQQVGTLEAETHPSMSMQTCTSGGACT KKDTKIVLDSNWRWLHSTDGYTNCYTGNEWDATLCPDPETCATNCALDGADYEGVYGI KAGGDSLTLTFVTGANVGSRVYLLADDSTYQGFNLKNNEFTFDVDMSNLPCGLNGALY FSQMDLDGGLANHAGNKAGAAYGTGYCDAQCPHDMKFINGEANTIDWTPSDSDINAGS GKFGTCCTEMDIWEANQAASAYTPHACKGQGLTRCEGTDCGDGDDRYSGVCDKDGCDF NSYRMGDPSFLGVGRTVDTSKVITVITQFVTADGTESGALTSIKRIYVQGGKTIANSE SKIDGVDATNEITDAFCDQQKTAFSDNNYFKTLGGMSGFSTALDSPMVLSMSIWDDHA ASMNWLDSTYPVGGTAPGNLRGDCAATDGDPATVEAAHPGATVTFSNIKFGPIGSTFD AGATA VE01_09933 MRGLLATALLAPALASALVVPNTWDGVHVASATHTEISHVVHLS IPIVTTTPDDPVSNSVLGFRIDLSRSGPECGSGDLVVNGIPVSYGTIGALEFAEYLGL NNILMQWSLTCGPLTRTLEFAVKNVNGEPVNDLGLTVLYSHDDTSLEILDIKKYSKNP KPIYLAVSSSQASHTFKGQKPEEDSETGAAHPVPHGEQAPISEKPVADDVDAVQGIAL CDSVKCVFMIAVHNTKETAKHLTSKVKSIFCDKKPGKLHPGKYNQPDRHGGKHHEEHS DDDRHPMRPGHEERPSDDRHGGKHHDDDRHRMRPGHEEGPPDDRHHHDEDRPHHRPHH RPHHGHHGHRGKWHHARKAVHPTLIVFTVLFFAILMFHIRRRIHRARRAAAREASGCG RDESPRRRRRCGGWRRRRCRGKREYSEKDEMLPSTEPHPAYSSPSHIATEIADLRHAA EAVEDIVSQSSEGSRYARRGSADTMETLPEYTAEETDSKMGSETLPGYADSEESVSVA DGYQPGTGEVWRARVDGVNVKA VE01_09934 MVRTPCSDPAFAYCFDAPHLLPLGLLTAAQGHPMLVELKNGETL NGHLVSCDTWMNLTLKEVVQTSPEGDKFFRLAEVYVKGNNIKFLRVPDEIIDLVKDQQ QGQHGGGYRGGRGGSRGDRGGRGDRGPASRRQNSTLAKSVNISNDRNFANEALDAAEP SSETPPPENWGALSVVELHDILRTVRDESGSYQKTINLVQYLIKNRGERPNVRHFDAL IRANADAERGSAEEVEGLLKEMDKQGIIGDSSLYHSVLMTLAVHPNHLLRAEILTEMK ARWISLSPLGYHHLIISHLRDREYELALSVFYSLHHRHIPVEPWLYDILIYVFSEAGE LPTALSILQSRAEDPDRNPLYPDPQDVSPGVWPYFLDTCAAAYDYAGVLYVWRKRVEI DYLRPSDGTLANVLNTAALAGDPALATSALKMLADRKGELPTSSYEAMLEAYATAKDV TNAFRVLCIMGKAGGESTSKTPSSATTRPLFKLLRDEGSEGIQDAWKALKPLQDEGKE IPIAAVNVILEAAASSGELALAMRLYKELVSSQSSPAPKTEQPDSSIVEGTSPNIRYK TSRKALRVHPDTTTINVLLQGCTKIRSSASPKRMAMYLASEMARQGIRPDELTYDRLI LVCLHEGQQDYEDAFRYLEEMTAMGWQGRVRNGTWHALARRCASERDGRVDTVLEMME GLGLETGKLRGVVRELWEAGPGERRSAGQEEVEGGEDDGMAVDVEVGDGVEGGTGETG NETQTPQDEQPVWGNLRRKHGSS VE01_09935 MGFIEENEVVDHPAPTEPTPDMIADAIKSYSMGKAPTTNPASST TAPQLPPSMDAYRNLSSAEILADLNKTPLFMTELEDNDEIEAFKALAYEGTPSEVSQN FKEQGNDVFKLRSWADAKEFYSKAITVLQAEQRKRAQEKTLQEASPQADRTAPPTLSA EDEAEIKEQLKLLEACLGNRSACHVQLKNYRSATLDCAQVIRLNPKNIKAFYRSGVAL LALSKIREADDACAHGLALDPEHKDLKLLAQQIIEKAEIVDAKRRKEEAAALRVKQEA YVLKAALKARGIRMRTTEQPPEMEDAKVRLVPDPVDPTSSLVFPTVLLYPLVLQSDFI KEFGETETVGERLGYILDEQAPWDRQHEYTPRGVECYAETMTGGLIKVGPKVTLLKLL TSGSVEVVDEVVRVFVVPKQKAAEWVADFKMKKAAEKKT VE01_09936 MTTAKVLIPMSDYGHDPTETAVPYTEFKKAGFEVHFATEKGKTP ECDKKMLKGITQKLLGATGLAVEAYNSMSTQPEFQNPLSWSSSDFSLDTYNLIFLPGG HEKGVRQLIDSHIMQRHIAAYFSSTMKPSKRSVAAVCHGVMVLSKTKDMEGKSVIHEC DTTALPALFEQVAYWGTRAFLGDYYKTYGAASENVEEAVRKVLDNPDKQYKNSIGIGA FVIEDEKYNYISARFPGDAKLLAEKTVSLVQSTFV VE01_09937 MANACDLRNAYDSSIMVTYDVAVEEALEGPFYDPIYQAELQKDR EIARAATNALKRADLFTVHGDNLARLIQDGESLSEFEVSSTRTIAILGGSGEGKSSLI NSLLHYPEIAKCGDIGAACTSIVTEYRLKTANHRAPITIDVEYLSVTEIEELLKELLW SFRRMYLPNAEDENIIQSEYDQMERESAEAWSSLEAAFSHHEEFSKDWLTKDMTEEGL AMVTDQVIQWSHELDWPAGADSGKWTSTADTADECYEKTGVFMEDRFWPFTKIIRVYI EAQILKSGIILADLPGLHDTNLARVKATQDYLLRCDHIFVVARISRAITNQSLKSSLL SVVSKHAGQELDGSRGESMKIAAVCTNSEDINEKSARREFCGPNNRISPEDMAELDQD IAKEMEQGNRQSVKNLRQRLLLINARNMHVKEGLQKAYSAEIPKGVLEVFCVSNTSYE KYAMKGDIEMVQASGIPEVRQFCYTIISRAHLLEANHFRNSRMSSFLNSTLLVVAKPE CQTMEAMIDRSIYFTLFGVKNEVLGAVSQSKIDFNDVFQSLIISHIDQESPAWEKAAQ EEGLSWFNWYWSTVSTMHKYINCRLTPDVAEENVDPLLIDGIEFKIGAIEYEFSQAVE KLVKGVEIICSKASEANQSSYIVKIMTPAYRSAAQQFGKGMAARQRTIVQGRIEEGLF SKISMAISKDIKAEVKTSFGAVKKELDNIFVRIETDIRVRLATEEQSCEKGDPTREDK ERRKADLACELQDLKRQHEEAPGSIDFIQPRVLEMEEVKEEGVAL VE01_09938 MSKDGAVFFKGKVMGTVMFPPFENLDPESVREVQKYKVFPLGEI VKYPRHIPYNSEKKSFLEKTGRHSFEVFQYTFRLPGEEKEHTVMWDYNIGLVRITPFF KCCNYSKTIPAKMLNMNPGLKEITHSITGGALAAQGYWMPYPCAMALCATFCANIAGA LIPIFGPSFPSQCTHRESPLCGRMVIEPSVIIHGTKEAIAFRSAATNGPTSNPSSSAS QNPTPSPSQRTVRTIDSVSPRSTPNDFPVAHEQATKRLKPKCRGPLRGESTDTESETS MPYQKFDRAQFSGPPTPMTAYTPLPSSPASGYTSSGWTAANTHPQVRFAQQNKSAFSS NAKIASREHEIQRQAPSWRPRALRHPPTPPYPATPNQSPVASPWLSAIPRTVGDHGFG AQALNQNTLPPMRHRGLVGLGIRGVGMDEEVYDADSDGSPNVSPKRIKWEREVTKEVE SQNGSPGNVEWLAKVNSSLEKGVGEEEKSAALMLMCLSVGEGNGTAQGMKRKRAASL VE01_09939 MDDSVMDDYDDYGDSDNFSPVPVVVSALIDAPMPKAKPKPKAAP KKAPAAKAAAKPKAAPKKLSQTTLKTKSVPSKRPKPDSDDDEDAPEDGEAAIEDDTML SNTPPSAKKQKKAPVAKKAAGKPLETIENESMNLDGPTESKPKSGNSTDRYQKLSPVQ HILTRPDTYIGSVERTEQQMWVFNSQTEQMESRKVEYIPGLYKIFDEILVNAADNKQN DANMKALKVTVDREKGEISVENDGRGIPIEIHEKEKIYIPEMIFGHLLTSSNYDDEQS KTTGGRNGYGAKLCNIFSTSFTLETQDSKNGKRYKQVWTDNMSNMSKAKITANKSADF TRVTFTPDWKKFQMDGIDDDFEGLVKRRVYDLAGTVKGVSVYLNGTKIKINSFKKYIE MYAKAINAQRGVQDAEVKTTVIVDDDDSHKRWEIGFAVSDGSFQQVSFVNSIATTTGG THVSYIADQICQRLLDHVKKINKQGTALKTNQIRNHIFLFVNCLIVNPAFTSQTKEQL TTKVSQFGSKCQVTEEFLKKIVKTDAVANIMHFAQQKADQVLSKSDGNRRSRMTNPKL VDANLAGTRRGHECTLILTEGDSAKALAVAGRAILDPDRIGVFPLRGKMLNVRDASID QISKNAEIQNIKQFLGLKHKQVYTDPQSQGLRYGHLMIMADQDHDGSHIKGLLINFLH VAFPSLLKLPNFFQEFITPIVKVWKGPNPKTAISRTFFTMPQYDEWRERHKHERSWKH KYYKGLGTSTTQDAQVYFTKLDEHLKEFEPIKREEEDLFDLAFSKKRADHRKQWLGNF VPGTFLDHSSSKISYDDFVNRELILFSMADNMRSIPSVIDGLKPGQRKVIYSAFTRNI TSDMKVVELAGYCSGLTAYQHGEQSLQGTIIGLAQDFVGSNNVNCLEPSGNFGSRLAG GSDAASPRYIYTRLSPFARRVFSPLDEANLEYNTDDDRRIEPKVYCPIVPMVLINGAE GIGTGWSTSIPNYHPEDIVTNLKRRMGRLDSSEEQPFEPMMPWFRGWKGVVEEAGPDR FRADGRISEGPGDNEVEITELPIRVWTDDFKGKLEEVIKGEKVTSFIKDYKEFNDHDN VHFVVQMEASQMKAALTEGLEEKFKLQKTIATSNLVAFDLEGRIRKYTKVEEILEEFY VHRLAMYTKRKQHWLDVFGKEYRKLSNQARFVLDIIDNKLVVSKKTKPKLVAELRAKK YEPFPKVKDAKKAGEADDVVENDEEVPDDEEGGARDYDYLLGLPIWSLTQERVDKLNR QMVDKKAELDDLEALSEKDLWCRDLDAFLEVWHAALEEDTQVKKSIRSLGRRASKKLG VGKGGKLSTKIKKDEEYMPTKKAAKPAKANPTKGVVQVKPTETAKKRFEDMFSAKPKK PKTQTLGSDGAEEMSGLSDDDFAAVSAAPAPAASRSKRAAAAKPKNWLVEDDDESESD DDKMLGDVGAMVKGIGAESDTAANNGRLSLFAMSRPGSSSGKAPSASSKSKPAAKSKV IDLSDDDETNYEMLAKPSPQKAQAPQPLDRDLDSFLSNDDSLPVLVKAAATKPAPKAK AVPAPKPKKAPVVKKAPVKAAEPAPKPPGLSPAAKAYAAKQAKVKVTSKAAAKDDSED DLAMSDAEDVDEDVTESPVRPAARGRPARAAVVKAKSKKPVYADSDEEEEESVVEEDS YDFDESD VE01_09940 MEQMFAETPKPAEMSSADRPQALDSSQLPECAPARSQAEMEEHL GFRQSEGVHKTPTEPLTHARNQPEAEEYMGCLQTEGVHKTPTELLDASQLPECAPVQN QAEPEEYMGYLQTKGAHEAPTEFPDIKMESPQDAASPPRRQQQSFLNGEFPAQTQQAR LFNDPRRHKLFGEYNEVIRQNPDSHLARLGELAHYVFDNGRPARVWTAGGVGSAQVGL DPSKTSIHGRCSCTYDEADILLLTESEHAAWSRANNPPKLVVIRDPEFSRRRPAKSTE SWLREQEKRTNKKWVDVQRLNRQCNDGAAENIPLQDAIQQWRTSQTSDVISLETPPMN LLNISDKTVGHWPEGLAKDYQLLFEAIDECESMIYRSLDERLVPLSEQDRGVGKTTLM LFSHSDIQKCTQFRILAHRGACSSWHIDNAGVYTFIVLESNIDSPDEEPEDVVKYWPV YPTHHMNAQDEDAARVAFSKEGINWRPKPDGKIPVIALTRGDMLIQPPGTIHAPITLT NCFFLGGMAWRKNTLPQTLNVWHYLMKNEICTNEPLPRQSQAILDFIKVAVHDAPEEH GYRQNQLGEFDKICDEISGMVSRCGCSKACSLSTKCSCLMQGLKCGIRCHKGSTLHHT TCTTVNMLSPASLSGGRKYTKRTRLKDDEDSDYTSNGTPVSKTKRKKREIEALQANAP SATLDAITLLPHEQLNNSPMVAGSDYTPNGTPSGKPKRKPTVKAPKSKASKAKSLEGT ALLPDATANNSPGVTDSVEAKRPEICVGSSTGGICEYDDQVRSNCLVCKHCTTIVELG GEAIRHTRPRAHTKADVGNEIDSTRSPGTEEAVSQKRKCHECLRSTITVDRRGPDGPN TLCASCQKKLTKANREKEANTEAVTSATLLPSIEKGELHKKRCDICNRDDILVDRRGP NGPGTLCTGCGKKYSKENPKKGANKKDRSQAVVDTFDQTKATHDDTLSANRDTLLNSS KSKTPEAPRMRSYTPREDYCQSCEENVVSSRWYNTEANGTKGKKCGRCYSNEYHRSQK ERRASLAANETASPSPAKENEASNRTNIKLRFSPSAYSAAKEHNADKMDTGASQQDPP VQFQRGILPPLFDAPPGLPQENTIIHAHNTYLSITVELPVISKQQQLAQHEPAAAYPL QYADPQVLVDLQSYKSHEATPEPSVEHKPKANGFMISKPKPQTFPAFAQDDAAFSNSL NLNTGPEAVADLPVTNGSTTTESSSEHSNSHSHTSSPLTALDDGFSDRENVDLLIPRE AQ VE01_09941 MAPTNSYMSKLKVQLKLSIARLRMAQQKDEAVSKQSRRSMAQLL EAGKIESARIRVEGIIRSDITCELYEILELYCELLLARVGMMESSTCDAGLEEAVKSI MYAAPKIDIKEIHVVRALLADKYGKEFALDAAENTDNKVAEKVVKKLRIEPPSEALVN GYLEEIASTYGVDWPKAEPGTPPKYASDEDQDPSSGGQAEKALEEPIPARSRVEEERD ELTKATPPRDFGPSSPLRVNPPSPSTDNLHPRVKGTLDLKPTKKMADANVTKKPDLKS KGPVGGTIPDIDELAARFAALKK VE01_09942 MTARPHSPEAAPRGSPRPSQRSNSNKNEAEPDEAVEKKPTTLDK VEEWSAKHASVIWANLQTGNLWQRMLKNTIASTIAIIIALIPAVLNVYGKATYLAVVT TVFGHPGRRFGMMAEALILTILGTLLGAAWSVLGVYLSSLVYHYNVPAAFTIKGVFLT LAVLFHGYLRSHTPRLFLGVLLMLIVVVVTLTNPAKAVTVGLVTAILYPILTAVGILL IVNVAIFPEFSSSFLGITTIETLSQTVSTLRDANSYFVAILDPNNETIGKQNENNSDG EADKNEQEQEEPPKESLFHRLVKAVKSLRSKPLTEEPFDPAKRTEGERSGEEPSTPEV PKPVVPSIVELKSLTDQKAKLRAKLESCKSAQQECMFELAFAVLPPRDLKPISDTSMK KLVANTISLIGACESKYALLGDIDDAKVASDEAKGAKTPTGSISMSRRSSFDQDSETG GESSHEQFSDKEESHMPWKKKKKEKARRSKSKARRSKSRARLRREKEDLEMVKPQKEI ESADEELMRRLLKRIEGPLHSLQTNIDKSVEVITSCLAYCYDVKKLPSGAFPPKGIKL EELDIHIDAMKASIVDFDKNASAALESATAYYESHSTHVDVTPRMEIFLISSFILNLR QAATNMRKMLKHSRKLVEKRQERHEKRRLWAPRIHWRKWLTTGGEHDKLSLPEQGRKD KRQGKEDQQDEDKGPHETRAKVKTGDLETQAKVKTGDLEAGAQTAPSQKPPSPKVTKK VKPKEEEKSRFARLRIKLADFVDAVAASDDVEYAIKLTIAVLLVTWPAFVGKWNTWYY LNRGLWAALQLVLITEVVIGASVWVFLLRVVGTTIGCCWGLAAFEASGGNRVITVVML VIGIVPSTYVQLGTTYIKAGMVCIISMCIVALATVDNTLSGGAVDNFLKRLIAFLIGG TVAIFIEFAVLPVRARDRLVESLAAAIQKISEMEACLAYGVESGKNTDARSPEVIERF EVAMGKAEDALGAAAAFLPFCDQEPRLKGSFAGLSLVYQEVLYVLHRIIERMDNILQL RNEYGSGVLEELNEEVYAYRRNLAGSITLILFAVHEALTTKLPLPQFLPSARLAHLRV VNRVRELVLENEPAILGKDNMERSKMEKLMVRRMLRQKFLSWNAASAGQIEVIEYLEE LVDLTKLLVGANEFRSGLLTRPSYNDYIKKIKERGEAEERETLIEEEKRGRQDTEPSQ PLQRRRSEQSQEAEMADKVAEKLEPGVRKRRNTRLGSIVGTEGGEQISAKLANAELPM SLRRVRSKRIEERELERIRSKQSIEEEESKKGK VE01_09943 MDAVTPVRTRASIPSSSLSKSEEEIVKKLHSNPERLSSGELAKT LLSTFNKNLGKFSFARTFQAYLKWLEAQRRAPQTTINYNWKNVVMQWAQSLKARDVRL KDLVLEVEHWKRTHGPFQDSKTEVNPRYPPTIEELETAYNMDLRPHSARSPPSVPPMR KGDRHLPVEPRRGHRGPEPNTHEAIKSPAKHYLSSPNNSSVLASAPGGTIGLFVMNVG NYSNNEVVEIFHPDTRHTVTRLEAWLTNRTVHFRTIEDRDRAYDLLPDDLKDRKEKDL TRPLVKIYAGRGTKQWSDTSSGHAASPEKTLRRLGGNKYEPEEVGLYFINTGRYSRKD VERLFRERDISNIVGVERLSKSDVVVWFPSTYLRDKALEHLPSYLKDDNETSRKTSLF VVLFNPRVHGKHPASRLRERQINVPDKFYNRGADKNESRVETSVATKHGRISRWGHIR DENDGYRLPDRTLGDDGRLSRYESTNNSSPEFSRHKETSFNKPKIPAFSPIRHNEERK NGYLNDEERMTWEQDQGDVFAGMWLEKLTGEIMEQRSKLENPTHYNAYSEEEPVEKEA LRHAAQLVNEIGNARAGLKRSRSPDPIDYREDMVVYSKRTKLENDSSGHIDGFEGDLS DGDALGLSTLGLGRVKRLNALHMWDLLDNSKRLEEHAQSETSNSNENSAMVEEASDGD SDGEDEEAEFDDDEAEFEDRKEESDVQRPNNELETISSPDDGWCSSRDYWAGSSDGSN SSELEEGASAQVDLPGAVEMSDTDVKSDPEMAYSSPETPSE VE01_09944 MSLSNDCPPELPQQAQEPVRLFKEIDEPLFDLEGPISISCHCNR INGLIKVLPLRAFGNGASIEIDINHDYKTRKYSGQLAVSYFPSIQPEPSILEKTVCFR LGDLDQQNATTIYFCPTCGCHLFKEEWETVAEKTGPTWAVATGVAEKMPEKLATFTIG RHINVDQTGDEGLSVWMKARNDGSIIQTDIPLQDKESLLAKGHTLLSSTPIANPSSSG DTDGDTLDASCFCGTVKFHVTRPNHESTLPKSQFPDLMIPYCIKAEETPNPGDKKWWI RGDRYLAGTCTCNSCRTCSGFDIQSWSFIPRANIFMHHSDKVVGLDFMNLPEGILRSY NSSPGVTREFCPGCGATIFWHNTDRSELIDVSVGLFNAQGARAEGWLDWWKERVSFEE DRFDGLIWDPVTCLVTGLKGSIGAGV VE01_09945 MVLSFILIQNRQGKTRLAKWYAPYTDADKIKLKGEVHRLIAPRD QKHQSNFVEFRQHRVVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLDSFFGNVCE LDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE VE01_09946 MTMHGSTRSKRKQHAAPLPERPQKLHRAVNGKESVGENTPDLGS SSPIDEMEMEMEEEDDDRIGIVAPADSAEWQATIEEVVRNVVSIRFCQTCAFDTDPAL TSEATGFVVDAERGYILTNRHVVGSGPFWGYVVFDNHEEVDAYPVYRDPVHDFGILRF DPKAIKYMPVAALELRPDLAKVGAEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGY SDFNTNYIQAAAAASGGSSGSPVVNIDGFAVALQAGGRADGAATDYFLPVSRPKRALE CLQQGLPITRGTIQCQWMLKPFDECRRLGLTPEWEAKIRAEFPKETGMLVAEIVLPEG PSDTKLEEGDILLKVNGELLTQFVRLDDILDSNVGQGVKLVIQRGSEDIEVELAVGDL HKITPDRFISVAGASFHDLSYQQARLYAVACKGVFICEATGSFKFEGTDSGWLVQSVD HKKTPDLATFIEVMKGIPDRSRVVVTYKHLRDLHTLNTSILYIDRHWSSKMRLAVRND NTGLWDFSDLAEALPALPPVPRSASFIQLDNPTHPAAAELVKSFVRVACHMPVKLDGF PRNRKWGMGVVLDAEKGLVIISRAVVPYDFCDISITIADSIIVDGKVVFMHPLQNYAI IKYDPSLVDAPVKSAKLSTEQIKQGASTYFIGFNQNMRIVVAQTTVTDITAVAIPANA GAPRYRAVNLDAITVDTSLSGQCGSGVLVAEDGTVQALWLTYLGERSSTTNKDSDYHL GMATPTLLPVIKQIQAGVTPELRMLSVEFNAIQMSQARIMGVSESWIKNVAAANSAHH QLFMVRKRTFERDQDSHALQEGDVILTLNGNIVTRVSELDVMYDSKVLDAVIVRDCVE MHVAVPTVAAHDVETDRAVNFCGAVLHRPHLAVRQQISKLHSEVYVSARTRGSPAYQY GLAPTNFITAVNGVATPDLEAFIREVVRIPDNTYFRLKCMTFDNVPWICTMKKNEHYF ATMEWIKDPSEECGWRRVSYEHGESIKGEGKEGINEIAAESIAEDDTGAGDTEPVAVK A VE01_09947 MEAATTPKGASPPLEMPQSVASSDPKIAAQQASDMRNIVRRKLT GYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTLVNTLFNTSLYPPRERKGPSL DIIPKTVAIQSLSADIEENGVRLRLTVVDTPGFGDFVNNDESWRPIVDNIEQRFDAYL DAENKVNRMNIVDNRVHACVYFLQPTGHSLKPLDIEVMRRLHTKVNLIPVIAKADTLT DEEIAAFKARILADIKYHDIQIFEGPRYELDDDETIAENNEILSKVPFAVVGATAEIT SADGRKVRGRRYPWGIIEVDNEEHCDFVKLRQMLIRTHMEELKEHTNNALYENYRSEK LTGMGVAQDPSVFKEVNPAVKQEEERSLHEQKLAKMEAEMKMVFQQKVAEKESKLRQS EEELYARHREMKEQLERQRMELEEKKSRIESGRPLEEKGKRNKFSLR VE01_09948 MSDLIREAPLGQFLRWATKNRLFPYPEELPGFELPESYNAVLRS EKAPIERPISRKQLKKESDESNSTPDSESFNQDIELQRTLSRQDTRQFTQERLETEIQ DAIERVASKPIYPVKTADGLVLVDWYSTDDPANPQNWSMGKKSWVGLLICLYTFVVYT GSAIYTSSIPQVIEKFNISEVDASLPLSLYVLAYGMGPLVFSPLSEIPRIGRSPVYLV TMFIFTIISIPTALVNNFAGLLVLRFLQGFFGSPCLATGAATMSDMVSMLYLPYALIA WVSAAYCGPALGPLLSGFAVGAESWRWSLYEIIWAASPVLLLMFSVLPETSTPNILLR RAERLRKLTGESRLMAQSEIDQAKLSTREVVVDALIKPMEITIKDPAILFVNVYTAII YGIYYSFFEVFPLVYPVYYGFSLGIIGVLFTCILIACLIGVAIYSAYLYFYHIPDIIK NGLRAQEHNLVPALFACFGPTIGLFIFAWTARPDITWVAPTIGIVLYGASVFIVMQCI FTYVPMSYPQYAASLFAGNDFLRSASACASILYAHPLYKNLGVARGTSLLGGLSVIGI VGIFLLWVFGAKLRARSKFAMH VE01_09949 MGRTDEFLSSISGFLRAKDAAQLQQWLVVEPPLADAYAELSREL KTIDIEKAVDKIDNDDAWPGFIAFMNLYLDFFRRVDYGNLMETHTQLSALVNSCITAM SNASYGILVLPTTIQLCTALATLAMTLDKRPDLTRRWRAADDGEARKTLVEGTAESIQ RAFTICLTERTSNRDGVKDGKPEGKKVGIYSFANLVLKLLFRCQKTPLAEQLFTNIMQ NSPPLALYPASHRVTYLYYLGRFLFSNTHFYKAHLCLQSAYTQCHAQCINQRRNILIY LITTSLILGRHPSPALLARPEASTLLPKFAPVIAAMRTGNLAAYRHALGPTSPNHAWF LRRGILLPLLYRGDTLVWRSLARRSFLLTYDAPADTTTRKAPTLDLHALLLAAQLCQR TLEAEAARQGRKLQPSMGLISGSLMPDISDVEAIVASLVAQDLLHGFVSHSLKRFAIL GAKQKGGPLAAGFPAPWGVMKARAATATAGDVPGWVSGSGGKTQVRMGGVVNLSGIAR PVGSG VE01_09950 MQLLALLAAATGAAAVAMPNGGGVLGGVGNGHSKGWGLKKFKNL VAFGDSYTDESRLGYFQQHNGQGPPAGTLLPGSTSTPGGGITWPRWVSIYSGASLFNY AVSGAVCSNKMIYRYLDSISGPFPDVLGYEVPAYQADAAYINKKTRTNTLFTDRKADN TVYSMWIGTNDLGNDALITDSTLHGETISDYIDCIFEAFDGIYKTGARYFVLMNVAPL DLSPLYGLPSAGGLASSHYWPNKPANTTEQSGKMKEYSSTVNSVLEYRVPFEVKLANR YPGAHFAIFDTHRLITDIYNNPATYLNGTGTLHVNEPYQVCPPAGGNCVNSEESLDNF LWFDELHPSERTDQVIATHFLDVVKGTSKFATYW VE01_09951 MYAPQYPFAPGPNAPPPPQQQQPGYIQNPAAQQQQMMYNPQQQG QQFGMPMGGVPQQPPYGPGMNGPNMVPGPNAVMMQNGGAGMPHMGPGNNGIASYQTPY NNSPYGMQGGPPSSGPQQQAFLPAGANAAQSGFVQPAPQQRMHTPQQHQQQQMGTPQR GSSYGPVPGQHTTPPHTQAPSQFMPPQPGTPQMAMPMQQQQGLAQQGQQAQQPVQQPQ SAGQTPVTPSFPTSAGGMATPLSPGSEVREKDRVSLLLDINRVLLMEVMRLQAVQAEA KAKVESDDKKDDKEDGAEGAKDKEKKDDKAKEKPKIDPVTGKEFVECMRRIQYNLAYL AAIADRSHKPSSQIPPHPAVISAPSLTPLPKPATSSPTSPNGAVKSTDGAADAEVEDR AETLKKQYKRLQELFPGVDPKKEPTVQPAQAAQKQQQQQQQQQPGPSAPQKAQMAAQM QAQLAQMSQAQKMEFMQRKGMQQMAQQQPNAQQQQQLQRMRQQQQQMQQQQPGWRPGQ PGGPQQGGPQRMGPGMQQGGGMDMSGMGMGSPSVQGMQQPGQQ VE01_09952 MRLINTKTLRIEEFFDGHAPKYAILSHRWLDGEVTLQEMQAESC TNKPGYQKILSTCKQALSDGLSHAWIDTCCIDKTSSAELSEAINSMYRWYAEAEICYA FLNDIAVDDILSSPGEDAFAKSMWFSRGWTLQELVAPEHVTFYNTSWIEIGTKASLRV AIAAVTQIDVSMLQSGANLDDYSIARRMSWASRRMTTRKEDMAYCLLGIFNVNMPMLY GEGDRAFIRLQEEIMKNSDDHSLFAWSSPSPAARGLLARSPADFATCASIDATHSRWN REPYAISNLGLKINLPMVPWAMDTYLAALDCARDGQRLGIFLRLLPRDNRYARVMLGG EDLCVFRDGLAQKCTYRDVFVQQRLWGSVLAEERFYGFWMRTLLSPMKSAPKIKGQQK SNKRYQTTTNEDESLSEVITRGEWDDEKRLFELKIGDSGTAGAILLREGGRTTTIKVG LDGVFNPRVQVGGSIFSPEIGNLDIYSEAGRLHPSWMDAPARSMYLFRGTRLDGLLVD DYPWRISVYNGVIPKTGRMGWIVDIENSDGNKGKEFNRICDGCNSTIYKVWHKCTECD EFDYCSKCVANSEDTHNHKFEAIT VE01_09953 MSSPSNYHARLQFEKTNSYARVYVSKDESTLPSLEKHELQQAMQ HRISSSILPVNTRPVCPPLELCGLHETEKSSVTEALEMKSWASRHPFSDLEPADLLIL TPYYLPYYLGGIDPWRESLRVFPPGYPDEDLKNTIKKTIQTYEIQLNLLEQQNKKRLV MARQEQGEVFRASNA VE01_09954 MSELQFVNVQGSKDQQRKNQTLVRAHAMHVVQSRRRAAKRRKNQ AVVEAAQAEATKSSAPQQETFRFIYGVQTVARHSPSVGNSTRKASPAPTYWSRTQPYP GWFLQEEVPDLASLRSTVAHEFARNTSLEYLDQLVKNFGLVRDSYDAKENALRTGWLP ALKSSEAMFHASMFIASANLELLSGQTLSAVSYFHRGKAIQQVNTELDDNARMTSDST IGAVVALAGA VE01_09955 MAPPRSSEKETILLTGASGYLAGHILKQLLEYGFSVRATVRNQA AENRVKSAISVKHHASLTFVIIPDLSVDSAFDEAVKDVDGVIHTAAPFALHVEENERD LLIPSINGTTGILKAITKYNSRVKRVVITSSFAAMFDLNDLPRANHTYSERDWCSTTY EEARAGDGTLCYCASKALAEKAAWEYVQAAHPSFSISTIMPPWMYGVSAIPFESATKI PASVADVYRLMSGAEKEVPDDIFYNFVNVRDVAEAHIRAYQADKSDRYFTTGGPCTYQ QIVDIIREVLPEVRDRTPEGVPGAAPKKVILLDNSRAREELGINFTPLRETITDMARD LFEQEKTWAANRKEY VE01_09956 MSPIPYNIIQEWERQVLSMDDPFIAGIPKIELHVHIEGTLTPEL RWKLGQRNGISLGSKRLRKDFHSLEQLKQSYDLLRPRSVKGSGQVSAFFDAYYGGMEV LRVEEDFYDLAMDYFLKAAAMKVRYCEPSFDPQAHTRRGVKFETFMRGFRRAQIDADR KLNVKSAWIMCMLRDMPPQAAIEHYEAALPYKDMIMGVGLDSNEYDRPPSLFEELYLR ARADGFKLTCHCDVTQKNTHEHIRQVAESVGGTGAERCDHGLDAAESPELVSLIKHKD LGVTLCPWAYVRHHTEVDLFKHIRTLFDAGIKVNISSDSPAYMESNWVTQNLLLVRLK CKFTNDEIAKVEKNGVEICWAPKEVKENILREIENFCNSSS VE01_09957 MVVLEMEVGERAVETTTDSNQVGNVERADQRGYVTPRLWTDSMF LPQISMLDLEGRTQDSQQSAKNDQPLKRMMEDQNIYISTIAGDYNYM VE01_09958 MPECLDLLNKISIARSGPKTLLGPGSIDPFNTLPDINPSSYRAS YLLSHFVDVFAPALLSVSTDQGANPLKIVFAREAIAHQALFHATLFFAAAHFDILHGQ SSSSDTLMHRGKAIQLINMNLSSSADRLSDSTIGAVTLMAIYEIINGNLKDLNLHMDA LKKMVTLKGGLQALGMHGVLHMLISCQDSLSSTIASSIPRFPPVQCITTLPYITSRSF VRGSRANDSVTHGIPDSVLPLWFHNHPMMVLNDLRTMTSIMNSFKGGRRATTGEMMSF SKMKSSLERRLLSFLRQQDQWPGTQQNYITCQGYYVAALIYINYTLRQFSPTFAVLRV LKQRLIDNYEKGGLMNPVDEASLGISFWVLCIGSLVSLTESEKVWLAERVANIMGRMK LESWKDAEECLIGLIWTKQMSEVLCKSFWHRVEIAQFVSLKISLTGFDNRNQF VE01_09959 MENSSTGLEDYVLGRDLYGSVRLDAQHLLWTLHNGYTLNPKIPI SPGMKIAEIGTGTGLWLLDLAEHLPKTIQLDGYDISSSQYPSEAVLADNISLNVLDAF GDIPPHLAGKYDVVHLRFWCCVVKGDDPSLLIRHAMNLLKPGGYIQWEEAHLGRNFIN GTVAEEFERIAKTIFTDANIIFNWVGEIDKYAEAVGLEVIDCATSAFRPSLVPLCTNT YLSGHVELLQAIPKLKGGQLSILNEKECRQLLFDLFAETKKGAIYHWPPVALLARKPS SNN VE01_09960 MDAFSAQAKALIKTNDEAGRKKILDTLRDLCYSLESAQDSAQRI MYLQLQVAAVRIGCDLKLFNILAETPTPLTVDSLSKTTGAAPTLLARRVARILRYLAS VGIIKETDKDTFTKNNITQTFTNPGFQGGIYHYHDSIGPAITALPDFLKENNYQDITS VVHTPLQKAWNTDLPAFIWVQTKPENFAHFNQFMVAQRLGMPTWLDVYPYQHKAENLK PEQPFFVDLGGGLGHQSIALREKLPDLPNRIILQDIPATLEHAINHPGVEIVVQDFFQ TQVIAGAKIYYMRNIIHDYPEDKAILILKNIIAALATDSVILIDDMVIPNSSAHWQAT QIDLVMMMSLASLERTKEQWHELLEKAGLKINNIYTYTASLQDSIIECVPA VE01_09961 MASSSVSGFFQERPKISNQLYEDVALSRAFKSYLPPQIQQSVSS DISRFAEVVLSKRVLDWVADAERHPPVLKSWDTFGERRDDLVTSEGWRKLQDLGVQEG IIAIPYEVNEGQYSRVYQFLKYHVFSGSSAYVICPSAMTDGAASLLLRHLKSNSLPAS VRPILDSAFKCLISRDPAKAWTSGQWMTERKGGSDVSGTETIAVMADSPLKNSRGVDG SDLGPYSISGFKWFSSATDSNMSILLARSPNGNVSAFYAPMRRTVPWTTDGQTELNGI HIQRLKSKLGTRAVPTAELELKDMRGYLLGTEGQGIREIAVMLNITRVHNSVTALGFW GRGLAISKAFARVRNIGGKRLVHIPAHVMTMAEQEVEYRGYMQLTFFTVLLLGISEQG SSNASSERAPAMAHGSLAKITPSFEDARLLLRVLTPVIKSLTAKAAIAGLSECMESLG GVGYLENDEMQFNIARLFRDASVLSIWEGTTDVMAMDMVKVLKGHSGVDVLKVLETWL MAAGDAAAHREWVRWAGKVKSEGLEELKVQGRQIMRELGKLIAGVLLQVDAERDGDEV AKEVSRRWICKSVNRTRGSWQSRCMWDRKIVFGSSDEAKL VE01_09962 MTAKYDSDFTNIQSYLKPADISGGMFHGTSSEFSTVSPSHATGF DNMYGNHVSTLLRNAYPVQYPVTAVAMGFDVEGLYETQVCRRKKSTGDKLFPPYVNLR RRAQNRASQRKFRARKAERMKEIEEGLMELQEQHSALTLSYQTLQVEYATAKQELATL RTKHTSEFPESTYSGSGIREWEGCQTRTSDPLPFDVSTFSYRHEQDEK VE01_09963 MAHSYKSQYPTKVVVDEEIVQFFEDFYRISDTPGVHEEYANYFT KDATFILASKSIKGYEEILAARKAMWTAVASRAHKPIKVFPFGHVSTEFMLYGTVSYE MKDGRKSGLDFSARAKMVQIEKQWKIQDYQVYMVGQV VE01_09858 MEPGTEDSKDALPAAIIAQAEAACVGNADEIRSTQQRQSSIASW PHQATLGGAHSSPEASSSADRTSHPGNFDLLGVEGTEDAPPKYSELEISQDGLDTKAR VTDDGRVNITINQKTRRLSELLSPALRGQLSLVAQEDDQPLPPGYIPPSLGGQPGQIP PPNLNVVILVVGSRGDVQPFVALGKVLKSAYGHRVRLATHPVFQSFVEENGLEFFSIG GDPAELMAFMVKNPGLMPGMDSLKSGDVGKRRKGMEEIVLGCWRACIEAGDGLGPAPR LEGGINMDTNPTERPFIADAIIANPPSFAHVHVAEKLGVPLHMMFTMPWSPTQSFPHP LANIQSSNADVNMTNFISYALVEMMTWQGLGDLINRFRERVLGLEPISVIWGPGVLSR LKIPCTYCWSPALIPKPKDWGRHISVSGFFFLSLASSYQPEPELAEFLAAGPPPVYIG FGSIVVDDPNSMTSMIFEAVQKAGVRALVSKGWGGLGNDSLDLPEGVFMLGNVPHDWL FKHVSCVVHHGGAGTSAAGIALGKPTVVVPFFGDQPWWGAMIYRAGAGPEPIPYKDLT ADRLAAAIAEAHKPSTLEKAEELGARISKEKGSEEGGKSFHDHLDWNSLRCSLAPNRV AVWQVKKTQVRLSALAATVLANEGLLNFSDLKLYRPREYDTDNGPWDPISGGASALFG TIASLSMGVADFPVELIKAFKPKPASTTTDKTAALDEDDVPSPAGGSPSPPKYLASNS QSQLSSSSGSHSGPVERSASTDQKSEQSSSSPAPKETKKQFDPSAITLESAIGASKGI SRVVGAGMKSPMDFTLGLARGFHNAPKLYGDDTVRPQERVTGFQSGLRAAGKGFGYGF YDGISGLVTQPMKGAEKEGAAGFIKGIGRGIGGLVLKPGAAIWGLPGYTMMGLHKEVR KMFGASVQAYIISARTAQGYEQWRISSKEEQQYIISRWQGLKSKPAESSRHDSGKGKQ DPKVRSSGDGSQAHSANLDDDEEALRRAIEESIAHAAGPKTDGVSEADQKAALEAAIQ RSLSDSKGPSASTHANEDTEEEEQLKRAIEESMRYHDRDVSATKTDEDIVMEYIKKQS LAEEEHRQAMHKPAPSGDKE VE01_09859 MAPQAPRQTSIIDLERDFSDGGSEYWPAESSYIFKPNDDYYHRS LGSQWMDSIGQSRKDTTYILSALPKDYRIYTKTRKNGTHVDRYLFGHPKGKFDSPIKF FVHFKHLMSGGQTECECVNCKSNKSYQKPDGQRLSGRKPQALPGDVFDINPAQKYIDL LYRSHNTKARDLTLALDFNYNEPMGWSYRLFNPHVEYDSILHECHSFQPRENEIVLFY NDEPAVCMDESTQYLKLFDEKTGQFGGWPKWEAGRVMSAPEPGEAHVEDLAFSALKGK KRSAPMICVEVLPSDSLSQSMPAKLNVELSHIRPFSMLRELQHGQDQTLWESNIMPTA HTMSKLFPFDPCAFTRIFTSSDSPEAESESLHAKFKCRGIWLGAEKIIEGDAVRLMPI DDQEVIDSVLVVKEISYVLEHLEAESPAGNLFFEGRCLTLTQPSPKSKAVVRSSSTYE DLVSQGLPPCMRGYTWYDKESPETNATFPPDSILGRCYEREAMELMIYASDLNIGLQG VRELRRWAMSKQNNNSLGWVWPEDYPGTESHVKLNELRVGKHRAAENNRSLGTNPLDS LDRSGFKNSRGYDSFGSEGGSGALEKMSTISASVIQDDKEDEADAFIREALEGSFAND AEINHVAKKLRI VE01_09860 MSNEVAQPVEHESVRSSTVEQKNGYTSKASTTSNHFADSQRDQT SNEPDLTSVPVGDESSVTTNDTLKKNHTLLHVPSRSSSHKIQSSPTATALSGATASDP TGSIGGGSRKSNSSIIGEKRNGSLTSSKRSATSPAAAESTPATVNATSSQSAQMQKPK KSRGFLSFLNCCGVPDSANGIDPEETALPVKPTTSTTSQARATTPSKPVSTVPDVITS HKSAQPEKAAMVPNNTTDNDGRPSVEGTGLKQQQAVAEQHANSKDSRNQPLPALPRDA EESVANTNAPGASNPVVFVQAPTPIIPQQDSSVPISSQNDTNNEVDTTVNTSDSGEGN TTKDVEGDQQTNTANTTLPPPPPIAGAGTNGTALSQTQGPSVADTVEEKQQWLLPPIE PRFNGKKCLVLDLDETLVHSSFKILHQADFTIPVEIEGQYHNVYVIKRPGVDQFMKRV GELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRESCYNHQGNYVKDLSQVGRDLR ETIIIDNSPTSYIFHPQHAVPISSWFSDAHDNELLDLIPVLEDLAGSQSSPRASSPVS SGVQNSGRPSSPTPPGGPKTAIRRRAAADQKDKVANVRPSSTRAAGAGGSSSTMLKLY TDESPGLKVDPVVVLVLSIVFIFSVVALHVIAKITRRFSS VE01_09861 MYTLDATDSFAIEQPSGTYVYDIVPVAGGIVSISSDDTVRLFDP SNLQQGPVYSVPKVNYEVTCLEALDHQGSIVCTAGRDGKVTILDLRQQSRVAQIGTRH NAPILSLATCKDAYAVAAGTELTNGQAEVIIWDTRSLNAPRLQYTESHNDDVTELQYH PTETSILLSGSTDGLVNLYNTTVLEEEDALHQTLNHGSSIHKAGFLNNLDIFALSHDE KFAIYPMITNTEASVEEPPSTQFGDLRESLGCEYVASVFGRPNGGVVGIGSHSQSNFS LVQLKNSPPWAISTESPVTLSGGHGGEVVRSFCFLDEFRMIITGGEDGQIKAWRFDG VE01_09862 MKGYCQRRMPFIILLLQVLYVTAHVQPANVHAETNDLSSNSDSP PAAHSAPQNEHGEHGTEYYQGLVGEALSFLEPLEKGNSRYASLKTSRFPSTALYYVAE LISQLYVGAPPTDQGTSDQEAKGKDGGQTLTGPLSDGVALLRESAKYNNSDAIYLLAQ MSFYGNFSYPKNLTESFQRYSQLATLSGNSSAQHMIGFMYATGVGGVVQRDPAKALLH HTFAAQAGHSKSEMTVAFRYQNGIGTSRNCDEAVTYYKKVADKAIAWYRSDEPGGKTW VSDSYSLADDDGGVYGEGASASSAGMNSQRGDGGLGAHAALDDVLEYLDLMSGKGDFK ATFSLGRIHYNGQKGLNRDVKAARKYFQAVVGQYWKRDGTKVDTTDKPDIEKFACNAA GYLGRMYMRGEGVDINYDKALHWFRRGITGGDAGSANGLGLMYLHGLKVSKDAPKAAD LFRAAADQDYAPAQVNLGKLHLDQGRTEDVQIARSYFELAARYGNIEAYYYLAEIANF GIGRDRSCGLAAAYYKTVCEKAEPLLSSFAEANEAYANGDRELALLDYMMTAEQGYER GQANVAYLLDQENSILKLSTLSPISKPRSMLLENPGLALVYWTRSAKQNNIDSMVKMG DYYLNGIGSEPDMEKAAACYTAASEFHQSAQALYNLGWMHENGIGLIQDFHLAKRFYD EALETNDEAYFPVTLSLLKLRLRSAWNTLTHGRINSIRYEPAPSKQWSFSEWINNFME DDRLQYMDYEEDDLLHRDDPTYGADDGMYDDVIEDGIVESFIIIALAGALVWLIYYRQ QRQLAHRQNDQGNQPVGGQQAQPDQGVFPRNGDPAFAQWAAGGVGH VE01_09863 MSAQDAKRRRADSTAAALSRPFRSPFRGTAGKPNRDSQDQPSDL GRTQLDQTASPRKVSHTPRARNSPSFPSKRQQGVNLSSRPSDTSDTQLEIAALVKAQR QLKNKLHSLAEELHLCEQAHKIERGSPGAGSGSGEVDGELLRLIERWKSASRQAADEL FGSAKDKINRMGGPQAWKEMQEKQQEFRNEFNAGFEQDSRGEMDDNDGRSDTDTGANI ELETHNEREARGGNDDAEDLNDKEFTMSMMLRSLNVELEVIGYSKEHQMWID VE01_09864 MGVLELLEKNRTEARERRMALIAAEDAVAAAVKKEYSQTQAVEP TQDSLRQIKSTFSGLKPAKRRKITGSLNDKVSESPASTSVLGRLSASPGSEDAVLNVK ITESSTSLDVLGTLSAPPDSKDDVRYGPGRKAPRKKAIASYTNKQTVDTRIRSSEWYE KIDMREKYSRGDLQLVAYLRTLCQNSVAARDDGERKKITAEIRTKLHEMEFFQCVSGI IIKKSRILEDDGLPRIFANQDGVDFPFDLRADAKALYLRWIVGDLDSHLLRGITTEKG RLESGMKRTSHKLDKKYTMKKSANVVGENCLVNGQWWPNRICALRDGAHGEQEAGIHG QVGKGTYSVVVAQGGYADEDKEMAIEYCGTQSENSIPTKNTKLLLESYESEQPLRVLR AENKNSKFAPTKGIRYDGLYTVVEYTILDASTAMYRFSLRRCKDQDPIRYRGPEARPT NQELQQYALIRESLGMTA VE01_09865 MSRMELSMPPSRLTEYQVIGRHLPTEANPAPKLYRMRIFAPNTV VAKSRFWYFLMKLRKVKKANGEIVSLNVIHEKRPLKVKNFGIWIRYDSRSGTHNMYKE YREMSRTDAVEALYQDMAARHRSRFRSIHILKVIELEKTDDVKRPYIKQLLTKNLKFP LPHRVSKAAGTKLFTSKRPSTFY VE01_09866 MESIFKNFREAHIAGNGYQLSDTLLPISHSEEPGRLHSFFNSTN AANVKSDIQYNVLYDRQSTLRLSTEEGKAWVDVYTGYWTAVGEIIKAEDAQKTNSPVN WVAVYETWKEMTNAIIRGYSTGCFEAWTIPCLYISGKYLRIFAIKADAAGGNTDKAMD FQDDFNPDTGKNEKLEDAARVLNRMFQLCVSDRAPLEDSRKWGIYNIVNLLFKTYFKL NSVALSKNIIRALQASRGDIPDVESFPKSHQVTFKYYMGVIQFLEEDYKQAEAFLTQA WELCHKQSTRNKELILTYLIPCHLLTTHTLPSETLLAPYPRLQELFLPLSRCIKRGDL TGFDNALAAGEDEFVKRRIYLTLERGRDIALRNLLRKVFVAGGFEEPNDKSSVPVRRT RVTVAEFSAAISIGNKEKLDDDEVECLLANIIYKNLMKGYIARERGIVVLSKGGAFPG TGI VE01_09867 MFTRRPSQSKTESFSKKAGRSKGSFREHAVSKTRHEKSRRPAPE ERENPRLSSTITSPIITLIVGREQRIFAAHEDVLSLSPFFQQACRGQFMEAQSKRITL PDEEPEIFSSVLEYLYKGDYYPRLAFNKRRNTWELEQSQEAGAPAMESTVYHHGIEGE VLKDTVIYCTAEKYGLEELKKVALRKQGLQSGIQCSTILASARYAYANTPDTDSKLRA HYLALIIRSRGTFKRSGTMQLEMHSGGSQLFFDLFVALCNHVDDIASAANSPRSVRHM VE01_09868 MVEITEGGTPPQAPQGDDQHSKSPRDSKGWDGKLRVERRPVMTN PEAISDPEYSDEENILPGEQIGPDEDLLQDEPSDVEEIDCIHSRVASVPSLNLDRFTK LVRLCLRQNAITEIEGLSSLAETLRDLDFYDNLISHIKGLEDLTNLTSLDLSFNKIKH IKRVNHLTKLTDLYFVQNKISTIEGLDGLTQLRNLELAANRIREIQNLDTLTGLEELW LGKNKITEMKGLSSLSSLKILSIQSNRIREISGLEDLQTLEELYISNNALSSLSGLEK NLKLRVLDISNNTVSSLAGLGHLEQLEELWASYNKIDSFADVEKELADKKQLTTVYFE GNPVQLRQPALYRNKVRLALPQIQQIDATFVRVT VE01_09869 MPVPNIKLNNGFEMPQVGFGLWKVGNDTCADTVYNAIKTGYRLF DGACDYGNEVEAGQGVARAIKEGLVKREDLFIVSKLWNSFHDKEQVEPIARKQLADWG IEYFDLFYIHFPIALKYVDPKVRYPPGWAFDGKEDYQLSNASIQETWTAMESLVDLKL AKSIGISNFQGALILDLLRYAKIRPAVLQIEHHPYLVQETLLKLAKEQGIAVTAYSTF GPSSFLELGWQKAADTPLLFEHPTITTIAKKHEKTPAQTILRWVTQRGLAIIPKSNTQ SRLEQNLNVTDFNLEQSEIDEISALNKNLRFNNPTDYLGTLHIFA VE01_09870 MAVQSTLRSVEPLKRLHAYYTKLFIGKYKATSRSTRLLATLALL LSIIASGAGGRRWWKKKASEKEEGRRLLRRNSGLKNKDGSRTLVVPYGDATSKVTIHP TKPTTFDAHRRLFLNPPRASGMVDGQPTPHLQAKPGLNLAFLHQFLSLLSIMIPRWRS KETGLLLSHGVFLLLRTYLSLVIARLDGEIVRDLVAGHGKSFTLGIIKWCGIGAVASY TNAMIKFMQSKVSIAFRTRLTRYIHDLYLNDNLNYYKLSNLDGGVGQSADQFITQDLT LFCTSAAKLYSSLGKPFVDLCVFNYQLYCSLGPLALSGLLSNYILTATILRKLSPNFG KLKAIEGRKEGDFRGLHARLIANAEEVAFYGGADMEKAFLNKEFVSLKKWMELIFTLK IRYNILEDFVLKYSWSAYGYLLTALPIFLPSWGGIGGAAELIESTVKGGREQGRMKDF ITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVEANAYYPQRGTSAE LYSLSDVQGTTQKGYDGVRLENVPVVAPSLFPNGGDELVDSLSIIVHSGEHLLISGAN GVGKSSISRIVAGLWPVYRGLVSKPRSVGTDGIMFLPQRPYLSVGTLRDQVIYPDGEF EMRDRGRRDSELQRILEEAHLGYLPEREGGWDTRKEWKDVLSGGEKQRMAIARLLYHE PRYAFIDEGTSAVSNDVEGVLYETAKDKGITLITISTRASLKKYHTFNLTLGLGENGD EWEYERIGTEKEKMNVERELQELREHIGKVQEWKDRHAEIEKELAKVWVDGGDVLEAA EVEVGTEEGGQ VE01_09871 MYLLTLVYFEFSGRTASASSRPGPTGDNSRDDGNIKDNKLQVPL WHEYQHCRTFAMGPMKDDNSKRKAVDDPTTPSANKRVKSSHSDSPEPKKIQALPAHPV PFPEKPAVIEERNGEIEFRVVNNDNQRESLIILTGLKCIFQKQLPKMPKDYIARLVYD RTHLSIAIVKKPLEVVGGITYRPFKGRQFAEIVFCAISSDQQVKGYGAHLMSHLKDYV KATSDVMHFLTYADNYAIGYFKKQGFTKEITLEKPRWMGYIKDYEGGTIMQCTMLPKI RYLEMGRMLLKQKEAVHAKIRAFSKSHKVHPPPKQWKNGPCKIDPMQIEAIRASGWSA DMDELARQPRHGPNYSQLLHLLNDMQNHASAWPFLHPVSREDVADYYEVIKEPMDLST METKLEADNYATPEDFIKDAKLVFDNCRKYNNETTPYAKSANKLEKFMWQQIKAIPEW SHLEQ VE01_09872 MSAIIETDDPVPIFNAVSTSVRQLCQLLNCIRFAPKVQIHISPE GIQFAVEEGRVMQATAFLDKALFTSFTYNPPTSDDNDTAEPPSFQVSLTALLETLQIF GASEASSRFSKPESDEYATSIRPHRITAFSNQTLGMTGVCRFSYLGVGEPFRVILEEC GVTTTCNLNSYEPENADEIPFNKQELEVKIIMQAQFLYDAFLEIASMTATSATSSNRL KVVASPNSPFFSLSATGSLGSTTVEFSKSRDLLETFSVSRNWTQYYRFEMVKAAVEAM KLASKVSFRGDSQGVLSLQFMIEVEGGGISFIDFRFVPFISGDEDDTESEEDNYDDNG EEV VE01_09873 MVLYSRDRPTWTLAATACEKDDTTLVDQAFSKASQLDGISEVEL LHEFCALAVEKNATNALTHLIKQGANVKALKSREVAWRSPRTKPILEILFAHGWDINA RNDLGHSFSDPEPFMWSVVKDIDLVTWCLEHGASVFPRDQEPLRDDIITMSHRKCQQV LEKAAQSATVATFELLRSKGAPLGWRPLHFAIETTTHYQADRGEEANRGEEEDKKAKE SARNYEERMAMVRHLVDVVGIDVNAPDQPPGRELGGFWGTPICYIAKSYGLDTDTREL AWFLLDRGADPTPALDIAKSTEHVKFIADVEAWRAKQPDRRKCCALQ VE01_09874 MKRRAIDELVYEHMFPHPKPTDPQNWAKFLERHLLTEVRQETAC FYGSLDTREAQYPGLDYSYPPHRMRLSRFTWHRRLFRAFDTLKLTESEIAGLTHWEGT RWAKERFEKEQNIKIRDTTGDCIQDWVDPTDTRPEMSSLPEREAVFYEFDEAEENEGS DDPDQMDEDGEESDAEVESVGVELNRRLRAGFSDEEYEQWLKEAAEAGGVSVLPGQSL PLSNVAPSQASVYTRLPPSIINAILQGNGLRNGSVSSTPRQEASTSAASADRISSPSA TSPVGNGSAQVLNSMRSLPRMSLTGSRPTHSTTNRAPGDRVFGQSSSRPPNSFQR VE01_09875 MAHAAVTVSLEALKNGTVPFSTLEAAFGPESLGIILVKDVDPAF VELRQRLLSYSTYLGNLPPQILEQLEDATAKYLTGWSLGKETLKNGQVDTLKGSYYAN CAFYMNPELECAESTAEFSLENFPEYLSPNVWPSEGVLPGFRPTFEELCRVIIDTAVL VARACDQYAEQKIDGYTKGYLEHVVRTSTTTKARLLHYYPSPPVASDGAQGAVLDDDW CATHVDHGCLTGLTSAMFVDETATRALIQGHNATDTHLPALPELPSSPDPQAGLYIQS RNGETVQVKIPRDCIAFQTGEALEKITKGKFRAVPHFVKGVAPKVGDGDIARNTLAVF TQPSLDELVDTEKGINFGEFARGVVEKNTSK VE01_09876 MVKALSFKGDPKTKKRKRVDTAAKFGEPSTELTTDAAGPVEEQA SDDSWVSAEATTDIEGPIVFVLPSEPPTCIACDTNGQVFASPLENIIDGDPSTAEPHD VRQVWVANRVAGTENFSFKGHHGRYLSCDKLGILTAQTEAVSPLESFLAFPTASTPET FQIQNLRDLYITIAAPAKNSAVPQLRGDAADVSFNTTLRIRMQARFKPKLKRGKEDKA KEKISRKELEEAVGRKLEDDEVRKLKRARREGNYHEALLDVKVKSKHDKYS VE01_09877 MADRPRRDRGDRDRVRPTAQPQSAVPRERRGRPADSNPSSAYDG AQIPVDLRSMNSRYSLNEQFASSRQEFQFGDDDEDEPDSTEPTANIPPAPTAAAVPEE DEDESDSEESTPDILSAHTAAIAGPEEDSFQDISDAEIRQLLQANRDEFADHYTILGL SRDPPPTATQVKAAYHRLSLAFHPDKQPHYLKGSAERHFARLRLAYETLSEPRKRVIY DIEGEEGVQNEYGEGGAMGPGGESRKQLSSVKAMSAEEFKKWFLGILHRRERRAIEAL IDHQSNFKIGLDASDNFVRQTRVVLSGDVEIPLPNQPLRVDEIGFESSFTLPLPKLGR IFEIPVRQLFQGQSVRLNGGDDETEPNDWADTLSPSVPKLTITGGVSGDVQDIVAVLP RRDNSLPPYFNRHYAIASQQIGFGVALHHTFPELLGNGNGNSIASLLQGTAFRLETSM LPHPASTTLVLERPVYIIPDTTPFTISVTTTVKDSLILRPPEIFVIIQRALGVRGNPR GYFVWASGEKEWPASISRSLAGAAPLNLPYAVRWVTQGRGSPLMKLGADWNFNGEATG VNDGSGTASSGTNVYVQAHTESVHLSVSYFCDVFSRYDEPPVRSRISNSGEVIPQPAG LHVGKSRGILLEVEAKVGLPAILSASVSGKRRIGTFTSVGLSVGVAQNLGLYCSFSWS RLKQNISFPVALIPLEEVTTSAILLAVGIPWALYTTLEFAVIRPRIRRKRRRLLKSQR AKLKQNIAKKREEAEQVMSLLKPSVEHRQAQARRSGGLVILSASYGTKGTDGVLVDVT TALASLVDADQLNIPRSVDRNKLTGFWDPAPLSSKVLVVKYLFGGKEHFVEVGGDQSL IIPTRAHECTIMTDSVRRGFEWVGVD VE01_09878 MVYATHDVNSNNGDTLSAPKASQACLSCRRQKRRCDKKIPACSL CERMNRACDYSDASPAPTSDDFNVLQQRVLELEGRLLGAAAGNGSGHGQSYLHTPAST ISTLSAGVQQPPTPAYTPSPSYQPVHNRFPAIAFLEAESFTRGRIEAPSAQIEIPLEV LELLGDGSVVQTVIRDYFDTVHTWMPIISKKRLTRNMLNPMWEAGPDLALLFLCMKLI AQHPLDDPENIYNPIYAAAKRFISSLEASGIVSLMVLQAYILVALYELGHSIYPAAWM TVGACARYGQVLGVHDSERAPQLLPLVSSWTELEERRRSWWGVIILDRVISIGSKGRV LACEDPRDTAALPATTSAWDEGQMASQNPPSVSSPMSDPLCPFARLCQASRLLGKVLR HHSERDMQETERFQQASDLYLELSDLARILVHQAAMPQEYLSFSTPMSVCFSALCALC DPYACHVSGDKPTTPEEGKMQTQAVDGLKTVSSSIKEFSDHLVSQTTNHLDIERVSPF VMETLYTAGANFAWDVRESGNESSQETLDSLRQSLGRFSGKWKCSQAYLRILEAQEFT YAAVASG VE01_09879 MFARQAFRAAQQPLKSQYRRYATEPAPGGSSNILVYAAVAAAAA GGSYFYFNQKGSTDKIKEGLQKAEEKVRGPAKDALTGGDQGWVSLRLAKVENVNHNTK KFFFDLPDEDDVSGLKVASAILTKYKGPEMEKPVIRPYTPVSDEDERGHFTLLVKKYA NGPMSTHLHDMKPDQRLDVKGPIPKYPWTANKHDHIALVAGGTGITPMYQLTRAIFNN PEDKTKVTLVYGNVTEDDILLKRELEDLENTYPQRLRVFYTLDNPPKDAPNFQKGFIT KELLKTVLPEPKSENIKVFVCGPPGMYKAISGDKKSPRDQGELTGILSELGYSKDQVY KF VE01_09880 MAQGWHAPVQNYTSQQMPLLSPVENTGLLSPFSMTSQDTKHPNT AQFDTYDAERMMQPKLEDNSPKAILPYPPSHSIAQHSRMEQGHSNRRTNSRIQRARRY RRIITQSSQYRAYRTKQDQQQDGQKWSADLEEAFLDAFLDVPMMGKLMFQINGKPHGR NQLIALYMWIAYEKSLPPNVRPDKTKRRTQKQVSSHIQVLKGYIRTDPAFQHIFRSAD EKPKCSNRDMLNNDPCLIALANNTLPHWRSAPSPISMASIRPCLFDLCMSIPKFNGHY ERLHEYLDPEPLVLSPTGIEESLPNWRRQFPQIVHGSAAARGLGCSLIHVDVSLTLRY ASAPAEAELLGNFEIAVPSNKSHLKWRSVQTVQRHKDLFGASGSDLISTNNSPLHVDR FEEGTGAIMRLAFPALPWAHALGKMDDFQGQFEESQRGGLYHPVQVGARQYIDQMTMY QEVFSSTDYGRSWTKKVILVWTFNKANQDERGLITWRHIHTAPLGQSLLSPHPDNSQD MQAAMDNNFNTTGRAPLLSIQPIYYDSVPNDLITPSNSPAQPSPFSQYGNPTHEDIVP ENMTFMPHSTQQSDGAIAEQALHMNYMVDSDPANLHGFEQNANMWQTHPNLQRFENDG YLSSYSTPIPTGDIAQDFKVNWREPQDLDWWHRSCVQPRLQN VE01_09881 MESSRIFIKGLPPTISEEEFRKHFSSKQAITDAKLIPHRRIGYV GYKTPEDAQKAVKYFNKSFIRMSKIGVEMARAISDTTLPPSRKVQRETERQAQQERQE RAAAKAAAIADIPSLKRKRAEADAADPKLQEFLEVMQPGSKSKAWTSKDGIEEPPTKM QAIELPEEESDEEYEIVPKKARNASREPSPAKVAAPLAVAPVAEVVDATELADEIPAI GAAEMQPSVGLDATDDDWLRSRTSRLLDLVKPEDMVVDEGVPTVSKAVQATETAEPSA STEDVAMEDADKPISDSIAVVPEVGSSTDATMDAIRENGRLFVRNLPYTATEDELRVH FEKYGALEEIHLPLDASGASKGFVLVQYEDHAAAAEAFHNVDGEPFQGRLLHILPAAA KRDKKLDEFEIAKLPLKKQRLIKKKAEATSSAFNWNSLYMNQDAVNSSIADRLGVSKS ELLDPTSADAGVKQAIAETSVIQETKAYFSNNNVDLDAFKKRERGDTTILVKNFTYGT SIDEIRKMFEEFGSVIRVLMPPSGTIAIVEFAQAPQARAAFASLAYRRMKDSVIYLEK APKDLFTQPANVEIKNVTNDAKSTSIDAKLSVSDLLGPESAQTGADTSTLFVRNLSFN TTSDRLTETFKPLDGFMSARVNTKTDPKKPGQVLSMGFGFLEFRSKAQAAAALKVMDG HSLDGHNLTIKASHRGVDAAEERRKEDRAKKLAGKRTKIIVKNLPFEASKKDIRTLFG TYGQLRSVRVPKKFDNTARGFAFAEFVTAREAENAIEALKDTHLLGRRLVIEFAAEDA VDAEEEIEKMQKKVGKQVNKVALQNLTGGGRKRFNVEDGAGEE VE01_09882 MPGQNEKEAVPSKDQVDAQVKSADMSDEMQQEAIEIAQEAMLKF NIEKDIAQHIKKTFDDRKGPTWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ VE01_09883 MPSLVVPRSGLPLEVGAQSGAASNGLIGLTLTDTLIEEMINCVQ SGEAIQFSLGPDSSISYGSKTHQLTSTEDPFSYDIFQNHKASTGSPTNLDSAMANPAP RGPGKSASRGLWNLGSLTKNYTNIRTETVDARGRTVKQKANDKVAKTSPKLKEPTQGS DDALETLRNTMAMENAKKSASTTQLVKDSSALPPPVQKRGSAAPLKVGSSNSFASKRA LGKSNMRSSLPTSPALNAISSPSLGATSIPASQQQAEKTKAIRGPIIHRLALGPATMS ELEKLRTSAATPIEYKHAIEKVADEEDGKFKLTRKFFRELDVWKHDYESDAQRQTAIE NAIQVYDRLRLNPSEPEWQMLLPVAERGTGKCLSRIQAKIAVSGNFGAKTKPKTSEDS SRESAGEDGDKAAKPAKSAGMSRSTSQNSATKSKAAKDREAQDKRLSGKSSAAKPAPK KVAPPKKQAKTTSKTTFKSAQFVSDSEEEADDYMNHASSTSNKGSPTKKTPVDKQNDV KSNKRKSDAMDTVEATNGTAKKAKKAPSTSSSGLSSVPSSKHHVSDSSQSTHDVKTLQ RSINNSRAKMNTSPQKSSPLASSPPTNASDMEDLDQSTSISPSSSSTDSIKRRAVDSE FDEPAKKKRPSGRNGATDAEMKSIYNRNQFRHVPTHCMDASARFQTVYDRYLKLYQEL QADPSRLLNEHRKLMDLHDRVAQMKREIIESARDRSEDR VE01_09884 MGDNRLGSPVPAGGGVGVNATPSPEPDMNRNRLPTLFEVLSRRT LPPVDLFSFYIYMRDQQRSVDYLDFWLDVAQHMSLCRHYVRKLRRSILVGTPELEKGG SKRSSAILEGLGDMNHPVAGPSYFSTEKEKDQDAQMSAFLREEAAQGRNGHSPKGSLS SAVSASAGRNTTSNERPRPSFMSSPNDPNSDSNSPAHAVARSDIRQSAEKILYTYLLP SAEREIILPHSITNDITVSIEELGRDDPEVFDSAKDYVFQAMERDAFPGFLRMKALGN LVPTSTMTRLIIGLLALFAGFWAGFILIFLDKSRLTRCWLILPFTIGVYALASHQYSL DPIMGLTGFSEYAWMSYSKIREPFIRKLINKRSIMVLGVTLFIDVALSVLFIFVPGKR L VE01_09885 MSTTTKKTGKTQRSAIADVVAREYTIHLHKRLHGVSFKKRAPKA IKEIKAFATKSMGTTDVRLDPQLNKKVWEAGIKGCPYRLRVRISRKRNDEEGATERLY SYVQAVNVKSAKGLQTALVEDA VE01_09886 MNFEMDSQNTAPGSVPSGKPAAARRGPDAVSTTKRLQTELMQLM TSSAPGISAFPSADGDLLSWTATIEGPDDTPYANLSLKLSFAFPSNYPYAPPTVLFKT PIYHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAELWDK DPAEFQKKVTARHVDIDDE VE01_09887 MADNNIPFQSQLDPLPADLPFRIVSKTIGRGAYASIKKAIPPTT TNPVFAVKFIHKTYAVKHGRISAKQIAMEVSLHSHVGQHPNIIEWFATGEDSIWRWIA MEYASGGDLFDKIEADVGVSEDIAHFYFLQLISGIGYMHSKGVSHRDIKPENILLSEN GDLKIADFGLATLFEYQGKTKLATTMCGSPPYIAPEVISCARTTDPRTRASKGSGYAA DLVDLWSCGVVLFVLLVGNTPWDEPTASSWEFNEYAANSTASADSLWERLPSSTLSLL RGMMSIDTTKRFSLEMIRRHPWYTRKNPLLTPEGRMADPLGMAAKMLENLHIDFSQQP AASQRQSQYNHDKMDVDSSEAVRKVSSSQPDTPISDPFFELERPVRVSAVAASQPVSN NTVPGHYLPPNSSLADEPSMSQFTSVPTVSLSLTQHARRFQDIVPAYSLTRFFSHVSP ALLAGLLGAALHTLNIPTPSPPVCENQETVSWIKVKTTDGRGCSLNGDILVERYDGGS EVGQLLEVRFVKIKGDPLEWRRLFKNVVILCKDGVYVPGG VE01_09888 MDALPDEIIVYLFSFLTPPEITTTQLVCRRFLRIGRDDNLWRDE CFEISRYLVNLRRRNRAKPIPTVSAGEPRSGDVLGAETEDQLGQRSVTSVARHEQHNS NQRATERIRVMANWDPSYQAEKVDWYTEFIHRTAPICTKWFQKPLKQGGGRTESPLEV RGIGAYYLPGSEDMGMAIAPLEDGSVCLWDVKGSIIGRSGEGSLSGVEHNRALGQGNA TCGIIDGISINSDINRAFIAVEKDVMEVDLQTLRTVSQTRYPSSISAMSEARGSTPIT IGTNDDFHLYDHRIHKTSPLGNSELERLEGSQNDAPAFRRAPKLQGDYSIRRSFAKPV ALSILHMLNYGEDTASDIFVAGRFTSIMNYDRRFLSKPRTVIHSGARLSSLAYIPYSF SKDAAASMRYSELSVGEVRSVKSTPGNTLIACGEYGGRGSLDLYGLSELPSDSTTVGT GRTLQSAFKNRLTSSTSMILSVIPHGSRLVFSDGNGNLKWVERDGLTEVRQWNISHEI PDNRPRGIFGTVDNEYENSVDIARKLLPTRKHGAPAAINMDNLILWNGEKIGLVQFSN KQHSGAVDFEEVAKSLEERHHEDSERAYRELTRRALEAQADEVRLMRGLGLSGP VE01_09889 MYRNGSCAVDAVPRDGFQNYPRPTDYHGRERRYTTPYYRQSTRF DSVTTDNRSKHKNTKRRLQAAYSIEGKRRLQAAESIESKRSLHYSVEGLDVACPRLQN PASSLGPDAGWMTDEEASRRVERCFKKRQNHVQGRILRKLLNCELALEQESLDRIRFA ADYVLFDGMLRGKVKWRWSKEGDEGYENELLGSTTPQYSPETGIEARIVLSRPLLLSG RYSQDLLLSTFLHEMVHCYLFICCGDQAQKDGGHTPGFQQIVQLINGWTGNSRLRLCS MKADLDHFTVGSEDLSRCPVAEDIAYEPSSTSQYVDFGDCTFVCGSGQHTLSLGNGDS LLGFEEIHRNVSLPPLAYVSMQERMMQALGGSVSMPILVE VE01_09890 MADTAMDVDVEVADAPVTDTAAASSEEPKNASMQTLTNATAVRS IEGWIIIVSNVHEEANEEDITDKFADFGEIKNLHLNLDRRTGYVKGYALIEYPTLDEA RAAVNGANGTKLLDQTVTVDFVFVRPPPKKGGRAPAAKGRARSRSRSPERNREEEMAE VE01_09891 MVSNYNYSYVSNYSYADTVPFECRSLINQPGTPPFDLSCSASLY SPRQNHNATFQECCEGIGSKVSTFAVPDRDGVRPCYQYCQASDIDAQIALFRCLKLGF ITRTCPGKYDRFGLLIAAAPAKSLSTGGLLVAGLALVGMLHVWV VE01_09892 MTTEQSPQYEVVLFGATGYTGKLCAEHIHAKLPSDLRWAIAGRS HAKLEALRKELQSKDSSRALPAIEVCGLEADQLELLARKTKVIIATVGPYQDFGEPML AACAKNGTHYLDCTGETPWILDMIKKYHETAQKTGAIIIPSCGFDSVPADISAFTVVN YIRTKLSSPTARVDYSVHEIKGGISGGTVNSAIRMFDQYSLSQLLKLLAPFSLSPRHP KRSHPQKKLSLLSQIFGLRKMPRLGWMGVNPQGLVDKCYINRSWGLAADSETETYGEN FDYHAWMRVPGPVSAVIWHFTMLTAMFLFCIPPFRLLIKKVAFKQGDGPSLGFREKCS FVVRTSAIADNTKGQQVIANLTVGIDPYTFTGVCLGEAALLLSRDTSIQARLKGGVLT TSMMGKTFLESLERNGAKMEVKEVV VE01_09893 MTEVMSTTSSPSASPAPASLSPNTSHPPLPPLVTSPPARRSNLQ RPLSHASKNRLSQYSITSDRPSRSRPPSHIFPAFHSSLPYTTVRDFAYGIHHPLHYGP LPEPSNPPSGTSTPASESKRFSDPPTSWDSRGSWEIGSHVGTGLAKGGELPPIHFGDG PPWSEDEDLQSPIVISSRHKKHKTSNHSHGSGRGRGRNREEGEERNPNLLSPDNFGQD RSFYAGSNGDGSERYYVSNGSEANGPGGEIVTVPAGQAWPGSLAPTENTGSRRDSHFA GSLLPSRTYADSNEGQQNPTYQSDSDVSSRSSSPSRARDESRYSRDYQFTIASPDEEM HGKAVALFDFERENENELPLVEGQIIWVSYRHGQGWLVAEDPKTRESGLVPEEYVRLL RDIQGGLSSLTGQVDGMFSPGGGADSGTPTQAEHGQGFSQTPTATNGNGSVGNGYQQP IVSTFSTSSKDLHPYPQHLLGTTQAGMAPPQVVHYHGQRGGSQANTPTLANSLEGGLG RRDSEPAVSTTTKTAKPKEKKSGEEPQGSPMDEDASDEE VE01_09894 MSQPDAAAAAPASDVTVANGSQPDAGGFKLKFCTVCASNNNRSM EAHLRLAAANFPVISFGTGSLVRLPGASMNTPNVYHFNKTSYDSMYKELDAKDPRLYR ANGILNMLDRNRNVKWGPERWQDWQVGMPRLDHAKERGFEGTEGGVADIVITCEERCW DAVVDDLLSRGSPLNRPVHVINVDIKDNHEEALVGGRGILDLAESLNKAAAEERDIRG ASSFDQGSAASRSGFDERVPDIIGEWQERWPNLPATWTLAWF VE01_09895 MNRSPGPLSPVSIGGGSEWSGISKYQGLEENPPYSPNNRGNLIT PPVSSGSNGMMNGGGFPPRGPESQNGNVPSPPASIARSSIPTSLYNGSMNGGPAGGRD RRDEQFEGILSEHYVSLKRFLAASLRDEKGNPRPNKARDKLLRLSPVQFQELSTDVFD ELLRRQAAGRNQSVAGLNEQNAPPQFLLPKDTFHPKRNQARQKLSTLPPPRFRDLATD VFYELERRFPRFAGGDISRSGNGTPVDPRMRMRRPSEASSVGYARSESRGTTRGLGGG QPGSPGMPPNDYGRPQPKTFQSNTLVPNKSTMVEDDDDQDNDSDAFGLESAVTAGVGA KSEEDKKQLKEYEEQVVALQEKVGGLEEKLRQKDEELNDVLDGERSRSTAANAEKQEF SDLRLKLEEKLADAENLNVSLQSELDRLRAEHSETERDLSDQLEQLRVSGGGGGGNEA LERENEELRAELEEQQQTTDDVRREAGNFLREMKLLTERNGTSWEKEEQLVIQVNRLE AEVEDWRNRYARTKTQLRNARASSIGLTIQPKQTTSSHNSPDGLVKDVHVTKFQISID ELLRTARSETPENVVEYMKNVVICVRSITQDIDNSTNNPELAQGLAKLKARVSATANN LITASKNFTAAKGLSPVSLLDAAASHLTTSVVELVSTVRIRPTPAGELEDDDNETLQP IETPSYYPTNGDLKENISAPFMGMRQSNVSSMYSPVNSPRDSRVPRSRSGSKTEPWRR SISRSGQNGMNGKLPPAPLGSMGAFGIRGPEQNNEIEDLKIFLEDQTAFLVQMIQSLV SSIRSDNGVDAIIGELASIAAVVEKVMSATESSMDGRSDAAAQLRQEAEGIVRKLEAC RERVLDAGERGAELAREQATDAEWKVWTQGLPPVAFEIARETKELVRVVDGLDEEEDF A VE01_09896 MARQDLYNQRGSYSDHSDSIAPQPSYASDNAWMHTRSDSHGPNA ALRGPTPPDVFSKLSAAIPDLRPLDSGARAATRAEQKITFLGGCRLYPKAMAWSMAIS STIIMEGFDTLLIFSFFSHPTFRRAYGVSTGNGNYEIPTRWQFGLPTAAEAGEIVGLL LSGVVADRIGYRFTLAAALIFLFLSVFLSFFAVSLELLLAGQILCGIPWGVFQTLSIN YAAEVMPVTLRGYLLANINLCWVWGQLLATGIVKALVNNSSQWSYRLPFALQWVIGVP VFIAVLLAPESPWWLIRDNRLEAAKSSLLRLTTKGTVNVNETAAVMTYTNEVEKYLND KPSIAYLDCFKRSDLRRTEITCVVWVTQQVCGTSLLGWAAYFYEQAGLQTNDAFSLSV GTYGLAVVGNVVSWFLLRRVGRRRLYLGGLLTMLITLLAIGGVGVAPTSRGQSWTLGS LLLVLTFIYHLTIGPVCFVLVAEIPSTRLRVKTAVLARVAYNLAGILINWMTPRMLSP TAWNWKGKSGFFFAGTTFLCLVYCYWRLPETLGLSYLEINVLFERKAKVSKFRELQIN LDSLGYFSMDRAERDQLPFRAY VE01_09897 MAWTKSTRIIVMLVIDIAFFIIELGVGIWVGSLALMADAFHMLN DIISLLVGLWAVKAAQKSSSDKYSFGWLRAEILGAFFNAVFLIALCLSIILEAITRFV NIATITNPQLILIVGCLGLASNIVGFFVLGGHGHSHGPEEHAHGHEGHDHGDDVRTAE EGQMGAVDISSADLADETGRVGDVLPEAVVSRATRTPRRSTDSGRERLAKFTSSDETA STSKGSDPSLSLGRYNSNKSPRNKRPKVRSSSITSRLASDINIHPASFRQEIIEASRN SLDDIQSADDFESPGPSSPAGEQAEPNEHSPLRSSPSDGLKPAGGNGYGYQAIRQDSW HVGHNHNKPRKDGSGGHGHNHADLGMRAMVLHVIGDALGNVGVIISALIIWLTDSPNR FYADPAVSLFITLIILRSAVPLTSATAKILLQATPDHLDVNDIKEDIQNIPGVVSCHH VHIWQLSDSQIIASLHIQVAFHISAEGGAARYMQVSQAVRKCLHAYGIHSATIQPEFC LERAHDHGDANGGGGDGDSTARATPVDVCLLECVDDCVGKSCCSTKSPPPTLSGGQHG GGGSRGSVSQGSSSHGHAHPDGSHDHSHDGHAH VE01_09898 MAAAGPSGQEEDISPRRSYAMETHELARYRSQYSDATSAHHRAS SRARSRQSLGPPKGLARVPFELKKFWGRQISVVVDQAYNRDHLALERTFLGYLRTSQA LSMLGVIISQLFTLQKSTSPDPHIGYFVTGKPLGAICQGGAMLILMLGTFRWWRQQNA ITRGKALAGGFEITLIGLLVLLLCIVLFGILVAIEIRDRMRGR VE01_09899 MTEIVDIPAPVVEVTTVEVAAELTSESIATTARGSEVHSPSSHR TASTPASELSSIEALKAFKTTNLGVSKENARAKAATLSLVEQVSLLRAADHWRTVAIP NKGIANIKTSDGPNGARGAVFKAGTRAALFPCGVSLAATWDTKLLYEVGQHLGEETKA RSAHVLLAPTVCLHRGPLGGRNFESFSEDPLLTGKLAASYINGLQQKGIAATIKHFVA NEQETERLTMDSELSERALRELYLRPFEIAVRESNPWAVMSSYNLINGVHADMNTHTL KDILRGEWKYDGLVMSDWTGVNSVAESIEAGCDLEMPYSDKWRGEKAVQAVKDGKLSQ DAVEKAAANVLYLIDRVRGQDTSPEEPEREEDTPETRELIRRAGSQGLTLLKNRGNLL PLDAEKTKIAVIGPNANRAIAGGGGSASLNPYYNTLPLWSIQRESSKDVTYALGCDIY KWIPLATPFCKALTGEEGVTIEFYTGDKFEGEPTVVQTRTSTDLMLWDSAPTEVGNVW SAYVRAKLTPKTSGKHKFSFFSVGPGRLYIDGKLLADVWDWTEQGETMFDGSEDVVIE IELEAGRTYELMSELTNEIRPLSKQIAIGRTHGPGGVRIGYKEEDKVDHLQQAVDAAT EADVAIVIVGLDAEWESEGYDRANMDLPKDGSQDRLVEAVLKANPNTIVVVQAGSPVT MPWADKVPSILQAWYQGQEAGNALADVLFGRVNPSGKLPTTFPVRLQDNPTYHSWPGE NKKVIYGEGIFMGYRHYERLAIKPLFAFGHGLSYTTFTYGDITLSNTTLSANEKITVT IPITNSGKVDGAEVVQGYVHDVKSRLVRPEKELAVFDKVFLKAGETKDVVLTLDKLSV GYYDTRLKAWIAEEGEFKILVGSSSADIRQSATFDVAESFTWIF VE01_09900 MSSNYNHLRTRSSRDHFMEPPSFVSRVVNVLPTRLALLALRTLD KPRKAITQLPTTLRNAKRAARRPTLRSFVNVPNGFIAVWFLLLLWGERWAFHSAIKQC RWENWERWASSLFSAPQAATPHHLVLLADPQLVDPHTYTGRPWPLSTFTEHHTDNYLR RSYTNLQLKLKPDTIFFLGDLFDGGREWATMRGDTEDPEWQTKQRAKDEAALVGYWKK NYGENFWMQEYERFGKIFFDLFNLGNPTSQPSRGQRGRKIIASLPGNHDLGFGAKIKM PVRDRFEAFFGEANRVDIIANHTFVSIDSVSLSAGADKSEVDNRDVYAPVEEFLAGVQ ARKRRATARELRYIRGEPEELRHPRTIQDTDGLVLKESDFLPLDPGEGNSNDFPTILL THVPLYREPGTPCGPQREHWPPATPPKGQLGPVVPDHRNAISVSRGYQYQNVLSQTDS ARLLKTIGNVQHVFSGDDHDYCELVHDEINSGVGIIGRAREITVKSISWCMGVRQPGF LMASLWNPIGPDGRPLGTKGGGHGAVNDVPAPTIETHLCLLPNQISIFIRYIFLSIIT ISALLARAVLTQTMGLPPTLPPSVFSFSTPSKGDSPLLPTSKRAAELHRSSDSSTSST SSTLSGHLAPRSAAARTRSVSPAVGYGLPAAQAQGRYAPPAWGEDGDEKEKGKGFREF GSERVVKEGTPLGRIGREFAGSFWRVLWVAVSLFVWLTWSG VE01_09901 MVFDLTAWRKPESAAGSSAPAIMIGAFVAFGGILFGYDTGTISG IIAMRWWLDHFSTGSRDDEGHLSITPTQSSEIVSILSAGTFFGALLAAPIGDRIGRRK SLMLAVLVFTFGVAMQTASNTIRIFAAGRFFAGMGVGTISVLVPLYQSEMAPKWIRGA LVCCYQLAITVGLFIAAVVNYLTSNLDSANCFRIPVAIQFVWAGILFIGLIILPETPR YLIKREMHAAAAASLSRIRRLDITHPALIEEIAEIEANHAYELSLGPSTYRDVFRGAP HLGFRLMTGCGLQMLQQLSGCNFVFYYGTTFFKHAGIESPFTYGLVTNVVNVACTVPG MFLVEAWGRRRLLLVGAVGMASSQLIVAIVGTAFGAQPDGQVWNKVMIAFVCVYIAFF AMSWGPVVWVVTSEIYPLKVRAKSMSISTATNWLFNWAIAYATPYLVNNGPGYADLGA KVFFVWGTFCVAAFFFVWFLVFETSKISLEQIDELYERVDHAWHSTSFQPSWSFQDIQ ENEVGQASGVSLADRTEARRRQVEDSTTMLAGVHTHDQENGHGITPTPTGSSQATSSG AETEEDKIIASLGNVNFTF VE01_09902 MASYQDINNYMVNSRNQNAVNHVLAYMERTALENVDASIFDRLA NLPCGPPFRESVLDRALGFLRVQYDEESDSPWAVALNPWECRLLNYTTGSSFTKVFCT KLYIPFDGCYDNTRNVGRLDNFLTTFSGLAARVASGRADRGAINPWHIKELVFYQDPL FSSADVGSVRDLSRAKSIRHFLKRLDEDQKGGLLPTVTPKRVKAYAKICTEGHLEEMD LLFRYDPNKVCLMWLRIIELFRFVPNLEVFKWQSNARIVEPFLNAISHYCKKLKVLDL ELHNGFDDNSYMLNAWNRFYTSKTTGRLYQSHQILEEEPTKHICEYIARDPKDRAPEE LPTQCHINLKLIIKMERDVQSIIETVGKFTRHVQSLYIDRSKSHVFLDDNRKREHGRP YEKSWFHLPFGVTSLTLIATDATIHNCVMGAIIPASIESLEIKDCQSLDGVYLPILTG LNRLRKFKISFPPLDEAKERAYRYWRHYVFQKQVALDFLRCDTIELDELDIRGDFGEP TLNPVEFSGLSTDLFFAVEAQAESLVRLRVVNNNIDFTLAEIGSILVQAKNLTQLGLT CRAFAYQDRLGHWIEQGLGTQNNFEGLLALFGQNRNFFSFTILRTHYNILDEAVYDRD GADRLITPTRSHLDYEAIVKKMSEQGLPVEHFAIFAEGRENAYGIFQTEWDEDVYTHQ LRDVLLHGQGVVEGTLEMYDEFEEVNEKWIEKSDVDMPRPVEGPPDRSQI VE01_09903 MFISKKWRYFWVMIGLMVAELAGTVATLTLFGIASPDAYRTQLW KLGGELGFNSSPEQILYAYANYRPIPPTPLVWSGFITNYNIVVSVLSMFILLVKVVLF IMHMWVPIVSVIIDAIVIALWSFSAYGQAGPDHSDPAHPSSVAWYIAKSCSVAEASGN KHNCEMAKGAFASTIFMIGIFTFNLGLGIWSLFPTEEMKEARKAKKARKEEEQSSPYS EDAGEKLWELRNIQQPTPAFSPYTPRTLAFNTLDRQLPLREKEQYA VE01_09904 MNFFTLFYAPAALASTANRCRHCNEVHSAPQTQGEQPFWQSYED IFDTETFAVPVVRGFRYVQQPLQQPVYHTHHPVQQPLHHQPPLNHQPPPQQPPQQPIH PTMPSFSSHPHTALYTLLTLPPTAPASSIKTSYHRLALLTHPDKNPDPKAAERFKALS AAYAILSDPYRRAIYDLYGEVAAGRGEVVDDGEKEEEEEEEEDAAASEADDVGDAGEK DGDGDLPSGEGGRTTVDNPHHTPSQARALFEEPEQKSYEERFFEALRAVRQREAQEEA RRVAGRRLYDRFWRGVRGRGVWNWPTRPVEPMDDIWRATDPMSGWRPAGAVGGPMEGY RGGLWGYGAGIVGGDEGLRERFRRSRTGAEGSPYWGWERGEGGGRVRSWSEGRGGGVE RVVRGGYGGWYGRY VE01_09905 MASASPPKPWERAGAGAATSVMPQSSAPSLPAVATSTALTTSAP AIPQRPSTLASTVNQNASAYSPYGQNRLGASPYGSTMGGMGGMGGMSSYSSPYSRMGG MGGMGGYGSSMYGGGMGGYGMGGMGGYGGMGGMGGYGMGGMGGMGMDPNDPNSLTHSF SQSTQATFQIIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRNTLGSILG IFTILRWFRTLIAKVTGRPPPADATALTPAAFASFEGRSLPDGHPSQPPKLSKKPFLV FLLAAFGLPYLMGKLIRSLAASNEAAERARLQAQGVQFGPDGQPLPVPQLDPAQLDYC RVLYDFKPESGAAVQGVDLAVSKGDLVAVLSKSDPLGQPSEWWRCRARDGRVGYLPGV YLGEARKAGAGAVVAGKGAESRTSTMSSVGNGMSGMAGGEGIEGFQKSQFYS VE01_09906 MAPIKLWYSSGACSLAPHILLNEAGIEFESVKLDVHAGYPEEFR HINPKMKVPVLLIDGQTITENPAIMTAISQLVPDKHLLGKTNLEIVRAYEWMNWISGV VHGQAFGGLFRPHRYSDDTTTYPSIKARAILTVQECFATIDQDLSSEHAVGSDFTAVD AYLYVFHRWGSSLGIDMKGKYPKYTGLVVNLAKRESVQAALKAEGIESHVPLA VE01_09907 MRSAIYLASFLLGLAAASHPRQPTAVVDAGTVVGVTTSLPSSTV TVNKFLGIPYAEPPVGSRRFLPPKPLKRFAKHTFNANQWGKHCHQRNEAETVVSDESE DCLFLNVYTPAECSGKKLPVLFWIYGGNLQDGNSGRAMFDGTSFATNQNIVVVTINYR VNVFGFPSSPALPLTESNPGFLDQRLALQWVQKNIHAFNGDPKKVTIGGESSGASSVD RLVDTFGPPLIAPFRAASASSGQATVSGIPRDSGPASWAALVSVLGCNGTSSKVELAC MQRVDALAIRNIVELPYLANRAAGNAAQVPVYIGTSAQEGTTLARTYNLDIPNFTEEQ MMYLLSTMTGGDPALNGLFSSLVHSIMDTDGLSLFYAAAQSYTELVYQCPAKLVAQAT AQSGLPAWRYYHNISFPNIYLNDDPNTYPKFGSFHTADLGLIWGTYPKENATLVEVGL SKTIQKAWAGFVKDPWGEGPGWDRVDENGAGLACFGCDGGTGFTLIDEPHVDARCPYY NSLYSITKSPYF VE01_09908 MDQIETPRLLLTQLTEDNLDDFHAIWSSPEATRWSSRGCLKTIE ESKEQMKGLLVSNNPHGLNYAVFLRPTSTAPSPTTRMIGIVGVFRLTPIVELGYTFHP SFWGKGYATESVGAFVSRFWELRPTIRIMTAKTDTENWESRRVLGKCGFKEVGQEEGI VLPALGVGRREAVVFEVCAPRAEATLQNP VE01_09909 MARLTPLAIFSALSFLIPWTQAIQLTASLEYGTFQGSYSPEYNI SYYRKIPYAAPPVGENRFRAPQPPIPITNGIYDSDQSFDMCPQRTVNGSEDCLYLGLY SRPWTPSQPLRPVVVVYYGGAFIQGSASFTIPPSAYPVLNVSESNNIIFVYPNYRVNT FGFLPGREIAASKTSDLNPGLLDQQAALIWTHKYIKQFGGDPKNVSIWGQSAGGGSVV AQVIANGGKTHPPLFTKALASSPFWPKAYKYNEPEAQALYDTMAKLTGCEGKNSLACL KAVDVQTLRDASLIVSSSHQYNTSSNTWAPVIDGKFLTQSLTDATRKGEVNIDFGFSM YNSHEGENFIPPGLKSTANAGTPPFNSSTASFDVWLGGFLPRFSKHGIQRVKTLYPEV GSTETISSYNTTYERAQLIYRDVVLACPAYWTAGAAHKKSYVGEYTISPAKHASDTIY WNQVNAIQKSDPLIYEGYAGAFASFFQTGDPNAHKLTNASQPGVPEYRSTGKEFVVAE GGFQNVKIRALRERCEFWRDSAKDVPF VE01_10104 MAANGDLNLVASLVDRLATRLPHRTGSSFRDLRSDDLVSLTRAT LIEVSSTYLSTVAESLVLLLEELTAQYKDLDTHPPHVVNSELYIIHILADCFSAHWDA VNRVPNYQPKNADQHSISRSGRVDSHSGTDEEESAQESATRRLVLPKALDDILAGRVL EAVKIFLAPYPEQYTLPASTILEYSNCRLAQNIPCRLDNSDPENNSFGKGPGLYSTDG TLQDIEAHARTIIEFLSASNWDLFFEHLKTSFRLLQVVFPLQGNGTQAAPVLDDDRNA LATLRIVAFIWVDKRKLSLVLSELCSCFFHLRKTFQSTLGLALPILILRWVEQNPDDF VLMHNSHSKIDGVDRLFDIANGMVDSNRWKAVLYPFQIFLLFLIPDVFEVATSMPSTG RYLENGSLPKASSGIFKKVSFLESLRKALRNKNPAAAYCLISLLRIARHFSLEGNDSA LLSYALDVQEEVRDALFRKNIVGSEGAIFDDGLMTAAFVSLTHLNFESCNENIAPQCL SSNAPNDFKLAFVSACSNLAKLPNAHLYTELFEKITGLIRDHLKDHSHEPYGAPKSSS SIAEMTYRCLDFLNVLPLTLFGGAPSNPVDYEVFFQENFAILVGCLVDEDPKVRRMAG SVTRKLLRNGSVFLLHKGASKDSGFFPANFWRSTSAVLLNVLEHAAKLGRRGDSVLSF IHDFVESRVELIRSAKDDLISQAETPQRLASLSKLETYFLVRLCSADIEICQIVTVCV GLLCEETQMVDAAYDSSKSPSTIMRNIEVYQDLSSRDFHFTGLVAFQKRLRSLLRQMK HPSAAVLSAWEIVFGRWYDLSKRASTHRQDETLDERSLVEWRNCSGFLASLAALCISK QTSSVIEESGLAGLKWIDRPSQDSYDNTLLDRYMTQSVQLLACNNARIREAMREVLST EISPSLYLPLFKTLESELETLFDGPIDTANRFSDSRIAFAEQAAALLKTIIERLGSPA DMGAALSVDIGALTLNFARFLNSVSEGLHTLRIKIKVCQLCEVVTRKKELLNLRHDVR IRNQLLEIMFGWIARPGSPKADNSAMVDGIHRDDALKLQRDLDRACLKALSDLTFRLP LQPPDGQTDADTSDLKSEMFHTYFNRFLSLLSFEPTDQGKNDVASNLSAKEDSLSIRE LAIIALSNLLGANIDVGLKHSLGIGYHEDLEIRTAFVTVLCNVLAQGTEFNNLSDVAV GEKYDELVEVLVNDMTLTIALCDACPSNEVDELTMSLLNIFDSRGLGFILLEELIKHE VDNTENEAELLRRNCVTTKMLSVYARWKGSSYLKSTLQKVLERLIVTAQDLDLELDPS RTSSPEELQRNTLQLRVVAKVFIDDICSSAPNIPISFRNICSIISSSVMTRFPDAKFT AVGAFIFLRFFCPAIVAPDVEGLISTAPSKEMRRGLLLIAKIIQNLANNVLFGAKESY MFPLNDFLTQNIYEVTAFLRTISVAPHVETTGIEMESFDFGSCVALHRFFYDHWDHVR QKLVAQQRKDSLHSPHEITLGRLPVTEALRNLISNLGPPPMDISWNRPMISMNSPPSY SRFQHFMLSHAGRSTESAISTRTVYDGGESKDGLSMICIILRNIDAETNDYDLLVYCY LKIASRMWHRPFGIMIDATCYTGQNEPQDALFKKLDLLTPTELSKNLSCVYVYNMNSA FRKCFRRILRLSAKNENSAFHPKNVDYHLIGSLQDLQTHFHLSQLHLPKETISVVTDT RFVFQPVTRLSKTKGKIEVMIQVGSQFVQVTTTKKQEIVPGLRLHATVNDIFRLTEVD EAPTSIQTEDDSAFGLRADSGKIVMYFTSPRKSDVLQAIRGAKIKYSKDSKPSQPFER LIRPQDIPGTLLNISFTNLASLDQNLRIAAYNLLCALCQTFKFGVNSSFMASKELSIP PSASHFIVTVSRQLAASEPQLTADFMNEFFVGWESFPLQQRPLSLAYLSPWLSGLRSQ VLAVEIDGEKARERIASIFRKLIDVAVPDSTLCITLEQIIWPVICEDEVLTDILVDEI IKSALTSSNNESRISRLGSIIASLQVVTVRGKLISRLRKALNRTSLRPTRLLPDNSVW DEICILLRLCLAASFNSGVQAHLYLPEISHIITMLANTGPAQIRLVVHGLLVNTVHIL CTCFSTDESKLVRLKVILASLSDPNTSQQANLSGVTREGTTISHAQDPTWQILSSTEA LAVLLSEVSSLAASSVDLSNAWRSRWMSLVASTAFQSNPAIQPRAFAVMGCLAREDVD DDLLYQVLVALRKSVNRFADENDNEMLVSIVTSLTKMMEKLPTTSRYGLQLFWLAMAL VRLVPLNLFSCAASFLEAVLININTSGDLKGGRMVPVLLQGRLALEDSASQLDESYGI HFNIDNFHFAVCASLVKGLTDTVTKATTVKVLSTFLEIASSSASGGRKFPDDLSCLPY LGLVMSRALTTEEAKGNLWLAGINGDKSSTPEDVLAMINLDTIKDKELLLNTAINLVD FRYLEDSVQNRGLVWMNKVAITRPTVILHLSCPILSILDEVLLSSQNSVTLKSAQMLL RTLTRNPKLSDTVDTAQLLEDVLEDIGFGGLWVSSTFHSSAERDGHCTVLTDKLIENH WTKSFILPDQF VE01_10105 MGIPAAFKWLSTKYPKILSPVIEDHPKDVDNVAIPVDATQPNPN GEEFDNLYLDMNGIVHPCSHPEDRPPPANEEEMMLEIFKYTERVFNMVRPRKLLMIAV DGVAPRAKMNQQRSRRFRSAQEAKEKDEDKAELLKMLRSQAGGQVEESTSEAMVTKTW DSNAITPGTPFMDILAASLRYWTAYKLNTDPAWAKVKVILSDATVPGEGEHKIMQFIR SQRSSPDHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQDSKARTCRLCNQK GHEARECRGQAKEKDGEFDEKDSVQPLKPFIWLHVSVLREYLEAELYVPNQPFRFDLE RAIDDWVFMCFFVGNDFLPHLPSLEIRENGIDTLMAIWRDNIPHMGGYVTKDGHVDLE RAQFIMDGLAKQEDAIFRRRRQTEERRDANAKRRKLQEENNGRGGRNDTLNKGRFNGR PSNAPIDFPLIVPGNVTSSISKEARSITHEMVVNRGAIDKANVANKSAAAALKSQLTG VKGPVDDTTSTPPVIETVATSFENNILSGSPISALGKRKADLIEDDGSNTPVTDTSEP VTPSAPVDEAAVDNVRLWEDGYADRYYEQKFKVDPRDTEFRHKVAKAYVEGLAWVLLY YFQGCPSWEWYYPYHYAPFAADFVGLADMKISFEKGRIFKPFEQLMGVMPAASRHTIP DVFHDLMTNEDSEIIEFYPPEFPIDLNGKKFAWQGVALLPFIDAKRLLAAMEQRYPLL PPEEAARNTLGNDVLLVSEAHQSLYDDIATHFYSKKQGSPQYQLNPRISDGLAGKVEK NDVYLPHGSLTYPLDGDSMPSLDEDRSISVHYEMPRSTHVHKSMLLRGVKFSTPALNQ DDIQATKGRAANAGRNPGGYSQRGQYGGRGRGGGSQNYGNQSSNPYPRQQSSSRQAYG NQPAYNQYPAPPQGWQPPPPGVGGFARNPPPPPPGMQVPYPPGRAPQGGPPNAQYGSH YSAAPNYPQYDNNRSNGSHGNAGGGRPRDNYRY VE01_10106 MFGIAQGPTKQTAADAINVFSGRLQSATLLEDRRNAILGLRSFA RQFPASVASGALRGLIGSLGKDVDDVDTVKVVLETLIMLFNPDESSPEASEEISLWLA DEFTQRQDNITILLDLLETSDFYSRLYSLQLLGEILSARSERTEECIFTAPLGISRLV AILDDRREVIRNEGLTLLTYLTPHSTELQKLVAFENAFDRIFTIIKLEGALSRGDRVV EDCLILLANLLRMNVSNQSFFRETGCTPKLGQLLSDALNTEEQEETVAEWAQVQRNRN IYALLAVLRLFLVRGAAGTPANQASFWQHGVLKSVLQLAFDHSTEMRIKSEALITCGD IIRGNSNLQEAFAQLQVSAVVDVPVSNGDANLQQDRGAKVYVIDGLLDLTLSIPSLQA FDARLAACECIKAYIYNHPAIRQHFFRRAIDGHSSGADETANVLTTLLRPTSRDTPTD PYRYWFAAVIVYHLICEDGDAKAIAMGIAEGDTSSGEEEITCIQTITANLINGLQRGD DERVLVGYLMLLCGWLFEDPDAVNDFLGEGSNVQSLVRLLGQGERNMVIVQGLCALLL GIVYEFSTKDSPLSRPTLHKLLVSQLGRDQYIDRLHKLRRHPLLHDFEVLPQKLGSTS AGTLPDVYFDSVFVDFVKDNFSRIQRAIDRDPGLEIPVISNGIQKGISREMVDSLRSQ LEEKEQTLQKVQSTLLSLERQLDQERADNRKAKETATQDTAKLQNMNETLQYHHNQEM NKLRTELQHIEETSVKKLHLAQRAAEDSSERVKKRTDAEIADLKQSITSLQSKLEKAI KDHLQDLQTAHDEYSSQLEEQVLRVQRAEDKTRDLESSEKSAHERTLKAESLAAESEL QKAAVQAELDDLLMVFGDTEDKLSRYKEQLRELGEAVSDGENDDEGDDDKDEQLQQPE VE01_10107 MSVDFPKEEEAVLQRWKEIQAFERQVELSKGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGYHVERRFGWDTHGLPIEHEIDKKLGISGREAV MKLGLDKYNAECRAIVMRYSAEWRTTIDRLGRWIDFDNDYKTMDPTYMESVWWVFKQI FDKDAVYQGYRVMPYSTVLTTALSNFEANQNYQDIQDPAVVVSFPLLDDPETSLLAWT TTPWTLPSHTGLCAHPDFEYIKIRDELSGKNYILLEKLLSTLYKDPKKAKFKILEKIK GSDMLGWKYEPLFDYFYEEFKDYGFRVFNATYVTDDSGVGIVHQAPAFGEEDYNLALE FGVIDKSRGPPNPLDETGHFTSRVRDFEGQHVKAADKAIIKYLKGTGRLIVESQIKHS YPMCPRSDTPLIYRAVPSWFVKIPEIVPQMLENIQDSHWVPSFVKEKRFASWIANARD WNVSRNRYWGTPIPLWVSDDLEERVCIGSIAELKELSGYEGEITDLHRDKIDHITIPS KMGKGTLHRIEEVFDCWFESGSMPYASQHYPFENQDKFKASFPGDFIAEGLDQTRGWF YTLLVLGTHLFGKSPFQNCVVNGIVLAEDGKKMSKRLKNYPDPTIVMSKYGSDALRLY LINSPVVRAEPLRFKEAGVKEVVAKVLLPLWNSYKFFEGQVALLKKVAGVDFIFDPAS ESTNTNVMDQWILASCQSLLKFVNEEMAAYRLYTVVPRLLDLIDTTTNWYIRFNRKRL KGEFGLDDTMHALNSLFEVLFTLTKGLAPFTPFITDNIYGRLLPHIPKELRGEDDRSV HFLPFPDVRNELFNGEVERRVGRMQRVIELARVSRERRGVGLKTPLKTLVVIHPDPVY LEDIRSLEGYITEELNIISLVLSSDEEKYNVQYSVSADWPVLGKKLKKDVQRVKKALP GLTSAEVQQFVLTKTITVDGIVLGDGDLHVKRSLKEDDSSKNLETNTDEDVLVILDAA LYPELAEEGLSREIINRVQRLRKKAGLQPTDDVKMEFNVIADPDNLGLAEIFRTQAKS IEKALRRPVDQHVVTEVDGVATTNVEQLIMEEEQEIQKATFMLRLVKL VE01_10108 MSSKTSHSKLAKKPELYYTNEDVAILYDIVLHAEELLGSLPESE RIPTNALFQAYYAILPTIGVNADHDNRYARVIFKVGGRRGGESLYHKFEHVLSEMGIE IQFDQIEEEGRHTLHGLSEGSTFDILPNPGFNLVDRPDVRRQKRRNSETSIWTVGSGK DDGVLRRPRSNSLQSLPRPGFIDNNSLESIWPPTTFPATTLPDPHKPFGRDDNAHRIG SWLTTEVNTNGLSAASQRTNSDQSSQERIISHINTASEDDTGSVPLDADEQQPLPPIG HVDHPQEMHAFPIPVAASRINTSAVIENRRAFFLHNRNRSLLLDKLACWQQKATQVFA GNSNLEALARSRDRDVLLKQGLETWVTALRERRRVLETEHFFFYLERRAKRARDIFLL AKSFTHWATTASDEIQRTSVARRHILRTRFFKAWREITAVNELKVRRHIQKKFFNSWR RRQEVISSISGHSIALFHGNVVTRAFWLWLWSLRERRAPMWWAKMAKRRQMVSWTTKL KTIREMSTVGQYHRQEKSKRLIWGIWTRRTRDLTNLNDASLIMQKRSSCVNALRYLRS ANTLLPSSRHIKSKVKYGLFVKFFGIWRLRTTQERQARDLDRHKIKREAWTAWNDKIR CQGLQLRIADRNLLQALYRWVLAERLILARRLSNQKIIRATFERMLQTSTISIGTVSA GILIALQFREQNDKRSILRKWSSNLKVHRSFQQLAIQRNTYPIQTALLKTWSRNLNDL RQLHEWAIDANFYFAATKALKIWRDATEASRREKRRTAYASVRRQNKLKLASRVLYTW RCRSKSVTSMENLASEFHGSRINNHLVKSLARWRARKLEISRLESIRLPTILRVRFVQ WKSSSKEYYHLDVVASGFNEDRLYIASMKKWGRLALQFRAHQHLVSELHDKHARRAVR KMVLHWRQQLFDYEGPGSASLPGTKAVTNRNSKIFDSSERSEAHSDTGEDVGLGYLTQ KSGGFLNSAPGRGYLKTPSKRTFMSRTANQPSTTPMAPISTPYERQLRAELSGRLFQS YSRISNENPPNINEGFEDLTDK VE01_10109 MRAVLPGKPQARLQAICTGYWDGRQLAAYITGNAFVVLEPPTAL LQTVYDEDPSFLIAIAFDEATGKIATCTERNVRIYQPYGQNEEALKWSLQISIPVDDL DQPVTTLSWGGIGELLVGSLSLSLYSTENGLVKLWSKAVANPTKFACLSYDSGYIAST GAHDRLVKLWRRLSFGSDDVRFDLTYLPHPQMVTDVRWRRPYHLDQTVDNVLYTVSAD KILRIWAATDTHGLRIFQLWGQIDLEESIQPRSLVPGKTMKFRYASFIDGRDFRKSVE YSVQAASNPGAAHNHEVEHMVEVANRSSEICIIFDEQGNMSAWGLENIGGKARKPTDI FNISHVAGLESILPKLESGDDSYIRLYTYCSRTDGMLNTIWHNFDGTIQHFNRSLANL FDKQLRPGSTTPRAIWTGHSRPLKKMVRNISGRAVVSRTDGNEAIVWRHEDDENGVPL IAQSLIDVSEHIHRICVLRRGAFVILLHHSTISLWDCRQHRGQRLASLPYVISGRPLC VLVLPEVERRGDTAHIATISSEMKGIVWELRLPPRTSQGRETNSDGSTFIREFCTFDL GDADDLAYVLPVDPAGSPPVTSGFLDTFARDVAISYTHSGFIRSWTAKVNLKDQSVDW LLTCSVETGIHEPSLASGSSIRKAALVNSDRSELTIWDVRGAQLEYSQSFGSSDGIQD LDWTSTPDVQSILAVGFQHRVLLLSQLRYDYLNEGPAWTAIREINIRDLTPHPIGDST WLGRGSLLIGAGNQLFVYDKMFNVPASIAANLQLPLRKEGQWDLFDAVTRLNGPLPVF HPQFLGQCILAGKLTMVQRILLTLYQTLKSHLEGEHIDNLLGLDLEDFYTHPQRIKTS KNEPGSAFTDFFQTEDTETLTENIAMALNEKLTAVAIPQLSGPLQMQLADTVECVAIV EKQRRSMDDNAARFMLFFRQHALYKGRANEVQLSWREINWAFHSNSQDILTNVVTRQF HGRVLWEHARESGLFMWITDSTALKEQFEMIARNEYTKSDEKNPIDCSLFYIALKKKA VLQGLWRMAGWNREQAATLKLLSNNFQEEKWKTVALKNAYALLGKHRCMYAAAFFLLA DCLKDAVNVCLNQLKDLQLAVAITRVFEGDNGPVLRELLEEKVLPLAAQEGNRWLASW AFWMLRRRDMAVRALVAPVYTLIETPQTPDMQSKLYLTDDPALIILYSQLRQMTLQTL RGASRVTPKVEWAFVLHSARLYDRMGCDILALDLVRNWKFLLSDFPLNSFNDDINPRT APFRRKGSSSAFVEPEANSLLDSFGF VE01_10110 MAPDRGRAVEPAYQTISTLNNPVIDDELNGEGMENRLSEELSFL SEHGHEPQNFTLRGILVGLAVGLVICFSNMYFGLQTGWISSMSMPSSLIGFAFFKTLS KHLDIPFTPVENVLVQTVAGSMGTMPLGCGFVGVMPALNYLLKPEEGGPLFLSLWKLI LWALGLCFFGVVFAVPLRRQVIIREKLKFPSGTATALMINVLHGKDEVWEPSTQHRNS TFMIGDDGDRHYSDASNSLRESTSFPHDGTTTSAPHFRASATDIEEVQGWDKGSWVQR VKLLVLSFSISGFYTLGTYFFPVLRNLPIFGLNLAQNWLWTLNPSLAYVGQGIIMGPA TTIHMLIGAILGWAVLSPLAKHKGWAPGPIDDWEAGSKGWIIWVSLAIMLADSIVSLA YIAGKQVAPYVWIVLGPAYRARAGGGWRNIVNFGSSSAGYVPVDGVQDSTVLTDTLSN SRESPQSPPQPADLKSLPEIDAPPEHLVSGRVVWVGLISSILFCILCIRITFGALVPL YATVAAVLIALVLSVMGVRALGETDLNPVSGISKLAQLFFALIIPQSNKNSVLINLIA GAVSEAGALQAGDLMQDLKTGHLLGAAPKAQFWGQIIGSAVGAVVSALIYKLYTNVYP VPGDLFQVPTGYVWIFTARLVTGEGLPPMAWQWAIGTGLVFAATTCARIVGTDKKWHP LIPGGIAVAVGMFNVPSFTLARAIGGFMSWYWKSYLRNPETPLIVVASGFVLGEGVVS ILNLAMSTMDVPHL VE01_10111 MENATELAASQAPKPADMSAAPRSPSHSGSDTSDVDLNEDDEGW EDAEPEEEQDQIVSLFDDKVFTDVSSMLLYCKEKYGFDFLEIRNKFSLDFYGSIKLVN YIRTAVRNGQTTLADVAKADFEDDKFMIPVLQDDALLFNLDDLPPACKQGDEPVADDR NLLVRVAELEEELRKTQFQFEDYRSVVKKTLTDKWNDTSADKNAVLPEPPAEKRDDDS HYFTSYSYNDIHETMLKDTVRTDAYRDFIYQNKHLFKGKVVLDVGCGTGILSLFCAKA GAARVIAVDNSDIIDKARENIFNNGFADTITCLRGKVEEVTLPVEKVDIIVSEWMGYC LLYEAMLDSVIWARDKYLKPDGLMVPSHMNMWVAPVSDADYVADHFTFWRDVYGFDMK AMMAGIREDAQVLHMPGSTICGDAFPFLQLSLHTTTVKDLVFTRPWKTTFTSDIDRLD GFDIWFDTFFMPSGSDAVPVDARAEDWTKQKQKGVAFTTGPFGKETHWRQGVMFIDHE GAKSSKTFAVGDTLEGSLEYAVPEDNSRALDVKFSWASGPDKETTTQTWKMR VE01_10112 MSFLFGRGRARASTVDLPKQAKEQIQRLDGPGGAAKTEELSKTL LQIKLILQGSPEAESSPDQVYQLVTGMISEDLLYLLATNLHMLSFESRKDAQSIFSYV LRFRSAGASPKSDPVALSYVISNRPEILVALCNGYEHKESAIPAGTVLREVLKNDAAA AIILYDDSGNGGNGSKGLTGIQPEVQQSGNGVFWKFFEWIDKGSFEVGADAFTTFREL LTKHKQIVAQYLSTNFDLFFDKYNNILVKSDSYVTKRQSIKLLGEILLDRANYAVMTA YVDRGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSIAVQKILLINRERLVKFL QHFLEDRTEDEQFIDEREFLIKQINNMPAQPVEPLQKPALLGSQQR VE01_10113 MATGQHLQPAPNQMQAQHEGQKLAIELAKRRSRKPTDKNIPEGV ESCIIGDGAQRYKELRDVERKLDAAMMRKRMYIQDSRNSSMKKHRTLRIWVSNTVDDQ EWQGDGLVVDAFDFNTNSNASYKVKIEGRLLSDDEDDNEEEDDEDSDDEEDNAKKGTM DKKALRPSQNYALSHFFKSMTVDFDKNRSRDGAEQNVEWKKPALPPNPRSLPPSADFD VLEFKRGGDENQNITINFVRDETPERFTLSPPLAEILDMKEATRAEAVTGIWEYVKVM GLQEDDEKRSFRCDDILRQIFQRDTGYIPSITDAIIPHLSALPPVSLPYTIRVDQDFH KQPEPTVYDVRVTIDGALRARLHAFNMNPNYAGTLRDIATLNDELSAIIQALAHSKSK HAFLTSMGKDPANYVLKWISSQKRDLEVICGEAARGGGEDVGGEEWRKGGAGSVWGTE NVRESVNLMLSSRTR VE01_10114 MGSIGQSASASLPALDFKEQSSAQHFGNYGTSSVFAPSSHHSME ISSGYKSQPEPQQRAGRKRSRDEAGINLEDNDLFRQAVNASLELGQNAGYGDGTTLFG PNGSLIDSGSEKRSCADDAAAYSLPVAPPLTAPMPILRSHKSQRLDTGNVSSKTEEGN AWNGNTPSSSPTRQSPKRGPTVDDYTMHLGVGWSRISNDVDMQAAARGWAKYIENHFH ISSPKILLQSNGLASYLVEAKEGWFLFGEDLAQGRLVATSLDRTFENLRTSPPVFDGA EVLVPVAEPAKQAVEATHEDMVDEEPHVYAGSMNRRKEYLISSGMMGDGRAGFHDISR QDPEDGMDMS VE01_10115 MSLSEQSQLSNCWKLIKLLEAQQLLEAHCTIGKRLLAQPFIMLR PSPTMISLSKRDVVEHLENVVRKAATAPCGGAEQPADTRFMQPLYNRQSTFMDDARAA TSWGQSSFQGITRFNTQDPIGGQSDGVDVSLGSRSSDGSDTDEVSQMGQPISLLLSLD NEDSGAEDVYQLTRLSLRDDNPSEGVDMRQPLASRSSEPRRQETPQENNLGYGGFTER PSSDSSSFVPDDVSTPQEARLPALQRLRVRNPFPRSPLYRSYNHRLSPEGSRSVGLAS QLVSPGTPGVLFSQPARRSRDYRLRTSAFSREESNKSRSILEDFDISEPDYHIDLPPS IERSQLHGASFQSPDGEVSHPSFRPTRIFHPSGVAQPEDEISSLHTTNSEVSPHSLHL PPPSSTRSRSGSATGSLPAGNSSSTERSPGIHHGGDAGDRSITPSGLAGLDDIAGGQG LQQSSRSGSATSNVSSVPFRLVSAYRSRSPIGPWHLPSRPSPTIPRVSRDSRIASSAS RPGPANTPYRRLQVYDERVPASLQPQTPDQLPEARHFSPYHFSYTAPAGRRHASAQQP RWQPPQRRWRRRSGSPPGLETPGFAGLYGGQENTDDEVMFERAAQRLFLQHGSSGRDV NRSPGSTTPGRGSLFREA VE01_10116 MSFHRDGSGVSMGPGGTGGQSFQGTSQQGAQSSVNSSNPNDQTK RGAPQIGMGGISSPHPGSLTPGSMNSTPDNLSLTHSFGGLRIANPDRGSPDSSTATTD TPPSVASREFVDTTMDGSSEPQQKRRSLFNMLRRSEPSNDPEPPRLSGLSVDSKRPSR KHTPEPTEPSAATQEQSRAPSAQNYRPGQSMPFNHQAQQEALFPPFQSSHAPGRERGY SGGRPVSGAYSPIPPPANNIPSRQPGSYRLPTESSSNSVHSSAPRIESRNAEAVMAAG AEIRDDSHRDRSYSQSQPILPGNGSTRDSSRALGGGRGIANPNMAPNPGPPGGSQSYG LDGPLQASSEEWKERGAAVGVRQEIDSSGKPVLRSVKKGVRDFSFGRTLGEGSYSTVL AATDRQTLKEYAVKVLDKRHIIKEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDEKS LYYVLDVASNGELLGVLKKIGTFDVECTRFYGAQILDAIDYMHSRGVIHRDLKPENVL LDDQMHVKITDFGTAKLLKDPYAVPKQGLPDMDKEDDDNRAASFVGTAEYVSPELLTD KNACKASDLWAFGCIIYQLLSGRPPFKAANEYLTFQKIVGLDYEFPPGFPPAARDLVE RLLVHDPQRRLSMEHIKNHEFFDGVKWGRSLWRQKAPRLMPHVPPSQKPHVIKLDGYQ STPQPITTSRGANGSSSSRPKPRVITELPPPSQLDIEWSPVLTRNNERILKLGNLMVT SSPIPNSPGSKSGDNGKSDKKFSRFFGGSTIKKRQRLVMVTSSARIILAAAGGDEKKS KTEISLLASDCTWRLQAELKGQAGWCVDTHGTHYSFEEPRSSNSSSDLGRTAPEEWIE TLDSAKDFAMSQSMLGSYNNDSNFAEMSSSVSSPTSTLGGGNTYPEGMGVTDRNGRKN LTKNQEDAPPKRHRFSKRQSKSGLAAVF VE01_10117 MVSQPEPSRSLSVRGPSSVVSRSSRHRRQNRSLAGGSSYIPQNE FPVFANTGDVEILVSAGGKENRYLLHRLILTQCSGFFEASTSQEWSRGHTEASKELAR IGEDGGSMDTASRTSSSPQKQRWRYELDKGADNSDIPMLVQATPPAPSLFNPMSPPPV RNKPPSSSTSFFRSVANLSIAVPAPLSQEDTDLLRDYDNLFRIFYNYPPSLDPINIAD AYIQCKSLLALADMYDALVVVGPRIDHHLLQFQSRLFKQIAKYPPSYLRLGYMARSKV IFAEALIHVVGQWPVGERHLRQALPQQVVELIEDKVDELADVVAGVEGRLFRLSLMTS RGDRVSPQTSYVDWLAVSLFREWLAENTSSPPPAPAKPISASRPNTNPHERLDAPPLS ANSASMPPPYSDPPVPYLGRVYRLLGSSSGSAYLGHEECKRFLKLTPELYSRETLRRF ERKVEEMKGLARETVAPLMRCTLQGGAEGVSYLTCTRIGHNDWVWENE VE01_10118 MSADDRGLDSGDDPRLEKIEPSLPRVIQDVKEEDTDSPALHPSV YVVIWISLSSSVILFNKWILDSQEFRYPVLLTAWHLFFATVMTQIMARTTTLLDGRKN VRMNTRMYIRTILPIGIVYSLSLICGNLTYLYLSVAFIQMLKATTPVAVLITGWFFGV QKPNMRVLFNVSFIVIGVVLASFGEIKFVMLGFLFQCGGIMFEAVRLVMVQRLLNSPD SKMDPLVSLYYFAPVCTVFNGLIALAWEVPKVSMEDVHKVGLHNFALNAMVAFALNVS VVFLIGKTSSLVLTLCGVLKDILLVVASMMIWGTIVTPLQFIGYAIALGGLVYYKLGG EQVRTHLEMASQRWRSMSSRRPFLWRMLMFIIAFCIVYALVDVLAPSYAPKYDPERVS AAYLAAKERYKNGGANIPKDNRF VE01_10119 MTKGDVEVDILIIGAGPTGLGAAKRLNQINGPSWLIVDANETPG GLASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEALPKEDDWYTHERVSYVRCKDLWV PYPFQNNISVLPKDDQVRCINGMIDAAMDARVAVNKPANFDEWIIRMMGTGIADLFMR PYNFKVWAVPTTKMQCQWLGERVAAPDLKTVTKNVILQKVAGNWGPNSTFRFPARGGT GAIWIGVAKTLPKEKTRFGSHGSVKKVDAAAKTVTMSNGSAIKYKRLISTMAVDQLVE SMADERLIKMSKDLFYSSTHVIGVGIRGERPENIGDKCWLYFPEDDCPFYRATIFSNY SPGNQPLASVKLRTKYLANGDAPASAEPQNGPYWSIMLEISESSMKPVDQDNLLRDSI QGLINTKMIKEDDEIVSTYHRRFDHGYPTPSLEREGVLKQLLPALEAKGIYSRGRFGS WRYEVGNQDHSFMLGVEAADHIVNGAVELTLNYPDFVNGRRNEERRLTDGAQLFRNTA TDKADAAGDAKFVDIMSTKAGSKSDLGPIANGINALRNSKIGIDGMSCITGSTADDAA KEAIAKEVIKELRGA VE01_10120 MDGPVGAVEDHHIPPPRLFERLRQIRGYTWDETRPPILSSYDNW RVFGTKHGAPTSVGPFKDAADPKSYLHTSASKSSLATYREAEGAPGVNDSETAEPLVQ VIAKISTHTLREERTFHICSNLFHSVDPQGNHIVRPVDLLRLAPQQGDKGPFVVSIFE APGKNSLLKYIEFGPAGQNLQRACELIPLPVFLDFAIGATECLEILHHGQRIVHGEIR GDAFHFDETTGQTRLINFGSGLRSFEHGLTSHGWSTLSKEAGAKTKLSFISPEQTGRM PAEPDSRTDIYSLGILFWTLLTQKPAFDGPTPMDIIQSVLGRRLPSVSTVRLEVPDIL GRIIAKMTAKDISERYHSASGLRHDLAEVQKLLGNGDSAALANLNLATKDVSSFFILP SIMIGREEERDEIVKIIDKVSKGHTTSQKQSPNVLSSRSSISDGHPESLDIVDDVSEH TSSAGSKSNGTAISGQNAHRLHHALSSASRASSQSHATKDSAHGDTQPKPRNKNTSAQ FDSQSTTGGMSTSSDTQRSTSEGAGSISQKNVHKFSRKGRCEVISIAGAGGLGKSRLV HSVQVEARRRGYFASSKFDSAQRTPFGPLLKLLSSLFKQVFSESDTDTPFHQILKHYV RPVWPILHKVLGLPEFLLGSDNTPNRRPSQLQPTYNKSIRADQSRRDSTSTRVSLYSI SLASQTSQEFLRAGSATKSARLMNTFLDVLRVFAQYKFLCFCLDDLQFADDESLELIS QLISSKMKVVLIVTYRPEEMLPEQIKSIIEPPGADGLRNIKTGGVSVTRIVLKPLTED DIAQYVAESLRRPAEDIIPLAAVIQSKSAGNPFYMREMLSACNRKGCIFYNYQNSVWE YDLDRIFREFSTETYHDTLNNDFVTRRLSELPATSRSILAWASLLGTSFSFELIQRLL SGEFDYNDDDECSIKHPPSPGEEPISFTHSQQDAVAGLQAAIQAYIVMATEDDDKFRF AHDRYIQAASSLEMRNVGKMNFVIACTLQKYYSLDERLQESTAAHICASVDIIKRRVT HRQSFRKILFEGAQTAAENGARPTAAKYYDHCFALLQDSPWDDEKEDCYYEETLSLYI RAAECHHFMGHFEETTRLLNTLLEHAKTAVEKSPAWVLQSRMYAQTGDSASAFKCLRV CLSALGTDADESPTWEKCDREFDRLSLKLGSIDQKELSMRPLGCKSSNLEAAGAVLVE IIADAFWSDRLVYYSTSLVMVDTCLTQGNFPQCGLAFMHLATIAISRFSMIEFGSQMG NLAQDMMHQWQDPYTLGIGGTIYSLFVGHVQASLSESIDQLEGALEYIIQSGDRISTI LNFGVVALLKLFGSEHLADIESFCQFGCEEIPNWQEDCRGGSMLIAVRQMCRALQGKT NTGSAEHVMSDESHDTAEYSNWIKSRVGAMGDRPILLYQSLQVAPLFLYGHYKKAAEL SRECFDNLDSVWSARNTRFTMLFYGLSLSGLIWQKAQDPRVPDKRSLPAEIGEVLKEL TMLRKKIVEWQAINDVNYLSWSTLLDAQIAELEGDHGSTISFYEASLDHSAVHGFILE EALGNYLLAGYFLRRTARRSAKSALREAMSLFGQIGAVGVVQHIETEHSLLIQGPTGS LRRTEVGTQTDFAGDSATVQFDTLENSGTEDRLHPTGSPAETKGDRIDAWQLGSAHDD SGSGVPALDMLDLSSILKSSQVISSVLQVDQLLKTMCEIILDNCGGLASLAAIIVEDD DPSGWSIAASGDPENGAVAHMPGLPLIETPLVASSVILYCTRFRETVFLTDLTHDERF SNVADDWWIKNPLGKSVIAIPISHGVKPLLGVLYLEGQPNAFTDRNLTVLQLLVNQIG ISYSNALTLKAIEKVSASNISMVESQKRALAKAKEAEIKSRRAESEAIRNVKLAEEAA KAKSIFLANVSHELRTPLNGVIGNSELLKDSDLRKEQAEMADSIRVSADLLLTVINDI LDFSKMEADKMKLFIVAFNADEMLREVVRSVSYSNRDKRSTREVEILQDINLPQALIY GDPVRLHQVIGNLIGNSLKFTESGSITVGARMDSESADDIQLTFWVRDTGIGIPPSQL AKLFKPFSQADASTARKYGGSGLGLSICKSLIESMMKGMIKLESTEGVGTTVWFTVTF PKANPDATAGDSQTGSDQRDPMSKYMEPEERRSHLPYADFSDISRDDLRICIAEDNPI NQKIAIQFVQKLGFKHVDAYDNGLAAVEGLRQKAREGVPYHLVLMDVQMPVLDGYEAT KLLRRDPNDAVRAVLVIAMTASAIQGDREKCLASGMNDYLAKPVRVHILKKKLEQYLR QDPMPLPNLQAEANKVTAEVMNAHSTFVPPAAAAPPLSPGLRRQSQNMATPSDTS VE01_10121 MSTTSGDTYVATKDLKGVIPDVHLNVEKPIDQDASKRTSVTDVD IDPVADEKQEAVEAAAPVRDITGWKWGLVVVAILSSIFLFALDNTVVADIQPAIIANF GDIQKLPWLTGAFLIGSASTNLVWGKVYGQMEAKITYLISLVIFEVGSALCGAAPTMN ALIIGRVIAGVGGSGMYVGVMTLLSVTTSLQERPMYVGLTGLLWGIGTVLGPIIGGAF TDSSAGWRWAFYINLVIGGAFAPVYLFMLPKSDPRKGVPVLRRFKELDWVGTVILMGA FVSFIMAVSFGGILYAWNSGQIIACFVVAFSLFGIFAVQQELAIFTTVEQRIFPVQFL RSRTMLLLFAETSSAATGIVVPLYMIPLFFQFTQGDTALQAGVRILPYIFLMVFGCIV NGAVLSKYGYYMPWYLGGGILFVIGSALMYTIDSSSTAAQVYGFSVLLGVGVGVFCQA SFSVAQGTVKPSEIPSAVGFITCAQITGITISIAIANSVFLNGAEEGIAKLMPDVPRD QIQSAIAGVGSKFVQSLPLEMRQKVLDAIIEAMSKAYVLCITAGALAIVLSLFLKRER LFVAPGLA VE01_10122 MSDNLSIANLPDQRWKISLPPPELLHSPPGTSHEIESILESSIE QLQALFAYEARLERQQQLAEERNRLELKRVAAVENSFQQQQERHLLSILKERSEREFT ATGGKLQRQQQLAADEHNLEQGDLAAETSGLTVGNPSGHRRNDSSSANKSKGKGKSKQ EPQENLPMVNSQPTSSRNGFGNGSGGQPNVIAPPLQTQGHISTSAPKKPAAPAEIPAS PMVSPPAREALQGSRSVFRRGPPAYTESSSAAAARLPAATKGSPTSVGFPPSDIGSSS SRKWGLRLLRPYMATVFKPRSQSSRGILSRSTSESIPKTVECVSCMEDFDIKETVHMT CHDYCSPCFILLIETSISTETSWPPKCCLNEVPKATILNHIHGKLKTRYETMLEERSI AIEDRVYCSKPSCGSWIPHHKIDKHSMLARCARCRHKTCMVCRGPYHSNGECPDDPAL RETIRCALNAGWRRCYKCNALVEHAQGCSHMTCHCKAQFCYTCGLKWKTCTCTEIELE AYLISADWRRETAASQAQIRQAAFAALDREVRADQTEHANELREVLNLLDSAEERTPA QRAAEERAFKVVAEREAEQDRSRDFEAKLQMLREEMDLVHSIQQIANFDRFEAENRVL EDEAKEEIAEIDDYFRALSLEERDGMVGEYLILLRRAGIELTDEMINGAGKKMDMSKA IDEQIAYELRPSILKSSVELKREKEETARYAEFFKRQGKEPTEHLINVARKAAARRTV KMHQLAREARHDQQSAKVNAILEEEQELLRVGHQTDMKWFEEVAKVRDSLLMQMTVDE YSV VE01_10123 MSQATRELKIYRKDAKSNHNLLTPAEREKLIAPYLPEPPSRSKS GNGDSDERTLRNQPRPIIGVRKFLKHQLHVLVFAIIHTIFSIYIRFRQAYHTVRDRTF AVLYYHHRTPALIQKDVKGLKRLPEHLSVILKLEEGKGGAALETLLDEVAEVCAWCAC VGIPMLSVYERTGVLKGYIPTTHRAVTRKLSSYFGRQHPALSIRAPHIPSMESSPTTP PSDADVPVSLGQLSVLLISEEDGEDSIVDLTKTLTEMSQRSKITPKDITVDLVDAELS ESVMSGPELLILFGPTIELDGYPPWQVSLTEIYNVQDNQGVGYQVFLRALYNYANAQM RFGR VE01_10124 MAGAPPTGRSIFPRGPNFNLETFSDTNFIVKDFVESLSESTIPI NRRSAPASQQAFDPKPLIRAFEGALSQLATLSDDLEERETELQTAVRRAEIEHEKTLD SLGRKLDQSINSYEKLEGSLNQGGVQESERNSQTDAGGNVAVQLGEKLEDLDKQRRRA LDAQFLIQCWLEVSDTGELTSLEEVRRQGNGEGKVRCAVIARQLMRISQRLDPKSWRQ ANTGKKLKVAVDEPEERGGYNTKELIEKFSETLEKDLLTQFDVSYRKQNYDNMMECAK VLRDFNGGSSVVALFVNQHQFFIDRNQLITEEVVTDGDTWERLADPDVEPPGVEPSLQ SLVDEVKIVMQEESFIMKRAFPYYDVVLAKFTQRVFQQSIQQRLEMVLENADTISSLA FLRSLHASRAYINSLVEDLKSHGLTEHPDSCSAATTLVLEQQVDELFIPYLVGSSYID RECRSLEELYSSLLFKFTIYHSRRKKTPTGFMASLAQQGTQLLATAKDAYIDRLDSSE LTPTQKAMMLRVAGLKGNENKNEIEVSEEDGVLSIPNAKRMLKWLAEGVRRALELSGG SDTPKDVSVLLTMLLTSMGQVYVETALDAANDQGLAQESSKIEPDLAYFPALRQAITI TNLMNRFINTVLIRLAETNTTVRRDMENKTKEAVKRMEDKTSAVIQKTIDVVASWVTK VLASQKKLDFRPRDADLDIGRGGTSYLEQLQTPTCAAICTFLTKTNHVAAQALDGQNF EIFNSELAICIRDLLFEHFKKFQVNATGGLMVTKDMSKYTSTLKEWRLKKDVESSLDI LSDIGSIFIIGSEALRERSKNIQTGPMNKGLDKPDFRAFVSKRDDSNSLGIQKVLAGL VE01_10125 MSSASIQLPSTSSATIAAPLKSESKPKPCCVCKEEKAIRDECML FSKSNDAQKDCATTIDKYKSCMASFGFNLP VE01_10126 MANATKVFSLEGKGIKFNTAEDVEPHIKALREMDDVEEVRLQGN TVGIEAAAAFADVFRTKKTLQVANLADIFTGRLLSEIPTALSSLLTSLLELPNLHTVN LNDNAFGLNMQAPLVAFLSSHTPLRHLYLNNNGLGPHAGIMVADALSELHAKKVAARA AGTDVPDLETVICGRNRLESGSMLAWAKAFSLHTGVQEVKMVQNGIRTEGTVHLISDG LRHAKGLRVLDLQDNLFTILGARALAKAVTGWEDIEEIGASDTLWGARGGVVLAQALA GGKNKKLHTLRMQYNDINAKGLKVLTETIKLALPAMKRVELNGNKFSEDDWALQELRE LLEERKEKFGGDVVVEDDWGIDELDELDSDEEEEEEEEEEEEEAEERREKLIEEQEEA QEEPVIQKKDKEVDELAEALAKKVEI VE01_10127 MSVESASANDMFRPPIVRSAAAVLDRTLFTRTFPIAAARITDHK LISASRGKLDKSKELLRVDRVSAVREDPDPALAAKGGKCLLLKPEVKPEDPTTWSAIL KQAVEAKEMSVMPYELTLDYNYWNYLDIMKSILPEDSQEEIPVGFSIVGHVAHLNIRE AYLPFKNLIAEVLMDKNPTIRTVINKIDDVGDKSEFRTFSYELLAGVDDLNVEIREED CTFRFDYSQVYWNSRLNTEHRRLVALFDPGSVVCDVMAGVGPFALPAAKKGVFVWAND LNPASIAALRDATTLNKVEPYIRAFNTDGHKFIHQCAQDLLALSKAGENKVSIPSKQP RMSRSQKVRPDPIPPTSIEIPQTISHFVMNLPATALTFLPAFRGIYAGHESLFAPHTS TKLPMVHVHCFSTKSEDNVKEGIEISGIVSEMLGTKMEFEGAVEKVEGDPRKRKEAVG EVAEGRVRVHDVRDVAPLKRMFCASFRIPAEVAFAKV VE01_10128 MSLSRSPSPNEDGGWSSPGLTTNYVETSGRASPATGLRGANANT NSVTWDGARKKSSKVNGGYPSFSTQNNGFFSRHMRNISTSLPKFVITPDDSKYAEKEK LGRGRWMPNRSSKLGRLANFSINTFQKFRLRFLIVFGLIFATILFYTTPLHYYWRRAP LLGGGKKFVIILAANQGGGVMEWKGPREWAIERDSIRNKRRYAARWGYDLEIVDMSTK KRYAHEWRESWEKVDTIRNCLTKYPDAEWFWWLDLNTFIMEPSYSLQSHIFNNLAANT YRDINHYNPLNISHPFTDKYLDEKSLSPVGDGKANSINLVVPQDCSGFNLGSFFVKRS PWTDRLLDVWWDPVAYEQKHMEWEHKEQDALEFMYSAQPWIRPHTAFIEQRKANSFPP DACNSHGNDPLIHYDRKGRDFLVNMAGCEWGRDCWGEMYNYRELSNLLNRNPWELFKE EIVAVIWFKLTGQKVRL VE01_10129 MSNTSRLQVAQPKPGANSHCDNQSNPFDTPFDELDWDEESLDEN GRDTNFPDDKSDVSRMTQWPTFSNLPAPPMPQKPQMKTRKSVKRSSVIKPTREKSKQR QKKQNERAGIKLNTNVARHRALTPAVTQPKTDAAGPLPAADAGRFVDLAALQSLESRA APPNNGGFWKNLLGNAPNPSVAVQHTPDQQGSSNDDAAAKADSIPGFANRRAKDLKPP SLTMEGDLSPSDRPIVIGISIPSARLQQHITSPHTAGSDTSNILNCYESQTPRENAPE TPVIVITPAEVSYWSPISANTVTPQSRRVASSVYSRPASYSHTSNQQEEIPPMPQISQ SILNGRKAGRESVGTIFAEDEDDDDVLSARPKKLRIGTGAILEEDEQQILTRKNRSGS DGSEKRPKSMLSPNDRRTSTGWWSTILSPFLARSNTVNFPSTAKQGESPIVPDVATPD TKSGPGEFRFWEKALSPKSPLSSTTIASDDWWDNRNTIDGTPKWDGTDSKFKDGIYTY KAEESYGTLPLTLSRSTRAAATANRPQQNLDVDPNNGTRELQRDQSLAVRSDRSSILS PADREAPFMLDDPSVTSVDHSVASTSTGNQQTAPQGPNAAPPAMNNTPTATQSQASRE NAPKVVTPAAPPPYSPPRPGFPKYAAVYPPGHSSSAQQPQSPGPLSPGLQQAMSSTGA IALTDVPLTPATRRVINLNSGYPTLPPPTVGRAVNPVDLEIASEKARKIDAKRRRHEK EEVVAHKAGGLWRGRGCISNRGCYGRTGPEGRKRRRCWLGVIFALIGLTILIVTLATQ LHRSTPNVEVPSQWVNLTVFPPIYTGVSMVSSTANNVANTGCVYPKTVWSCALPKELQ ASVAPNKPEQPKLKLLIQWDNSSAANATWGGPTGAQVRRSAGNPVNASQFLKRLALKA RQAVTFSPSPAAPTIAEQGFLGNTTDGIVSDNKSGERTPFYITFLNPQAAAKASTKLS TRQEKQNATTAFPDLGKLIPPPELNADGTAAPANLLPTPEQQPLRLFDRGLPTEHYGF YTYFNRSIFLKSTALLNETNLGDGEVPDDLNGGCAESEARFRCTWSQTRFLVQMWTRK DANSAVLLNSTATSSSSGTVTTTILGQDNSAFPYPITITTDRHGGDPTKKGVFCYEID EREKVVAGSGKVRPEFRAFGGTLVNQAPNAFDTTSSNAALGGFDGGNSGCSCTWANWH PAT VE01_10130 MSTNAAQTMRKRAPPQGDEEAGAELKLGEFQDVDALTHSEAALV INALVAKRKMDKDSKRVNDSEMLNKTLEYLDHFARFKRKENVEAVERLLSAHPELAKF ERAQLGSLCCELAEEAKTLVPSLADKISDDDLQELLNEINKHRGYDG VE01_10131 MGGVEGFVLSGWIERLCRGPELVIAIAGVESYVGSVSGPEESVK PLLCRTPHRTSDSRISWKCNAYERDRVVFALFIVNKAGSLIYQRDFAEGLSKLSTNEY IILAGTFHSVHALTTRLHPLQHNAPRGSLLDRPEPPSGIEVLETENFRLQCFETLTGT KFLIFTEPTQQNVDSILKKIYELYADYVMKNPFYQVDNPVRCEVFDRKLVGYVRPLNH K VE01_10132 MVLEAVMVVVDNSESSRNGDYTPTRFEAQADAVSMVFSAVTQGN PESSVGLMSMGGKGPEVLVTLTTDHGKILEGLHRTKSKISGTSHLATGLQIAGLALKH RQNNTQRMRIIVFTCSPIAEDEKSLVKLAKKMKKHAVSIDFIAFGDIDDDVTKKLTAF NENVKSGEGSHLTIIPPGPGLLSDQLIASPILNGDGSGGGGAGGGGEGGAGGGGFEFG FDPSADPELALALRMSMEEDEARQKRQREAEAQKNEAMPGISEENEESKPLLDNNGEA SGSGDKKDDKKDDSDKMDTT VE01_10133 MAPTRQRKHGKSTESESSVDAMDFPAPPQTIPTKSSTPTRTPIR RSPTKKATRGLTANQKQALLDNLQLEITERARKLRAQYMLQAQGLRTRIEIRVNRIPT GLRKAKMGDLLLKYNEAKSNAILPGMTARGANMGSPSRNLLQENQRNARHSPSPMRQL KRHSGDMLDKENEDLSNPKKRAKAAPAPRVASRTKQADQVLSPRSANSRNAPQPRSPI RPPTSLARPASPVKLLPPGGGASYLTNMVEKAKSSRAAATGASKTGTSAVGRPKKAVA AAAPAPRARRGRPSNSSESSDASARTTIVSRAPAAKKEPAKRTVMGALKSMGAKKTAA APAASRPAPVGRVLRNRGAA VE01_10134 MADTETAPLEPQARHIVYCGVCTLPPEYCEFGGTTKKCKEWLEE NHPDMSEKIYSADALEAATSTLSIDALKRATKDSAKKAAKAAQAEQKHASALAASRII IKRVERNKRKYVTAVSGLEAFGLELKKVSKDFGKKFATGSSVTKTASGGEEIVVQGDV STEIEDFLLEKYGDLVPGDNIELVEDKKKKGAGAAPGP VE01_10135 MASRRQNVPLSELLNGDDRGAPQQPHQEAPAYFPQQPRQDATAH FPQQPRQEATAHFPQQPHQEAVVGSRSPAWAAHRQAARRGKAIEAVWIEQQPHQEAVV GSRSPAWAAYRQAARREKAAEVIGIEQHPPAVRQVTYQSRGTSMHTTPPQIQSHPHGV RPQINTATFQIQSHPHRAEPKKKKQ VE01_10136 MSDAAERNETLIGIFSERRRHLDENIFENRWASYRHNMKMIDGL SYLGATRNSVLGLALLLPEEFDRTRRDKIAPDIGELGSIVKVRELLELAHELVPYVIW VLNGSSENAPPPLQGIEVT VE01_10137 MQFTTILLGLSAVLTTVLASPVDTSAASPLVERACAYDDCAACE DYCWNDIPCPPDHNCGISQATCFILCIETGCCDA VE01_10138 MSPTPPSTGSSTGNSPDTQYRVVRKRNRVPLSCAPCRHKKLKCN RSIPCENCIRRGDAAGCAYAAPGPRRKAAPAGSSPDDMQNRIDRLEGLVLSLMTNGSN APGPTAAVAAVASERSGSTSTSTAVDDGEMEKIKEEEDDGDGEEGGESDVDAVANSFG VLKVDAETEKTMYIGDSHWHLVLADIAEVRNYFTNHKRELDNQYKKVNETSAARWIPD FIFQGLAPATEAELRAGLPPRAKIEKLIARFFNSLDPFVQMLHHKTFHERLEAFFADP KSQSLAWIGLLYATLTLAMLSYSKVGDEPPEWKGRTNELAAEYRGRTVQSLATSDYTR PNVYTVETLVLYVHAEYSSRLDVDFGLYLVVGTVVQVAMRMGYHRDASQFTSVSPYEA EMRRRVWLIIRQIDIIFSAQLTLPNLIKSCDCDTQLPRNIFDDEFSTSSTSIPPARPP TEATPMSSILAKGRLVLMLGAIIEETQSVSGPHASYDTIMKWDARIRSARMTMEPHLR FRSLEESALDPSSLILQRFYIEVLHQKTICVLHRRFIARARTNPRYTYSRRASVDAAM ALLRIQATLHREAQPGGRISEVMWRLTALQKHDFLLGAMIVSLDLNHDRGGGPHTFWT AEQRADMLSSLETAMAIWEGDQAASMDSYKGAKVLKIMVDKLKAQQNEVNQEVDEEMH PEHSAAMTLGMLSSGGVAAPPAFVAPSPGRWGEGFGAPMEGVAGGELGQYWGVGGGAS LTDLPDNLDWAAWDQYVQMGSAVDPSLPYFPGVYDANAPEMQMDPTSGTGFGDGVFMG AHTPGRG VE01_10139 MKSFQFVDGANIDSTARKSIRSHVMKGKNARRTIHRQSRLNLSS SWPPPNHKATQRTLQGSRRDEDPTGISMQVSRNLGSVFLTFRFPIELTPHSIKVVNQF FNHIIEKLYPSHFGFSPDEAKIQWLGVLLTDEGASHCSMALMEACNGFFLGGNLSSPE AFYHVSQTFVLIRKRLESQEALSDTTIGIILMLILQEQVRKEDRAAEIHYEGLKKMVE LRGGLGQLERNPPLLLKICKIDITYALQSGQPMLFFRDCFSKVQSTLKSKGFARNRIS DIPPTQCEKLDPYLRDILLDALDIAAVLNDSAREGHLGLITFQEILVSICSRLIHFRP LKSDMSLSLVEAGYHIGLVIYTMSLFLQHDRRRIMDYDLVTVRLKEVLDSAQELDDDL AIWLMCLGSIWLADDPCRLWLAPVINQFTNRTGIDSWSEVHDRVSKLPWINAVHEQQG RLAWTSALEGSKECFH VE01_10140 MASTTTHPEFNDQTSALEVAKAFASGITGKTIIITGVNKNGIGF STAEAFASQSPAHLILAGRNPSKIQECIEALKSSYPTVNYRPLNLDLSSQKSVRTAAS ELLYWSDIPTIDILINSAGVMNIPSRTLSEDGIELHFATNHIGHFLFTNLIMPKLIAS ATAAAAAGTKGATRVINVSSLSPTFASMRWSDVNFDKVNKTLPEAEQPNYDVHRQWGT VSPEDASYLPLEGYNQSKVANILFGIGLNSRVFEKHGILSVGLHPGIMQTELSRSTSK ETIEAVGALFASGMFKFRSLDQGAATSLVAALDGGLRAGEGGGEGSGRENWGAYLVDC QINDRANPLAVSSAEAERLWGLSEELVGEKFAW VE01_10141 MSDNTTSSAAPLAAPSTDITKPSNGAGYQRPANVGGASRLINVE PPRREDLQPSYAQNLVGESEQGTHGWYGSMINTLGNCIGTFGAVPCCIICPNPYKPVS QGNVGLVTKFGRFYRAVDPGLVKINPLSERLIQVDVKIQIVEVPKQVCMTKDNVTLHL TSVIYYHITSPHKAAFGISNVRQALIERTQTTLRHVVGARVLQDVIERREEIAQSIGE IIEDVAAGWGVKVESMLIKDMIFSNELQDSLSMAAQSKRIGESKVIAARAEVESAKLM RQAADILSSAPAMQIRYLEAMQAMAKSANSKVIFLPGPANVASQMAMADSVGEGSAAN GGKRIVEGVETSDFGGADPHFSQAIRADVIEHM VE01_10142 MSKSACRQTKRTSNPHSDRLAPSQQHHRQFCQPRTATTTATPGR PSVNLKYQQRREMLSRSQRLTRAIARQQICRRLGAAAANTALARPLSTTIPLLTTTPP PSTWTSPYPSSTDFRSDVVTSPTASMLHAISSATLLDDVFCEDPSTISLETRLASLTG HESALLVLSGTMGNQLALRALLTQPPHAVLCDERAHILEWEAGGVASLSGALVQGVVA GNGRFLTLEDVKRKVVLSDDVHACPTRVISLENTLGGSIMPLDETRRIAGWARENGIL MHLDGARLWEAVIAGAGGLEEYTRCFDTVSLCFSKGLGAPIGSILLGSKEVVKRARWV RKSIGGGLRQSGVVAAAARVGVEETFLGGKLKRGQEMAKRVGEMWESKGGRLEREVET NMVWLDLEAAGVGEEEWVKAAGRRGVKVGGGRVVVHYQVTEEAVGALGEAMGEVLGRE RGEGGGEGKKVGFKSYG VE01_10143 MTVAAATRARTQQSTPSVQSPSSNPSVHVSAIKYAHQAAKLSHL PQDAEGTNDGAAAVEAEWITPQDPVIITADGGRLPGVPLAEAHKLDQLKDEVDVGMGK KAVEGEVGGVSDEKGEGAGGSASPANGSPSQVKGSIQRSGEGSLTKAMPPSRTNPLFP PLPLYGPPSLLRNAQCAVFRCTSAVLSLAFLGVIVLGSAFTSIPLMFSHIWIRLKFQN PDKRRPFYEEEKRRSILRKEGEQEWRKKKARRQSTTVRDDNEADAGQNNGFTPTEGGP DPLICDVAYYARRVGLDMEEFKVQTEDGFLIDLWHIYDPSEYTPLPPASRSPLGPSTF PTSSSPPGPDPESKKKPKYPILMIHGLLQSAGAYCTNDDASLAFYLCKSGYDVWLGNN RCGFSPQHTLLSYSDPRMWAWNIRQMGVLDLPALIARVLSATGAPKLGLVAHSQGTTQ TLVALAKEQRPDLGERISVFCALAPAAYAGPLIGKMYFKFMRLISPGAFRVFFGIHAF IPFMMTMHSLLPGNLYGALGYRVFSFLFGWSDDRWDRGLRDRMFQFSPVYVSAESMRW WLGRECFAKQKCILQTREEWREEDKQDRVEEEKRSSPVLAAADAESGVEDVERSGSHV HKASTAWYDCRAPPFALWVAGSDLLVDGRRLLRRFASGREPHVRVVHQKVIEEYEHLD VIWAVDVVEKVGREVKEVLWATCEGREGWRVPVGCEEVDVWDGKGVVGSGKEKGGEGS ASAEGSGESEIEETGRARVKPRGRL VE01_10144 MAAAATGPAHPPTPSTSLTTFPLFLHLPPEIRLSIWTLSFHPRT LELHYPRAHYANPHNASSPDSHGPPPFQSRSYNPAALSTSLESRNAALMTYSIALPLA SEMHHRPPWAQRRVLYIAPDMDTLVLLGDEPAIRVTRLVKWIRDHLAAQASPITGLRN IGLSASQFANSQGAMILRFVGRDLFHDVERLVLVIGPRPGCGTEVKPPEGWDGGRCLL REFVGVEGEEGEERGHGEEEKEQFRGFQRGVGRQFREKGGWMVVGRNRMRIASVGFEN GW VE01_10145 MLSSRGCIFVLIWAYSQVAQAYTKFEPECTNPKEAVNFVSSPPT RGTLDILWSSLFTIFACTWSVLHLNVPQQRVNRDPGILGDLKWGIKTVLSKTKWMLYT VLAPEVLLLYAVARLVSAWEQHPEIKAFADADRVPWTLAHTTFADMGGFVVRGRSDRI GGVSPGSEMPTAPIQTQVNESDPISDYANEWYILDLKTIRRLRSEGHITLPCILKAEI DDHSKGDTFTKVIAATQIIWTIANAITRACRGLAISQLEVSVVAFAVCALLIYASYWY SPKDVSVPITFLQWRGPVPSTIAKIIVKGVVSTRPKRYVGVKTGQAPFRNTFAYDNKS RLDVYTLFWGAFFFGGPHLLAWNFTFPTPAERIIWRATSLYCSSVGLIIYFFFYVLEK PLKNLDIEWSNTSRFLDFLSYIIMAIYLLARLFLLVETFRTLLFLPPSAYIATWTSSV PMFG VE01_10147 MAVVLSADENRYFSSSSLKRSHSGKFTNSSYAPLAPSPSKSDLH SQISYNTVSSPLASSPPPAHSPPPTVHTADSTTESFSTTESFPSTPASSISCADDQDD DQIVFPSYDEVGYYDQDQSEDLEPPASPRGNGESYTVSPTSNTASTDASRPDSPELVE HAEDDTAIRSQPSRHVDYLSHNWREEDIWSSWQHIVSKRGNYSNSARLENASWRTWIK NKYKLKTVSPETLNWLKDCDVTWLYGPLQTGSGKPFMPPPSPIGSRRISKSNSFLHKK PILKKRSMSEIMLQRSLSASSLLKQAAAAVQAQQSGASLSSSSHDRPYMGRAISDYGA FSLVSRRRSRENTSLLPSVTSSGITSPGAEKRHIHFNEQVEQCIALEMKGDDDDDDAI AYPSDGLGDSDDDSDDGAIMMKRSSSKRKLPPLAKKPAPVTPNTDIETIAMLPSTTLK YREDTPEPPESALKHSSSWSLSISPSPSQETLRPSSSSSTATARSFSLPASSALDDDD DWPPPPLPPRRDSVSLAQDRFAGLQISEEAQVGGMRRTPSGMFMPLEEDEDDIVGEGL FGRVVETVNTARDIAHVIWNVGWRR VE01_10148 MKRLGIKRAILSCTAPGACILEGQASYDLARQLNLYAANLRNKQ PDKFGFFANLPSLIDTEAALAELRFALDTLKADGVVIFTRYGDANTYLGHPVLEPIWA EFHKRKVVVFVHPTHPVDTAKVNDKLLQPMIDYPHETTRAAMDMIMMGTRQKYSDCKV ILSHAGGALPYLISRCATPLRKAPGVAASYVTGTTYEKVMQDFRSMYYDVALSASPQV LDMLFKMVPHDHILYGSDFPYAPPPAYPAFIEDLESYEMDSEKRDKINSKNATALFQG LEEE VE01_10149 MVSSLCEFLFITDNLMISLAVLAAHGGDPSGWPTPKWDLSLTDS LNANLRIATSILSLTSPGASIIESNVTGARLLAREVNEYAAAIVQAQPKKYGFFAALP PLTDLCGTLTEIAYALDVLKADGVTLYTRYGDSNLYLGHPQFSSIWQELNRRAAVVFI HPTTSVYAEPLNSLLPQPALDYPHETARTAFNIVASGLLTKISNCKVILSHAG VE01_10150 MATLWTRLTDPLDQLWSVAYSSYPASLIEFVVIVSVQFLAFWVP ATIYLGIDLLFPAFSNRHKIQSERRQPSWEQIRHCIKHVGLNEALGVVIQVLIRNAVG WDKTAFLVTRALPSLSTIAFDFVFALIAREMSFYYIHRAFHHPRIYKYVHKKHHTFTA PMAFSAQYAHPIEHITANVMPIVLPLALRRAHLLSSMVFVAFELWEAAADHSGYDFVK LPPAQIHDLHHEKFNVNYSTVGIMDWIHATDTVGWDKPKKVSVD VE01_10151 MDRNPPLNDPRGGFPDASGTSDSMVMRKCPQERQTTVLRSILLT HLSDMASSTKKEAVCLPSYSTIACIGTGLSGIGLGAQLKRWYDVDDITYFERSPEAGG TWWINTYPGCACDVPSALYSFSFEQNPNWTKLMPSSKEIQAYAMGVAEKYNLPSKMRF NCDVERCDWLEGGSRWLLQIRDKLTGELFLHECQILFSAAGQLVQPRELDIPGLESFK GEVFHSARWNHSVDLKGKDVVVIGNGCTAAQIVPALVPQVKSLTQIIRTKHWIFEATN FKSTPFTRWCLRNVPGAMSLQRFLIFTIAESDFPLFYMNTIGALARARKRRIVEKFMR EKAPEKYHDLLIPDFDVACKRRIFNDGYLESLHSSNFNLTDQKVLSIVPEGLQTQSGI IKADVIVLANGFKTNEFLDPLQVHGCDGVSLTQHWANFGGPSAYNCSVMSGFPNFFLL LGPNAATGHTSALMASENMINYALRILSPVLRGKAASMEVRYEAEKKHIYHMQNELKR RVWSAGCKSWYIKENQWNSMSYPWSQAYFWYRSLVPSWGDWIVKSTSTNFSFFTASIS VIGICFYLSRAWGKPWLVTRQVHVLA VE01_10152 MAYKSFSIPRKRLIPREGLFIDPIGFILARTVFNPLINIPFFLL CQQLQLDYLKKSSTLLAITGGVFWVNQFLNWGANNNFTRAKPWNPKKELVLITGGSSG IGASVAIRMAKEGNRVVVLDISPLSFSPSQNITYYKCDLSDMAQIKSVMARVREENGS PTVLLNNAGLSRGFNIADGTYYDNDLTFRINLLAAFLMTKECLPGMVTRNHGHIINVA SMSAFIPPAGLADYSASKAGMVAFHESLGLELRYRYQSPAVRNSLLVLSFTRTPLFDG KTKQSEFLLPLLHVDTVGDEIVNTIYCGYSRTIFMPGLMRYVAWILQFLLPSGYAVFQ RWVANKDVQAKESINNYIETLQDGEAKLFWVGLREESSKVILFLHGGGYVLPLSKGHI EWADYFRNAGKLKEQSVCVALLDYSLCPENIYPKQMRQAALALDHIINLGYPPSQIVI GGDSAGAHLSLGLLSHIMFQYPDDTFPVLRLAEPLGGCFLISPLLSLDLTTASYRENE HADLLSIPVIRDWGQDLLRGSQFFDERQNERAWGMPLCGNRDWWDGLGKVVRRVYLTG GGEELFRDHIIEFGQILKNLEGLDVQVHIDWKEAHDKTYMDFEGCVVPSKFTTRLGNW VVDCFAVKSLRSE VE01_10153 MALAKYSAIYKQEGILFLVLLLYLSLFFAWLQTNVHFVNEGHLK RLQVVGAKTISYAPHFKGPVSAEEAAKRVLDIVERSTLEDEKAATAISQTGTDKLM VE01_10154 MPSYVVTGASKGLGYGIKNVKLYKADITDLPALKAAAADVQATV GGIGISLPTLLLCQA VE01_10155 MAFAHISLPVGSHYIAMRNFYTAILKPLGYEIKLGNGEGQEFCG LGTNASGPIFWLGLGPSNRTLPKYDGNLENRIAPIHLAFEAASPKEVDEWYETAIKSG GVDNGKPGKRQYSRAFYAAFVLDPLGNNIEVVYNLE VE01_10156 MSLEQLANPAPESPTQGNSIEATLRRPAPRIRRKPPQICDSCGR VFTRRCDLKKHAKTHERAFKCTAAGCDRTQGFGLQKDLRRHIDTIHRKSTFTCDYPNC RQTFSRSDNCQRHFEEQHSN VE01_10157 MYLSEFSSSQKDQIRREIAHVLRTLSPCQREKKPSFIDNADFVY SEATDRLDLKQIEKTCHLLHDASIDSVDTLKKLDDITASFFDHWFVPWGEWIPSEEVL VSNAYNLILRLPSHPLRVVCKQTDGFNQVQTSDASAFFRRQLTQTECYINRNVIRMAL RVCIILERPRQHSRIAVPYWAMAQVTEDIALLFDATRQLCDNDSNLSSKDWIGWCVVK SFLWTSLQRMFMLWTWSITSFNIKDGYTSEIIMKHRIQQDRIRPTILSELARQEEDVI LQMRPDPMCPWAFQLLRNDGFSLCIDLRRPLEHYRLLLGNNAPRCGVSSQSQQVCDGK SFESCGRFVGTKVIKQSAHECISKDCKKLTWDEGSYCAFETPVVSIRETTITQLKYTN LSDKTLSISHVWSHGQGGRPEDGFNTCLHDRYVKVAETLGCDSYWMDTPCIPTETVLR KKAIANINYIFMESKATLICDRDLMGIDISILRKALNSDAAVRLVEGVLIALLVCDWN VRGWTFLEAIRGKDALYLLFKDSEVFSLKDLLVCLVDSGNFELANLYLSAGHLLPLPK DLVHYRNAMMYLHGVEEAGSVLSRRPASRPSDNLAIWSLLFSDGGQASNPQPSSLSKS NPNSTRGIKDSAYKSAEQLWRSQGNVSTCYLVSSAPRIQGVRRMSWAPCSPVPDKVES RNGSRRYYPYVSIGDEAEKSWIRHSGLVAEWSSCFFVGQGGGDAQHDESTVVSRPELA RGDLKDAEDDESWYHPLDSLDILNRPFNTAKVDLRMKGVHQLNLLTRMGLKLGNTGFF RTSDDATMIELQHISSTFLSGFQWGVLLRPLTTPERQNLQVKPLPLVYEDNPAAPLVA VCGSNDGREWVWRGVHLWDSSVALPSFEVRKLLII VE01_10158 MRRVYPLHTIVPSESKPINDTYLNRFLILASIKLLKHFRPRNGG ILFLTDKICVKYGPLKNLSEASTMQFIRQHTSIPVPRIICSFTRKGWTYIVMERIHGD MIGRGWTSRAHESKAKILSQLKVMVADMRKIAPPNLAVCNVDGGILYDSRIYGPMQFG PFKDIQDFHKHLRGGLEAHVDNPGDSGAIIQYLEEVYDKERKLTYDSLNEKYLLNQWH HFQTSGQGPYFGQCGWFNVLHQEKLPSAIERYTKEVHSILGVLNNALQGKIWLVGDKC TFADLAFLPWNARLGATLLTPPGEDTLKQYPNVQIWHNRMLGRDSWKKVMTTRDKLMD EHGLQPNGMPKGINNMTEYEELMTN VE01_10159 MTDLTPKSVLVTGANGYIGNATARAFVRAGWTTYGLVRQESLLP SLKAEEIIPILGSPADQTFIASLDLSVVFDVIVSTTEEIMNYVPHYNEVVSLLDVLAK RNQSHGKKKPLVLFTSGCKDYGMMDEMSDSPGLQPHIEESPIAPPPFALNRATHAIKI FEHACLFDAVLLRPTNVYGLASSYYGDFLRLAKEGKERGVLEFSENPKTILHALHVDD CGEAYVALAEFPHREKLNGQCFNISSYRFETLEEIAQALVREYNIQNGVKWLPVPQGR ADVDFARRLIGFSQWTGSDKLRELTGWKDRRVLFSKGLKQYRLAYEAAITRPESRR VE01_10160 MSLKPITLWGHDSGSNAWKVAMVLEELSVPYTVKIIDFPDMKKE AYESINPNGRVPSIEDPNTGITLWESGAIIEYLVETYDKQNNFNFASGSKEYYEAKQW LYYQVSGQGPYFGQAVWFTLYHPEKLPSVVDRYVNEIRRVSGVLNGVLQGREFLVGGK YSYADASFVMWYAIAPLFADRINLATDFPALNAWLERIKARPAISKIIKDREAAMAAS K VE01_10161 MNWTFPFLVALLFGVAAAETFDEAKKREAFVSILSPLAARKSAS AEDEAAAAPAIPGTIPIHADFIMAAGIVSARKKLNWSFGGETDAKAVVKSKIDRKVYI HLPMTNDGKSKIRWDGREDPVLEEGDGAFVTGVQAGDVLGFKSIREVEAEVIVLDSD VE01_10162 MKGDEFSVLLADELYSFARTALRGFKSEHRDGGLLAATLLCMYS SASGKTREDHSTLLELAELLQDYGLQDAPRGVLSACFWVFARQDIWASYLSRRPTLIP VESWEIPRCRPDVPIQDSYSKLAIWITARIVNELSKQPADINLNTLQDLWAELQTWVV ERPLSVRCVMELESLGDSCFPTILFSSPSAVCGNLYYHTGCILLLATEQILYPTSAMA SPICHARRIVGISMTNNDP VE01_10163 MATYNVFRLQSQGSHQNIKQSSEPIPTIEGHEILLKIRAVSLNY RDIAITKGQYPFPVKTQVIPCSDAAGEIVEVGSRVEGFKVGDRVIASFDGTNLYGPQK NWNHGHGGPVDGFLREFAALPATAVVKIADEANLSFPQMAGLVCTGVTAWNALYGNIP LRPGQAVLFQGTGGVSLTGLMLAKAAGARTIITSSSDDKLEFVQKKYGVDHIINYKST PDWAAEVKKITGGEGVDYILENGGSGTIKQSIECIKMGGSIAVIGFLAAAKQEDMPDV AGLALSKGCIVRGITVGSKQLLEELVQFVVTKGLEPPVEKTFGFSPEEVMAAYEYMQG GSHVGKICISIE VE01_10164 MLDKFERIVDVDCEFNDTKTRQFLELNLAVLEMETSLATDEGFY HMSESHDSIFQSHDNVRNHTIWKIADRNGDLAIQAAFLRIPYLGLRGTNLNRLSDGGL PKHLIDLMGDSMGYLNSMTDRILSVYRLSIMRNPPKTPPTAQLARVHTGTVYKFMNDS DGTEASSFEYFRNLLSSFDVPFIKFKGSFAVTEPTASRLKTPEEILAEPPEVVTKNQL TVDKDASETPWPMHYATEKGYREMVKNLLELNVDANAIDINGRTPLVIAAKNGDVEIA KLLLDNKADVEARDGDLHTPLIISVNNNDIDMATLLLHNKANAGARFGKRQVPITTAV WNRNEAMVKLLLDNKVDIEAKGVNGETPLITAIRADSAAMVKFLLDNKADIEAKVAYE TPLMAGVHSRRLDIVTLLLQRKADASVRDHLYRTPLIMAVVYKHLNIVTALLGHPGAI PKDSEKKLKAEASKAGGDIERLVKSALDSRVGLEQSSGSN VE01_10165 MDDKKREYSPNMHPADARNLHSPSSITSSPIISILAYCAASISM TIINKFCVSGKDFNLNFFFLAVQSLVCIVAIIVCKSTGFIENLAKFDIEKAKTWFPIS LLLVSMIYTGTKALQFLSVPVYTIFKNLSIIVIAYGEVLWFGGSVTPAALSSFGLMVL SSVVAAWADIQHTLNSFGDVKDPAAAAALSSLNAGYMWVGLNVVCNSLYLLCMRKVIR KMNFKDWDTMFYNNLLTIPVLIICSLVVEDWSAENMAKNFPVATRNSLMLAMFYSGLG TIFISYASAWCIRVTSSTTYSMVGSLNKLPIAISGLIFFDAPVTFGSVSAIFIGFVSG IIYTRAKVRQSADSKMSLPTSLPAKS VE01_10166 MKLSIAAATALLFAISEAAADVSSKTPLYKNPKAKVDDRVADLL KRMTIEDKTSQLVQGDIRNWLDTDTGAFNKTGLEWSMATRSGSFYVGVPVDQQWIAEN IKKAQDYLVHNTTLGIPSFTQTEGIHGFLIGGATIFNSPIAYACSFNRDLIRKMGKVI AQESAALGVNQIFAPLADLARELRYGRVEETFGEDGYLAGEIGYEYIKGMQGEGVSAM VKHFAAFGTPEQGLNTGPVHGGERELRTTYLPSFKRQIIDADVYSIMTSYNSYDGVAM VANYHVLTEILRNEWGYKYFTMSDAGGTDRLCDQFKMCKTNPVDMEAIVNYALPAGND VEMGGGSYSFTQIPKMVKSGKLDIKIVDQAVSRLLKAKFTCGLFENPYLGVPAAETPK HIHTKANVALARQIDTESIVLLENHNNILPLSKTANIAVIGPMGHGYMNYGDYVVNGS YLTGVTPYDGIKAASKGSTTFTQGCERWSSDQSGFADAVAAATAADVAVVVVGTWSRD QNQLWQGLNATTGEHVDVSNLNLVGAMPHLVKAIIDTGKPTVVVFSSGKPITEAWISE QASALVQQFYPSEEGGNSLADILFGNENPSGKLSVGFPYDVGTTPVYYDYLNSGRPVD IGKEYENGTLQFGHQYVLNNPLPLYEFGYGKSYSTFEYGPVKLSKTKVSAKDTVTVSV DVTNKSTRDGAEVVQVYVKDLITSVVVPNIQLKGFEKVVVKAGKTVTVKIPLNVQDLG LWDIRMKYVVEPGDFQVLVGSSSKDLRGTATFTV VE01_10167 MGKIFNVSLAIFAATGSFLFGYDAGVMTDVIGSPNFLEYFNTTD TSSIIGAINSTFNGGAVFGSLMGGLTMDRFGRKMTIQMGALICMVGAILQAAAVNLGM MLAGRIMAGWAVGLLSMSVPVYQSECAHPKIRGMIVGMAQQMIGVGFIVSTWVGYGAH HRDQSAFQWRFPLAFQAVPCIILFCGLFFFPESPRHLIATDREDEGLRVLKKLHYDGH NDEWIESEFNEIRQTIAAEKAITVPGWRVMFTVKEWRIRLFHGVAVQVFTQLSGINVI GYYQVPMYKALGFTGGKEILLSGIYNCVGPLANLIFIVFILDRVGRRKPLLMGTIGIT CALICEGAINSQNTDGSRHSLSIAGVFFLFLVSAIFSWSFGPISWVYMSEVMPMQIRA RGNAFATGIGNWLVATLFAQVSPLGLEKLGYKFYFVFVAFNVVITFPVIFFFFKETNQ VSLEDIDLLFGERALGTLPDDLRKDKNLTELETREEITGEKY VE01_10168 MSSSRDIIVYHYSYSPYARRVVWYLHLRNIPFSECVQPPIMPRP DVAALGVSYRRIPIVAIGRDIYHDTRLILSKLDELFPASSAHPALSPTTPEHRALAAL FSTSTTDGGLFGRAALLIPTSLPLFKDPKFTADRASMSGRPWSAAFIERARPDSLVEV RAAIAFLENGLLADGRKWLLNTPQVSSVDLEAIWPLHWVFGMPGAIPAEVASKETFPK VFAWVERFNAAAGAARKANGKAKALKGFEAAEKIWGSEWAEGLKGVDERDPLGLKPGQ EVLVHPTDSGVTHKDRGTLVGLDGEEIVIEVKTQKGTVRVHAPRHGFRVLAAQEETKL VE01_10169 MPPRSNDRIPPPRNPPSTYNAFYTSLPPSTPNPFYTSNNALNTT DLPPQTSHSDRNSLPRPSYRTGRVDPNFDAARIGLDLGSQLEAELQAGREAGREAGRE QIERNYREGLDRAFNGREEQVQYPFGGQWETQSLFGGGEPVQQSYGAQWQAQQPYGEQ WQAQMPYFGEWQDQQPYGGQWQAQPPHIGVSLAQPPYVGESLAQQSYVEETVAPPAAY DSVQDRQGYLLTDPAAAAAAVTTEALSSSNPQPQAPHQGSTRRLRDLPFHPDNPKPSR LSARARPFQSLRKLAPKEAVSLQDLDTEQDGIYQDLDAEQDGIYQDLDAEQDGIYQDL AAEQDGIYDMAPPSWNVVPQVGAPLQDLPAGQVGGFNMVPIPTPQEVDLNLVAQLQDL TYQPGGIPDILPAGLTQMPGLDPRFLLGPDGRPPVLPPPPSEPLPDLATQFRNAFAQL EVGNAAAAAAGPVEPVVPAAPKKLVVVCCLATWVGAKDTEDKWIGMPAQGTRKGWGMD MANPQERECWKKHIWKGLEVLKEMDGEGVLMFSGGPWYDNSRISAAESYQDFARASNY WGHLRGDKYKDYPSRIITEDRAMDSLQNVMFSLIEFNIRYKNFPEEMTVISYELKRER FENIHFKTAKEILFPTPQEDIDVSWQGIPTFIGIDPRDLSDNFGPEKGIAIAELETQV RDLWRESPYGLSRELMSRKEERNRLVIDLHYQLVFAGGAELVAALEKNAKAAPPIEEV IDMSVN VE01_10170 MDHVQDNNPMRGNDNYSHELGQQMNNYIVDPSLIDQNQWVQQQQ PQYAEDIFNPQQYQHPHAHQEQQHQLSHQHPQQIQQQQQQQYIQPAQSQFNYVASQSP VYPNAQYQAVYGQEPLRSNSSLGQYGIQYGAYPTTSQSPIQQMAQQMPQQVPLQSHQQ YAQNQGQYSYSPQPQVPVTISPHDLDRAVQYPAPAPSRIVLTPQPLPSNAASQNFRQS WTSEPEFLEQPIPVSTPVPQYHPIQPEIQTQHAPIRPVVSQHAAISPVPALPSIAAIN PEPVNQPSPASQPLPSQPIPSVSDAAQKYASAQLRVTHPELLAETKDIPSRRFSQAPF AVLGVGSIELDNKYSSKALPVWQGRPNRSGKVLVPGLEHKLSAIPTSKPKKIRAPGTK KYASARKSNLLSKERLAPLSAAAAANIPAGEIASLRPPSQSQSPESSEEEYTSSEDES EYSDEEEEEVGDMRTLDEIRPEPRPTDVAEAATWDALGIIYSPPGRRATADEKMKVAM GFHPFIAGLREELVRVTGELAKAETEKRDADVKKLKVERATRQQALVNALNVAITKGH QDLKDTLATHDKFVVGLTNILRNCIKADDYLGDLALAVFHLMSSFNKMSEAVLTRSKF DGIVKKFNKNRLESKNVDLEGKRRQEDIRRFVESIMKSTTEAQDRAIMAAAEDSAKRA EMKEPIARGEHKPTPPADTKAGIQAVSSLKRPHEPDSASNSPNKKVAAEGAKGLPAKP SGNKIPIKATGFFAKLGKQGPKAAPATTIPAAKPVAKRPAPSTGPSALSMLLDDISKP KEIPKAPAAPKRPDETPEQKARRERKESRRHLRVHFKEGDSLTEVRIFTHERSEDEGR QGEMLRDAHDDRSEGMMHKQRFQGVVEVDDEETEAEVNSKPWPVLSEVDFSALPEGYY GKGFTSRGGPVEFETEQQRIQSKREDTELMVVYTDIKDIPHSPKEPPFEPTTPGTEVQ LRQPTNFPKVQRRLLDIRLYGPEAAMSRLSRKEEEERLGIHREMQHKRAAEILGLPPA KVTVPDMLNAIGTSPRFAKKSAPQKSMEQIISTLAELQAGTTPMSALFPPTTTTAATQ QLQQAQLAYPTPPGMDPADWTNLATIFASKIGLPFPAIEAPEWMTPASKKIYYETLLK DQIAKAARDKAFLDRQIAEAQHHARVKSTTTTQDELMGQLQKIMGQEQVYQPPAAAAA KDQGEYDPSDLQNILAGMGYPQKQQQQLPWPSQPQTTTTAAATNYGAQQTQYQEQPAW LAYAASLQQAAPAPPPTWNTSSSAQQQQSGAQTWGSSGGYGQDGAEGGKKPWDSGGRG EGGGKFNKNRLDPDYKRGTKPCRFWQEGKCAKGANCTFIHEQQ VE01_10171 MATTHQSLRERQIRSIEKILNLNHAQDPAASHADPNASSHEITS SSVPILDEDGNPIWKILVFDDLGRDIISSVLRVSDLRTWGVTMHMHIASARNPIPDVP VLYLVEPSPDNLKAITTDLTRGLYSPAYINFLSSIPRPLLEDFASQTADAGTSENIAQ VFDQYLNFIVSEPDLFSLGMRKDHTYWALNSAKTTDQQLENIIERIVSGLFSVVVTMG VIPIIRCPKGAAAEMISAKLDRKLRDHILNSKDNLFSSSGNRPSTSTSATSSRPVLLI LDRNVDLIPMLSHSWTYQSLVHDVLNMKLNRITVESPIDEENPAKGTTKRSYDLTAND FFWTKNAGLPFPQVAEDIDAELMRYKDDAAEITKKTGASSLEDLQNDTSASAQHLKAA ITLLPELRERKAVLDIHMNILAALLNGIKNRQLDNYFQLEETITKTSKAQMLELLNDE DKGKEPLDKLRVFIIWFLSTEQEVSKGDMDRFVEALGKAGADTSAVAYIQKVRATTRM TMLTSTALPPSAPPPSSSSDLFRNFSSISNRLTDRLAATGVTANFETLISGVKNFLPA NRDLTITKITESIMDPAAASSSAIAKTENYLYFDPRSANARGTAPPPSAPRGGTASPM PGGLGSLGPGTGASFGQRRQGFSEAVVFTVGGGSMDEYGNLLEWAKRTGEGGVGAAKG AGRRRVVYGSTEIVSAGEFLGVEMQGLGVEVSS VE01_10172 MEVGLMLNASPDDAEQPRPLLTWEATIRSRTPWDAGGYSLPVNH DLDTTISKHIHHHDSSLESSQTYPTKHSLSDSRGSLSSLASASTSTHSRFSSASTVGG FQAFTNFTDSSKLSDSTVDWTSILANSATLSPASPATFSFHHYPPSPRAEPIHVLAQI AERRYMDADSASEEHYKDSEMADADTRATSTTSEQPALSRTASPTDALLIKRHSPLTP QESETAGSVSTQPHPAGEENTAPWKYQPRAQLDRLNSFPPSENRREFESHSGRFAMES RRHKRAFSEPHIQGQSGASFGQPTTDMLARYQRSEPTPPSSQHPENESPQAETLDGTS HLGPQYTPPLQDQHSTCMFKEGCDTGSQPRKAVSHIFGRNKMCTRLIPEHVWVRYCRK HYQRSRYREPKNWPRCQCDLVQKQIQRLEEWSAENERRGEGGVVRSWGLAVRKREQKR LDDLALSKARGGRGNPYGGNYDDVDPSAPATAVPLWLRNLCGKTYSTLDIRDIFNRVH QELLNSPSPVFPDIEILPHITLDGEESESPMRYGGRRKTPTTGHHQRSRSMGGALPPP LDSFPEDLQFNAASRSRSNSNFENQDSPLHHKRKRRDCSPEDVDAELTAQSQRLRLNV TTGAYEAHPSILSASSSASDVFQGHGPYRSPLPTPPALTPSLQSLSSILANEGPATRY PLRSSSTMLLAAPDNGHRRSRSDINGFPFPEQPVMLSHVRSYSGAAGYQFPENHHSHH NQHPHHHQQNQGVQAGQAGQTGQRYASAANAFARGGHSRHQSTPVGPRSSLTPPGGMS LQPPSLGRG VE01_10173 MSDIDEELLALAGDASSDEEDTAPVTATRTASDSPDANTPSGSK GTAKKARGRRAESEEEGEASSRNSSPDSQRSAPMDESESDSDNGPAMFDDGDRYPLEG KFVNSSDRAEILAMPEIKREEILAERSMEIERDRQNRALRQLLHAREAEQKRGDKKRK AGNADLDENQRKTSRQRTKVGGGRVGEASSGIDSLKRARDEKADRLKRRKEDLERNGG HRANNHDQSDDDAGADSELEWDDGKAKHGRSASPPTHSPPCELPDVQKVSIGRTKFAK LCFYPGFEDAYNGGFVRVSVGADKATGDNVYRMAQIMGFEEGKPYALEKENGQTFVTT QYVTAAHGKAVRPWPFFTCSNSPITEAEFKRYMQTCAVEKVNIPTRRQLATQVGRIDA LVNRSWTEAELTEKLRRSGALAAKYKSVDRNKLNKRLNQAKLLGDVDRQEEIKAELAA LEGPKLAFGTSLHKTPAQTGPRTLSQQDRLAILNQENRRKNNEEIKAAQLREMREQRR IEAAIARGEDAVVDHSRRVRTRAVLKHDVNKESGSGASTPAKVATPKLSPKKESGVLP HMAKLHAEMEKQKQNGGIATMRRPLCDDDIIGAIDLGIEIEL VE01_10174 MAPLTTKPAARLVRCNLPIYDFLTPRFSQATRTRALHTSPRDRA AAAAAAAPSPNTSPSPPTDSAATSPAAGASKPSKPLTQAQRDFLSSALRVNQAGELAA TLIYRAQTPPIVASHPHLRPLMKHMYDQEAAHFSTFNALLAKHRVRPTALYPVWVAAA TALGWGTAFLGREAAMACTEAVETEIGGHYNEQVATLLEMVEGMEEEGVEVGGELRGL VGEIRRIRDEELEHLDHAVENDAKLAVPHELLTGVIRLGCRGAIWVSERV VE01_10175 MAKHDDTSISPMTRSPANLSPAASSTNIPTAAFRQSGLRTELNT DGSTTTDLRPTFKDSSQPTTPRQAELREADDYMNGGLPHESSGTPPPIASTEASAISR PSSIRQTSAAPSVRGAIYSGTQTPPGRRSVQFARTDSADIAIPARWDVSDADGDPQGK GISLMSKLRALAITGGLNTHTRSKSTGNIQPYAGGSLSAPHSPTADRFGSSIGVPGTL EEEGSDADADAEQTADEQTVEDAARAKKKRRYRRPPWGSLQIDHSTSAEVGASSSRIR RRNTMPDDLEEPRGGVSEGEGRDRLGMGSAWRKGSSWVSGGRGHNYTGSNPNDPNVTP GRRPLTLRRLTGMGGSDNEGQSPRRPFLGFDRAGTTGAAARRWGVVKHGLKLLGQKKE PHMVDYKKSAELMAELRAGAPAALMLASMIQRDEHGNKRIPVLLEQLKLTITDSSTTQ DGKVAESERHLNYRIMLEYGSGQNRMKWVIDRSLKDFLNLHLRYKLQASTDKYLQLRD IEHRPKQPKFPRTAFPYLRGVRGIGDSDEEGGDADTIRGDDTAGEATHSELDLPGRRR RPTRPGMGHRRRSSAQLESTAGMTAEEIAIHAREAKRQYNERQRKKLEEYLQAMIRWL IFRADSNRLCKFLELSALGVRLAAEGSYHGKEGFLVFGTSKGIDFRRILTPTAIFARH TPKWFLVRHSYIVCVDSPENMHIYDVYLLDGKFEIVSKEKKLKQKIKDTNAMDMAIRA KTKATYPQHHRLKLRNSERRIDLLAKNERIMRQFQESIQFIMKTSLWSKPNRFDSFAP VRQGVYAQWLVDGRDYMWNVSRAINMAKDVIYIHDWWLSPQLYMRRPAAISQKWRLDR LLRKKAREGVKIFIIIYRNVEAAVPIDSEFTKFSMLDLHPNIFLQRSPNQFKKNQFFF AHHEKICIVDHTVAFVGGIDLCFGRWDTPQHSVCDDKPTGFEHSDEPKDADHCQLWPG KDYSNPRVQDFFQLNAPYAEMYDRSKTPRMPWHDVSMQVVGQPARDLTRHFVQRWNYV LRGRKPTRPTPFLLPPPDFSQSELESLGLNGTCEVQILRSASNWSLGIDDTEHSIMNA YCKMIEESEHFVYMENQFFITSCETMGVKIVNKIGDAIVERAVRAYKNNENWRIVILI PLMPGFQNTVDAPDGTSVRLIMQCQFRSISRGENSIFGRLRNEGIEPEDFVSFYSLRA WGKIGPNKTLVTEQLYIHAKVIIVDDRIALIGSANINERSMLGSRDSECAAVVRDTDV LWSTMGGEPFQVGRFAHTLRMRLMREHIGIDVDDVMEEERRAELDREEELFESRMDGV YDDDSDNEFASGQARPARKKADTTAQNSSLHSFNNSVDTDGEGNSNFMRAHVSAKTRS SNEEGNGVPDVEGDGPDRMIQAEESGLIHGRDSTLISGREVLVADIAPEGKGTLQHPK HPHKRRASKAGKESEQAKETGNIGLPPFLSTRSNTETLGLPQLSQLPSLPVVDDTDIG GPPVYRDPKGGKNAGFNPLTADITRALVDKDCMRDPLNDTFFDDVWTRIAENNTKIFR RVFRCNPDNEVTNWHEYTEFQAYSERFAQSQGVKSEGRQEQESKGKSGPPGATMPLQS LGVLGETVTNVAEKLSAAGHADDEHPHGGVKKWADDADARHRHLSNAAEMEATLSKSK GPEFDEKSPAPVDRQSTIDSAAARVPTTGLDSTTTRTTTFSASLPTTTTTGATESTAA PTHQGSTRARRRRGTTKSKKGFSAAEDLLTREEALSMLELVQGNLVVFPTEWLKSEEH NSNWLYQVDQVAPLQIYD VE01_10176 MKRRQEAAAAVGFTLYGKGAGAVVGGTLDAAIRSEMRNAYPDVQ QHEIEAKWKGMMEILDRETRYVTYREDRPDLKWFSRTYASLRAFIMDKSKQMRYNMLK SLQLHVKTAVQDAPDLLEGCLSMEDLVAHFQELYTPENFLQAFGFIQH VE01_10177 MRAGGIVVGLLSLVGSALAKPAVEVFESVNELPEGWTQVRTPAP ETIVSLRVALEHPNQELFEQTLLDVSTPDHPKYGQHLTGAELKYMLKPRDDSTESVMT WLASSNVPASEIKNDGEWINFRATVAQAEELLSTKFYVYKHNEDNKEMIRTLEYSLPS SVAPHVLTIQPTTRFSRMMAQRSTIHDISAMNAVFGVAATNHKPAPAIPSTELDVKAC NASITPACLRALYNVGDYQADPKGKSLFGVAGYLQQYAKINDLNNFIAKYAPYAKGTT FNSVGVNGPNNPQNTTEDDVEANLDIQYAVAMSYNIPVTYYSTSGLGELVPDLDQPDK ATGQNEPYLDFITYLLSLPKDKLPQTITTSYGENEQSVPPKYAKKVCSMFGELGLRGV SVLFSSGDTGVGSACQTNDGKNTTRFLPIFPAACPWVTSVGGTYQVQPERAVSFSSGG FSDLFPRPKYQDKAVKGFLRILGDRWKGLYNPAGRGFPDVAAQGYRFHVIDELANKTN PDILVGGTSASAPAFAAIVALLNNARTSRHLPPLGFLNPWIYSIGHYGLNDVTHGGST GCTGKDIYSKLPTPVVPFASWNATEGWDPVTGHGTPDFKKLLELSTPGGGWWGIGREK ED VE01_10178 MSSTKEVKIKKDKSAKKSKSADKTDVPAAVETSEDVVLEDAPEE VKPKKEKKDKKEKKEKKDKSEKSSKKRKSTTTTGEEEGPIAESTPKKSKRTRSTEDDA APTKSASPQPEAMEVDTNGNDETEPPAKKRKSAVDGEELEVDVTKPQPPSKKDLRRLK KGKSLSKAKTVSDVKPAPAPKADGEEGADGSGAEDASAPQKPEQEKRSEHGIWVGNLP WSVSKEDLKSFLINQGPMPEEAITRIHMPSPDDKRSANQVESRFTRTQHNKGFAYVDF STAEHTLAAVALSEELLGGRRVLIKNNKSFEGRPLVAKDAAAKKETKAPSKRIFLGNL RFDTTEESLKEHFERCGPIETCMVATFEDSGKCKGYAWITFADLEASARAVRGFVLEE EENSDVDTSDEEESDLDEDPEDYALAATKPKKKERKVPMKRVYVNMIQRRPVRIEFAE DAQVRYKKRYGAGGTKNPVNADGETEKKEKKEKKEKVVSGVIVPSKKAQNIEYRTEYA PRLTGGIVESKGTKVAFD VE01_10179 MAESELPPLKKMDEGNANEHDASKETYKSYKYALPFSMSQWQEQ FSGRFTAHIDPVFKIMKKYRKMRHQFDLKMNLSRSLYKQEQEAAETSRRLALENDGLL ELLLDMNNSPNLPSGQRIDLSATAPNVSLAPLPLLFPPTPAASPDQKGMTRGQESYNK LHAYMHSSMTDKRSAAPRPQKSLASMMANVPHRPFEVTTVPPSVYNVPGVLPDIAKDL EIGSDKPEPVAYLSADRIDEHCALTDANLDLPSEPTLYTSNPNFVPAPLHLSDKDLEF KNPVSVYNWLREHEPKIFLQDDEPADLAEKAVSRPGALRGAGKRAAIAAPTRPDVVEF VEEDGLKYFASISDQYVKDKTGSGGKRKRGATDEDGGYRPKGGASRAAKKKRGEGRAS TGSRREKTERDEDVDMADEVLAVEK VE01_10180 MSPSRTVLSRSLSSLATRPVSQASRASILAYNKKSAFARFTQLQ YSTMTVGFQKIKVKNPVVELDGDEMTRIIWQDIKDKFIHPYLDIDLKYYDLGLEYRDE TNDQVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKQMWLSPNGTIRNALGGTVFR EPIVIPRIPRLVPGWKKPIIIGRHAFGDQYRAKDTVIKGKGKLSMVFTPEGGKPEEIE VYNFNGGGVAQTQYNTDESIAGFAHASFKLALSKSLPLYMSTKNTILKKYDGRFKDIF QEIYDTTYAKEFEAKKIWYEHRLIDDMVAQMMKSSGGYIMALKNYDGDVQSDIVAQGF GSLGLMTSVLITPDGKSFESEAAHGTVTRHYREHQKGNETSTNPIASIFAWTRGLIQR GTLDNTPEVVSFAEALEKACIDTVDVDGIMTKDLALACGQTSRESYVTTKQYMEAVER RMQKALKASL VE01_10182 MADTTPSLPAPAPPIGNYILGFLLIGLAWGFTTPFIRAAARSHK PPAHPILETAAVKGSRIRSAVYGAFFGITDLLRNWRYAVPLVVNLTGSVWFFLLIGQA ELSLTVPITNSLAFLFTVIGDWYVEGKVISRDTWIGMTLSLVGIGLCVQSKL VE01_10183 MASNKMAAPPADLAKEDAAFAAEVAAVKQWWSDSRWRQTKRPFT AEQIVSKRGTIQINYPSNNQSKKLWNILEQRFKEGDASYTYGCLEPTMLTQMAKYLDT VYVSGWQSSSTASASDEPGPDLADYPYTTVPNKVNQLFMAQLFHDRKQREERSTTPKA NRAGVANIDYLRPIVADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHINRLVAIRAQADIMGTDLLAIARTDAEAATLITTTIDPRDHAFILGT TNPNLQPVNELLIKALEAGKTGGELQAIEDDWNAKAGLKTFAEAVAAAITATQRANAK SLIAKFTQESKGKSNTEARAIARAIVGKDVFWDWDNPRTREGYYRMQGGIECGINRAL AYAPYADAIWMESKLPDYKQAEAFAKGVHAVYPQQKLAYNLSPSFNWKTAMPRAEQET YIKRLASLGYCWQFITLAGLHTTALISDKFSSAYSQQGMRAYGELVQEPEMDGGVDVV KHQKWSGANYADELLKMVQGGVSSTSAMGAGVTEDQFK VE01_10184 MAEKTKVHSAGIFADMTVDGPEIGTLVIIVDRAKNLPNRKSIGK QDPYCAARLGKEAKKTNTDRRGGQTPKWDQELRFTVHDSPDYYQLKVSVFNDDKKTDL IGEAWVNLQDVLVAGGGQNDLWHTLNCRGKYAGEIRIEITYYDTRPKQEKPRERVREG APSTVEGGPRESLSGPRGPKEKVMKRRPLPSDPTGATPSPIETPERILPQPTRGYTNG TPDHPPQQPKMHTAPVPEYTQSPQPIAYNTPEHVQPVQQRQYATPEHVQVQQPRGSAY NTPPAYIQTQSPLQNLEYNTPTHVRTHSQVIQGRYGPTAMDQPPLQTDYNTPPPHVIP QSHSKHVSPAINSYNQAPGMTPDRHHPRDMYNDRHDDYGQPENYNGSNVSSRHEDSYT RSRNDLRQEYAPQQMTYEPPVSDYDPPSSPEGPPPPPPVHRVHQTAAAPASSPGYGFP PPNPTREAQFDHLRQDSQRQSIPAYSQNAPQQPQPYTPPRQQHAPVPAPPPSSYGQQD HYQGAISRHNSYDDRYHNSTFSSMQPTVEDAPPSPTPYATASHRASEPQMSQYGDRRY DDVPSPAPLNLNGGGSTTSGNYKMNANSYNSNASHEVIDNRQYNNNASHEVIDNRQYN NNNASHEAIDNRHADPYYDQPPPARSYTQDPIEAPQTSPMPSLPPSLVAGFDPLIQRG ISDRIHSDTRPRGDSYTQFSAAPTHYSESPQSYQPPQPQYRDDHYTQPPGYPPQAAPS DRQSRGIPQTYTNVIKPQPVSPHPPSPNPSPSHTHTIHRKSVSPAPPSPSPRPLSSSV PFGPDDYSSLNPNSPAATSTEPDPDAKIILYDGREVDPSDHLPEASWAALPPNLQKKL DAAGRQRTAPPPAAAGGARRMERRERPMSSYAEQTPPPASQGRTRLQKKAHRVSAAPV GGSSPLAPISPNPNLYQSTPGSRDGGGRSLPRAQTGDWGGGDGGYGGGMNGYSTGSPG YRGQGRDGGGPPPVPGKVPIGGVGAPPMSAGGRGEPQENAWALLEEMKSIDLGSGRAR RRKGGAVV VE01_10185 MTSTLRLGKFLKGTLSNYTITKQLQDSVYLATNFHGEKSIIKSV NHWRLQNERDVLRRFQDSAPGLRPLVDEIEREGGEAQAIVLKWFEDDALHASGGRRFT RGEVKYVARTVLEALEVLHGEGFVHTDIKPDNILVNYNPLVEFDPKRTRISTARLADL GNTVSNDSEYARDGFPIGAPIFRSPEAALRLPWGCSTDIWSLGTTLTSLIWGLNFHIF KPPVPVDHEEYELEILMKHCQYFGPYPLSYKDLADLDTQYVLAHVMNTVGGATSGKDM RKPFYLAGESEISKEDRTFLGKMMRLDPRDRPTARELLGDEWFEDPGDDFPSGNRGT VE01_10186 MSVAMDFLKQKGVKNEPERLWVTKLTLRCISSVLSCAIIGTGAS THNVEIALMMPPAFIILWNVAEGITLIVRRRAYEGIHPGACVALDLLLSLGNLVCVGM TGPEISNPNIYSSRNWHDPVDYSSQRLIIGGVAVSIIVTLIQISLFVIACVETHRRRK AERNVPIALATFDSGQQQGTFINPNQQPFNPNQPPGAFNPNQQQQTQTQTDDPPPPYQ LLPSTDANTPNTGTLAPLPGTEAPTQPQWLGNSTPQRHHEMSNTPAPNAGEVFNGRPP HMAAPPVAPPVAQMPQHELSSGTPAPGGFTQIAPLPQQMSQYGTATASQAGSPAAEI VE01_10187 MKLSAAVASLAGASSALATAFELMALRPDSPIHLAPFSAAQSNL FLHLPHQNASCEGEHPNRATFHIKHGSLFLYSKDGETQEIFVDRSGMGQGKMGYLTNS PYVPPHFELENWQIDSTGDLSFNGRTLIACPHSIDRAWSLWVDTSNPTPGGNKGCLPI ITRAIPVNDPIRCDYSH VE01_10188 MATDIPPFQLHLMFKLHNGKQLTLNEIRKAQEMGIEIPWPPTEG APFVNASGEEEQEGFPIGPVMHAVPPVRRNPEPFFPTRRDVVTNPDDGEGGVTNTAGD DIQEGVAPVQPLNKSQRPPPPSNGQGGRHDTCYHPSHGSCYYPSHYLHLATNKYQYQI PDWAGPTQRDPYGNPRPRYNPRDDQDGRLDRRYPSSQYFHLATNKYQYQIPDWARQTE RDPYGNPRPRYNPRDDQDGRLDRRYPSSQYFHLATNKYQYQIPDWARQTERDPYGNPR PRYNPRDDQDGRLDRRYPSTQYQRRTVDGGTAKINGTTVTPDQIYSWPGSGVGLRIGT GGSDQSGLLVKLLDGYLQYCVEIKKETPFVVEFYASDSGVTIDYLCENIIDIGITDSV LLDTLATNQGIIDRRETGWRDHWMLVGPQNNPAKLPENSDTSVYALFSALYTSLQADP GSSTVFLSRSDGSASNVKESSIWSAIGRTPSASAGASWYASSESSALETLAEAAIIGA YTLTDSGIWNAASEESRKELTIFAVGKDDDQDPLLKRAELVIGSKANNKQRANDFVDW IIRADGGQKEIGDFSPNGTVVFSPAPLPGSVTARPNGMTNGPNLKPDNLDKTIDDSKK KPVEANPVVLDKTTDGVDKKTNLSPEQKPPLKSNPLPRAILHLPWSVNEVYFFDGPKY LRLDAVDKTKTGTPIEVKGMWPALAGLPNGVDAVLTVDKDEKVAWVFSGDSCIVMDVE TGKPFLGGKLNPWTMVFKGLKEKSGFESIDCVVPHYWKGGDPNCSTFFSGSKEIVHSI YGKNNGKPEPEVSTNSPLLALLGFTKIDAFTFVPGTDFEEGYFFSGGKYAHVKLQPST VLSFGDTHKNWLGLAKAGFFN VE01_10189 MVSTRASSKRKRSSSTGDDQNVADNPQPKRRGEDAPTRLNKSQT VESHDGVVLPAQKKTTTSTRPPSSTFSHPIIFTIGHGTRTLSTLIDLLQSAHVTRLVD VRSIPKSYTNPQFNHDDLVTSTELKAANIEYIWYGVKLGGRRNAQQPNVEQHTAIRVT AFRNYAGYMSTQNFRDGLEELKALCKKPQSRGGHLVAIMCSETLWWRCHRRMIADALV VEGWDAQHLGIKKGEPMKHVLWDIVRCDDNGELIYDVKS VE01_10190 MDPQTIELVESMIGIFDAISSDLSSVQTIVSADKLETDGHYLLQ LDEKLIITKWQALAAEC VE01_10191 MSLRLYRTHPIIFAYGIIVGILTLYYFRTLICTTYSLLSLTFNW PRGAPDFLISPNADRDAFDLSFANYSRTQDSAGPLYRDLVPPVLHHIALGSHKPQGKW IDARNACLELHPGWEAMLWTDGNAGDFVRERFPELLHMWERYGYTIQRIDALRYMVLY EYGGVVLDMDLKCTRSLGPLRRFSFVAPAAHPTGFSIGFMMASKRNEFVGKLVRSLKM YNRRWFGLPYPTVMFSTGCHYASTIHALQPNRSELKILAGPLDNFKLHSLNGPVSTPI FNHLGSSSWHSYDASLIVSLSRSAKWWIPLFVLGAAAAVFFMVRRLKIKGMLRRYQSY RSILHGEKTSDTDLEGRIA VE01_10192 MYAFKPLPMLRPTASRLISRLSRHAWRWIMRIAPILLVLPLFLQ WLIGYLVGSDARLLPSSLQGAKNLLIVTAHPDDECLFFAPSILGVLDRNHDVRGGLLV MSTGNNTGIGETRKEELRGSCESLGIDLARCVALDEAELQDNPTVWWNTDLIQSIVTE YVHKWDIDAILTFDSGGISGHINHRAVSAAISNYASTNGEAPIAYMVTTTTLLRKYTF LGDLTLTALPFTWRILEAMAFPSPVAGLSYSHKALVANTWHRYMKTRSAFRNHNSQYS WDRHLYLVLSRYVWFNDLKKVPSIEDKA VE01_10193 MYEAKTIWQDFALAHSRRTSRGAWDNQAFPPRRPSQIFEIHSLS IQRISLILLAIQNSALIMTMHYSRIAPVDSGRRYLPSTAVLLVEIIKLVVSLSITTFE MAKAHPTSSPRDLFVLLCKSFLSSDSWKLIIPAALYTLQNSLVYVAISNLEAVTFQVI YQLKILTTVLFSIGLVGKTISSRQWLALVLLTLGVAIVQTSNPLPSFEEMKSKLTTLL SPSPSELSPLASKSSFPPGQPESAHILHSSTMSATRGLLAVLAASLISGFTSVYFELI IKSTISSVSLWTRNVQLSFYSLFPALFLGVMYQDGPSISTHGFFAGYNSIVWVVIVLQ ALGGVLVAVVITSADNVAKNFAASVSIVVSCVVSAVVYQTGVSVH VE01_09316 MSASSTSSPRIPAWKRLGLKLKSEGDAPSPVAAAAPTEYVAAEQ PKRKRASDEAESTPIKKSKKSLKKAESTISSTPTAVPDGMLGRRKSVAFTPETKTEDG DSIKQLFNSWVAQQKEIDPTFASKNDQPSFQTPEPTTVQEKVDTTLPEPERRVKRVKS TKPEDKTKAKKSKSKVVKSKPIDPALTYLTQFHSDKSNWKFNKINQIAVLKNAFDTDL IPTEYNEPLYAYIAGLKGVARVHLRDRALEIRDKDVEEGGKGFTDKMTDDQRAKKQEE YETAMEEYVATMTSTAISSRTGLEEGILLGLNDTAMKERMTKRMRAEHVLNLLASTPG DPSDYAPAVANTKPVEETRAAPVKLDAEKPQKTVRKRKQRTAVYESDSSSSSDSDSDS DSSTSEEESDGEGPSKNVKKSDSDSDSSSSSSGSSSEDSSDSDGSDGSSSSESSDSDD DESD VE01_09317 MSNSAAYDRHITIFSDQGRLYQVEYAFKAVTAANIMSIGIRGKD CAVVLSQKKVPDKLIDPSSVSHIFKLSPSVGCVMTGSIADSRAWVTRARGEAAEFRYK YGYEMPCDVLAKRLANISQVYTQRAYMRPYGVSTTLVSLDFENGPQLYKCDPAGYYTG YKATASGPKQQEAFNHLEKKLKNKDSAEGTWEEVVELAITTLSTVLSVDFKKGELEIG IAGGPRADGKEGPDPGFRALTEEEIDERLQAIAEKD VE01_09318 MADGLNDARATRVADLLSDFRALQHSIASVSSDPPHPDDFYTEG YAALRQCAVDGQHVLNVAADTRVPTGRGGQAEQEKAELTQVLLDSFSRRHEAQKICMR QSAAMRWVAWRDGVLLGMRPDPSHVPALVSCDQALRAELATVTDENIYNLMRNSDYTM GRWTDEDPSLRNVQRWLRSRR VE01_09319 MGRSALFFFLSFLLHLASADISGDSGLVKDAFPNPVPDYAFGLN DRSLGSRQRVCPTPGPGARLCDKDTKCADGSECGICGYGPTYCGEGNCTSSCEAVAMC GVYGDLTTTESHCIGTPDAPCQSDHGPCAIAAPQKCGVGSGTTNKRTIAYYQAVGSYG RICNSIKPRNIDTTKFTHLYYAFASINPETFEIAVTDKDKEIIPEFTALNKTLKTWIA VGGFDFSEPDAPTHTTWSDLVSSAANRKTFITSLMDFMDFWGFSGADLDWEYPVDETR GGKKTDTANFVLLVKEMRERFGTKYGISMAIAPDYWYLRWFDLFSMQQFVDFFGFMTY DLHGFWDGTNANIGTTIRGQAGIDEIQNNTAPLYFDKLDFSKINFGLAYYGRGYTLAN PTTCKELGCTFTGPSNPGKCTKQKGVLSLTEIQQTIQTEGITPTLLGPQMMKSIQWAD QWIGYDDDETFAMKKAWADDYCFGGTMAWSVDFNSGPGNGNTPPTTTDGTCGKDHDYT MCGNGFGNCCSSGGWCGDSDAHCGSGCQSESGTCTQGGPTTDGTCGVGNNGLECDLFE SGPCCSNSGYCGFGSSWCGVDNCQAGCAYFQLQEGLGIKEVDRTQGPLIPDASCWDIK GVTDSQGTRLNRDMWKKTNSGGEFHNWYEDNFDSPQGWLEALANPLGLGQSHATCKID TPCARPNCKDLENQQEPGTAWKYMTVISAVNVNQYFHSLWEAVGNANTRFAANDWRLS KTFWPVPKGDVLLDTLVLNGLAAGLQAMLSLGGGAGAVAGALTGGAIYEAIAEIQMGG TSQDDGDHVVAFQQLTDVISQGARTTFDKANAAIVAGNGSWPGARQVHDLFQDGDWVD YREIPVVSGSVSVTDVENAFFQLTVANLVNYAWRQQVVYLACYPMSQETFDNTEVKAG NNEDKLKIYYGGIGCYFQSALPNKTLLNQATSNFDPPGWKELESDDYPFSTHDIMISS IDSWDRYGMGEEDRSRDENFWDPFGPGKDYKEAFRSSGLFNIAVCIPETSDDPKAGWD NPNSDDTPTPTGIETFFESLHGDGSDSAYQK VE01_09320 MANDTMQAVIFKGPKLIALETRPIPQIQNSTDIIVKVKYTALCG SELHVFRGHQATVPGFIMGHEFTGVVDQLGSDVRNFRKGDLIVAPFTVSCGKCFYCIE GYSSRCERSMLFGCPALDGAQAEYVRVPLADSTVVKAPPGIDELKLCLMADIFPTGYF AATNAFTGLSEAAIQQSTVVVIGCGPVGLCALVNALKYKPKNLLAVDGVEDRLGLANR LGAESWNYLTNREGLSSRIKELTNGRGADIVIEVVGLSSALRMGFDLLRPWGSISSVG VHNGEIPWTGNEAYGKNLRMQMGRCPVRIY VE01_09321 MPTKSTFEDVNIPLVDVWTFLFEKPRDFPQDKVIYTDPVVPRSY SYSDVKTATAGFGKGLKEAWNWNKNDVLLLYTPNSIDIPIITWGTHWAGGVVSPANPN YTTNELAIQLRDCGAKAIVTQRAYLKNARAAAQIAGIQEDHIILIGEKNDSNSVIRHV TDICELGVSQGSYHQRTIPENPEADLAFLVYSSGTTGHPKGVMLSHVNIVSNILMLKA GEGVNLSWVGGHDNKGDRILGFLPFYHIYGLTSLVHQAIYNGLELIVMTKYDLEKFCS NIQEYKITLAYVVPPVLLQLAKDPSIDRFNLSSLRMMSSGAAPLTKELVEAVYQRLNV PIKQGYGLSETSPTTHSQPWDTWRTFVGSAGILLANQSIKYMSEDGDELPAGETGELW IKGPNVFRGYLNNLEATQNALTSDGYFKTGDVGHEDKHGNLFITDRVKELIKYKGFQV PPAELEGKLLGHPEIEDAAVVGVYRPEQATEVPMAYIVLSKGSLGDAAKERQIMEWLS ARVANHKRLRGGIEWIDEVPKSSSGKILRRVLRVRAQKKKLPSAKL VE01_09322 MQLELLKAYADFVYNQMPVLDLEELLLMVKYMDDKLDEQRIDSF AFENSGKKQISFLLFQAVLYAGLGYLSTKALTEAGFQSRSSAQKIFFNRVSLLYSFNT CDDRLSVIQSLLLMTLCSSTTEARHWLSLATSFSKSLGLNCDVSSSSLPLRKKHLRRR IWWTAFLRDRILALGLSGDSCRSFIINIDDCRIDLLSLEDFDLDENVLNPETISAVRM RTDAVLCVERLLLCWHSNDHPASSFSSRDTRLTMVSQPIFCSSLDEWQFPRREHPTTT TTSTPESITDISTPDFDEVIDIKTQSSSGSDVDREYVDFIEYLQEELVK VE01_09323 MAGVALITGGASGMGLAVATSLSKKGWTVNIADLNVQRGEEVAA ELSGSFFKTDVNNYASLSNTFDQVFRKYNSLNFVFANAGVGERKNFYAKTDAISGPPT ELDFIIDIDLKSVINSAYLAQHYFRKNPKGEQACLILNSSIAGIYPVRFCPIYTAAKH GVVGFARAISRHFYDNDGIRVNTLCPGNVRTNLFEKNEWDAFDNEWIELSQIVKVVEL MLFDEKMQGQVIEAAPENYYVIEPLTYNDPNVKRTLDGTVVDSIGK VE01_09324 MPWQKIDHQFANVKLSYDSEPNFQPCIKVSVFFKKIDSIDYDAF FGHWQTVHADLAIATQAFKNHIVRYAQHHQTPEMKARAASLGENVLEYDGCAQLWVRT WDDWLAFYNSKEYAAALSADCDRFMALPMTYMVGYENLIVGDASKIMGGKDGFVR VE01_09325 MATCCATSFCMYGYDAGVLGGVQTTEPFHRAMGYPTGTYVIPMI ASSYTLAAAVCSLMVTMVGMPLGRRNCILLGNLLVIIGASLQASSWSVAQIIVARIIC GFGIGFISCSVPTYQAEMSTEIKERGPQVAVTCVFLVAGAALAYWVDFGFTSMTNQAS WRLPIGFQIIFAIIGSVGMFILPDTPRWYYAKGYINDPAVQSTKQDIISSIKLEEDNN SDFNILDLIWDRSYLRAGRRIRISFMILALQQMMGINLSVYYSTVIFAQVGLSPFLTK LLAAIMNTLFAAGTIPLVYTIEKVGRRNVMLYSAIVLTICMSIFVAMISLPNPSASTQ WIAVAAIFVYNIVFGYGWIGVCWLYGPEIAPLKLRHAGAAAGAFGEWLFSFITVFAGG IALENVGWKIWLWMALSCAAAVPFVWFLCPETSGKTLEEIDFIFTKIGSQNRDPTSGN LTSHDLTEKVTVTVVEKV VE01_09326 MPFLPETPRWLYSQGKEAEAIQVLARLMSCREDDPRVRMIKGEM KAALEIETIEEPFRWQNIFYDRTDLKNYRRLVLCFLIQMMQQFTGINVIAFYVTIVLE VNVGFDRETASLVAGFIQIAFWAGTFPPMWLIDRYGRRPMLLCGSIALTTTMVVFTIS IALDTPATSKLALAMLLCYEISFGMSWNSIPWLLAPEITPLHLRHIGSAIGPFSEWMW TFVIVLITPTAIRNAGWKFYILFCIMNMLCIPFIWICLPETNGKTLEEIDYVFANAEA KERIKSRFDDVVANANKGGSRRSSDSNKMTTLEVEKIV VE01_09327 MPHEVGHNGIMRAAQFNTVTKKITVNRIPIPSCKPHEILIKNKC ASLCHSDLMLFWDSTAEPATTEDVTIGHENTGVVVEVGSKTTGFSVGDKVGCLGCSYA CYKCEACKIHNLLCQEGTGLMHGFSTAGHFADYSVSDYRNAMVLPDGIDMVSAAPLFC AGVTAYHAVDQCDLEKGQWVAVIGCGGLGHLGIQYAKAMGYRVVAIDISDAQLSNARS LGADLIYNSMVDSTYLEKIKEKTQGGCHAAIVFSAALAAYEQAPKCLRVNGLMMIVGI PPKNLSISALDILLGRYRIKGASSGTPDRMSAAIYFSHDNKINPHMTTFKDLDDIHEI VDLMSKGQNAGRFAIVWE VE01_09328 MAQRSSSRVWLVTGCSSGFGSLLVPAIIARGDRVIATARRPSAL AELDLHKNLTTMELDITSPQPVLDNIVRSIISKFGQIDVLVNNAGYVAPGVWEEISHE ETTAQFNTNVFGALNLTRAVLPTMREQKSGTLVFMSSIAAWHGVGAGGPYSSSKFALE GAVECLEKETVHLGIETFLMVLGQFRTDILNAARKQNKKDNPIEDYERISEELRHRHQ ETSGKQPGDPELAVERILDVVRREGPLKNFPRLPLRIPLGSDAVQVMQAKCEETLGIL KSLGDIARSTDYPDADDIPTYLR VE01_09329 MLLTVPQDKQKIITQLFINNEYVNCQNPQKISVYNPITEELVSD QIPVAGEHDVDAAVAAGNAAFVKWRAWRGTARRQLLLKFADLLERDQEELGFLTRLTL GAPYLAFGQGEIQTAVENFRYFAGWIDKFAGESFPQDDGFYKVVRNEPLGVVAGIIPW NGPLASVGLKAAPALATGNVFILKPSEKTPLMAAALGKLIIEAGFPPGVFQVLSGDGS TGALLASHMNVAKVSFTGSVQTGKTVQILAAQSNLKRVTLELGGKSPAVIFDDANLEN ATEWCVNTMTVNSGQICFAPSRVYCQAGIYDRFLELYGKKFTAKKMGDPEDKDTSLGP VIDKAQYDRIVSMITTAESEKQGTLLQGGRGTTGKGFFIQPAIFVDTKENATIYKDEI FGPVVVINRFETEEEVVAKSNNSKYGLMAGVFTQDINRALRVSSAFDSGVVGINCIST INISCPFGGTKESGIGREQGSAALRCYTEPKTVLINLAY VE01_09330 MPAINREVLRIASASGSVTDRRGGFADLARHEDVDFIVGDWMSE YNMTTRGGSKVNNNSLSSEFEECFLESIEPALAALVANKIKVAVNAGASDTEKLYHAL SEMVKNKGLDLKIAWVGGDEVIDVVQEAIKSGESFRSLTTGKNISEWGHEPMYAQCYL GCWGIVEAFNAGADIVLCGRVADASPSIACAAYTYKWQREDLSQLAHVFVAGHFLECS TYVTGGNFSGFKSLPGKSLSLSFPILEIESDGTFIVTKQSGRDGMVTIDTCKAQLLYE IQGPYYYNSDVVADLTNIKIESVGQDRVKVSNVGFTKPPPTTKVGITAKGGFQAEVHY FACGLDIEEKAALLERQVRSTLDVSRYHTLKFRINGSCPSNPSNQDAATVDFRIFAQA KEESALSVSNFLRPCTDVIMQSYPGATFAVDARQALPKPYYEYWVALLPQSSVKHEVH LPFTEKTIAVAPPTDTAEFLYAQPTYETPNPVAMGSWGPTTVAPIGYVVHARSGDKGS DANVGFFVRNTDEWDWLRSILTIQQVQKMLDDDFEGSPIMRFELPNIQAVHFLLKDHL DRGVSSSSTYDVLGKNVADGNGHRSDPSPSTSVSLATTSKRRVRTVSSLTQEQVRKKR DNDREAQRAFRERTKMRIHVLEDELAALKAERRELEGSKASELQVLIDDNRALRSQMQ RLTQLARPLMLLLASKPDDAADGVDHVLQPSPAGDNPTGNSSATHAVTDGLVDATKAW PSPSTQSNMQCAAKEIEGTRPHPSQCSSQYNAIMTPTSNVVREHQQQGWFRVRNNDHE RHAMGNIAQDVDMGVENQAAAQTCAYTESMPQSAGFSPSGNDYVAQDDRSFTSTTRQP QEDTRYGIATTDGSHDPHITYYNGFNSRQPIANRDADFADDYLDGVMTNMSSSKNHAA PQGPTVSSNSTIPPFTWAHPEPNKNITMSTILPKHMEATCPLDQILLDFLTSRRLLAA QGTPASVLVGPLNPSITGLVNPSFKGSAHAAIRVMADVVSTFKDTKLQEQLGFFYIMY STMRWQIAPTDETFESLPLWLRPTIFQVIVPHAAWIDNIPWPRIRDLLIQNPTKYTFR DFSELYASNVNLNWPFDAADAVMPKTDSSGELMMNPLFEKHIRKLECWSVSEPFKERF PEFAAAIEDK VE01_09331 MSPPLSSPMRIGNSELKHRVVMAPLTRYRADENHVPLEMVVEYY AQRASVPGTLIITEATFIAPEAGGFSCAPGIYNDAQVQAWQKVTQAVHAQGSFIYCQL WALGRAAKADILNAEGYKVVSSSNIAMAKDAAVPEPLDEDSIQAFIGYYASAARRAIE AGFDGVEIHGANGYLVDQFLQDKCNNRTDGWGGSIEKRARFGIEVAAAVSAAIGSQRV GYRVSPYSPFQGMKMDDPVPQFSYLATALKKLDIAYLHVVESRISGAGDIEGTEQVDW LIDLWGSGNAIILAGGFTSESANEAISKHIDKKIAIAFGRNFLANPDLPFRIAEKLKL NSYNRATFYVEQSPIGYTDYPFSPEFKSAGAA VE01_09332 MLQPHFATPPPRVADALVSFPNPYILLVVINRPQVLNCIGTRGD QELTALFSWLDSEPSLRCAVITGAGRAFCAGGDLKEWNELNAKGQVKKTPPEGFCGLS QRRGKKPVIAAVNGLCFGGGCEMIINCDMTIASEKAVFGLPEVKRGVVATAGALPRLT RIIGRPRAMEMALTGRTVSAQEAAEWGLVNRVVGSGGEGASSEVVAEAIRLANMIADN SPDSVIVTREGIKMGWEGVSAEEGTRILEKEWFSRMNQGENMKEGVRAFVEKRKPKWV ASKL VE01_09333 MSLITTPATRMLGITQPILLAGMGYTSGAELAAAVSNAGGLGVV GGLGYTPDALREILTDLKSKLRDPSLPFGVDLLIPQVGGKARKTNVDYTRGQLMELID IIVEEGAKLFVSAVGIPPKAVVDKLHAAGVLYMNMVGHPKHVHKACEVGADLVCAQGG EAGGHTGEIPTSVLIPACADACKGYVSPLTGEPVQLVAAGGMFDGRSIAAALMYGAGA VWVGTRFVTARESNAPEGGKQAIIDAGFDSFVRTTLWSGRPIRAMATPYLIDWETNRR AEINELQSKGIIVLDYELERLEKEGKLTDEIIDQTTQRPMGYGASMVNKKNQTAAEIV LEMTVEAYTILKGADRYISSVSKL VE01_09334 MSKGEESTHVDQVECKGATDSEKASSMNEQATIVYDEVESKRIL RKVDMRLLPCLTLLYLLSFLDRGNMGNARTLGMQKDLGLSGPQWNICLTIFFFPYCAF EIPSNVVLKLLPANLWVSILVVCWGTCMTLMSLVSNYKGLLAARFFLGFAEAGFFPAA TYLLTCWYRRAELQGRLSVFFSAGSMAGAFSGLLAYGINYMHGIAHLAGWQWILMLEG LVTVLVGFACFFFLPNGPATATFLTPDERTFLIERLKSDNGGASGKVDTNEPFQWKYM KDALTDWKIYISVLIYWGNAISTYGFIYTLPSVITELGYSAANAQLLTIPVYVFALSV TILAAFLSDRYESRSNFIIYPCIVAAIGYIGLLSLPHPGMPGATYGMLFVVAGGLYPL ICGVISWNANNLAGSWKRSIGMALQISIGGMGGAVGSNIYLSKEAPHYWTGYGVSLAV ITMAFFAAIFLRWKLNKINKERDAMSLEEIHSKYTEQELKEMGDRSPLFRYIL VE01_09335 MARRRRRKKQLAQYLKGTELSTRPVRKESLTKPDNKLTLIPDPL LMNAMPFVDLAAACIVTSTEHAEKLGIPKSKWVYPLGGAWARDSEDFYNRPNYYSSPA ISQALDSGLENSGLTKEAIDMFDFYSCFPIVPKLACEHLGIPQTNWVKPITLLGGLTS FGGAGANYSMHAVAEMVQQLRSAHIRRNGLILANGGVLSYENTVCLSNRPRQDGLPYP QDNALLETPAELPCPPFDEQAEGPVTIETYTAEHDRNGKPIKGYVVCRLKSNGHRIIA NHADSATLQELSNTTQEQIGRSGFIRQCVDVKGRNLFSFAKITKL VE01_09336 MGFTPIIIGVGDVRNKAAQDSVEPVDLMLEAIKLSLIDCNLSPI NSQRLQSDIDSIDVVACSTWPYHDLPGLVSERLGVDAKHKHYTPTMGNQPVKLLNDMA RRIVTGESKVALMTGGETLASP VE01_09337 MAYLPWRDIELPEIDLVSLAFDSPYSWANDATILHVDAADETNY INKSQARTIIKRLAYVLRHRFNVGSGALYQDVVTCFASNQILLPTVFFGIIAAGGVYS AASSSLTPFELSRQLKTSKSNLIIASKDSLEVALKSAKECGIPLDSVLVLDSARHQRS LRDTVQPERNYLQATNELNWARPTDLDTLEKKPICLMFSSGTTGPPKGVMLSHKNIVA QSLTWQATLRDSLNTAKVAKTGKDPKNFKYGIVAHLPAAHVAGSQGYFMNGMMAGGAT FWMTKFVLADFLHYTKKYRPTHMLSVPSIYLQIINSPDVTDHFHSLVHAQVGAAPMGP GLQKLGQEKIGCYLNQSYGLTETSASLTMTPWYHNDTTGGVGALLPNSRLRIVNDNLE DVVEGQEGEFLIKGPIVTQGYYNNPEATAATFTLDGWLRTGDIGLRRDGLFYIVDRKK ELIKYKGLQIAPAELEAYLLSHESILDAAVIGVEDPKVERNEVPRAYIVRKGDKKSRL ITEQEVKDYVKKNLAAHKQLRGGVVFVDNIPKSSSGKILRRELRDHAKNEKPQVRLSK L VE01_09338 MEVVIIGAGPSGIAIAHSLKHKLGFNKFTIYEKLDGPGGTWRTN TYPGCGCDIPSHLYSFSFNLNPDWSKELCDQPEILEYMEATVDKFNLRPHMRFVTKCL GAQWISKTKKWEIELQDMIGRKFTKVADIFISAVGGISEPRDVKFPGMEKFQGPIFHT ARWDHSYDYEGKRMAVIGNGCSAAQVVPNVVHKVQYLKQFARSPQWYHERPNREFSKL EKFCFRYIPLWQRYHRLSLFTGNDALVETYGAGEKAQRVRTATEYAARNYIYENAPEK YHHFIVPEFPLGCKRRIFDPDYLSALHEESMSLVDEGIREINEAGITSERGTYEEFDV IVLATGFKVSEFLTPMKIVGRQGKELSEQWKESDGAQAYYGTYVHNFPNFAILFGPNS FPAHNSVIFATEVQAAFIVKSLFTPIMNNRASIIEVKQEAEDSFTAGVQSLLSGTVFS ANCSNWYINSKGKNSASWPGYASTFWRETFFPRFKDFKLEGGSTLWWPKCIVRWLFTG LFNTLLSQHTMTFLLAFGIIKRERILQDIAKLVQRAQAR VE01_09339 MVYIPKSTFVVDVRLINTTTDVTVKSGDLLQPELKGQDTLYLPT FAFLIRHRASGRSVLFDCGSRKDWKNLPPAIVPSVATSGVHIEKSVDEILLEGGQDLN ELSSIIWSHWHWDHIGDASRFPKTTELVVGPNFKENFMPGYPTKEDALLLDSDFEGRN VREIKFSDDLQIGGFPAHDFFGDGSLYLLDAPGHAVGHIASLARTTFNTFVLLGGDSC HFPGVFRPSAHLTLPSTIPSTVRMDARFPRPCPSSCFTSIHPVQDQASSTPFYNLPQA KGGWYADPPRAQESVTSLSELDGDDNILVLIAHDMAMLEIKELFPRHNINAWKEKGWK ERFAWSFLNELPNDAGKARREFEDAERLDIKSPDNEDWRSR VE01_09340 MPANILATTRETTAPDRLSHKRTIQSLNNRVVFLENLLRSHGIE VPPDTSVEAGNLSAPPVSHEYERNQDDGGVNYAALPNFNEHTFMAELNPELTNAIQFE KSVEGLFGMNEMGDFHADYTDVTNIFQSPQNSGHPFYRFNQAGNRTHGHEQQLATGEY DDILDEPDTVADQGAPILMPQSMLQSTNDLEHGNTTTGGQIDGSQAILLSPSNNSSAD HDEQSDDDEVVDQLSARIGTFQITEDGQLRYYGATSNLHILQNGLSSLPRAVHRSIRL EGEEALTRAELNQGIDPDLEKHLEDLYFRWEDPAIHVVDEEMYFLAKSAYYSGEDGNP FYSETLKNTICAIGSQMSSDDRLPDSGAEFFNARAKLLLQIEMDSPSVATIQALVIMS AIEAASTRDSRGWLYSGMAMRLSADLGLHRDPSKVVQDGSLSERELDVRRTTFWGVFV HDSMWSLYVGRPSSINIQDISISRPSQEHDRLRSKKWSPLRDSDEGKPGTDQEHEGWF DPIEACADANVSLCFMMRQLSQTIYSDKTFSDDGIRELAASMRVEFATWQNALPEELR VDMSDENRFYLPHILQLHMQFYTISILLHRPFFSRTLKEPKFSDDQLSNHPRNICIDA AQSIVKLLRIYRKQHTLRRPNVHIVHLVFTASLICIYNAYSSKGATVTKCLNDLQFCC QALGEMGEAWGNSTRALEVIICIKRDWFSKTRNLPQTKRRSPAGDDRGLGDDRRKRRL THGQEEL VE01_09341 MESNNYNLRDPSLFNSQGLIGGSWKFAPLGKTFPVIEPSTGDVL RECADFTKQDFIDAIESAHDGYQEFYSKTTAKERGVILRKWNDLILANLEDLATILSL ENGKTIAEARGEITYATSFIAWFAEEATRNYGDTIPSSYHDTTVLTFKEPVGVCGIIT PWNFPAAMITRKIAPAIAAGCSVVIKPPSETPFSCIALARLALQAGIPGSCLHVVPTK DREASLQLATHPKVKKLSFTGSTGVGKMLARMATETMKRVSMELGGNAPFIVFKDANL ELAVAGAMVSKFRSSGQTCVCANRLLIHTSVVEEFTTKLVAKVEALKLGSGLAEDTSQ GPLVNASAVKKVDTHVKDAISKGGILRTGGKAPENLKGYFYEPTVITNCHPGMAVATD ETFGPLAAIFPFTTEAEALALANDTELGLAGYFFSKDIGTVMRVAGKLQVGMVGVNTG LISAAEAPFGGIKESGIGREGSRYGLAEYQNLKTVTIGNTQD VE01_09342 MSAGNLLDLLVRAAQNGPERGIMVYDTAENEHNPSFMTYEALLS LAKQMSMNLRQLADVEGKVVLMYFSDHLDNIKWFWAIIAAGAVPCICPPLSNDSVIRL DSVTHLRKLLNEPLIITSKALAAEFKGLHCPLVLSTSDIEYTEFVFQYKEATIKNPDL AVLMLTSGSTGSSKAVCLKYGQILASVRAKSVQHDTSRRDIFLNWVGIDHVANLTDNH LHAVSLAAQQFHVNGPALLAHPLRFLECISNNKITITFAPNFFLALLVRNFEAANQLT NGHRTEKSTPDFDLSSLRAVLSGGESNVVDTCDKLTRLLSQYGAPINLIRPGFGMTET CAGCIHNVVDCPQYDIEHGVEFCALGEANQATNMRITLQDGTNASVNEIGSLEVSGPS IFSGYYNDVKATKEAFTPDGWFKTGDLGLLDSNRRLRLTGREKDSVIINGVNYSSESI ECAIEKAAIPGITPSYTVVTAYRPVNSPTESLCIAYLAAYSSDDKQTRLSTAAAISRA VIRYCGARPFKIVALPKSLLQKSSLGKLSRSKIQRAFEDGTSLEYETKDSTTMETSRS DIVKAFSGTATQKIILEVFYKLLTLDSGHLGVDIHIDSDMFELGLSSIDMLKLKVYLQ KSFNIQDIPITIFFSNPLLGQLSQAIDNLKKGDKITVLQPHGESDYDPITVLQRRGTK TPLFLIHPGMGDVLVFMNLARLFDDRPLYALRARGFDGEKYFSSLGEIITLYHNAIKR VQPKGPYALGGYAIGANIVFEVAKVMERNGDNVKFLTVIDHGPRLKGWARTNDWYDSV VAISFFLGFVNKKFVRAVSNLREKSHEEALDCIFSLAHPARPDEVGTTRERLDNWAKL VDNLVALFRDYDPEGSVENMDVIVQDPLQASEWSTPPVRLFQWREFGKNTRIHEVTGS HETMIEPPHVHGLKRLLERLMEERGL VE01_09343 MATEWQTWRNQIPTEIEKGTPEAQKPSIFGTPPRRGRGTGRGRA RSRRVPKARSSDSSTSELLPIPYRRIQDDQEKPIFRDADHNREGLAGMIGVTKEEWDQ GLRTPLYAPPSDWMRLRVLQMESEMARRHFWVEEVDGYGARRAEEVELGRRVHEDIMK VGWAAFNARWKREELMGVPIPDEPVPRKKRVEGAARESSDGTGSWTDYYAEKEGKVLL EPSDPSTTEDETTESVIGGSDESSSGPSGGTEDESLSGSSGGTEDESGESERSG VE01_09344 MENSPTDTAKKEIPSPTEPATTTTSNTTPIKTDNETIPNNADSS STNVDGDAETTDPTLLPPPPRPSSNSGTSNNNNSNSNSDTYFAPNQPAASHLSFEPNP FEHSFAGGGGSNSAGDSQTPGGTKLPPVASITSPSSLLPGGGTTPYPWGSSSLRTGPL SPAMLSGPTGDYFGDTNHLRGGFTPNESSLRTGLTPGGGGTMFPTPSPNSQAIFNQIA AATPATPTVDFHRSALAASHRKQSEAATPSNITSQPQRDVTNGDHAATTPAKQLQQQQ REPFAQHDANDAANGLFLLASQSRTNSVGPGASGQYAMAPQQPVHAHPSGGGASQPRE LRNGSRGGGGVSEGSEDSEDRSSSRVTRGKGRKGAGARRKAEEVPVASKAPPAKKGKH GGGSAASQHYMEPEPPSEEEDVKQEEYNANGKKMTDEEKRKNFLERNRVAALKCRQRK KQWLANLQQKVEIFSSENDSLTNQLANLREEVIQLKTRLLAHKDCPVSQSQAMGGYLG GIEFGGGAPPGMMGQGMPAGMPAGMQGGGMPAGMQGGMQGMGVQYGSMMGQGMSGGGM QGGGGQQQQVMAQGGGDRRFS VE01_09345 MALNAEAIPPSSNPAFAQFPSRRSVVHSTDGIIACTQPLAARCG LRILQQGGNAADAAVAVAAGLNMTEPCSTGIGGDMFCLYYDAKTKKVSAMNGSGRAGA NCSLETIRKDLGLKEGQEGSIPLDSVHAVTVPGAAAGWVDTVESFGSGKLSISQILAP AIELGEKGFPVSEIAAASWQRGESSLRAASPNYAELLKSDSSSPDGYRAPGPGELFHN PNLSSTFRALATEGKAGFYSGRVGEALVKVLADRGGRLTAEDLSNHANLGTEHVSPIA LTFNGQNAGKPFSADASHAVKLWEHPPNGQGIVALMALGILESLEAAGTIPAFKPEDH NTAPYLHAIIEALRIAFSDASWFVADPNAVRVPTAELLSSSYLATRAALFDPARATPV PTRGSPALNSCDTVYFAVTDAEGNAASFINSNYTGFGSAIVPQGCGFTLQNRAANFSL DKEHPNVLAPGKRPYHTIIPALTTNASDDSLHSVLGVMGGFMQPQGHVQVLLNMRAFG FNPQQALDAPRVCIGAGMPDEGEVLDVTVYVEEGVDEKVVEGLRGLGHKVQVVGGWER GLFGRGQVVKRGRDGVDSGGSDLRGDGGAYPA VE01_09346 MIRSPWVCRRCITALSKPARRQQQPIRFQSIATSNEFISPALLT RARSLTNEYASLSAKTSDTFDSKLAKRIGELQPIASSLASLDTATSSLTELHALLSDR STDPELRELAEEDLIATKSEVASLSQNLKTALTPIHPFAALPCLIEIKPGVGGSEANL FAGDLLRMYRAYCARRGFQSSLLKYETTDGTTGAESEAPILEAILEISDAGAYAELRC ESGVHRVQRVPVTEAKGRTHTSAVGVLVLPSIPTTGGEKSIGEADLNDPESDYYVNTT DVRVDVMRARGAGGQHVNTTDSAVRLTHIPTGTVVAMQDSRSQHKNREKAWNILRARL AQARREKREEEVQKVRQAAGGGTKLGRGDKVRTYNWGQQRVTDHRSGLSVFNLDEVMD GGEELEKVMQSVRTWLGERDIEDLVLEEEAKAKEAEKGGAGGKGKGKK VE01_09347 MTELDWMHFTAPQTRRKRAELVCIACHSKKTKCDLQNRTNLGHQ NCTTCSTQNRECCVRPTRREKRQKVPARITEPSPTSNVMQTPESIRHGHSISQQVETS THISNPEMGAPNGPSPTAISNSAAKRFQDSVHQQQNQDLPGLSDDFHQQRNDSLGHQA NFPAAPQSQASNEHTETGEAHTGDVDTGFLQIYDLEHRRLAESHALVSILEQKEVAEP LQLDLQQSFIETYFEYCYPFCPILCRETLQNDLTRSPLLANALAAAASNIQPPLIPHE GSAAYYKTARSLFYNDEEADGMTSLKAIALFYWWAPIGTTIVHRHSSWWWTSVLIRHA QQMNFHRERSLDLYRGDNLDFSLRRRIWWTAFVGTNPFYDLTDTNSFKARERLTALCQ SKPCIIDPDDCNISEPTLADFPSNPQDQAKGEIFIYWVRLCAIIGRVAKVLLRSAADE SAPSPPFPFHLRQELIEWVHSLPPHLQLPISSARTENFNRNVHQLHLPYLTVITILHL KRSAHSLPQALPPAIMAASCIARILRDILSRGSARFLMAITCWYSGTAFIALLQACHV DHLSRDANRDLDTLVQTVKQLQTMWASANVLVDGFDRLRNQSSTTTTSATAVYKPRMT TNDHPLSPDNDLGTPSAPRILSAQVDQQGMGMPDDDDFDWMAFFPFVTSATNGIAEKL LSERANGSATRGFPSPSNELFHENIMMQYQDLFDPFLQNYSWAPGPE VE01_09348 MSSTSEKPQVIQTEGVTTPSNVEMEDLKTAPTTDTVHFDEALKV LQKYDGDQTWTDQEEKRVRRIIDWKLMPVLCMTYCLQYYDKAMLSQAAIFGLRDDLGL KTGNRYSFSAAIFYLGYIVGAYPTMILAQRYPVERVASILVVLWGICLILTVACTNYQ GLYAQRFFLGLLESGISPLFMLIVGSWYKKNEQAFRMGIWYSCTGYVSIFSPLINYGF GQITSGPLSSWKYMYLFAGAITTLWGVAIWFVLPPDPIRTTIFDERQRFIAISRLRSN NSGVRNMHLKKNQITELLLDLKFWIMISTAFLMMIANGLISTFMPIIINGFGFSTLNS LLLMTPAGAFAGTIQLVAPFLAMKFAGVRTYIIIVCQCITTTGALLLWLLPRTQTGAL LFAVYILPATGGGYAVVMGLQIANIAGYTKRSIASSGLYIGYCLGNFVGPLLFKPVDA PRYVPGFVAVVITAIVAAGFIAAYRLVCILDNRKRDKSGIMEGFEHAYEDDLTDMKNP QFRYIL VE01_09349 MEKKSPIVQLPAGEETVTVKLINAVNFGPAVLNRFMAPPVPSVT THKTHSPSLCFLIEHGSGRKLVWDLGIRKDFNNYAPTIANYIPTTNYNIQVKVNVIDI LEENGIRGNEIEAVIWSHWHWDHIGDPSTFPPTTDLVVGAGFKEAMLPGAPANPESPI RESDYAGRTLREISFDGPNSLRIGRFPAFDYFGDGSFYLLDSPGHAVGHLCGLARTTT GPDTFILLGGDVCHYPGIFRPSEHLPVPESISPHPCNPQSDLPFCPGSAFDDLQKSRG RKPTDTLYDITYGLDIPLATTTVGHLQDLDCNENVFVIIAHDGSVRDGVDHFPKSLNA WKEKGWGNMLKWAFFRDLEPYWKTVGLA VE01_09350 MPQLAKYSYTGPVDHTVVPDKSKMKGKSVIVTGGANGIGEACVR AFVAAGAFVTFGDLSERGKEIEKELNADGDRVAFVKCDIRSWDEQKTLFETAVSKSPN HSVDVVLANAGISRSSGDSLWNLDDLKGEPTKPDLNIVKVNIDGTLYTWKLATYYFRR QPDNEDRDRCFIMTGSMVAWIDSPGNWEYTATKYALRGFMRTARRNSWEQGIRINYVA PCWIKSAIRTAEYESWLIDNGIKFGETEDCAGAMMRIACDRTVNGRSFMITPRSYVKE GFKDTDKEDYTEEEPYFKQNQETQLRIIEDQWLEGYKAQGRNKK VE01_09351 MTVVVQPPVRIDGEVPSIPHLNGDSLKTKAPAVNGNGISPAIAH TNGSPITAHANGDVTDPFSLSQQYAYTSRKLKVITIGAGFSGLIMAHKFQHRFPEMQD IIENTIYEARSDIGGTWLVNNYPGVQCDVPAHIYAFPFDPNPDWDRFYASGGDIQAYI KRTVKKWNLDRDIKLNTSVTSAVWQDDLGKWKLTVEHKGEVREEFCDILISGQGVLVK EAWPKVSGLSDFKGHVTHSAAWDHDYDYSNKRIAVIGNGSSGIQIVPQMAKLAGTDVM NFVRGPAWVYYRAPPSAHLGRETADPNPQFTEEEKERFRDPDYHHQYRKGIITRTNKS FALFIKGENNKKTMEVATKQMSEKLKHDPRLCEILIPKWELGCRRITPGPGYLESFTK PNCDITNSPITSISEKAVHTADGKTYECDVVICATGFDVSYRPRFPLIGKNSVNLAER WAEDPESYLSVAAPDFPNYLMMTGPNSLGGHGSLVESLNWTGDYFVKWIKKIATEDIK YIEPKKEVVHALVKYADEIHKTLVWTGGCTSWYKRGRVDGRVTALFGGSAQLFNRLIR DIRAEDFNIVYNTANNFRFMGNGFTEFESDPNSDLAWYVEKAEELKDTASEKK VE01_09352 MTVNGASTTNGSATTNGSATNGAKPSMPGNKDLDYDVLIIGAGL SGIYSLYRMRQMGLRAKVIEAGSGEGGTWFWNRYPGARFDSESYSYIFSFSQEVLDEW DWSEHFSSQPETLRYCQFLAKKFDLKRDMKFNTRVKSAHFQQTSNSWLTTDETGAQYT SRYLITCMGILNEPTLPNIPGVHNFKGDAFHTARWPSDAAGKLDGKRVGIIGTGATAI QTIQEIVKTVGSLAVFQRTPNWTCPLRNTKISTKEMKEIRESYPEIFQKCLDSYAGFI HLSDTRSTFDLTHEEREAFWEEIYAKPGFSKYLSNFRDIGTDRKANTLYSNFIAKKIR ERVNDPEVAEKLIPKNHGFGTRRVPLESGYYEAFNKPNVQLVDINENPIERITEKGIM TKDGELEFDVIIYATGFDAVTGSFRAVDFQGKDGLKLTDQWSESIQTYLGLTVNSFPN MFMVMGPHQMFGNIPRSIEYAVNWISNLIGYAAENNITYIEATPQKMDQWGDHVNECA VGLLANEVDSWMTGVNKNLSHKQKRSIARYNGPAPGYRKKCDDVASRKYSDFTIL VE01_09353 MCDFSSYGGPSDEWLAVEASLPPPVANQSVSEMILKANEGREAI ASKAMVSLSAQVHMRDHSIQTRDGYALEARSYRPSSVPASELLPVYMHFHGGGFVFGT LASEDAICARIAVSVGVVVVNVNYRHAPEFIYPTAWDDAQDAFVWLHSHLHEINGDGQ KVVLGGISAGSRLISSLVLQKHLGKAASGCPDPVGQVLMIPSFVHAKCYEPQLKKMKD RSISSYVENEHAPILPRSKMEFFEGLLNVENPDEADLKLSPGNATPEEVKGLPPTVFG ITGLDPLRDEGLLYAKMLTEVGVPTDINVFKGVPHGFRRFGDALSASKRWDNVIDEGI KWVLSNPAATNSFDVKEQ VE01_09354 MSEISAQPPSRSLKGKVAIVTGAGCAGEGIGNGRAISILLASDG CDVLCLDLNLDWATKTAELVNAQAGSGRAIAVQADVTSATACEEAVATALREFGRLDI LVNNVGIGGAAGTAVNVDMADWARGLEINVSSMVQMTKYAVPAMAKNTGELRGSIVNM GSVAGLKGGTPHLLYPTSKGAIVNMTRAMAAHHAADGIRVNCVCPGMLYTPMMYANGM SEEARAARKARSLLGTEGTGWDCACAVVFLASDHARWMTGVILPVDAGTTAAVGIGLP KSASVNA VE01_09355 MSQRPFDTDRREATPMGHEGCGTIVRVGAQVRSDSKFKVGDVVA LDAVPGCGFDDCPECSRDVSQLCLRCQHSGIGQDGYHAPYAAVDQRAVVLVPEGVTPA EAAVATDAVKTGYHAITRRGEVKAHETVFLFGLGGLGFNALQTIHHIGARVIVSDVKE ELLEEAVKLGIPRGDIVPVGKSPTEFIIENGLDGKIDTVLDFVGMHQTFHDAQVIVRR GGKIVCVGTLDHENVVDMKNGIRKRLSFIFSYGGQLDDLEEVLELISKKVIQPQVVGK PPSEFPATLQGLLDGQIKGRIALMYDQ VE01_09356 MVIRSPYPDLDLPSVDIFTFLFKRKDQKFPDSHPIFCDGLTDRT HTFGEVRKLAEDFGEGLRSQYDWQKDDVLAISSLSDIDMPPIIFGALRAGGTVSTANP GYTVSELSFQLRDCGAKCVVTRYSNIETVKKACAAAGIAENRIIILGDQRDTTGQFKH WTNVRNISNTTRYAPPKTDPQNDTAFLVYSSGTTGKPKGVRLSHYNITSNILQHQVAE GYNLTWDGSRTTRDIPKPEPGIGGDKILACLPFFHIYGLTVLIHSPIYFGVTTVVLPA FDVDTWCQLVQKHKITYSYVVPPIVLHMAKHPSFALYDVSSLRMVMSGAAPLTRELID QVYARLGIRIKQGYGLSETSPNMFQGTWDGWDIDIGTCGVLLPNQEVKICQPVDISRD DDTTTTLQELKPGEEGELHVRGPNVFKGYHNNAKATAECLSSDGWFRTGDVGRINERG NLFITDRVKELIKYKGFQVAPAELEGSLVDYPGVMDCAVVGIYDKDLATEVPRAYIVT ENPSKPIDINELQKWFNSRVASHKKLRGGVELIEQIPKNASGKILRKILKEKARLDFA AKGARL VE01_09357 MQSIIYLLLTALLSPSVHGCLSGHRHEINASYIETQLKLLGARS QRTPPTGKTALTNVRIFDGWRIREPSTVVIDGDSITFDPRGVRNTIDGEGGVLLPGLI DSHIHLSSLASLETLSSYGITTVMNMGCSNYTLCAALRDQIGLTSFITAGEGAVAPNS THAKVFGSRGFVNLPSQAPQFVANVFGNGSNFMKIISEPDGFNQAIHDALVNATHALG KISMTHAQDYASYEVAIRSRTDGLQHVPFDVPLTVEMAQRIERQAQFVTPTLNIGKIA TSNSTIEAIVSGGIDLTYEAGVTSVQRLMRAGVPLLAGTDAIDIAGSFLKGDLIGITL HQELQYLKDAGMSEVDVLRAATVVPATWHNLVGRGSIREGYRADLLLLKPGSDPLRNI SKTMDIARVWNAGIEYVPGRG VE01_09358 MSASCSALADLDRTNWAFCPSTPPAIVFTVLFALTTFAHLSQAI FYKKAYCWVIVGSAFIQTVNYICRIISITNPNTLVIITPPKKPNANCTQIAPLFTNAF VYMVMGRMIWNYIPSAKLYRITAWRFSAFFVIFDIIALIIQVAGASSSAGNRRPNQQV INAIHIYMGGVGFQQFFILLFLVFAIKFHQTVLQQVRQGAKGASGALPLLYAIYLVLA LITMRIVFRLCEYAQGFHSNIPTHEAYQYCLDSVPMLFSLVILNVIHPGRIMQGEESN IPSRKERKAQGIYSKSENISGSPVLTV VE01_09359 MQSRYGSAITHVQSGIKILCEVKYNEETRQHQHDSLKASKIPYI SIGMLEEMFVRLDFQVSQMVAELESMENRAQKQEIPAIFTSLSVARERLVSHWHVTSH STSDVWDPFSKKLTVPLAGAWQKKSSSILARWSSAYDAYLNIQGKNLTGRKRKGTAVL HILKELGSTAVVLTKTTVDDQMEWDVFCSMFDKIVSLAEDIVEIDQKSTEGRPTFCID MALIGPLFAVSFLSIADPIIRRRAISVLQNYNRTEGVWNSFATYKAAQRVLDIEETGL QNLTSCEDVPNWTRISNVSLNFNPTERRATLSYSRPRDEYDVTKQMTEVIEW VE01_09360 MAKSLPFGDIQVPIPGFGAMGLSSAMGTNLSLEEAEPVLQKAIE LGCTFWDTAVVYRNGVNEKLLGDFIKKHNVRDKVFVASKCGFSVFDSTPSVTNSAAHI KEYIEGTIDRLGFTPDLYYLHRIDPNTPLEESITALDELRRAGKTKYIGLSECSAATL RKANSIAKIDAVQAEYSAFETLHETNGLIETAKELGVAFVAFSPLGHGFLVDDFQYKS PDDFAPDDFRRTVPKFQGENFYKNKAIVDQMKQLAARKKCTVAQIALAWVAAQGMISI PGTTRADRLEENWASRSVVLTEDEKVEMRKIVDDAKPSGERFSALYESMVGH VE01_09361 MNGQSHSHFPLNSNIWVNDTENWLGVADSHERRKIQNRRNQRAF RAKKRVASQNANSEGTTTANRQLVPALGGQIWLPGSKVQLHETQGVDITEATIQIINL VKILKPNWEGNRLFMQRFEDFATHSYTARIMTLSIPPSLSQFNFVKAMFANIGVLGLS DEEMDDEALSPFNRLLGPFPLQPDLTMARFSHLPTGLQPTGLQSSAPHHPWIDLLPMP EMRDNIFRQGVDSFVEEELCHAMRGQAPDLNPGLLVWRDPWDPTGWEVTEEFIRSWGW VIAGCADLLHSTNTWRARRGEKPLFRSS VE01_09362 MPTIEAKTIQVPKSYMTPCDSDLLITNHPVSAPGVTAILIVTLN RPKKYNAMTVPMIEGLLMVLQQIDVDSRVKCVVLTGAGKAFCSGIDLNLDATAGKQMP VADLRDIGGRLALAMYNCRKTIIVAYNGLSVGIGMTSTLAAGIRIASSKSVFGFPFSR IGLTMESASSFFLPRMVGHSNATYLLTTGKTYHADSKVLDGIFAEVLAEPEDVLPRAL ELACEITKEVSLMAAYLNRQLIWRGAGTAEEAHLVDSPILFDMFGGRDHLESKRAFFK KEKPKFIDEVENDAPRAYPWWTEL VE01_09363 MTPEFTTRGWPYNLTVIGGRDSSVGDGGLTLGGGISSFSARYGL AYDNVNNFQVVFADGSIHNVNLKTYPDLFQALKGGGNNFGIVTRFDLATYAQGDMWGG LVQYTENNLETFVDALSHFGDRQVEDPDCAAIVSLIYYQAYGINIVNLNLEGAKPVVN PALLKDFTDVPSPMKSTMRVTTLSNITDEMVKVPHHDIKNSPSLMLEFAELFKTQITA VKAVKAVKAVTAVKGVFPVISFQVISPATIAQFAKNGGNPFGITADDGTLILMSTSNR WSDAADDAAMYAMADNFIAAATATATAQGLLHPYIYMNYADASQDVFTGYGAANKAKL LATANKYHSSGVFRSLLSGGHKLK VE01_09364 MTEPRGTAPLSDDPVAASEALTATAEPAATADLPRPPKRRKISS ACEPCRARKTRCDGNRPMCGPCQYKPKSQQSCVYARDPTSRNGNGEHDVSTRGLLDRI QSLEHVIASSSWQHARQHEEADPSSPQGMREVGVTTTVSSPNVSTLSASRRPVTISTG PQNLRGDEGPHSVNHLPSPHAAHQSTLSAADVEDSSSPVNAMGSTAFKGGGSTLPLSS VNEFYGGSSAARFMEQVEETIPGTNQPQSYATRPQANLRRASKPVRRASKPQGLSLPT RDLADTLLENYWAKSHSLYPFVYKPVFTRAYEDLWKPVELHRGQGESRDCDLGLGTPG ISDSQSTVYHCALNAIFALSCQLSGPEILQHDRDSLSQTFFLRCRGLLHVDILDHGSI ALVQTLLIVAQYLQSTSFSSRCWTSLGLACRIGQGIGLHVETSGFQRDEREVELRRRV WYGCTVMDIVASTTLGRPMMTPSRVTIPLPSISKTSVQEDLDGTSSPFYIETIKLYTI LGRIVSTVYSPWSPQLATCDLERNENCSHSQLSESEAVMSFDEELSDFEEGIATCLHW ERGIIR VE01_09365 MPVPIEIAETIFRKKSQYGRYIDTKQWNKFAEVALPNAELSFFD SDGSILVAGKTPLVFSSSQAFTSFFSKFFANAQTLHMFGPGELEQIKSDEVKATWGME DQIILNGTGGLVEMRGGGYYYETWKMHGDDWFLASLRLERTYQKTSLTAKVFIFLERY SGLTFV VE01_09366 MTLLAVIPPKAGSHAPIAVALPDPPASEAFTEGQWTSLLAIMDT VVPSVRRDAVATDKINQHAISDVEYAKAAEHLQGNVSQASGTAALDAYLEERPSDNPQ FCELLMRTLVVFTSETARKRLSLVLSALDTRVGSLILTGSPTPFTQQPLAVRELILQR WRLSYIPALNGFYKQMTVAAKNLWIKTSPSFYHLSGFPQFPPEMERGKLFPYEFLKFT ANPEPEVIETDIVVVGSGCGGGVCAKNLAEAGLQVLVVDRAYFYPTETFPMSEEAGMV NMFDNGGSTFSDDGSIAVVSGSTWGGGGTVNWSAALQTQGFVRKEWAQDRGLTFFETS EFQNCLDRVCYQMGVSAEHIRHNHGNNVLLDGARKMGYAGKAVPQNTGNKEHYCGHCS LGCAAAEKQGPTVAWLPDAARAGAKFIEGFTAHHVLFDESSGQKTAVGVEGTWVSRNS RGGVDGQEPDRTVRKVIVKAKKVIVSCGALWSPILLLQSGLKNRHIGRNLYLHPVNII SGLFKEDVRPWEGGILTSVVSSFEDLDGHGHGAKLEAMLMIPSLAMSMSNVTVGLDFK KLALKFRHTNSWISLARDRDSGRVYPDPNTGGIRIEYTPSAFDRQHILTGCVALAKIA YTEGAIEIHTSIPSVAPFIRNADEASIPSTKPEEIDPGVSDPRFQAWLKELWRADNKP PGAGFASAHQMGTNRMSIRESDGVVDPKGKVWGTEGLYVSDASVFPSASGVNPMVTIM AISDWISRNMSNELRSADGKLARL VE01_09367 MDTKVDVFICGSGSAGLCAATWLAKCGLTCKIVDSRAGPMEIGQ ADGVQCRTVEVFDSFGIAEDLLRESYHVIEVAFWASSEHGGIERKSQVADTAPGLSRM PHVILNQARVNQLLLDAMRKFNGQKVDYGYRVENVKVDSAAVSDPEAYCVTIKTMRNG KEEVFKAKYALGCDGAHSSVRRSLGFKMIGDSTNSVWGVMDVYPRTNFPDIRKKCVLQ TTAGSLLIIPREGGSLVRFYTELPSGTVAKDVTVEQLHYAARRIFHPYNLDIAETFWW SAYSIGQRLADHFSKDNRVFLTGDSCHTHSPKAGQGMNVSLQDGYNIGWKLAAVLKGQ VGSNLLKTYNLEREKVAADLINFDREFARAFSSKAGTDSNGVSENFSELFVKAGKYTA GLTAKYDDSPITRSEWSCQRLATNLVVGMRFPSAQVVRLCDSKAMHLATALPADGRWR IVIFAGDIQNEYASTRLKKLSDFLSSADGPVQQFTPASLDIDSFIEPILILSRGEARI EQEQIPAYFWPESGNWQIRDLHKTFIDHESYNSGHGKAYELYGIDTEQGAVAIVRPDQ YISMVVDIDNHKSIKNFFTGFCIPRADRRLLESNV VE01_10194 MSLPGASQRPRISRSNTAQRAEYDYFSDNPPPKQLETHSKLAQE FIEYHAASNNRVVLITSGGTTVPLERQTVRFIDNFSAGTRGATSAEYFLEAGYAVIFL HRQFSLLPYSRHYSHATDCFLDFLSESADGTVVANQEHQDKMVHVLRKYNAAKAKNLL LTLPFTTITDYLFILRSVSQLMRPLGAHGLLYLAAAVSDFFVPPTRMVEHKIQSTDAV KNRAPASPEVSNLGIVDRSPGPTASPLDITNDSPGSEDEVFDNFDSSPRVPRSKRLIV DLDPVPKFLKNLVDGWAPEGMIVSFKLETDPDILVHKAEYSLERYQHHLVIGNLLSTR KWEVVFVSKDRAVEGSRMREEWIRVPRQRRGTGVKDWTGKAALLTVGDKLGVADPIEN EREHPSDPADLMGEPEIEIEGLIIPAVADMHTAHIKAHGKVAPVA VE01_10195 MAQDELFRVETNILPKGKLVVVFAGLAFSLLISFIDQNSIGIAL PTIGADLNCAKTIAWAGTSSLIANTVFQVLYGRFSDIFGRKVVFLGSVGLLAFGDLLC SFAKTGPQLYAFRGIAGIGSGGIGALAMIIVSDLVTLEDRGKYQGILGSCIGLGNTIG PFLAGAFVEKSTWRGLFWCICPLAILSGIMVAFILPKSVVTGDRKNKVRAIDFYGIIF STSAILLLLIPVSGGGTYFLWASPMVISMLSLGGVCMVIFILVEWKIALMPMIQLRLF REPALFAILIQNFLFGIVYYSHMYYLPIYYQNARQYSPLTAAALTIPFVASQSIFSIL SGQYVSRCKRYGEVIWTGYTLWFVASGLILLFNRTMPKWQIVIILVVEGAGVGNVFQP TLVAAQAHSRKHDRAVVISLRNFLRSLGGAIGLALSASVFSNSLTKKISASTTIPMSF RDSILESTLSVPNMSSLTETQRDEVLDAYLAGSRSVFIMWVPLMGACFLLCFLIKDRG LTRPEEKEASAESGLVTPRVEDNIELKEANKAAGKEHDDLLAGAKV VE01_10196 MESPKPTRQITSVGTVELYNRWAKVYDTDGNILQTTDDLCLPPL LTHFLSLLPSRNAKITELGCGTGRNTAKLLLPLSSTNISSVQALDLSLSMLDIARQRC SVITTGSTAPILSTGFHVFDALSGAGPPVEACGAEGVLSTLVLEHLPLIVFFESVKKL LKKEGGYLLVTNMHEEMGRRGQAGFVDVESGEKVRGVSFVYSIEEVVEEGRKLGFEVV GEVGERAVAEEDLEVLGDRGRKWVGCKVWFGGVFRYVGGKGE VE01_10197 MSFVERSTAKAKEIAAEDISRAKELAFKTASSGSFWYPIKGIFY FVYHRNLWKPFTSRLLSTLTLSVSVVSFMFIFTYIPQVTILFFTSGPLAPFSAILLIL SESSTIINMLARSFLIREALDDTFDAVLLTKNEDVLVSGGRKLNKSTDPISRLGATIK NPFHNFTPKAIIRYVMYLPLNFIPVVGTITFLILQGRGRGTYAHSRYFQLKKMSASSQ NKFVQDNAGPYTAFGIVASLLEMVPIASIFFSFTNTVGAALWASDIEKSENGMDDIAN PDSMELKAKGE VE01_10198 MAKLGDMISTIRWRNVVLVLVVLTALHFIFIDDTLRNSYSSYNV PDKEHSAVSEPAKVPEGNAPVDVGKTAPELAKPPAPLVAGDSKPADPKPTSATKPADA KPSGGEFYADAGAAAVAGANKETPAEPTAPAAPETTFTPAPFDSGDKDEYLAICVSVK DQYLDLLEWLTHHYHHHNVRRFYLMDDGSTPVLATLNFSSAVDPKAITHRYYHPATRL FKHQQLVAYDECIALFGHKHKWMAFLDADEFLETRGKDTLHGMLKELDSDEKIGALAI NWQIHTSSGLLKRPASSRKSFVTCIENYDNPGHDPGFGKENEHIKTIVKTKSYNRPLN PHKFELKDGARTVGENGDNVDRFAWRVPITRDRISVHHFASKSREQFEAKISRGNGMG DPKGWEWWNHTESLPDYKCEEMTKYDP VE01_10199 MRTPRVFLLLTFVLASFTLLVLSLQSSSKPIEHTENQAKADSSG VLSTFSFNSPLSLFPPNAIISLTDDNTTSFLARPAAFGPPLPTTGLSGQLWVGSGFGD DSLRHGIISTGGEGELGCSDVMPDGNNIVAQPLDNLLTSTTPHNRHRRNAVASAAADD GTDDNRYNAASDATVDRRMVSGWPLKSSTNSGASHADIQSIQESAEIAGKVVMLSRGG CGFLEKVEWAQRRGGIAVIVADDTRGGPLIQMYARGDTSNVTIPSVFTSHTTAHLLSS LIPPGTYIEDATNDDGNSMLKVKHSKKPKRKEKKGSKQRKSRKASTGAVPKGYTVSSK MLTEPPALAQSRRDSWLGRLIWSSKPTQPAKKDVLDWVVVDEWDDQKIPMTDSKAERK GKVAARATPKDEFVGVDDWRDPDFIKNAGTDNSKPCEEAQGSSGKVKNKSPIERLYTP ANNEERKSNSDRKTENLSAEKSDLSSKLFGDGDENGIKGTGMESEDDDRGPDDDNGHE GLWVTLTQTSGATPFFDTLLVLVVSPLVTLTVVYTLLLVRSRIRQRRWRAPKSVVERL PVRTYRTIPTTSSNQSRQPSPSSSSPTTPLLQRSPSTPRPRSRTTSTPPEPISLSPTN SDELETPKPRRANEHEKKGDWKKYMGRQIECVVCLEEYVDGVSRVMSLPCGHEFHVEC ITPWLTTRRRTCPICKGDVVRSLARGGSSSSTPRYEPYRDDSDEDEDDLQSQVVNTLN ESPAAGRPISPGATADADVERGVASPIASPARSSGTNGSWLSSIAARLGISSSPPSSE EDRTR VE01_10200 MIVPSLFTVAALLAAKAAAHGAVTSYVIDGVAYPGYQGFSPANG PPTIERQWSDYNPVLSVSDSKMRCNGGTSAPLSAPVKAGGKVRALWSQWTHAQGPVMV WLFKCAGDFASCDGSGAGWFKIDQMGMTAPPLTGTSWGTAVVMAQLYWESTIPAAIAN GNYLIRHELLALHQSNTPQFYPECAQIVISGGGGKSPDASYLTAIPSYASASDPGVNI DIYSSTATTYTCPGPAVWAP VE01_10201 MLSIVSLLACVAAVAAVPNPALGVPVATGTSSSVRPNPSQVYIN SISYGGTGCPQGSVGSFISDDRQTWVSKGAIVVVDAYPPSRFTLIFDSYVASIGPTVA ATENRKNCQLNLDLQYPSGFQYSIFTTQFRGYAALDKGVQGTQKATYYFSGQAAQVSS STVFDGPISTDYSVSDSVPFTSTIWSPCGQALPLNINSQVRLTSSVSGATGLLTDDSI DGHITYVVGIQWQACTK VE01_10202 MASSEIKFLQWIVDTRKLWVVPGSLGAKEQMQAFERVAADALAI LPPQEKKGVLKYWFVRDAKMSLASHLLKHLVIHELGSVPWALSTVSRDENGKPCFYPS NGVGKALEFNVSHQAGLVPLIACANPEVEVGIDVVCVNERSDNATIRKDGLFTWIDMH SDVFSPHEVHYMKYNAENLSLPLQIDVGGKALDGIANCQRRDESVSWTTNSGALQKLD ADIIIDAKLRRFFAFWCLREAYIKMTGEALLAPWLRDLEFRKFTVPTANKEAPHDDSD LLLGDTTKEFEIYFKGEHVENVTMELRALGRNYMVGTAVRNKDRGVTLRKFPGFINLT LEDIVARTGTFN VE01_10203 MAPIRRFLITDEIGKQGGAVVNSLVEYRSKLPSDQKFEILCLTP NATSEKAVSLASTDGVTIVVGNLFFPLSALDKAVPIDAVFCMTCPSDLGDEEKQATAL IDACLTHEVKHFVFRSVDRGGVELSETNPTDIPNFRSKHNVEKYLKEKCAESQMTWTI LRPVAFMDNMMPNMTGKAFAAIWAQIGEKPLQLVSARDIGHFGALALVNPEEYVGRAV GLAGDELNFEKAQRVFRETIGYEMPRTWAFVASLIKFAIPEMGLMFKWLQEEGYAVDI NELREEYPELQDFGTWLRDDSQHELGLPS VE01_10204 MRLDILSRFIDGRDIEATAPLPDAVDCEAASPSEKTEKALTPTQ EGEPSSDDEVPSEIAAEGVKKVEAVVLVWTKKDLYAAYFCIFLVFFVNSLQLQITSNL LPYVMSDFSSHSLIPVTGIVSQILGGVLKLPIAQLINIWGRPQGLIIMTTLCTIGLIL MAVCNNVETYAAAQCFYWVGYNGIGFVLDVFIADTSNLRNRALAFAFASTPYIVTTWA GPKAAESFYENSSWRWAFGCFSIATPIVVLPLLYILFSNQRKAARLGLIQKRDSGRTL RESIWYYFIEFDVVGILLISAGFALFLLPFSLAGSQTDKWAAASTITMLVLGVALLVA FGLYEKYLSPRSFIPFHLVTDRTVIGACGLSAISFASFYLWDNYYISYLQVVHRLSIT TAGYVFNIFSIGCSFWAVVVAAAIHYTGRIKPLALYFGAPLNLLGVGLMIHFRQPAHN IGYVVMCQIFIAFAGGTLVICEQMAAMAAVNQTDVAAILAVLGLSAAVGGAIGSSMAG AIWTNTLPDALTAALPEAEKGRAGEIYGSLREQLEFEWGREARMAVVQAYGVAQKRMT IASTSVVVLMFVCIIVWKDYRVKDMKKLKGMLF VE01_10205 MGFLFSKEESTPAEMVQIVPENIAEKPQNRVLVIGGAYAGLSAV IHLLELANGGEHRPTSVPLPPVTGKPLRSSVQITMIDERDGFYHTIGSPLALADDEYA EKAWRKYADVKAIQHPSIRVVQGSVTNLNCSSKTATITYSTGHTSIVEYDYAICCSGL RREHPSVPQSIFREKYLEECKSHISDIRSVSESIVIVGGGAVGVEMSAELKLCFPDKS VKLIHSHDHVLSGEPLPDKFKDVALGLLHEQGVETILGERVVSSNPDSPTDTGFTVRL KSGKTLRAGKVIWAISRPVPTSTYAPAAALDHEGFIAVTPQLRFPSTVPNAEYHFAAG DIMAWSGIKRCGAAMYFAQIAIANMHQLMLQAEGDTDTKLVDAPSVEPMIALAVGKKA ATFYADNVAAGEEQLKASFGEDLGLTVVWKYLKLGEAFEMPKEKEVEGKESSTEAT VE01_10206 MAAVEVSTPAAAAIGLETGMKSSGVFSRTLRMPMFRRQEKKDVV AAKKGPSYWDLLFRSGAEPFDWVLLGLGTAAALGAGVPFPLLGILFGELVDNLDSTQC AADDTASFGLTAAIQHKVLLVVYVTIANFVLIYAHTSCWSILSERIVRRLRKQYLAAI LRQELAFFDTLASGEVASRLDADLQAIQTGTCEKVGICISSFSYFLAAYVVAFIKAPA LAGMLMSIVPAFLLMALGGGHWVKKYASAGTDHVAAATGVASAGLSNMTIVHAFGANS RLERMFAEHLSKAQVQGIKKSIVSSIQLGLLYFIAYSANALAFWQGSKQIANAVASKT SGTSVGAVYTVIFILVDASFIISQVAPFLQLFATAAVSYGKVVETIDRPSRIDGTSDE GLVLPAVAGEIELRNVGFAYPSRADVPVIHDLSLVIPANKHTGIVGLSGSGKSTLAAL IGRLYDPISGEVLIDGHNLRDVNVRSIRRHIGTVAQNSSLFDRSVLENIAHGLINSPS AGHAQLQDALVDDSLVDFVTRVRRGKTVEEVLSDSSPETRQIYNLAVAAAEDANALRF IENFQYGFATSVGSTGSQLSGGQKQRVVLARALIRQPSILILDEATASLDSISERIVQ EALERVTDSSRTTITIAHRLSTIKKADNIVVMRDGRIIEQGPHAELLGGDGPFATMVR LQNAGTVADDAVSSRNMSFDIASADKPNAYGLSKDTLVELPLDDTSEKPEESKLGRPH ISNLAGVLIMGRPYIGFILLGVSAAIIVGGSYSAEAVIFGKTVGELSACKEPSSISSK GAFFGLMFFVLALIEFCANMISTASFGRVAEKMLTRVRILCLRFLFRQDIQWHEEEER TPAKLLAYISSDANAMAGLTGTILGVILAILINMIAGIVLAHIVAWKIAVVLLSMIPI LLASGYLRLRIAASFHSRHQKAFASSVGLATEAVGSMTTIASYSLEDETLHVYERSLR GPYKATLKAIAHGNFWLAMAYSIGNLVYALAYWWGSRQIVAGLYSPTQFFTTLPALLF SAQSCGQLLSLAPDVSKATVSAGRVLALLQSKPSDSGQSNFHKNPKNIGGSDDSDAEA GADVDDEKVGSNYLNAPRGAAIHFKNVHFNYPSRPSIPVLQGLTLSIPANSFVALVGP SGAGKSTIISLLERFYYPSMGSVTIDARDIARAPHSFRDAIALVPQHSALFEGTLRFN LEIGARPGTIPSMADIEAACRLAHIHDTIMALPLGYETPCGPRGNQFSGGQMQRLAIA RALVRKPRLLLLDESTSALDAESEAGLQAALEGATRAVTVVAVAHRMRTVRGADCIFV IDGGVVVEGGRHEELVGRSAAYREMVAHQTLGL VE01_10207 MHSYSLLAVALLAVSDLVAGHGAIVSATGDQGGTGSAIGIDPAT PRDGTRRNPFQQDTTRFRGNAANACGETLAGGDNDAEAGTAAVMQLSGDQLPQISPGG QVSMTLHQVNGDGAGPYTCMIDATGTGTNWVNMQVTTNVPGRRGNDRNGAETDFPLVA NVAATQTCTGTVAGQENVCMVRCQNPARAGPFGGCVPVQMAGAAGNATDAAAAVGTAA NAAGAAVGGSGDASAATVAVTATAAAATGTQLVNSAKFRRVTAEVEEDDEEFDEEWDI VE01_10208 MSSSKNISKVAEKSIAASPMDGMKDLGPPSLTTRKSMEAEESNY SYPPLRKRAFSKEFHFFYGTLMDPQTLAKVLKLNNLPRLIPAKISGYHCKLWGECPAL VGGEPDEPAYETDRYVQIGCIVEFEDGTEVVGNTFKWRGDKTELKEGLFNLKDWKINM LEREMDSARHHWSQDL VE01_10209 MSTDITDRKDATLEDKQIDETFAVGEKVAADKADVLHSDVLINQ QLMDDAVDGENREHEMSMLAAVKQYPWACFWGSVMCFTIVMESFDMFLNGNFIVIDAF KERYGVWVEGDGWTIETKWQSALFQAGQCGAFVGVFLAGPITNRIGYRWTTMMGLILM NATIFVSFFANSLALLVVGQALEGVPWGLFIANSPAYASEIVPLVLRGACTATLQTSW SIGSIIVAGVTYSFNQQKGEWSWRIPLALQWIFPTPLLILIFFAPESPWWLVRHGRKE EALRSIKRLGSGSEDHALQSLAMMERTVEIENLAGGSPTLLDLVKGTDLRRTIITCLI YASQNFAGNLIANQATFFFTQAGIDTDKAFQLNLINACLQFIANILAWPLTNWFDRRA IYLYGTATNVTLLFLLGICASISQSSATNYAQAVLGIAISFIYALAIGPISYTIIAET SSVRLRALSTGVGRAAYYVAEIPMIYLSSQMLNPTGWNLAGKCGYIWGSTACVCFGMA FFFLPELKHRSYRESDILFNRKVPARKFKSTIIDPKDNE VE01_10210 MAPTSNSATPPRRQSCNRCHGQKLRCTRVNKRETSACNRCIRQG AQCLYSSSLPKGRPSMYRLADESIAASSPQPMPPMPITPVSPVMQGKLPREPLPSANS NTNANTEADEKADIHVNANPNASTSTNANVNTNNNYNSNTSVDVNDDTIMFGPMDAST CPWLAPLNWNDMLIDGSHQNSNLHSIVDPQTDPGAVVWDDVPNFVQSISSPNSEVSSS ARGRPLSPTRRLNEHDHYSGNGGFDINSNSSIEIDRNGPEFGIAQLSQLSSRLYPLYR SSCNLAEAVASSCQSRDRNYSRQSPLIDDVAFKSVTAWLVDVSANMNFSSQDSLQSPS PETTTMGNILHNAFSASHRLLEILRGIKVDIQNGISYNSFTVSTSTTASTERVGNRDF WESSTPQSMESTTSENLPCFELNNGLSNHVRRPSQCCSTVVRHLVIACNTLLLNIHAA VLIVLQHDVDLRSSSLPHKYVEANADMDVAALADIRLVLVLRLCSYLIKRQHQAVALY LSPHLLPVSSQENGPFIFDQPGIDANREAMSDLEIEVQQRLERLRQTLHI VE01_10211 MFSGTKLITAIAAIAAIPLVNALSSSLQQVTGFVSTPTAPTMYV YIPTNKLALAPIVVAIHMCGGTGSGYFSQTQGYAQSADKYGFIVIYPNHPGCWDISST QTLTHNGGGDSQIIVNMVKYAIANYGGDANRVYMTGTSSGAMMTNVLAGAYPDIFKAG VIYGGVPDGCFYVAGATTGSPGWNSQCSGGTLIKTAQQWGDQARGYYPGYSGSRPNLL IYHGTVDTTLAYANFNEQLKQWSNVLGVSFDHSVANTPISGYTKMIYGDGTKLVGISC NNVGHTPPVRTDDDLAWFGIPGSGNPPSATTTTAPSGTTTTSNPPTTTSTGTNPGAPT SPHWGQCGGNGWTGPTVCESPYTCKPANEWYSQH VE01_10212 MGTEGFFKRRSLKADDSRRTAAAELTLRQSIFPICLVTVLFFLW GFSYGLLDTLNKHFQNVLNINQARSSGLQAAYFGAYPLASVGHAAWILRHYGYRAVFI WGLCLYAVGALLAIAALKKASFGGFCACIFIIGNGLGSLETAANPFITVCGPPKYAEI RINIAQAFNGIGTVVAPVLGSYVFFNFSDEKALDNVQWVYVAIAAFVLLLATAFYFAD IPEITDADMAFQVEVTHTEADDKPFYKQYKLFHATFAQFCYTGAQVAFAAGFINYADY TKPGRSSSTSSKLFAGAQGAFALGRFVGSALMRYVRPRWVFLAFLTMCIVFSGPVITQ RENTGVAMLFVVLFFESVCFPTIVALGMRGLGRHTKRGSGFIVGGVAGGACVPPIAFA VGDAKGSGIAMVVPMVFLIASWSYALCVNFVPAYKNVVDSFGETEIGITDRGDDNITK EATSEEKAGVTSVV VE01_10213 MILSSLLLVGVAPAYSLGAILYATHYSGTLSVLSLTTQGSTSSL SVVSSKKTCGSQPSWLTLDSANRVLYCLDEADDGATLNAYAASSSGALTQSAQIRIGG GPVHSTLYGGPDGRSFQVVAHYGSAQLSTFALPINSKSKPLQSFKYTMAHPGPNENQE APHPHQAVLDPTGAFIVVPDLGADILRIYSVNKKTGFLTSCNNVTVAAGSGPRHAGFW NPAAGTDGTKLFLGNELSKSASAFSVSYPQKEGGCLTLELTQTAKPYPPSPPAKAGQD VEAVMVKGNTLTISNPTDNRFGSNNDSLAVFSISSSGTMALQDMSPTYGSYPRTFQIN KAGDLVAIGNQNSGTVVIVARNPTSGKLGKKVASVKVGPQVTNGDGGLSSVIWDE VE01_10214 MFSNPRLERARALAFSLVAASSLVAAGPCDIYSSGGTPCIAAHS TTRALYSAYSGSLYQVKRGSDNTTTSILPLSAGGVANAAAQDSFCASTTCLITIIYDQ SGRGNHLRQAPPGGFSGPESNGYDNLASAIGAPVTLNGQKAYGVFSSPGTGYSNNAAS GTATGDAAEGMYAVLDGSHYNGGCCYDYGNAETNSHDTGNGHMEAIYYGDSTAWGSGA GSGPWIMADLENGLFSGVSPGNNAGDPSISYRFVTAIVKGEPNHWAVRGANAASGTLS TYYSGVRPSVSGYNPMSKEGAIILGIGGDNSVSAQGTFYEGVMTTGYPSDATEALVQA NIVAAKYATTSLTSGPALTVGSSVSLRVTTSCCTTRYLAHTGSVVNTQVVSSSSTTAL KQQASWTVRAGLGNSACFSFESKDTAGSYIRHYNFALVLNANDGTKQFREDATFCPQT GLNGQGSSIRSWGYPTRYFRHYDNVAYTASNGGVHSFDAASLFNDDASWVIGTSFA VE01_10216 MAVSLDTPPIAADGPSTTTAPAKEDGKLQISVGELIHPAVTLLA PIFETDPLITYFLNGLTREERNAYLPKYFTILLTAAGMNKGSFYHTDELDSNPPFSVP SSGSPQKGGKVAVSHPWRSTIVLLPPGSSIDNPLTMLPSGLPSVLLKLGLRGVRKMLF EFEGACKSARKAGLRKGEDPYYIFFVGTAAAHQGQGIGGELVKEVLRKAQGEGKTVWL EATTGGSRRLYKRLGFEEVREIVMGEGRVGGDGLLKKGGEGVRVWAMVWRPEGKR VE01_10217 MASTSTASTSTPPLAETLLALPINTQRQSPLYALPQEIRDQIFA YALTPYTPKAPPPPPKPSALYPSTSAPRPRKPNPWNPIRAPYDINTPYSRPGQRAKVH HPTALLLVSRLTHLETAHMPVPLATHTFYAPPSSGPPDLLASPEYFARMSPAQQDTVR RVRVFADVAWLLKGELKDMCAHPAMRGVTDFSLVVRWCDWRGWASNEALSLASRPLPL VQVEEEEERLQDPAEQEMEFSAPPTPMAGVEMADPMGALAQEGCADAQEALEAAMAQL PNLKSVSLSLEAPYVKSDELEAQLSAAREWTFHLGGKAAREEEKTVKGVVSSEAEWEA PMCAWSDFCAHCGGGIGDDKACEERKRRRCRGLGPRVLGGVVRWC VE01_10218 MGTKQRLKGVFSRKIAVEDNTITSAANLTLRQSIIPNLLVTILF FLWGFAYGLLDVLNSHFQQQLHITASKASGLAAAYFGAYFLCPLTISGWILRRYGFRV TFMSGLSVLAIGCLLFWPSGVKRSFGGFCGSMFVVGAGLSTLETAADPFLAICGPPRY SEIRLNLAQAVQGVGSFVAPLLAARVFFRNAESEAGLKNVQWTYLAVACFVALLIILF AVVPMPEITDADMAEQETEIVGEDVGPLRKQYNLFLGVWSQFWYVGAQVAIAGYFINY CKEAGKTSAQGSDLLAVAQGLYAANRFIAAGLMTISFFRPRFMLAAYLGMCAVFSIAA MNTHGDAGIALFIMIFVFESCCFATIFTLALRGIGRHTKRGGSFLVSAISGGAVVPPM MGAVVTAHNAHFAMIIPFMGYVLAYAFPIYVNFFNKDAMDSHRETDLNVVPREDAGGE KEAGVSEERENNAVPPAYAGKE VE01_10219 MGENNYLLLSWDLDREYMFNDYGTAQDTISQVGIDKSEMVISAV RVQEAAKEFIPRKSSVMSDMFLKIANSPDVESLSSYPLFVNIPVCDLNDLDLKWSNDV CFVYQWIGGNRGDKTL VE01_10220 MVSFKIAYAKYGVLISLCASTVAIPFYSGNSSFPEDISRRAANY YLRIMPLGASITKGQGVPGADNNGYRKFLRDQLRFDGWQVNMVGSQPGGTMQDNDSEG YPGAIIAEVAGHAEFALSTFKPNAVLINAGTNDATKDHDVSTAGDRMKDMIMNCFIKV PSTVVILSTLLPNSIAPGKVAEINDQYRNIAAKFRNDGFHIILAEMDDGFISINEIFD KTHPNPSGYQKMAAVWHHAINQADKKGWLVQPSSDVTFQDGTYGKTCPKVLGSGSADP RSGMQILTANSGLIYNDGNYVHRSTAMGVIHSHVGKEAISNTDFFLAQLVSLQKVGRG GERDDIVYVDGSALKITMFINQGDGTFGPEVKIDVHDSCPVAGVRWGDVNNDGLDDFI CIGEAGNMYVSINQGGNPPTFKSLGGIYKSSPEGYTRDYVRLGDIDGDGRLDYCVVNN SNDVWCWRNGGLSDKAEYWQNLGKVFTGSKYVDGQKGRFVDINGDGRSDWLLVNKYGQ VVTYINQRGDGDGLVPKWLEAVVTHAGMGKGVLRDENILFGQVYGERADYVFVEQPYE PFTMFKDLKCWKNDGGGGKHQKGDGAHWGDMDGNGNDDYVWISPDGKVAIFRNLHQPP DTSKFKTGGGWDAAHVNLETGYDRRALHIGDWDGDGKADIIAVEKGSGAVTVWKTSYA GNKFSFAKQVIPNSGKCNQGWGVGLFDIGVIFADLTGNGRVDYLCMKPDGTTEAWLND DGSDLRSVGQIKFSEKFDRANHRFADVNGDGRADFLWVDKFNGDTKVWENLGELPNGE RVGGSSFRWSLKGTVYKGSSRGSNMHFPNIGGVGRADIVDVNPTTAKGFIWFNSCPGG GDDGEGPVPDPMLPAYNPSGPIDPTDPDDKVNANKFCSKNEGSWSPELWNELQVGTWL DQRTRWYSGRPEGWPRPKTNSWDAGVPRVISNFRLLTEDEFFNWLPSCLSILETCIIL AVHLKENCETDWERAYSLFAMANFARFIQRFVKVYKSEAGNTAYDLPTMAKILIAEQN KGLAISDGAWLTMAGGAVTAITAFMPGFGGMAGAAASGIFGMASAFADGAAQIHDPGF TSFANLSTTFGDMQDLVVDTVDIYFQKMFFAHPATGNIEQGTQLSNILKSGVFSNQYI GMLDTDTGIRDPTPGYVEFDRKLMRKMIKAPIISEIWNSQRMFIVKFRQGLLDYT VE01_10221 MPDKTTSFGCSTTFATKEKRELTLKELIIDQSNIDLKPSNILLE LDDSKAVVSRYIEQTPVRTTETDAGSEEGVIATPLSEVITTPLISEMDNIRVRIIDFG VASWVDKHLSDRIQPPHLRAPEVTLGAPWGTGVDIWSFGCLIIEFVKGHLPFPGAASR DGTWTAEDDRLAQLIEVFGPFPRALLERGARPKEFFDEGNLLRIPKLSPASFLSLMDG ETEVLRRPKDMPKAEVPVFVDFLENVLAIDPDDRKSAAEMLKHPWLVPEAVRTAPPER S VE01_10222 MSGSGSGSGSGAAASATSENSAKEVYSCSACRVNFTDRQTQRAH MKDDWHVCNLKRRIDALPPISEATFNGEVLPETDAADASSGFQLSCSACGQNFLNQKA WQEHLKSRNHTRRATKLPVSPDETPEPSSPLSNTRKEDEPASPAKPFSSLDCLFCNVK STSLGSNIAHMSHAHSFFIPNSEELTDIDSLLGYLYTLISVFHECLFCGSLKPNRLAV QDHMCGKGHCILDFSNDRHKFWQFYDVDDSQDEPQGVNLVPDDDDDLHLPSGKTVGSR SGARSTHRNSKRRSSSAPSLRRHLLNEEESEATPPATLDRRLIARAGTSTRW VE01_10223 MELPAVEGTRTLPVDHPTTATTIESLPREIFDLIFHHAAGPILS LSDAISQEPSFSITSAESTTLKNASLVSRTWRRSTLHLLFKHTRLILRDSHLCSFNLK GNVDAFLGLFKAHDLVVSSFILGVYNHEAPIQRVLGYQPEEYQSVWADLFQVINPRVV TIVAPPRLLGDLTRCVIDMEDADAFNIKYQILQLSVEKLASSKQLDQPQNNETTVSQP QGLLEIRPWTSVLLNEGSHMEASLNYDAPRHHRAPSLLNSLLITPLYPATATTLTYVA IFPLRTHFETLTYHLPAVHHLSLHLSPKSKSTALFPDGPKDGFYQDEYAENILQERLE CYTHLAKYLLWDGSDAEVDPGNWRSVKEFEPADLVKQERWEESVGYMLGADVGWRVDR RGLLVRD VE01_10224 MADNLKEQKIPSEGDSSRQQPYSYQHPDPNSIHMQQAHEGQLPP AYAPSPSAAPMPQQPQFQQPQQAYFQQGQFAQQPQFAQPQQQYFIQLPNGTMQAVAAP PQQPIMMTPVKEQPTIIINNAPSASAAAAGGGGGGGGGGGGGSNTDACLAGCCGGCAA CLCCSVM VE01_10225 MVSFIARVTAASYLSLSIFAGTSFGQNITDDSHFYGQSPSVLPS PEAPGTLSWQTAHAKAVALVSQMTLAERANITVGYTPSTGCSGVTGTVPRLGWEGLCL ADAGQGLRATDFVNAYPAGISVGTSWNKALTLQRATHMAAEFKRKGVHVLLGPVVGPL GRVALGGRNWEGFSNDPYLSGSLVHDTIKGIQNAGVISSVKHFIGNEQEENRNPITST ASGGIGGGGLSSSNPANISQTVESVSSNIDDKTMHELYLWPFADAVHAGSASVMCSYQ RINNTYGCHNQKTQNDLLKTELGFEGFVVSDWGAQHAGIASAEGGLDMAMPNGGFFWG KDGSNLTTFVKNGSIPEARLTDMATRIVAAWYQLGQDRDFPPVSLPLQYNVSHKAVNA RDPASKPILLQGAIEGHVLVKNVNKALPFKKPKLLSLFGYDAVAPPRVNLVDANFNYK FGFLSNTDFFWRDAFAPPFTNPGQIGPNGTLIVGGGSGGTAPAYISAPFDALQERAYA DGFQLLWDFHSTAPLVDQASDTCLVFINAFATEGVDREGLHDDYSDDIILNVASACSN TIVVIHNAGIRLVDTWIEHPNITAVMFAHLPGQDSGRAAVSLLFGDESPSGKMSYTVA RNESDYNVPIAKPADEFFFFPQDDFTEGVYIDYRDFDRKNITPRYEFGFGLTYTTFEY SDLSVSLVQNANTSRAAPESPIIEGGRESLWDVIATATAVITNTGDVTAKEVAQLYVN IPGGPVRQLRGFDKVEIAPGQTETVKFTLLRRDLSDWNVAEQAWVLQQGKYPVWVGAS SRILPLSGELTIS VE01_10227 MAAVLGLLTQAVFDKDYEAARIHMAGMRRMISLGGGLDATWLNP VVRDTIFWADFCCACSFGSRLQFTLLRSQAVLNTLPLNIYPGTEMALMGHGFADLLHT SIIFDEFISIICELRFLIVSLGLAQSNDKLNLMPSQFKEKCLAIEGKLSAPVTITTTT INHCQILSCCHISCLIFIRTVLLGESHVMSTTLTTFGASLRAALEETDLTYSWSMLSK LLLWVLFMGSTATIGGPLCPWYMDHFMRTAASLQLQNQDQCRDCLRKFLWLESVSQTQ LQCCFEILDRL VE01_10228 MAKASQKLVWLVSGCSSGIGAALSAQILESGHCVVATARNLATL SDLPDTPSILTLQLDITSRLSIEAAFVQAITRFGHVDIVVNNAGYGILGDAEGITEEE ARAIFETNYWGTVNMSLEAMKTFRQVNPVGQGGLIIQISSFLGRFGFAGNSSYSASKF AIEGWTECASLEVHPDWNIKYLILELGGVKTEFAGSNYIYAATHPDYVDTSCPTNQLK KFLASPEARAHWATAPSVAKRIIDIVRSPDLPLRLAVGEDAWKLIKESVVSTDKSLDR WRRLSEGS VE01_10229 MSLTTCANIGVGPDCPADGSPLGYAPNLAASIAFLSLFAVSLIA HVVWGIRYRTWTFMVCMVLGSMTEVIGYVGRVFMHKNPYNLSTFLVQVVCLTTAPAFY SAALYLCLGRIIMIFGRDFSRIAPIRYSQFFIFCDWVSLSLQGAGGGLASSSTTDSTM NLGNRLMLVGLITQVVTMFIFGCMCADLAIRIRRYPERKNPKYKLMRSSMPFRGFLIM ALVFGLSIFIRCVYRVAELGPGWDNPLMRNETLFIILESCMVTIASIAFCIFHPGFGF QRNFDKIEYSTVPRETSWSETNNEMFAAN VE01_10230 MLDSRGDLAAVVLALWCPLFLVAIWVVRRHGFSRQAGWIFIVFL AAIRITGSSMELASESTPQNWLIVASATLQSVGLSPLLFALLGILSQVFKASHLGQRV IIIGLNTLQLIILTGFILAIVGGVKAYVPNSSASDISLGKVLIKVAVGLFVASFVAQV YLIVKSRYTAALSGTHKATEIRLLAFAAAVSAPFIATRVAYSAVGTFSNDSPQFNPVA GNVVILACMALLMELIAACIYLAIGCYIDPSDGLGTSDWCGFTTAPPTGVFPAYSTYV SQVYSWWMEHSSALVYMRDNCPNTWEHGRWRDGDGERWIEDTFVVAACYAEALTTAEL ASTGLAATGGLSTTKLEATSLAKTAVRSATPTSTDAPSGGMGRLGAEMWMAALAGIAA VAIGCEW VE01_10231 MRFRNLAPLTLAALSVFDLAAVQAHSPAQWRSQSIYQVLTDRFA RTDGSTTAAYFGTDKRWLFVPWLLGADINQLNQKFGTVQDLKDLSAALHARGMYLMVD VVTNHMAYAGCGACVDYSTLSPFNSLVSDYSIDGLRIDSVQQVNNNFWPSFQAAAGGM HVLGEVFNGDPAYVCPYQTSMTGLMNFPAYYWITQGFQSTSGSISNLVNGINTMKLSC SDTTLLGSFLENHDVARFPSLTSDLSLQKNAIAFTMLMDGIPIINAGQEQGYRGGAVP SDREATWLSGYNTGSVQYGFVKQVTYVAVATQLNTQMVLLKKGAAGSQTVGVFTNKGA SSSAYTLTLNFANTGFTANQAVIEVLSCPAYTADSSGNVVITMSGGLPRVLYPSANLS GSGICSALIVSSTSATVSTTFSTVATATTSGRTSTTVPTTSTTVPTTSTTVPTTSTTA STTSTTAPTTSTTAPTGCSSVAVTFNKLVTTIYGTNIKIVGSIAALGSWNTANGVPLS ASKYTSSNPLWSATINFAPGTYFEYKFIMVSSLGAVTWESGSNRVDTVAGTDGMCTQL VSTSWK VE01_10232 MSGIFSTLFVGILAIQNVVAYPNSSPANLGKRDLNSWIASESTY AFNELICNIGSGGCNSGGVASGLVIASPSKSNPDYWYTWTRDSALVFKYIFDRFQSGY TASLQTQLQNYIVAQAKIQGVSNPAGSLSDGSGLGEAKYYVDMSPYTGGWGRPQRDGP GLRAAVLIGYGNWLVDNGYTATAQSIIWPVVANDLAYVVQYWQLRNQGGFDLWEEVNG NSFFTTAAQHRALVEGIQFAARIGKTCNNCATVAPQILCYQQSYWTSSGNYIVSNLNV NNGRSGKDANSILTSIHNFDPAAGCDASTFQPCSDRALANHKAVTDSFRSIYSINSGI PQGVAVAVGRYAEDTYYGGNPWYLNTFAAAEQLYDAVYQWNRIGSLTITSVSLNFFKD IYPSAAVGTYASSTATFTSIISATKTYADGYMAKAQQYTPSNGALAEQYSRSNGAPLS AADLTWSYAALLSAADRRAGIVPRSWGSSAANTVPGSCSSPSFGGSYTSATNTGFPAS QPPVTTAVPTSTGGTTTAPTGTTTTDGTSCPTPTTCEICQVCPTCPSGGTVVPVTFNE LVTTQVGQTIKIVGSIPELGSWNTGSAIALSGSGYTNSNPLWSITVNLAAGTTFQYKF INVASNGAVTWESDPNRQYTVAAIASSSWR VE01_10233 MTRVLLTGGSGFIATHVLNVLLKQGHSVVTTVRSQDKADKIRKA HSSFGKDKLDFSIVEDIAVEGAFDNAVKSDPPFEAVIHTAGPFHFNVTDVQKQLLDPA ILGTAGILKSIKKSAPTVKRVVITSSFAAIMNPFKGNWPEHTYSEVDWNPMTHEQALM NAAFAYTGSKLFAERAAWDFVEKEKPNFTLATLAPPFVFGPVTHNLTSLNALNTSNQL INGFVQGSYKDGIPPTITFLWTDVRDLALGHVLAMEKPEAGGKRFFFAAGYFTNKVMV DIIRKNFPEYNDRLPGPQDQGGDYPAEGVYKLDNSQTKQVLGIEFTPFEKTIVDTVNS LKTVGV VE01_10234 MADIIIVGGGIGGVVLASRLHQRKPELSILLIEAGPDVTGKPHI SIPAEAALLHFSDLDYKYMTVPQKHLKGALKYNCAIKGLSGGTIINTGGWIRGDKLDY DEWAREVKDDRWSYNGLLPYFKRSEKHFDPNADPEQHGFDGPVITASVSSSGRKFPLR DHILKLWSNLGLKHIPDANNGHPQGITDLVENWKDGKRQIVTDTYPLAGVKVLTDTLV RRVIVNDEKVAIGVELASGEKHLVKEGGQVVVSGGAYGTPKILQLSGIGDPALLSQHG ITTVVDLPAVGTNLFDHLMLFRYWKLRHPEKGLSIGSLLFGGPNYEKGGPVDWLVTVP IPTAPLMAALEKDEGQVSDDHPLLQNRSHLEMNLLYAAMASETQGLAVPMDGNSIMTF YMGCLPTSRGTVTLGSSDPAAPPFIDPNYYATEADKHVMREGFRMHSKLMFDTPEGKE LVVEEHTPPGHAVAGLDASDEQIDERIKLGGSTVFHPGGTAAMGKVVDASLKVYGVKN LRVVDASVIPQPIASHYQVAVYAIAEQAVDIILGEL VE01_10235 MASVRCIAEDNMLTSRTISSTQGKKDRLRVIIAGGSVAGLTLAH ALHHSNIDYVVLEARDEIAPQVGASIAILPNGARILDQLGIFDDILAMVEPLENGLSW TGDGKLVVDSNSPLLTRVRTGYPVAFLQRRDLLKVLYKHIPDKSKVHTLKRVCKVDHN DSGVVVHCQDGTNYQGDIIVGADGIHSAVRTLMQQHIEITSPGATMNDKNSISAEYNC IFGLGDSVEGVVHPGDSHRSYSKGHSTLSFIGKGGIVYFFLFSKMDKRYHGKDIPRYT KADIEEAVRPFFNIYMTDTITFKMVWEKRTFASMSCVEESTNEHWTSDRFVCLGDSIH KMTPNLGAGGNAAVESAAALANSMSRLGPNPSLDEVRKVLKEFYQKRHERANAICKSA NDLTRIEALATLPHNIFALHLIPTLGDFLADITCDSMVGAEILERLPHPPKSLTATMP WNPEMGVGKHESKLIRALYALPLLAIFYGCGKTMGPALENALPILESALTTGEIALGG GKVASLVTSYFRIKSIDDLIGIYVAAFTPSIGGQDPASRMQMISLLGDLIPIQSIFMI ESIRRGNFLTASNLLPTIFGILYQLKGIGYVAPIYFFLHYVQSPLENYHAADNRLTQM GPVKTIIPTILLSYVLPTIGMFAATTLFTRQWINGIFWQPFPIYASILQHVLSRFVKD TTDEDRINNPEADMPHLRRIYRFAGIAAACAFLYVRLRSPVPATEVFFSGIRNPSAAV SVMQSLAKTFRYDQICASSAGAIWTMLSFRDLKRAGKLSAGWGRIVGTFAGLTAVVGP GAAMTAMWAWREETLASRKVPAVKED VE01_10236 MVQRVLLTGANGFIGSHILSVLLSKGFSVRSVVRSQAKLDQMRK DFPDYSNSQLDFALVPNITAPGAYEKAVMSTPPFDTVIHAASPFLYRIVNDNSEFLVP ALNGTKEILKAVKALAPSVTRVIITGSCAAVVDHSSETAKPATAESKKYTEDDWNPDS WETAMAGTLNVAYRASKTFAEKAAWDFLETEKPNFDLVVLNPPMVYGPIRHSVPSPKE LNESTARIYTLFIEAKADDELPPNGMPSYVDVRDLADAHCLAATTPAASNTRMIICGG RASSQDISDALRENIPELRARTPKGLPGGNPLAKNAYSCSSERAQKVLGLKFRSREET FVGLARQLLDLEAKSAA VE01_10237 MPSSIYTHYPWTSRPLIANAPMAGFAGSRLATAVTIAGGLGFIG AAVDMGILSTQLEEATSVLAGSHISTTGPTLPIGVGFLIFAAKVEDAAAVVAHHRPAT ILLSCPPEPQDFAFWIKAMHAASPESRIWIQIAGVAAAVEVAQLCSPDVLVLQAADAG GHGGSPGAGLVSLVPETRDALDTAGFPNIPILGAGGISEGRGVAAALACGAEGVLLGT VFLASREVDLSSKEYQQAVLEAADGGISTVRATIFDELLGKSIWPAGYDGRALANSSY NDYLDGVGIEELRRRHADAVTGLDKGFGGERRAAIWAGSGVGLLKEVKAAGDIVRELR EGCKVALDRAYSRL VE01_10238 MPLKSNRSIIIVGAGPFISRSLCQYLASQNWRIVLISRTEHKLQ TYATETANIYPSAPQVLTRTADASSPSRLLSALDWAASQLGGKVDVLCYNAAVIAESD LMSLTPEAFTADFQIAAIGVLIAGQWFSKHANKDYISGGEYPLFLVTGGILDKNPIPS YSSLSATKSASQNLTQQFSQVLIREYSILVGQPLVVHPIIPKEGGGWLTKSDPEVIVR EIFQPFLEAREAIGENDDGIREWIRDRVW VE01_10239 MKEVIVHPRPTLHTVIHDIPVPSPGPDEVVIEVIVAGSNVKDWL HITTQGLSVNSGDDIAGTISALGENVEATGEFSIGDRVAAFHPMLMPGGAYAEYATAP AHTVFKLPRGTSFEEAATIPLVTLTAALSLFRRQGLPPPWSPRTSSMEPVPLIIYGAS SALGSFAIKLAKIANIHPIIAICGGSKNYVTTLLDSAKGDTLVDYREGIDSMKAAVRV ALGPLDAKHALDAISANATWIPLSQMLSSSGSQMSVTSGGNKYDDAEIPAGVEIKYTY VGTAHYGAYKTGMPKQPIDKESVEGDVEFAYLFVRYLSRLLAKGRFEGHPYEVIPGGL DGVARGLQKLKNGEARGFKYVYRISETSG VE01_10240 MNGDIYVVPYFPDLVKHIIATGSSHYVGFVNDSTILKYPHFKGT SAALEKLRIAKETAEGVAYAHQRNVLICDIHARNILLDAEFHIKLCDFQGRLLSPDGE ILISGGASENAESFMPRCDKEFADVKTDLFALGSTIYHIITGHRPFPQYDTIDDEAKF EVRQASRGVGSSSL VE01_10241 MGVVSVLGPAGSLVSLINATGALSKELYCLARGVRAARKDIRKF ARKLSMFSWSSNEACACLLRHYSNETGLDTLSNAKKKRFLKRAEESAREILKDVENIS PRILAMEPSGIELTLISKIKWYQRKSEVRDLTFEMSALQTSLALLMTTVTYEVQIRNG ADPETLAITMAHITNFIEGAEVMAAKFEKLSNAQRATDKTLQRLGLLVENVINVADKQ LDDANEAREATGLYEPGCWVYKKEPAPTAHVFTSPRRPRMKTRVQKERPGSPQPPSPP NDTMPIIIATGSQGKGKERRTDHGPPEASSSRGMQESLESNRFDSHNAGNIIVSKDVR STQPPSTRFPEGADLKYCIAPGASDDYEEVNGKVSKEMSRNSKSLSRTITARVYANFS VNAVSRDFVEKLGLEITVLSDEFFVEMLSDIGSTQARVNDTVGEVTFKWHTPAHILDV PCTVFEQEIVPGVPLALGKPYVQQVEEAGGVVRGESSRAGADS VE01_10242 MTERKGAYGTAASDTDFRKKYDRAEYAEKARVREAAEKEEGKLR YEAKLAGKKYYAPLTGDETLTEARKSRLDVSGNVGKISLLPAGAATGKRGRGAGFWCE ACDLTFKDNLQWVEHENSMQHLRAIGQTGEVKRASAEDVHKRIERIWTKMEEERKGDV KTLRERLEGRAEEEEKVREERRKKRQEAAQKKREEREKEIKVKSEYDEDVRVEGEHDV EDMAAMMGFGGFGTSKK VE01_10243 MLYLIGLGLSDETDITVKGLEIVRKAARVYLENYTAILLVETKV LEEYYGRPVIVADREMVESDSDSILKGAETEDVAFLVVGDPYGATTHTDLTIRARELS IPTTCIPNASIMSAIGAAGLQLYNYGQTVSMVFFTDSWKPTSFYDRVKENRTIGLHTL VLLDIKVKEQSLENMARGRLIYEPPRYMTVGQCAEQMLESEEIRGENAYGPESLAVGA ARVGAKGETFVSGTLKELAEGADEVLGGPLHSLVLLGRRTHELEHVFVREFALDKGRW DEVWKRDYEGRT VE01_10244 MPTFLIHGFRWYRISIRQYVATYDVEEASPDWIVTPASSHALLN SLYSLHDFIPPCQQPTSTSLSSSSTSTLTPPPSHSPIRATTAPAPAPRDTSLSPPRPL LLPRSANGAAAASPPPPLSPAERAFNAWSPVKLLEQHDILNPAISEPYAFVGDALVRI SLSADIGAEIEAYESRQGCRREGASVGELRRLDREEGWIGKLAGHLEPKERVGWFVVV CGDEERSFGRGGGEREEREEVIEAAVVRKERRGFRRFFGGREREDEGEVRRISKSRRG VE01_10245 MVKADLTRDYYGDLEIAPNATEIEIKKQFRSLALKYHPDRNPGR ELEVNAKFQTIQSAHEVLTDPEQRAKYDVGRSRGGGAGGGFASQARPASGFARGNPWA NVATDFPPPPRPSRSRAQPPPPSAGAQRYANNFKPGSGAYTSASSGAKPAEDDGAEAR RKTYEAWSKMRGGKAPPPYNPHGYQPTPGTDERRSSRQQVPKPQPPPIPKRNGYAPGA AGGDEPAAANTSAYYTQRAGRAPTAKQQPPPQKTPPRNAPVDPLRQFREKTGTPLEPR LSTPYASHGGEKTDPFESVNFGRSNSTRTPSGRAGGSRGSGSSGTRERHRSASPSRPS RTPRLSPELNAGSRVGSDTNLNTSPKRTFSRATRASNMSEPRTTEAIVDYSSSSSDES EDVQQRVYAKSRSRRTQAQATPTGTAQASHDQQSHGAQNAGRPNADPKMYRDPKLPFP RPQYLRSARRSPSVVVNEARRVVSSPFARASSEAGKKWPNLFPSHSDLSPPNTPSGAL FNGSSCGMNAFEAEQFNVLDRLVKKHDDPSTLVTGKVASATTPVGRARHGSLPNTTTT YPILDVPVEKPTFGVTSRIPPLNAQSGPSGASFYFAGFSIIRNPTIFGSIEGPDLTFH SWEDFSKCMKDNKTLDADFTGAFSFTFQVDGETYTAHSVPRQFPTTSSTENISTTFTP TDWHGKFEAGEDYFGKEAAAQPARGNSTSRARNRSPPKPRVPPVSTKSPYPNIDPEIL QQSSGTEGATSSPGGTKFSAEEWAQTFKPGIFAPPPHPSPGSLSRSRTNSSRRAKAAS SSSKGPPVTKTTGTAAMVDSGDDEPQLMGSRPVPGAETGASTFAQPPLVSSPTAMDID PPQPTNDARNVYVEPTRAEWRPSDTTSTSFGAPPSSAPGPALPPKEALNTSGLAANLD DLKKTEPLYTPPAGLSSFADLTSNLPFASKAATTAPVGKGVGFKPANLDLPQPPRGPS PPAIAPDAPRPTQAAWDTYMASMHAYMAAWDAFNTQMVCHFVARKNEVDTFPKGWLGT IGGKVVSRYVEGVREDEKVRMWWDTACGRHGAVMEDFVWAREVFQSGVQAVGGRGEKV GGRLYGEV VE01_10246 MQGPRVAHWEFPAPAPYPRTSVKSRHPPALRADFKFNLPLLHQD EKASMHRCARLARSTRRVCRGDVGYTHNTTPVAVIFRCCFSTTTRAAEPSSESTSSTQ PTPPKSSKSTSTLFLTTLALAAAGATTYQLSSSSSPPSSGLHERIFTPYTITSKTPIS PTSTIFTLSPPPSQTSPTSDTQSADPFAPLWPTIWSLTFRQPQLQVARSYTPLPPLST TPTTPGALRFLIRREHGGEVSTYLSRLSVGSTIDIRGPVVEYAIPPDVSDVVFLAGGT GIAPALQAVRAVLGDGVSGEGDKSTNTTVKILWANRQRSECSGAPLPAPSWWRIWDTT PTSTEPPSPLVEELRGLEARYPGRVEVKYFIDAEGSFIDRRGILDAVKSAGKEEKEGR KLIMVAGPDGFVKHYVGPRVWEGGEEKQGPLGGVVKGLGLGVKGWEVVKL VE01_10247 MDELGELPSDVAMKKKETVLRDSMVTVRLSEPEPALGGSDVSGT ASGTAAVSPEIGGKNEVDDESGDKDEDKDSEDEDDEEEEEEEDKTKTTATEQIARGII PEEEDSDDTDADTVYETEIARMEVPGAKDKEARRRSDKSDSETESVNWEVLERTEEQE PRDQDTEDSTALLLARLEQENNLLATNPKSGLARAQAEQRMQRRPPSMQQLKQMVNAP SPPALRYSLLPAPAMTDLEFYAAVVQDYKRTAQRLPTLLSKKIRAGIPPPLRGVVWQS MSGARDLALEEEYERLCGGSSPYEGIIGKDLGRSFPGVEMFRDPNGEGQRMLGKVLRC FSLYDPKIGYCQGLGFLVGPLLMHMGDTQAFCSFVARLMENYDLRSCYLPDLSGLHVR IFQFGELLKRHLPALAAHLDHLQIEPAYVSQWFLSFFAVTCPLPMLFRIYDVIFAEGA SETMMRVALAVMRKNEERITACAEFEDVMQLLLSRGLWDCYRMDADAFVNDFVSLTGT ITNDLLQSLERRYSESQESGKAPAHSADIVSAGSRFLGRMWNSSSKSMTLNPATLAPY RRAGSFLRKSTSKQSMSTLGSGEGSTDSSTTEATSRGSSQSDGASVRVPSTVLSAAVG SQKALASSNKSLNGQIEDLLLALSEMQREQAMLATRLQREREEREEDRVAVRLLLDVL KKNGMEAEGEETEGESPEDTTTEDGGKESEEGTEGSKHDSPMEHTEASSSVGATSSTT ELSPTETEESTSTQPSSTLPPPESSPQDSDEQSPGGTPAHISLAIAAASGPAPSTPSI PALLATLSHRFSTPSNRDSAVLQSKAQLRADLAHAKGQLGMEVSKNQDLARRLLDCER EVSKLGEQVREGHAHVRQGQAERGRLEKVVAELRSRQPSASSNNNDNDDGERSDWSRR ASAHSSNGLRELKLGRQKSTRTPASGPGGFAKRSSSLYTSMLAGEEEEVPPVPLSPGA GSLHAPSLAPSLSGQSGGGGADNDALILELVQAKTAEATARQEAEEARGKLEALRRMI SGNGNGGGGNGGGGSPLERQVSQGARSGGGTPPAATAAATGGGFWGWGKRSASLAGLP GEK VE01_10248 MTSSSSPFNLQTCARPNILALQPYRCARDDYKDDGTNILLDANE NAFGPSLPPSLTSADLSLKTLNRYPDPHQGPLKTLLCDLRNASPPPNQIPTPAPLTAE NLFLGVGSDEAIDALLRSFCVPGRDKILVCPPTYGMYTVSAHTNDVSLVSVPLLPAPT FGPDVPALSAALEADKTIKILYLCSPGNPTGSLIPPKDIVELLSHKTYNGIIVVDEAY IDFAPASSSAAPLVTAYPNLVVLQTLSKAFGLAGVRLGAAFAAKEVAGLMNALKAPYN ISTPTSILASAALSKEGVAEMEASRSKIVEQRERLVAALPSIKGVGRLRGGEAANFLL FEILNQKGEPDNTVAKGVYERLAAGRGVVVRFRGTEFGCLGCLRVTVGTEEEVTRFLG EVGKVVEEVWGEVGA VE01_10249 MSSAPYPVIGSTEGLTTGSNAGNTFTNGEITPSTSLAPNTTTTT TTATDISPLGADAYNTTTKRSSKKNVKETFTEGPVAENVKAEATKTSAELSALSASRQ TPSTRTATGQPLTHYHSFFGSLFSWENPRASALAYAATVVFIFAARYLDLLRYGLKLT WMALGVTVAAEVAGRALFNAGFTAQIRPRKYYTVPKSTLDSMTGDLDELINFFVIESQ RLLFAENVLASGAALLSAFISYHLVKIVPLWGLALIGTSVLFLGPLVYTTNKALIDGQ IEQLSQIVNAQTTQVRQMASQHASNAAATTKMYVGDYSAKAQEIIGRARSSSPADRKS VEPSVTTGVTASKVPSTSSFSPAATSTKKSKSKSAIPAADSFPKVPETDSFAPSTTTS SIPVDTTTTIPTTTLPTYTIPPPGAAMKTEGLASSAADAAADLRFDDFPSAPKKVVDV GEDGLAREGVPSVGGLAAGLREEELLS VE01_10250 MAITLSARQAADEEARAEVDVLTSRLEKTAQLTKKIQASLTRLE TSGRSVQEAIGPIHGNTQRLQVLGNNIDSILGAIETVRGPSDIKNNEEEIIRSGPDNA GLSSYLASMNRVTRALSDLKSTNMKSNQQALSDLSRLIQFGNQQLESVFRRILQEDAA PVEPLNFITKQKPWPTLSQDKTTRLGLINSFVGARQQTSMVAQAQESPTAKIYANVRG PYLQASLANLAAASVNTAKKKSADAIYKHGTNGIGMYAQAIEGAYIAEHENVCALFAR DDWGRVFNLACQGAMDDVGRTLRELNVHIRAHLTTDCFLAYEILEIISQLSSRVETQT GELKGPFGSVLKPIRDTARTSLAELLDDTRKRVSGIQSIPVDVPPLPITTETMARLQT MVEFLRPISGIMISLGDGNWKSASGTNASADTTPSLASFDVTADGKELFAHYSADTVD ALLSSLQAKGAQLLKTKQLQGVFLANNLVVVNRMISASDLAPLLKSRIPSLDTWKKKA TSLYMDPWLETSRILMDVVHTGGSRTGGGRAGRPASGSTGNESAAVVKALSSKERDTI KEKFRQFNATFDELVAKHKMLTMEKEVREAFARDVQAMVEPLYGRFWDRYHELDRGRG KYVKYDRGAVAAICAGLA VE01_10251 MDSNGTVAKATTIVLIAGLAYVLYENDFLQPLPPTKTRERLTVE RVARQKLEEKIEQDATENVEELSSLPFAKAAAPDKNADDSSWGRFASGFESLASLKDV EWSTVQGNIAGFILPEWAKNIQEGLSKLQLEMSMAPGSLADEIWKDAQDPENNPEIAR RATVRVSQELCDEEKEFLKRRKVVTTTALAKYLGIPEEEVHPDDVPTIAMVSSGGGLR ALVAGTGSLLAAHEDGLFQCVTYTAGVSGSCWMQAIYHSSLGNRRFDSMVNHLRARLG THIAYPPDALTALNSAPTNKFLLSGFVEKLKGDPGADFGVVDLYGLLLAARLLVPKGE LGVDNRNLKISNQRESLKDGEHPMPIYTAVRHEIPILEESTDKEKENDRPSEETKALA KKEAWFQWFEISPYEMFCEEFSAGIPTWAMGRQFKDGKDVPQENGLTVPEMKLPLLMG VFGSAMCATLSHYAKEVKPIMKGILPVGFSTIKDIIEERNDDLSKVHPIDPASIPNYC VGMKDRLPDTAPESIFKTDHIELMDAGMSNNLPIYSCLRPGRDVDILIAFDASADIKT ENWLQVTEGYALQRGIKGWPLGAGWPKKSDSSEEAAAQLEDAQVTSAAEAEAKLKEAK ADAEAEHKAHDKAVAKKDTSKGRVAAANLDGNIGDNGDLGFCSVWVGSTQEREHTDQS TAPQSKDESDSEPKSKSEEWKLMEPDAGIAVVYFPLLANPALEGVDPETSPYMSTWNF IYTPEDVDNVVGLAKANFEEGKERTRRVVRAVYERKKRAREEREGREREEVFRRNMRM GAVGEDGGQEHA VE01_10252 MDEFTVPHFVIPTASAQQASSPAVASPATPVTSAEPQGGSSGLD VSSPSQYAVVAVTRSQEPQNTFYTLPLDIHELIFAHLDDLADLTCVGLTSPYLWGVTQ DIVHRHYRKHFGRLAGENIVFAGADTLPDDYPPALFLVSESKDLNGRVSTMRDGREDI GPLTLYNMAERLGVRYYGRINIEEKSRNVYNECLRRTTAPDHSQSSWRNAVQRKVGEI CVQESLFVPTDQAWLLRNLATKEFVTLGGIALDVKFIHGPFIKGTGFGQVLVSRTCWS SSSSISMCFDGKIHNGVWSGHCFDITTRGRHDKSTKDEKAEWKDVSEEVAAEIAAVWK SEYGPGWQEVAIERAERYKWTILGRVLGGGGTKCWT VE01_10253 MEFVTAAGIALDAEFIKGPVIAGIGFGHVILCRTCWSLNSSDEG LYGGRIRTGVWAGHRFDIATRERHDRSAKDEEWKNVSEEVSAEVAAIWESEYGAGWRE RFI VE01_10254 MGEVFYITAPRAKRMLRSSDELQNIFFDNKASELVTLLVVPTRV KKQSQKQSQNQAVSPSAASTASAATTNAQSGRLDLSPSQKAVVVSQKLTDATAATRNP LDTFSTLPLDILSMIFDHLDDIADLTCVALATPYLWDVTQRTIHQRYKAKLGKWAGEA IVCVGEKIPAGDYPPDLFSPDEVERLNKEADVYFGGGCGRFLARPQKSPRTLANLAYP PNGTFDREGITADAEASRVFYACLRRCSRYPSTELRARLRRWIYTDRPDFAPREEDWI LRNLTMKEFVTAEGIALDTDFINGPFISGIGFGDAVVARTLWTAKGTPDLRYVPQIGR GIWAGHRFDITTRSGHDEATKDEEWRDVSEEVASEIAGIWGSQFGPHWLDVGHFYQRF YEENESERIYADIWDEHLDQ VE01_10255 MGFPPSTTRAVSPEHVNSHNDNFDSDDDSEYYFHTYAPLSCFPT PPPSSHTSSPPSPFPDTPSDCSLDTSLRGPATYLSNLIPCAASLLSPSPSLTHTLLTH ANLPIETLALAACILDSLTPRFATLWRRSLPLSSLPESSQHIDSVRPEVIILAALMIA HKFLDDAGTRTRTYSEVVGEGRWGVDMLNVTERCVLENLGWRVARLWRGELIEGAEED MRRAGMVWEMAGKRVSGGARMKGGLLTPVEGVVGEDIAC VE01_10256 MNGLELRDEVVKDRIRQAEEFLDPNDNQARSYRSEIILMLQQRK RRLVVSIDIVRDHSVELAEGLLNQPFDFSQAFDKALKNVVATMPNITKQQADEETMYY CAFAGSFGHLACNPRTINSSHLNRMVSLEGIVTKCSLVRPKIVKSVHYNEKEDKMHFR TYQDQTMTMGQASTSSVYPQEDEHGNPLTTEYGLSTYRDHQTISIQEMPEKAPAGQLP RGVDVIIDDDLVDMVKPGDRIQLVGTFRSLGNRNTAHNNALFKTVVLANNIILLSSKS GGGIATATITDTDIRNINKISKKKNVFELLSQSLAPSIYGHDYIKKAILLMLLSGMEK NLDTGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTTD QETGERRLEAGAMVLADRGVVCIDEFDKMSDIDRVAIHEVMEQQTVTIAKAGIHTSLN ARCSVLAAANPIYGQYDTHKDPHKNINLPDSLLSRFDLLFIVTDDIEDKRDRQVSEHV LRMHRYRQPGTEEGAPVRESGGQTLGVGIANDASAGAPTDVFEKFNVMLHSGVTLTRG RGANKRIEVLSIPFVKKYIQYAKSRVKPALLPDAGARIGEIYVSLRNDDMVANQRKTS PMTVRTLETLIRLATAHAKARLSPIVEERDALAAEAILRFALFKEVVEDEKRGKRRKT RVEDEESSGEDDSDDDDEDETPVSRSATAPSTRGTARTRAMPKRGAREASEESEEEVY TASPRTTRTRASASQSQAARESTADLASGTAALSIVPNPDAMDDDEEEEEGEGISDER FVVFRAALGKVVGGPLFADDAAEVGDVVVAVNGECGGAVGGVFGRGEVVAGLRKMDGL NQIM VE01_10257 MATAMDVEEINPDQEIDFCTLGMFILDEIQYPPPQPPQHNILGG AGTYSALGARLASPAPHLSKKVGWIVDRGSDFPPSQTSHLNTWQTSCLLRTDPTRLTT RALNSYSSPTDPQHRAFRYTTPKLRLEHHDLLDSPALLHAKSFHLICSPSRCISLVTN ILSLRRQTLYPLSPPKPLFIWEPVPDCCLPTELLATTAALGYVDICSPNHSELGTLMS SPASLPDGSVDRTFVEDAAEMLLASMPLSAVAIIVRCGADGCYIAQNGGRSAPTHPRP TPSSNSNFASPPPLPPKKAKKIRGGGLALTADIDMMSLFADIPSSPTSTSPTSSEPGE DDDISTRDFGLSSWLPAYHTNSAKVIDPTGGGNAFLGALTITHARGAGLEEAARWGNV AASLAIEQVGMPVLSFDEKGGERWNGVDVGERMRELEGRGGGGDEGLAGRMAGVSI VE01_10258 MRPIKSIWNIRKDHRLTRVILRLEAATSRLEDLVTPAFEDPASS TPKENGDNSTTTRTPAPSGSSTPAAGIAAGAVGAAAAAPVAAAAAANTISPPKPADDP VPESVEDFDTFLDTSVKKYVDLSVEIGGAIAAQAARVAKVFQNERTLLLISTMSKKPD SSGSEYMELLKPLQQEIRTVIDVKDANRGSELSNQLSAVAESIGVIAWVTMDTKPYKH VDDSLGSAQYFGNRVLKEFKEKDPQQVQWIQSYYQIFKDLSAYVKTTFPHGLTWNANG VSPKEAMKTVSSRESTPTQGPSSSPSPSQASSAAAGGPPPPPPPPPGPAPKFDIKEVA KPAAAVGGIGAVFSELNKGDSVTKGLKKVSANEMTHKNPSLRASSIVPDRSESSLSPT SSINRNKSPAPRKTPKPESLRTKKPPKKELDGNKWIIENFDNEAEMVVIDASISQSIL ISRCSKTTIKINGKANAISIDNTARLSLVIDSLVSSVDVVKSSNFALQVMGTLPTILL DQVDGAQMYLSKDSMKTEVFSSKSSSVNLNIIEGEENDEGEGGDFKEMALPEQIRTYI NEKGQLISEIVEHAG VE01_10259 MTKRAGKSLTTPIQPHPLKFALERNINHHDYSQFIKMGGGAKVP YPKHVWSPAGGWYTQPKNWKVNTAVMLAAIFGVTAMSWKYSAENEYRTKFPEQGRFYP SRYWSKQIIEHEKEVKEGKKSA VE01_10260 MKSLRVAEKWTGQLLARRTARPCCIRQQRQQGPQQQLVRGIATT RQLSSAAAAADEVLFEDESLNTLRQAPEESFPSPLPSAAHTSAKLAALHARLGLPAKL PVETLARTLVDPSADQARDFNNASLALVGSSLLSFHVSEWILCTYPRLPMAVLFASMN AYVGPATLCKLAQEWGVESAAAPGSEVDPGLLQFSKLKPGSQLPTATSARPNDDQNYR RGLSSRVVYDDEFGDVVSKFSLAEAQTSEAAHSNFVRALVGAVYMHNGRAAAKAFIRA HVLSRHLAIEKLFVFETATRDLSRLCAREDFEFPVARLLSETGRNSRHPVYVVGIFSG KDKLGEAAGASLGEAKTRAAIAALKAWYMYSPVAGQGMSAPVPSDVEEGGRWEGAHID MGEIVAC VE01_10261 MSDSVPEVPKVEEPVATSAPTEVPAATEAAPEKTEAAPAADAAP AAAATETAANGAEKNGEEAKDSSDRKGGNQRNGQDRGPRVFKRYESKSKFDPSKLPIT DNPSEIRAQVDFYFGDSNLPTDDFMLELTGGSENKPVSLKKVHEFGRMKRFQPYSAIV EALKTSSVVEVSGAEGEEVVKRKVALRKNQGSEAAELSKAKEARSVYAKGFGDEEATT QFDIEAFFATYGPTNAVRLRRDDDTKAFKGSVYVEFASEELAKTFLALDPKPKWKGEQ ELEIMSKAEYVIKCNKEIADGVRKPKETRVRGGGRGGRGGRDRDQDDWKKRRSDDQRH GFRDNKGGRGGRGGRGGRGGRGGRGGNPNREARGPRPDNNRNEEAAAKAEGGESNKRA REDDGGAGQAPAKKVDTKEAPAASS VE01_10262 MRTKRFHGLKLPKIRMSMSKNNLYNLSQQKHAAVRGTYFQQKWF AKRETRAYHGEQIREKKWQRMFTSRIKSVIPMHHRYMARYDGSEQAAGRGSGLQTGEP LDAKALQMKRGKVIPYMGMTYAPVERRLDTAVFRALFASSARQARQYVLHGGVKVNGK KMTYPGYLLNPGDMFQVEPDRVLFATGAPKEVEDVQATKAHRKRLAAAKAPREETTSE ETSEEATPAEEPELDEAAVEKKQRKEHKDNLQSLLASARAVLADKSAHSPNAKKKQEI RAFAAAVRRAIGGVNRTTTEDLDAQVAELVSKLSLANLPKAKPAAAPTSGTVDPSLEA QVVATKESAVEKAPAADRQTLAEALREVRENPIDPSKPYATPWRPRPYMSAFAFVPRY LEVNHNICAAVYLRHPVARPGVAEVPSPFSSKSSTLAYNWYLRRR VE01_10263 MATGRLTTRLQVGSAAWVAEERSSAVQIADAEVEEFTFSARNEL DWLNEHMAEIFNENQMNVTEMFKTPGKLRGKTPRTARKRNPLEPLSDIFSASPRQNGN GSPLRQVYNERQAPKFKVAEDLPVPQADFAVPPKPARAGNNIFQDSGYHGSQSTQAAA SMVFESQEATQEATQPFTQPWSPSRTPAKANLLSVVDDVQSEERRTTEGSYESAKEEQ TMQVAMEKVATDTAPTPKQPLEMNFDSPEQARPESPELKSQETAAPALLEKELPQLPG TEQKEAEVFDEAATPSDGSSPVRQIVRKSSLSFAALPAREPLTTKKSMGNRPSHLEQN RTSYYGRGNDDRGFGNEEEDEMDVDAAEPAAEPASKAAQAFNKTYTQRLQDQISMLGQ SKPNAPRPSKSIPNIAAMAAQQQESRPAASPSRTERAFNAPGAFPEDEDSWIGPPTTA APSKSFSPRPALTKSYTTEVMEDIRGKESIGGHEFNVPKQRETRQPSPLREVAQHRPN GLFGHAKSQSTSVIRSPNKAHDDKELLKKTISVSNPNPGGEQSPPKSPTKSYRESPLK AAKDKLSSILKTSKGLFASSAAASADAMASTLSPPPGRMAYQASRSMADLMESTHATS IYPSLKTQPSSQSLIPESPSKKATIRKAKEKEVRDAEVMVQQLNKLDREREKEAEKAR LFTQQERERVAAMERKVAAQKELKEQERRAAAQKEEERRLAAQKEEDRRIAAQQEQER LAAIQKEEERKALEREALAREAPVPRATRTSPRKTKAQLEAEGKAAAAAAEYADENVE MTEASSIPQSLSRSQIGRPKEPVKRPLRPTAKQPPTNSRGAPVVIRVNTGSRPFQPSN AALAASLGDSLPPAAPQPVAGPSRAKQPVRGLHPKASVDSLKSVSSSTTTKVKALEAA ARKREQDEREAQRKRDAKAELTSQLESKRNAQRALEKKQELEKAKLNRPPPPPARPNP HADKPLPATHRAAEPEPVHRMNPTMQRPQEESRMNTLQNTTKAPPKRPMPADEAQSRP TMPRNGPSYHQNDSKRRKTEDEFLDDAMETQPRGAMAPPIRQSTIRQKDIPMKSLFPT GYSAAPSSHTTVHNAIPQHMQSKPTRPMDMTQTSKAPINFAPNPAHKTPARPLGPGHP NGKSTSKPSAKSSPRYMNGENIELPEIHTDSEDEDSDAGGDFAVPEWANSPNLAAGIM AQEGCDPTSVFGAPGELRMEEVFRNKERWGKFRQRTSSANWSGTDRLTEEEIQRDLVA RERLRREGGWTYGMS VE01_10264 MAPKDSDFHVLLTGANGFVASHILTILLARGYAVTATVRSKEKA AEIVRTHPSWEGKIEFAIVADFTSAKPFDELFINSKIPFTHIIHTASPVNFRLEDIQR EIIEPAVRGTTEILKSAHHHGGANLKRFVLLGSAVSVLNSFEDITQEGRPYTEKDWNP VTAEDAIARKDVVLGYNVSKIRAESAAWEFMKSESPCFDLVVINPDIITGPMTHPISG PKSINETNHFAIASFIDGTHQQVEGVTFPFYHFVDVRDVARSHVDALTNTNASGQRIL LISGLISPQLVVNIIRKNFPALRDRVPKGNPSQVLPLGVHPTGWDTQLSLEILSKGTK ERRWEYIDLETSVTDTVRNMIEAKVI VE01_10265 MARLSTSLMLFCLLGSTLAVAREPYDQETQNADATCDNGCFSKY FTNMCSDDAGCLCNQKEHREGYLCCMAENCAPRVYPEALIRTSLACETRNLPYEFDVE KVCGITLTTTYAPPVPTNTAEAASDCDNDCFFNSFAGGSCTDDPACMCNQQKYRERYF CCMATNKNCPSSVFPDALERHTSNCAGRNIPFTFDVEKVCGIKLTTSSSVVPTATPTA ASDSTKSSTSETTSKASTPDSTTKGSTPDEASSSSASEASSTESVTPVPNSAPQATVI FNGVAIFLAASAMLFL VE01_10266 MKVTAAVFGLLAFAATIEAAPKTNKAGSEASIANLKDKIKNVVL ITMENRSLDNLLGGQKTKGIENSVNNGPYCNPLNLTDTSKGKACSKPKDYDSILNDPD HGVPGNNIEFYGTFLPDNAAIQAGKLKPTMNGFVHEQLRLYSAKQDQSVLANQVMNYY TEEQVPVITGFTKEFVTFNNWHSGHPGPTNPNRAYIVSGTSAGHGTNDADFGKSALTQ HSIFEQLSSQNITWKNYATVAGGGDAMYFASTVASGDSKTKMKSLSEFYQDAYLGRLP QFTYVNPSCCGVGTNSMHPTGLVSDGEVLLKQMYDAIRNGPQWENTLFIVTFDETGGF HDHVAPPLAPRPDNLTYTVATPDKDQYTFEFDRLGGRLPTWIISPWVASGYVEKLGVN SDGETVSYSATSILRTLGYLWDFAPFTPRVEWSPSFDHLILEKTNNKAKPQVMPNPIK F VE01_10267 MNWMKGSFQTRHKIKLWCHLVALALAAIVTGIAGGRMILTQQQM KAAAAASGVTGNGGRRSMSGQIALSMGAKSLMFITYQLVSGHTKFLKERLKVNMILSC IEVVAWPAVVAFTVQGIIKRCVGTTCILSWVLVPLAVAISLVSTIGAYIAVGDWLYLR GNGPKPKAAAVEEVDMESMGSRGRLHNEDNYRPGRH VE01_10268 MDLVSLDGRSKSSRPPSETDGSGSSGKAEEGGAASHTLHERGAQ NGDTQANSSGFRERAGEAALRPLEGWRLGGVTTALLLGLFLATLETSITATALVSIGE DFSATTTTTWVVISYLLSYMGFAVIFARISDGIGRKGASILAWILFAAFSLGSGLATN INQLIAFRTLQGIGGSGLFSLSMIVLPQITPVKLWALMSSLVGMSFAFSYVLGPILGG VITHNSTWRWIYLFNAPAAVLGIGLILIAWPAQADRKNRLSTSIAQMDVLGAFLLLVA TVLLVFALQQAGSQEYAWSSPVIVATLTISAASWVAFIAWIVYLESGRSGLRIKAIFP LSIALKRPTGPGILSSLIVGFPFFMILINLPIRFQVVNNDSSVMAGIHTLPFLGGVAL GTTLGGGIATRKNLTAHALIFATALTCLGSGLLSTTGDGLRIPRAQYGYQVILGTGFG LAFTSITMMMALAHDFDTVAAAQGAVGQSRVLGGSISLAIGTIILNRHLRALLTHATS PLSLPQLSSLRASLAALPSFSEGQQEAVRAAYASAFREQMRVCAFVLVGGVVVTGLTW QRHPRSVEESRERQKRLAEMQRGGRGGEGTA VE01_10269 MRFLCLHGLGCNADIFEAQTAQIREQLGDSHTFDFPEGEYDIPA PKEITAIYPPPYLSWHPPHTLDTHIAQGLLDIRTYIADNGPYDACLGFSQGAVMLALL LLEDSAAAIKSSTTNGTTNDSSANSNGTNGTSTTNGSSNGSTTPPTPLFDLAIFLSGS LYPLATPLLASGARISIPTTHVWGGKRDYVTLNGAGEALRDACVSEGRVVVEHGEGHV VPRGEGGVRAVVGGVERGG VE01_10270 MPALTSAHPSLRALALDVEAALASLSQRLDSRLDLLEARSLGGL ASTDTSAFTSSPRPIHRRPVLPYAPDTPPLSAPLREETLLEDEQQRRVAEVLTPGSSV NEGAGGSVQEGEGEDKSMPIAIVGMGCRFPQEATSPEKLWEMLYNKRHARTEVPKDRF NVESFYHPDADRSGSMNLKGGHYLKEDIATFDARFFSISPAEAKSMDPMQRILLEVVY EAMENAGITLADLDGSDTGCYVGCFTDDYDGLLKRDMELSPKYHSVGIVPAILSNRIS FCFNLKGPSLTVDTACSSSLVAVHLACQSLRAGESRVAIVGATNALINPEIHVGMSNM HFLSPDSTCFTFDERANGYARGEGMAALILKPLDAALRDGDTIRAVIRGTASNQDGKT AGMTLPSKEAQAELIQTAYEQAGCDPAATGYFEAHGTGTAAGDPIEASAIGATLGKFR GPGEEGKLFVGSVKTNIGHLEGASGLAGLIKAVLSLERGVVLPNLWFENGNPAIDFEG WRIKVPTEPTAWPTEGLRRASINSFGFGGTNCHAIIDDAYHYLQSRGLKGNHTTSPRS LLGEGMQRPMLLGQGRKFEEIVEEDAILSSASVLARPRIFKVSANEEKLAVTLANSFA EHLATIPTNTTDTAYLDNLAYTLHTRRTTLPWTVAVVASSIAELATKLEAPGLKPIRR AAETPKLGFVFTGQGAQWAGMGRELLAYPVFKDVVMKADGILASLGARWSLLTELSKP ESESRINEAAISQPLCTALQIALADLLSSWGVHPARVVGHSSGEIAAAYAIGALSLRG ALQVAYFRGVHSSRVSSLGLRGAMMAVGLDETAARGYVESVDAAFGRVVVACINSPRS VTVSGDESAIEALKRRLDADGVFARKLMVDTAYHSHHMHSIAAAYRADLANLAVTPPG QRWPIEMISSVTSRSAADEALDADYWVRNMVSPVQFSSALAHLCTPEPKGKKKGQRRA RGGAVNILVELGPHAALGGPVKQILTASTSLSKAGITYLPALLRNKDGAETMLALAAS LSASGHGADVHTANFPSPLPQPLATLPDLPSYAWNHSTRYWSESRLSLDYRLRPFPRT DVLGAQSTDWNPTEPMWRNFVRLSELPWLKHHQVQDTVIYPAAGYVCMALEAAAQVLS VTALPPGRMVRGFTVRDLAISRALVVPQSEEGVETVFSMRPLPDSTTVSSAAWREFRV FSYGEGGWAEHCRGVVGVDFAPASAAVAAATGHAPVSIAEMVGADEFEEGERAARAEM EKAVRVCGRKGDVGGMYDALAAGGLWYGPSFRVITSVATGGGMAVGRVAVPDTRSTMP MEFEYPSLVHPATLDGFIQMIIPALSQGDLGSAVHEPFVPTFIEELTFASTIASQAGY EFRACAKAAFKGVREAVAGITVFDPLGGEAVVKIKGMKCTAISGGGPVDVVRKHCGTA VWEPDVDLLGDLQMLRVLRGAAVRAASPGVAGREDVEVVAWWFCDAALRDVKEVEVSS ERRELYEFLLHQREVVRVGRAEYQTPLWEALEDFATQERVHNLIADFSTSGDAEARLL VRMGMALPGVLRGEIDPDVFRQESGVVSDYFATAMGVPHTFAAMQRYLTMLAHKYPDL EYLELGAGTGDATRHVLDALDGCAKYRYPKVKAYTYSDPSDATFAATTKAFEKWGSLF ETRVLDIAGDIGAQGFAGRQFDVVIAANSLGDEAIDVEVALANVRALLKPGGKLILLE ATHLHLSAAVILTRPTPPLQEHQWEDVLSRHGFGALEASVPDVLDSRAHVTSVMVASV LKPDANVATLGLPLSLHVILVAPYGGGAAAAELLDSTCSALGGHGIGVEIVSFTGLAR TELTGKIVICLAELDASVLAEVLPADFAQLQRLTSEPVGLLWITRGSIAGRSSKPELS IFQGLARSLRAEQEVFPCVTVDLDADYRLPADQVVDLLFGVFRQTFVRGQAAAVNDRE FAERNGILHVKRMVEDDAFNKYIATRTGAAALKPRAEKLVQPGRPLKLTLDGVGSLDS FYFSDDPTVGATVPIANGEVEISVRAVGLNFRDILIAMGEMSDNYLGNECAGVVTQVG EGVTHVSVGDRVAVWCLGCFATLMRNPADTVMRIPDDMDFVTAAGFPIIYVTAYYALV HLARMQAGESVLIHAAAGGVGQAAIQIAQRLGAEVYVTVGTGEKKAHIMSLFGIPAER IFSSRDVSFEAGVKRVTDGRGVDVVLNSLAGESLRASWRCVAPFGRFVELGKRDIEAG GRLDMGPFVRNVVFASVDITAMLRLNRRLGASMFREAMEMGMEIRRELEGEGMGEGGA NPVRTWGFGEVGEAFRHMQAGRHVGKIVVVPGEGDVVQVMPQPLKGAKFHADASYLLA GGLGGIGRSLSMWMVANGARNLIFVSRSGVSNDAARELVSTLMGKGVRVEVIECDIAD EVRLFDSLNTSLRTMPPIRGVIQGAMVLRDQIFANMPYETFVSALRPKVQGSWSLHQA TLDQPLDFFVLLSSASSFLGNAGQGNYVAACTYQVALAQHRLGLGLPATAIDIGKVAS VGVVAESKDSTIEENLIRIGLKDIQEAELHAIIELAMLPNAVGVTNGHLITGAHTSLD PGADIADLPFWSRDPVFSHLDALRPHLARAADGSGGASSAGRASLKTLLGSAPSKSAA VATVLEALLAKLARALAMPVAEIDASRSTAAYGIDSLLAVDIRNWIFREAGSDVAVFE VLQAGSVGGLAGRVVEGSAFLGAAASKAGSGDE VE01_10271 MACVNPDCSYMHDATGLLLPPVLQPNPDISGIGPSARFGGLLVE AILAMSDAQIVTGLSILIGGFSQINCGLSIFHWHMVVRLAWFSSVTHLTTLTFLRRYI QDNSAIRILRLVLMLVLMLMLAVALIPTGGECGLENYERGYPSTYGNTSTNELSSLTR VFKLFRGSSGFSKTWLRHKPAKMCKRIASKLEERYYDSYLNSARGMYIACYCAIMAFI TFARAIYDCVDSLLFEIVWLLFSLAWGTLRIFLDRMIAGVDFAITQDSKEDVVLQENS WEFGQLVPTLLLIIPLFSLLEGAIGILKSKSTNSIQDDTASALDEAWLSKRLLEEEQL GGLPLCTGLYAELDIVNAVEMVKLLGTGADPADMENDLGSNVQSSPSTATRHQSGVYS RILKGQRASYEILAFLKGQTVAKAKTLPLGPGLRPEPGLVVIKDLIFERELDTHRIPA IEESPYIRQLVDVIHPEDGARRPGNRRSWFSNGWILIYGNAGHTEIFRTQIFLNPNNV LLSNLDQPFPTVRVSDLDQAFMEGVKGGERECPQTLETRAPEVWKGLDAWPISDVWSV GVTLVHWLMSKTPFGPGGKIIKDHTDAWCMAKLMRLRGRFDMTEEMERYGEWQLAVGL EAMDFKDPKTGDMRPYIVGGTLEEEFEKLPRELCSREGIEFILYLLELDCKKRPTALE ALEHPFIKSIVTRQQQQDLN VE01_10272 MQRIEADPDFEDEGAVFDADSLYGDSTTSITSSILRGVDEHGRT YAAYGKSGYGMPIDGDELDRIDMKHRMYSMLLDEELFLAPIGSSPHRVLDLGTGSGIW AIDFADQFPSADVLGVDVAPIQPDWVPPNCHFEIDDVEEQFTYHQKFDFIHSRDFLFS IKDWPRLVGQCYEQLVPGGYLELQCLLPVPNCDDDSAPPESGVPIFSTKVIEASQIVG WSLLEPNNFAKYLREAGFVNVVEKRYKVPTGPWPLNKRLKLIGAFEMQSLLQGASAFS LMAFSKAFGWTKEETEVFLVALRRDVKNLRYHTYYEFIVAYGQRPKDVGTTKEASPES PTAESVGSPGKTESEDSPPKV VE01_10273 MVIKSKLVRDAESLLEAAKVYDVEGADKRSRLDLIARLDALREG LEDPVEKMFSQITNYSQTAAVNTLLQLKVFHSIPREGSITAKELAVIVNVDLEVLTRL MRILTATNIFRSVAEDTYAHTKFSLVYIDDVATDFFTLCVDEVAPAAHRLPEYMSTHD SAGILNPRTSPFAWHNDREGKNFYECLLEWPERLQRFNIAMTTQEAALPVLGMFPFAT LPASIDTSDPERAFIVDVAGGRGQSLLQITREIEESGVTGIGRAILEDRERVLDAIPA DALPGVEKVPIDFFTPQPIKNAHVYYLRRIMHNWQDREAIVILSHIAEAMAPDSRLLI GEMVVPEVPKTGYEGLDMTVYWMDMCMLVIGGKERSEKEFKAILDSAGLRLVKIWWSQ LGSQTVLECQLKE VE01_10274 MPLPFWPRPHSLVIPDIVVPEVLPGRGQVDGLQDADHAVHHIPD ERSHSLPLQSQPPGGSDITTDSPREIITVNRQRTESPTYIGRTYYIGGDTTIDERSAR SYTPSRTGGLSDMEEKILELCGSFKLPPKSTRQILMETFMQYCYPWMPTLSQSELHQG SDKFQSLLLMQSMFVAASRISPGPGVESYGSSEQFYQRAKALFWAGHENNPLTVIKAI TMLHWYNPDGPAYVSYDASEFWLKTGVGLAYQIGLHKEPPQGPHRAIRRRIWWSLAVR DSLISVSHGRPRAINMDDCETSPPCLDDFPESRAQGELFIPYVEICCLLGNLVECCSR RRMCSTQRLHVETVLFRWTRTLPSNLRLSPKQPHTQTYDLLAHNFNARQLHVPYLICL IILARPTAASGEVSPVPVLAASFVAGIYEYFLARDQVKFLSPVFTNFCLVASIVLLSV RPFPDLWEAIQPDLEVMQKCLNELSKRWRSAIGASKALQKAIDIRKSHLPGEGSSLTK PTSAQLALLEGFSVDLCRIWPIYEPQIALQRSDNAAQRNIDIQGNPGEEFTSISDQTT AAMADVGFATEAEQDLMNLDFGGIGEWFMNDWDMTGFR VE01_10275 MAPPVAFNFEGEVAIVTGAGSRMPGEIGNGRATAILLARQGCKV ALVDFNVEWAQETKRMIDEEGGISEVIQADVTDEESCKSAVEKTVAVFGSVDILVNIG MDGPVTLLQLLNSRTDANVSIVGVGGAMGDCTKIDMAAWDRDFRINVTSMVLMSRHVV PEMRKKGRGSIVNMSSVSGLLGGNPSLLYPTTKGAIIQMTRAMAAQHGSENIRVNCVC PGMVFTPMVRGRGMTDDMRQARINQNLMKQEGTGWDVGYGILFLCSKEAKWITGLIMP IDGGTTAGKADRPALKADVLAEINTQIPNGIN VE01_10276 MADPVLAKPSGPCCLNGTIHQGESKGKFVTLAGVETYVGTPTKP NGHILLYFPDVWGMFPNGLLIMDGFADAGYLVLGMDYFRGDPVWKHRKDRHDNSDPNF DYEAWKKKHTAFADENVPKWVSAVKEEYGEEQTKYACVGYCFGAPYVCNELAGNTVTA GAFAHPAFLKVHHFFNLKKPLFLSCAEVDHTFDTPSRRRALEILQTEKKPYQLQLFSG VQHGFALRGDLENPYERYVKEQSLKGIVEWFDFWLSQ VE01_10277 MTTVKVDEVAHVERDGGIDHDADLKQPLDNFNESDAVGYSEYRE GLNIEISQQENRRVRWKIDLIILPIFLVTQTLQFLDKTALNYANLFGYQKALGLHGQQ FNYLSAMVYAGYFFGQYPCGWLIGRFPAQKVLGISCLLWGATVLILTQCRTFSSALAV RFIMGVFEAAVTPGLTLMTGFWYTRQEIPLRQCIWYSALGWGGIIGSYISMGISKLPE DLTPERWELIFFILGGATCLWAFVIYFLLPDTPSNARFFNERERLVAVKRVAGNETGI KNKAFNTKQAIQAFADPKALLLFASVFAAAIPNGVVNSFSTIIIKDLGFSTTKTTQLK SVGDAIQIIALIIGGAITLNVPNSRLLTATAANIICTVAAACTAYLPRSNTWGRLVSF WLVNTQSVGFTISLVTVSSNMAGFTHRSMASAMIFTAYCWGNFTGPFVVKASEAPTYP TATAGLLAGYSVKLGCHLLLLAYMFFTNRYRERTYGPANKEMSNEAGMQDKTEFENKD FRYVL VE01_10315 MGSFIPGSMRAVVFKGPFEVEVVTRATPHIREPTDAILRVSSTA LCGSDLHYYRGHQKSTPGFVCGHETVGYVVALGDSVRNFQIGDHVVVPFSTACGDCFY CKQGESSRCSKGVLFGCITPAFTVDGGQAEYVRVPHAASSLVKAPPGIPEDMLVLMAD VFPTGYFAASRFLKDLPKTQQEDTIAVVLGCGPVGICAIASAIYLTGGQAKVFAVDSI PERLEAAKKLGAIPVNLSDNPVEKIKEASSGRGADVVMEIVGHADALELAFDLVRPFG KISSVGVHNEQISFPGFALYSRNVTMVFGRCPVRSIFDETAAVLEKLKVKLGFLCQKK MSLEEAPEAFKLFEKQKVHKILFSI VE01_10316 MADKGSVFLLGPGFIGLEILGELLREGYQVTILVRREEARADLE KLGSKTILGSLDDGDTIREAAAAADIVIHTATADHKPSAVSILDGIGARAKTGKSSIY IHTSGCSLITDDSNGEYVSEKIYEDDKPETVDSVADDAPHRSIDLAILKRRDALGAKA KISIVLPPVIYGVGKEHRLSIQIPTMVRFALKHGYAGFAGKGKSVWGQIHVSDLARGY MTILHYMESTSGEKVLENPYFFIENGDEYSWKRCAEEIGKALQQGGKIQDPTPREISS DLYSDLFQEWSVAVIGQNARNRANRLRALGWKPQEKSTFESLLSEELPIILAEKGDFK GYGAAVAS VE01_10317 MGGIIHVVQLQFKSDVGSEKIDDVLAQLIALKDKCVLLDTQKPY IKSIRAGPDNSIEGMQNDYTHMIITEFESVAHRDYYAKKDPAHMLLATSLPPFVKGLQ VLDIAA VE01_10318 MEPQYLDALVVGAGFGGIYQLKKLLDQGLNVRAIDIADDVGGTW YWNRYPGAMSDTQSFLYRYSWDEEDLETYPWDSHYLQADEILGYLKHVVKKYNLRKHF QLKTELLAAQWSDEERKWFVTLSTNEVLKVKYLVTGLGLLSKTNFPNIHNLDKYEGEL YHTARWPENANLKGKRVGIIGNGSTGIQVITALGKAEEVKQLISFQRNPQYSVPAGNG PVSAEHRNHVNKTYREIWDQAKNSLFAYGFEETKRPTFSVTPEEREQIFEDAWQKGNG FRFMFWTFSDITVNEEANKVACEFIRNKIRQTVKDPEKARKLCPTDWYARRPLCDTGY YEQFNRDNVDVVDIKTNPITELTPTGIKTADGTLYELDVIICATGFDAVDGNYTRIAI QGRNGHTLKDHWAQTGPTSYLGISVPQFPNLFMITGPNGPFSNLPPAIECHVEIISDL IATAEKSSSTLIRAPNVANGVNDTKVTDGNNSFNGVNRHANGLTNSITCENGIIEAEP HAEKQWTELCDEMSSKSLFRRVDSWIFGANIQGKKTTSMFWFGGLGPYRKTVQKILED DMKGFKRF VE01_10319 MPYPDMLQVPQPCWTCTSCRAPRRTNRNQSLTSFTAIFTDDWNR SFNSKNHRPRRRRFARSGETISCFAPVFCEDWKDDEDEVEAAAPAYEYVPPQKCRPFI RDIGCKRKSGADDFAGSLTSIWRPDKGQYEEHYNSWTATSPTPQQNQFEESAPSSRAT PGCLTPPFIIPVSDEETFVEGRARPEHPSQSLPSLVHPGFIDIATEMERCPYPTSVAD ESGDPFPALTDPFIKGEDIVVPLRDDIPDQHWFLGDDSGAGDGGFDHWDRFIEWSIRA RGRREREDFQDLSTSREMSVEHDFEEFI VE01_10320 MVTKSRHSDLDLPSVDIFTFLFKRTNRKFPDSHPIFRDGLTDKT YTFGDVQQFAEDFGKGLRSQYDWQKGDVLAISSLSDIDMPPIIFGTLWAGGTVSTANP GYTVREISHQLQDSGAKCVVTHYSNIETVKEACGTVGIADDHIIILGDKKDSTGRFKH WTSVCDLGKATRFAAQKLDPKNDAAFLVYSSGTTGKPKGVKLSHYNITSNVLQLQVAE RFNLTWDGSQTTRDIPLPEPRTGGDKILACLPFFHIYGLNVLALSPLYSGVTTIVLSR FDVDTWCQLVQKHKITYSYVVPPIVLYLAKHPTVSSYDLSSLRMTQSGAAPLTRELIE QVYKRLGIRIKQGYGLSETSPCLYQGAWDSWDVDIGTCGALLPNLMVKICEPFDNSSG DAAAAIPRELGLGETGELHVKGPNVFEGYHGNPKATAECLSSDGWFRTGDVGYINERG NLFITDRVKELIKYKGFQVPPAELEGYLVDFPGVVDCAVIGIYNKELATEVPRAYVVT ENPLKPLDIQELQRWFSSRVANHKKLRGGIRLVEQIPKNSSGKILRKDLKAMALAESE AILARL VE01_10321 MPDEGQNGPVRLRASRACQTCSLRKVRCDATEVGLPCSRCRVDK SPNCVLVSSKRGTYARRQTRLTSPYERTTSVKIDAQSSNTRYPSSDDAHSTRRSISSV ESAQPNPVTYPSEFSNATCQDSTQPTDSETMEFDKPDNVMNTFESQSFATPTILQQHR DSLTSMFERFMEQQGQNPEDSTKCGIIFMSGASPLTFALEGAQRSNHKAALHDAGSHF PKGDDIGTIEDDAHPSHLSPQDIGYLKVKGVFQRPTSEVRDAMVTAFVERFYPSYSIV DLGGFKESFKAGTPPWSLLHAVCFIGATFCDLSVIHRGGFKGRLHARRHFYDKAKLLF DIGYETNKIVLLQTVIMLSFWGPQMKSYWNPCSWIGFGVTIAESLGIHRINTSTDMDA KRRSLLKRLFWVLAVRDAYCAALLGRPCRLNIAQCDTEPLTLDDFDHDELCPRQDHTK CQSHGHYQIQVSKLSLILRSIIIARFGLGKNTNQTAHLQNTMDMWQSELPPSLSWWRQ QGRTTDILSISLKIIFHLQLILIHLDKPGEAEATAAHATTGLSNGFTSSFQIVESAAQ MISSTAITIIANSMLGVMPHEIFSGFFVAGIVFYQGIKQPQDFLAGLPRSALDNCQMV ISEASERWDPANWVMRIFDFLLSSSVNNTDTTAQIAWNKPTVDNTSQGLDTMPVSGDD YVPNMMPEDDILHSIDFDSPTYQGMASMPNEFFLMSKFLPMPLGDSSFMPM VE01_10322 MASSFEYGFTLPNGTKVIHGEGVMVKDAYPPDLNIPGQLQIYSP GHNADSTTPTFCGPHGVFEFDVKLRMPRHVHMSPKSSGDGKRYIVEKILVLNGVALAE LSGEIYVIPPNTMVLIGPGVPHTWTACPPGLDLQEVGVSKDEKIVSEGKFTAVFEYEE PTAFFPTAQTNVLKEESEYVKCDDLHGIQIPVLKLEELKKDAWFVWGRGVRKLDDKQ VE01_10323 MIKDDEGRVMHMESSDVAIDLDLKTDIVEYDEKYKKRVTRKIDM RLVPLCAFIYLLNYLDRTNIGNGKILNQETGDSFLQKTNMSSIDYSVVLTIFGVAYTL FDVPANWIMKRYVRPSHWLGFLMLSWGILTLGFAWSKNFHTVVVLRFLIGVFEAGFFP GIVYLITFWYTQEQRSLRIALVLASASLAGAFGGCIGYGVGFMNGDGGLEGFRWLFII EGLITILCVPLVIVFLPNWPAVAKWLSDDEKNCITIQLEAQASGFTRERASRREVLET CFTPRMVAHYFAYLTNTIVLNSLAYFTPTIVARLGYTSITAQLMTVPPWVIGYVVSLG LAYSADRFNARGIHVACATFLSGVGFLACTLLPANAYLKRYGCLILIACGAFPSASPM VGWLTCNVPSQRTMGLAAAINNGTVGIASIISVWIWPATDAARGFPIGNIVCSTASFL TTAIMVGLRFHYGRMNKNGKPDASGVQRVWAY VE01_10324 MASIQLDPTLLNNIKFKTVVVTGAAGGIGLEIVRLFESHGANVV MADLERARPAAEALIATLPDPSRVIFVPANTLVWAEMKEMFKTAIKTFGGVDTVIANA GVMESHAVLDVETVDANGDLLEATEASKVIDINLKGTLSTLRLGLHHIKDNDKHPDGS RGSIVLIISTSGYFGGTGVAAYISSKHGITGLLRASQLEATKHGIRVNAVAPFVTPTS MVGGFASQWAASGLPSNTTQQVAQVVATISEDPNRRGACYLTCGPIIREMELTRNALL SQWLGNDVAQLMAGAGSFFASMGGYPLPRLEALQS VE01_10325 MREALTSFVHPESIKAALKDKSLLYEKSFIGGEWVGGSSTFPVY DPATNKVIANIPNLLTKDFTKAIEHAHIAFKTFRRTQETARAKMLHSWAALIREHAKD LGVLLTMENGKTLAEAEGEVEYGASFITWFAEEAVRSYGDVIPSQHKGSTNLVIRQPI GVCGIIAPWNFPIAMITRKLAPAIAAGCTVVVKPPSETPLCTLALTALAIQAGIPPNV IQVVTTKDRNAVTELYTHPLVKKVSFTGSTGVGKLITERAAGTMKKVSMELGGNAPYI VFNDADVDIAVDGVLTCKFRCSGQTCVCANRLYVQCGIHDVFVKKLQERMSTFKIGSG LDPAVTHGPLVNMAGVKKTKEHIEDAVSKGATLVAGGTSPDSEGYLIQPALLTGVTSE MLVAREETFGPLAPVFKFETEEDVIKQANDTEFGLASYLFSNDLRRIWRVANALEVGM VGVNTGMISACETPFGGIKESGLGKEGSKYGLAEFQVMKNITLGSLH VE01_10326 MWLAQLEGRALTLGITATCGTAFLLFGFDQGVFGGILGNMMFLS TFNNPSPSIQGQIVSTFDIGCILGALMSIFVGDVLGRRKTIALGCVFVIIGGTIQSSS YDIAQMIVGRIVAGLGVGMNSAAVPIWQSETCKPEHRGKLIALQLVLVIGGIMLTNWM NLGFTYVQDNDVSWRFPIAFQIFFALLAIFLVACMPESPRWLCMKGRHEEAQTIIARL QAKHRDDESVTEALQLIVAMVTHEQELAKVGWREIFSNGEQQTFRRVALGAGTSIMQQ MGGINVVVYYMPVILTTSFGFSDRTALILSACDFISLMFWGSIVMLVIDKWGRKNLML VGALAQGISFGVAAAGLGIGTKASEAVAVTAIFVYHVFFGLSFLSIPFCYPSEINSQR MRNVGASIAMITNWLFVYVIVLITPIGIANIGWKFYIIFAVLNIAWLPFIWYFYVETA GLSLEEIDKLFEIHYKGGRGMTWKEATRLAKEEIAQNKIAAHEKILHGSATVHSEFGE TGMDD VE01_10327 MANIRALRFHAKNDVRVEDVTIQACGNDDVRVKIAYCGICGTDV HEYLGGPIFPPQPGQSNPHTGAELPVVMGHEMSGTIVEVGSNISQVKVGQNVAINPSM DDRHHGKERCVTCESGRPNICKNWACYGLSAHGGGFADEIVVRAVSCIPLPKGVSLKV GALAEPLAVASHMIRISGFKKGQDAVILGAGPIGLSLLLLLKSRGARKVIISEVTELR SRQAEKFGADLVVNPLAKSPDGDNFDTWNPVLDAMHGIIVDGADIVFDATGIQSTMDT AMAVVKPGGIVFNVAIHEKPLLINPNSFSFKEVKLMGGICYTNEDFEDVLEDLASGKM AAEDMITSIVPLEKAIQGGFLELIHNRAEHVKILIQPSKSAHQV VE01_10328 MEFHYSRMRLPLSMLARRWAQPVVQPMVQPMARRCIGIETAKRN SRQKRTAIVTGSSRGIGEAIARRLAAEGYAVTINDIAANKAGAVRLAAELNETYGDGT STGIIADVTSALEVKSMIEESVKQLGPLTAMVANAGIASVGAALDLSDEDVAQTMNVN FTGVWNCYTHAARQMITQGPVSEGSTGYKILGASSIAAYKPFPLLAHYCASKGAVRSL TQVFAIEMAQHKINVNCYAPGIVGTSMWDRIDETLGKMQGRQKGETVQKFVTELTAMG RVSVPEDVAKVVGGFLCGPDSEFVTGQNIVVDGGIVFS VE01_10329 MFLQCPGHGPAVRDQPDQAKCLGVHIQAYFGFFQGSVNLVTDLY LAVFPTYIIWNLNMKLKAKPGLMALSLGVL VE01_10330 MSASLLSQAGVRGDNDGVSVRISEKAEFEGFISALGVAHFLGVQ FARIPARFRQAQLLPPESHCATVDATEYGPICPQAPDNLRSIRQHLFAGAPAANLAQS EYDCLRLNIYAPKSVISSGNKVPVLVWIHGGGWSIENGNADFSGDFLVHHSIETGKPI VFVSINYRMGSFGFLSSSELAAEAVSHGEAGWANQGLNDQRIGLQWVKDYIHMFGGDG SNVTIAGESAGAWSVLAHLRSNQALCQRGIMQSAPSWSMLRPEEAQGKFDRLVQRAGI PLTATASQRIAALRTASTEDLIAWNGPLTSPIWDPKWFVGHALPEAPLDCVEPFPNWV QAIVTGTMRDEMSVFGFEKFWQTKVSVISSLRNVLSLPSDLSFGSEILEEYGVLEARS DTAAVQALTSLLGDACFSRLPFNVASACSNPNSPSLYIYRFDQSDEEEGSLLNGAAFH TLDNTYLCRYPAVAGSAAPRSCQITADMFSQMVLRHTYGEPPWQAYGISHAQNVFDGA YTRLETVSHDCQRWRKLLTSQDRTNRFARLFFDFITQGPGR VE01_10331 MFEDFLDQAGHENLCKAKLVLFGEPSPLTFALQLRRDMNSGLHD ANQRHLTSDSLAVVEEDVHPKHLRPHDIECLKAKGAFVYPETESLEEIINVFLDRFYP LYSVVNPTELRKAQQDKRLPWILLHSICFIAMTFCESATIYKAGFNSRAQARQLYYDR AKALFDFNYENSKIILVKVAILLSFKGPQMDLYWNPCSWIEIGVTMAVALGMHRKSVA VDGESNDRGLLRRLWWTLAVRDAHCSALLGRPFRINMAQCDVEALTEADFPDEHACQS ATVPLSCSCRESFEYQIQATKLSLILRNIMYFRFGPTSVSSTTDLIHEQLAAWKAKLP AAMQYLPGKSSVSTLAVQLDVLLNYHIMLLHMDQPRQSRPNPSSLSTSLPGGYDCTAI TESSALAVSSSAFKLTTRTSICNIPHEVFPGFFMAGIILYQKAQQAQNSHLAKMIQAS FDNCQMLLIQAQNTWDPGVWAMKAFEFILFPTDASDAAEAQVPSGSSHRYRMSTPSLG VNNASSTQPQASGYSNIENYAWSETDAMGFDGNVPVDLADYMLLPNFFGPPFDT VE01_10332 MRERTFKDSTIQSAFQKAGIWPISCNTALDKLRTYSQPTKPTTP TLPRAITPIPSTLQGVEQGLQQWKERVPQAFSSPSRQSYGNWLTGTERVLATSQLQEL DLQAVRQQVKNSKKKQGGRGRLQYGGELRASDAYEIQAQKAELQAQKLAATEARKLSQ AQHRAQKVAATEARKLSQARNRARNQLKQAGIKARKQEQARKKSLAQLTELGLPIPPE LEDPITDPEAEPESQYESASEGGSGRGSESGNEEVMIL VE01_10333 MSTRNLDGETLSFESTAAPSRTESVRYPTAQSHTDIDFSQLEKT LTKQEREEKAQDEELHELARRFTRQSRASVYDKNPFESDEDSILNPNSPNFQPRAFTK SVLNLQARDPEKWKQRTAGFAFKDLNVYGFGSGTDYQKTVGNVLLQAFGMAKKLFGQG KPGKIDILRNMDGVVNHGEMLVVLGPPGSGCSTFLKTIAGETHGFYIDDKSQINYQGI EPKQMHNDFRGEAIYTAEVDVHFPMLTVGQTLEFAAQARSPRHIPGGLARNQYAAYLR DVMMAVFGISHTVNTRVGNDFIRGVSGGERKRVTIAEAALSGAPLQCWDNSTRGLDSA NAIEFCKTLRLSTDLAGSTAVVAIYQAPQSAYDIFDKVVVLYEGRQIYFGKTTEAREY FVNLGFDCPDRQTTADFLTSMTSPQERIVRRGFENRVPRTPDDFAQAWRNSPERVALL KDVGSYNQKYAPGGQHLEEFKDSRRVQQSKNQRVTSPFTLSYGGQIALCVRRGFWRLK GDPSLTLTQLFGNSIMGLIISSIFYNISSTSDSFFTRSALLFFAILMSAFSSALEILT LYAQRPIVEKHSRYALYHPSCEAIASMLTDMPYKICNTIIFNLIIYFMTNLNREPGNF FFFLLISFMLTMVMSMLFRTIASVSRTLSQAMAPAALLILAIVIYTGFALPVPNMRGW ARWINYLDPVAYGFESLMINEFAGRKFECPPSMFVPSGPSYMNLESTQKICASVGAVP GASSVDGTAYIMSAFQYDPSHKWRNFGILVAFMVGLCATYIAATEYISAKKSKGEVLL FRRGHAPSALLKNTTGDEEAASGEAATIDRTATHISTMIEKQTAIFHWEDVCYDIKIK GEPRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRTTMGVITGGMFVDGSERD ASFQRKTGYVQQQDLHLSTSTVREALNFSALLRQPAKVPRQEKLDYVNDVIKLLDMEE YADAIVGVPGEGLNVEQRKRLTIGVELAAKPELLLFLDEPTSGLDSQTSWAICDLMEK LTKNGQAVLCTIHQPSAMLFQRFDRLLFLAKGGRTVYFGEVGQNASILSNYFERNGAF ACPEDANPAEWMLEVIGAAPGSTTEVDWASTWRDSPEYQDVHRELQRLKDERPPISQP SSAPVGEGSYREFAAPFTFQLIEVTKRVFEQYWRTPSYIYSKTALCVSSALFIGFSFF KAGTNRQELQNQMFSIFMLFTIFGQLVQQIMPHFVTQRSLYEARERPSKTYSWQTFML SNIIVELPWNSLMAVLIFFCWYYPIGLYRNAEPTGTVHERGGLMFLLIWEFLLFTSTF TNMVIAAIETAETGGNIANLMFMMTLIFCGVLASPDVFPRFWIFMYRLSPFTYLVDAM LSVGVANTKVVCAANELLHFDPSANQTCLEYMSDFMSVAGGQVLNPSATADCSYCALD STNQFLESISSSYDHRWRNFGLLWVYIIFNAVMALVLYWAVRVPKGAKRATKEVKQEK K VE01_10334 MPLPRPPSLPSPPSTDNDATHKSSKSSSKRTKTSKPGPRGGKRV VAATGGESGSRSLEMGDGRHKRVWKACERCRMKKTKCDGESPCKRCRDDGLVCTAGHR KKAEFKQLPRGYAEVLENTQYALIATVHKLYAMVLAGEQWTLDPPVMNTRGQPVIHDI AAKLGCIRADPSSAAGGGAVELPADAAEFAELQRQLESEERAGAGVGEEMGGILSATT GPDSPFGDDSFCEDFEPGFEIDDDFTDVDAAAGYGDVSPFSDSPFGGFSLDALQGLPT GAYEEFIPASSSSLVIPTAAAAGQIRLPSQLQLTPQQQQQAALGAQQARQMQILQQQQ QQQQGLDFREQHNQWGPAAMEEQMRALMKRGRV VE01_10335 MSSFSRLRKQYASIQTELTELSEEPPITPLADKFEYVRPTLLSF DELPEWQKDSPFIRSGYRPESNSAQACFASWLYLHNESVNIYSHLIPGIFFLAGEVMI YQYFDARYPVATVSDRLIFAFFLLTAVTCLGLSAMFHTFLSHSAIMSHVWLQLDFVGI IVLILGDFVSAIYVGFYCEPALQKTYFTMIISLCSASIFILLNPRFQGDRWRTLRVCT FVCTGLSGFLPLAHGIKILGFSQMLKQSGMPYYLAEGLLLILGTLFYTLRIPESFKPG RFDIFGCSHQIFHVLVVLATVVHLFGILSAFDYHHRYDACGLSGSQWPL VE01_10336 MHGLEDGLNGARETGYCRDTVADGWTKTSHASADTPLNLRIGLK QLNLEQAEELVNAVSHPRSETYGQYWAPQQILEMFAPSSDAVSDTIVWLLEAGVPRSS IALSAGKNWIKVDTTVGVAESLLDTTYGVYESSEEDFELVACEAYSVPADVRRHIDLV TPTIQFDTRGTTIRQRVKKRDVLSPNPRKLPGWHKNHKAESLDNCREKEESVEGNSYG IVEYAPQSYNHEDLNGFFSVYDNVPNDTAPILERFDGGYLSKETGSGTRGKSNLDLCG GVVWNLATNNNFLDAIDGSYCTFEGGDITWDATYPHDASSPDAYTGEPKCGTQNATHV ISVSYGRNEDARPASYTARKCTEYMKLTLMGATIMFSSGDTGVTGISGRYLNEDGSYT PRSPNYGRFAPCEFPSITSIVTLRSPVNGTIHDREIVATRSSPGGGFSNIFALPSYQA ETVASYYGHHDPGYNFSQYNNMQQVHGYPDVSLNAQSYITGIVGGFQAFTGTSASSPT FGAMITLINGARIAAGTGPVGFINPVLYEHREEIFNDVVEGHTSGCGTDGFTAVEGWD PASGLGTPDFVRLKKVLMRLP VE01_10337 MHFPTLLLIPILLGLAAADTLTLDLHANNFDDASFQTFKVSNLH NCHNSNQKFEYYLQHDIAQSLFERNLGIRAYGEPNCQGPSSTHPLSNANGCVHGARKS FQLLEM VE01_10338 MADFTFAKGFFALLRNLLVAALVYTSLYTIVEVVQTGRAASAEY VIKHRLKTGEPKEESKGVIFSPGQPPVTLKLGKINPHANWFNRPVSNIEYKDDYLGAR PDVDTVADIAKLVETCRGSYEKLDKMFDRKACFHYLVTGEKDYFYLPEELERASAKSP RNAPYLNADGQGNTLAKYPKGKEASKKSLGSCHGPIIPHHAYWSGPATWRFELFVKSY LFTQNLPCSRLWIWIDSDKDPYAIAQMMTRDPIFERLLPLIKRGDLVLKEWKFPSRIP LPKVDYADGGIYYSNPGKPNAAGEVALADGLVRDAEGQEWLVLTENQKTSLPVAVSDA VRFVVLHLYGGAYFDVDITLLRDMRPLLINPNDAFAERWGQYPHPEDYNTAVLSLGAN TSLSSYFLRGGVRMGMNFHPQVIGLMAVKDRKNSELKMLETSFFDPIWWNYEGNRPCP VPCLRDYSAVFKGEPNAFPHDDEWEGYDGPQAEEILKYGAEGQSVGGRVLKGVKKEGL GTVKEFDRKKLAETEYRIWEDNYPPTNRTLEHFFRGAFAYHTHNQWLTPPQPSSWFDV LRRAHNDFFAGKRTNPYGEKWAGPELVDYEIIWNFT VE01_10339 MYAWTHVLSLLRPPQTRRYAQPQHHYPPIALYGSSIGGSTAGGT GGSLATALALTEALAARGVGRYPSALVTADSIFDCSPLAIASLHPDAGISSPTALKIR DLFSSPPSTLDPLASPLLLFRGSAFHSSAFPGSWAPNPTILPGLFDMDSDSGFSDSMS EVDSDFDFSESMSSPSLMTSPSQPAESQRDNDAPIDDDPTRPQKRSYLKFPPANSGLR LPMCNFQISHPAPPSPPSRPKKGSKFAPLAPRDEPLEVAQAQLMASAINRSVRMGYDT PLSRRGDEDGDGDERAVVSELEGEGGVEERGAVGAREWLRDTMDWR VE01_10341 MLCGRKKAKDIKLEEKWDFISLQDFKASSFFEYLAYGYLWLSLV ISIAVYGVDTFTAYNLIALNKFTSIEPVIKLDISKWIFAGCIIASWVNVIYEHIRAHL IIRRGAVAESYLDSLAVRLQAIRVFGQGRGWKRFLVFSELTKSKKGAEYVALFTYFSF QSWIRVIFCSGPRQVINALTLRSVYIAQLDPKNRDAATSILQFFKNFGILADQDKKQA VVLSGMVFTLVVWIFAALSLLLAMLFYLLFLWHYIPNGDGGLSGYCERKINGRLQKIV SVKINKAIEEEERKRNKANQKAFKNGEKPTLGRQATLPTLFDTKDDNKLPSMPMLNRN DTTATLPMYTSRPGTPSSQQPKLPDFELSNMDQKRPVPSRLNTATSASSYGASSGLVA NAADMGYSRTGSPAPPMPGQEGTNGMPFPAPQRTMTGGTNASQGSWAQQQRVPQQLRS GPAPLQRQGTQDSYGNVAGNGMGNGMGNGNGNGNGGFGPPQRQMTQDSYNSYNPPSRN MTPFDRVASPMDRSVGNTPFDRVGSPMDRSMGGNTPLARIASPMDRSMGNNTPITRTD SPLSYTSRPGQNYTPAPSALSQDPYAPQRNNNQSQNHDSYDPYSTASPTRATHAGGVA MDDEPTLPSFDAPTIVAPPRGDTPSSHYAPSIASSRGAGDAYSRPGPPPAGQNAQTRY GRSTPSQGSIESSVGRRTPAFDTASVVSDRSNGNPYAPQRTPAFDTASVVSDRSNGNP YAPQQQQQQGRMGLASPTGSYAQPVRSASAGVGQQGQGYARPPPGRNMTDPNGGQGGY NAYGGGGGGGGGYRQ VE01_10343 MASPVCYASESYFLGGAPAPPHKPAGTITSLSLSPTHAFSKPPI SRLVLIPGLGVEGDCHLGADIQHLSRMTVRPLPENLRQVHLMSGEFLEGLVVRGEEGK AGEGEGKRRVKPGDLGENITTSGLDLEGLTRGTILRFSSSPTASDGDATIKITGLRNP CHQIERFGKGLLGQCT VE01_10344 MENYQKLEKIGEGTYGVVYKARDLLHGGRIVALKKIRLEAEDEG VPSTAIREISLLKEMNDPNIVRLLNIVHADGHKLYLVMEFLDLDLKKYMEALPISDGG RGKALPEGSSPDLGRLGLGDQMVKKFMSQLCEGIRYCHSHRVLHRDLKPQNLLIDRDG NLKLGDFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFAGDSEIDEIFKIFRLLGTPTELDWPGVTSFPDFKSSFPKWGRDLNANLIPGL DNIGQDLLENMLVYDPAGRISAKQACMHPYFEQGSAAHSGRGHGPTYAATGSYQ VE01_10345 MIVPNEANTPPKRPPLDAREQPPQFLKDIFKRFQRLPLSEISTD SHILDFTKDTLPQGLRLDREISSDDLQSIYRRFVGDGKEFDTPESQPVYSCEALPGLL ILPSFLPSQVQRELLSRLLHRDLSDPEHMTNVHMFYDMPYPKGVSTPEGTSEAPSFFS YSPKTKTVFNPKDPSVHKPLTMSKFMEKSLRWVTLGGQYDWTNKVYPDEAPPAFPTDI KDLLEGIFPEMKAQAAIVNLYSPGDTLSLHRDVSEESDNGLVSISLGCDCLFVVGLGR DPSDSIVVHLRSGDALLMSRESRFAWHGVPKILPSSCPTYLASWPAEDNQYEEWRDWM KNKRINLNVRQMFD VE01_10346 MSSWKEVAQAKRASIRAAIPQKWLLSSVPSVQEQKDVTGKYIQQ FLTEREIEITETDAVGIVAKTTTGAWKAREVTEAFCHRAALAHQLVNCLHEIFFEAAI TDAQKLDDYYAKHNAPIGPLHGLPISLKDQFHVRGVETTMGYVGWINTFEGVKGTGKE RVFESEMVRELRNLGAVLYVKTAVPHTLMHGETVNNVIGYCWNPKNRRLVCGGSSGGE GALIACRGAPIGLGTDIGGSIRVPSAFNGLYGIRPSVGRLPYEGMANAMQGQNSILSV VGPMGTSVGGLRLVMKAILTQKPWLHDPAVHEIPWRSEQEEAVLQLIKSSGKGRQLAF GVYRHDGVVRPLPPVRRALDIMVKTIEKLGHKVIDWVPPPHDYASEIALKTWIFDGGL DVHQSMGLASEPLDPAISTIYGSEPVREFQASEIAKVNVQIREYRKQYSDYWNSTAEL TGTGEVVDAFIMPVTPFPAATPMDYPHYDYCTLVNLLDYPSIAIPVTISSKTLDPVDE KFVSLSGNPLDKKTFDVYDPEIFDGAFVGLQLVGRRLHEERLLALAEMLGDGIEGPK VE01_10347 MTSTVVIGSTGLVGSHILSTLLQLPAISSVRTFTRRAPQDTGAK LNATVEDSAKWAASISAITPPPDIFFSGLGTTRAAAGGFDNQRKIDYDLNLEAAQAAK RAGAKVYVLISSGGANPNSMMGYPKMKGELEEAVKALGFEKTVILRPGLILGGRDHLR AAEYAFQGIARVFGAVSGGWLSDGWAQDADVIAKAAVSGGLKALAGEGPASLTMGQSE IVRLGRTEWKSEEQNSRRNKTPPESTQPATSTNNALPRNRHLTQPHQANQKRFLDSSV IEISPGARPIPTQTLISPTSEPSSEWSTPHSPSLSDFGNSTSLFDYHSAESSGFFPES HQTTNSPVPAAFGANRKATKGHPQKHSSTMVNERSPAKAPPKPAQYHDPMEPTPLAPY PANPALQAPAQPSFAPQFGQPTMPQSPLTKINGQGNKTQSKYNTNSSMFIPTKPARPQ FQQPRAMPGVPPGAATPISKPSAPVYTTTQPAPPTMYGSFGTQYPGGFKSVNTQGGGG FKPVNNQGGGFQPVNNYQPTIQPVGQYQNPIDLTRDSYGNIIDLTKDGYRDRLAPAEP YAFVDPKKAAEDLKALLEGAIEDEDDDIPKTRSRKKKLDAKADGLTSMLKGLAVTDDA PVEEDDEDEDDGTVEGVKVKLLPHQVEGLGWMKDRELGTRKKGTVPKGGILADDMGLG KTLQSISLILTNPRPSGSDLEDGKRKFPSSMQKCTLVVAPLALIRQWELEIKDKVLPS HALRVYVHHGPQRTKNHNDLKNYDVVVTTYQILVSEFGNSSQDSEGIKVGCFGLHWYR VILDEAHTIKNRNAKATQACYALRSEYRWCLTGTPMQNNLDELQSLIKFLRIKPYDDL KQWKDQIDRPMKNGRGDVAIKRLQHYLKIFMKRRTKDILKKEGALNPGGKPSVAGAAS STGFKVTERKIEKVFAKFSPEERLFYDRLEKRADKSLEEMMDGQNVNYASALTLLLRL RQACNHPKLVAGKLGKDGEALGAEAATVPSTPRKKAATTEDDLEDLAGMFGDLGVGSK KCDICQLEISKDLAKAGAIRCEECESDLLMSTKKPTRKDRISAIRARHSDIQEEKVKT KLKTRNRNVIVDSDDEDEGSWIVGEDQQGPTKLGKAGGTDDENAEGEGIDVGSDDSDK STNYDTADDETELSVVADTTGEIITIKSDPPSDNESEVEVEGASDIDGSDLDDDETKL STIVTSTKITHLLSILGKEADEHKFIVFSQFTSMLDLIEPFLQRDGYKYTRYDGSMRN DLREASLKMLREEKSCRILLCSLKCGSLGLNLTAATRVVILEPFWNPFVEEQAIDRVH RLTQKIDVIVYKITIADSVEERILELQEKKRELANQAIEGGKNGGVSKLGMKEIMQLF RRDAEYTEPPLNKPAYQNTQTRVLAPASREVSVESGRSVPRRSPPVGGQRRVVEEHPV YGRR VE01_10348 MHLQQHQEPFGGPAGDSKWNKEQEAPSAAKQFTQKSSALPFGQP IDFASVSGPTYVTAQTLVQQVAYSLSDKLYSYSPETFDLDISAKGWAAAKAKNAHGYP TSISAMQTRAGAGSIALGYMFSKDFDLSKRHIPQSLLASAGTLNHLRPALDQLSLLYS VANPFVAHITAVDYASGSSSGLVTDYTSALAVAEDLGLGLVSSASPYEAQHISLFATL LATVLPTIHIYDGIKVGRDTVRVIDVLDQAGLKGVYTAVSKEIAAISKKADVDSKVQQ VLKAFNDELGTAYDLFEYQGHESPDTVLVVFGTVEAALASQVATKLSESGSKVGVINV RVYRPFVEDAFIAALPASVKTVAVLGQVQDASEVADKSVRSALFGDVTAALAFSDKFS PTLAIVDSKYAREQSFTPGSIAALFSQLDTKAVSDSEEVSIQLLAAGKAEQYTFWDLD ESVARTAPAAISKFLSNDSKTNVFLKQAYDNFVQGGVVRSDIRNSKKSIDTSYPIEEA DIAVVGDEKIFKDLDVLASLKSGGKLIVKLPGLKDEDLEKKLPASVRRELQAKNIELY VVDPAASAAVAKDAALETQLLEIAFLRIARPDVLTNGLDKLVAISGNAATLAEIATDL DKALRQFEIPTAWAEVEIDTKERELPTDVQTSSFTAFNKAEVQEPALLKDWQSAAKGL AFKEAYGTVTALRPDLSVTTFTVKVQENRRLTPQTYDRNIFHIEFDLGTSGLKYNIGE ALGIHAKNDEQEVQMFMVAYGLNADDVVEVPSREDVSVLETRTVYQSLMQNIDIFGKP PKKFYEALAEFATDENEKKELLTLAGPEGANEFKRRAEVDTITYADILLEFPSAHPSF HDIAQIVSPMKRREYSIASSQMVNPTTVALMIVVVNWVDPKGRDRFGQATRYLSQLPV GTEVTVSVKPSVMKLPVKDSAPLIMAGLGTGLAPFRAFVQYRAMQKAQGIEIGSILLY MGSRHQREEYLYGEEWEAYQDAGVITLLGRAFSRDQPEKIYIQDRMRQTVDDIITAYI EEEGSFYLCGPTWPVPDVTEVLEEAISKNAKALGKKVDSRKAIDQLKEEQRYVLEVY VE01_10349 MPDSSKRPTQAGAPGRNTKNNPTDTGSDTLSSTPPQREHKPKTK HAVGHRLHGRVPSTRALQKLKAHAGERDASKLLRRQTSTPGSPTTPTMEGIDEPKFPR GETTAATRPSTLRRNKSHGEVKKAAKVATAMKRSASHTSISHQSKSSVHFDLANTTTN GDDHDDGWTEASGSASPSLSRANSVAGASSGRNSARPPASAANSIPPSLAASPAKLRI DARDWSQHERTHSAPDAHQITSRLLQRAPSQGAAPKMSTVSATAVAPGTSQVSDLAAS SGSATPHTDSHKSELISRFKGSGSGTPGDTSPFLQSHHPTSTKKAEAANGAAANESDA ANFALNSRRAKSMSNLKARGIEPDDSSDDDRALAPRSRKSSTHYIPPQQSRTQQKLWL QRASSNIEPAQLAPAGALGLGLGGLPVGMGMGMGMGLNVHASPLVGSIGSGYGPEGGA GDPRIRMQLEKTGSAFMVVRRHQDPIAKSVRRLLVLPGGEKGRRIPGTGRVKAGKGVG LSQSLREGRSRGGLPSGSLEEERPRASFEVGSPVGSVEGGGDDDGLAAILRGLWEKGP ELSSSS VE01_10350 MLKLAHFILVLAGGTLSTALPAINLFSGAGNANSDVCKQDFGPW DHSWTTTSCTVDEVVNTGGKYSARERWMAANATQAFLGAQWCWLFSRSTNHFSFSQSV SNYLHGPDGMKCEILDASGGSLSGCSGDHGCDEAGHAAGFQILNSMSTLHKLHQSTYD SLGKAKDSIIARVGVFSSVFSPSIDELKDFKIFLDIIGMGFVLAVAPLWNSAFKTVLF AGKPNALGISKDSVNGMVSNGISLGKDTASQPHSALGTQNTLSDKISRMIDAWMGLVK DTNTVMFSGTNDQGGLKLLTDIIGEGNLLEDSYQVIVDAAMAIPIMETVIWAFMIPMA WAMSNKDVHPFLMIYDDKKEKVRCDSPPPEGFFTSNKKVVVCVDGKAYWLSNASGKAQ SCVQDYQHVRFCSNNKFSTPPGIDQLAADGKNNPWGGLSVVDIVVSSVASYKANGDKN GFELDPSNGPAVSDLASNGKQAAGVFTLPICSEKEASSNWAQATSDRPS VE01_10453 MPGIASETTEHQAISDIPPDDETTPNTNGHGESAPQNEPPPKRR RVSEGTPSSLTRKKDNSPPWKKIVAEGPSSFTQDGKRKSGRTNQLPLTLQPPSNKRQT RHASQTTSFADKLSKGRQSLPANSKHDTNMSNNKLGSSPGKGHAHKSPAKTGSQHALS DNEGSPPARIKRAYNRRVPQPEVSDNEESPAPVKRAYKKRASQPEASENEQSPPPVRV KRAYKKRMSQPGGTPTTIRRSARASDIRSKETPNDEDAIEGYSPESKTKSPRIRFKVK PPTLPLVHPDLVLRRPKQYPTFEEYIQKAFNQPIEEGGLFSAEDDVGYTDEMALREAR LILRMEDAALPGGVLSEEVSSAYRPEEQESTKRIPRQYSHQDHLVRAVTEFRILMVEE HKKHKRNAKRLAEACRDEWHRNQPKSLEEMEAEAQKAVELRYRSVVRAMQITWDNVKA EVNKRRLKQWEAEEQVRVRKALNEAVDLSTQKLEARRAHQDSDVPSSEEEGDEDDDLS AEDQTDENHSESDSNMSSSESEADEERDVEDKGGDEHLTLEELKQKYSSLPETPVSME VDEENEEGSDVDVLLDTASNINGETEADETDESVDMDDDMGSSDEERDSDEEEEDESE EDGDDQGDASLLGFLAPSDLAEMGVDKTPSTPSAAAEDEDMLDEDDEVSLIPDASHPQ SSLAPATDVEEKASAEIDDNPQHPLSEVMNVDAASEAEGEAASADHSTQLTPRTSVTK PSDAESASSIEQTSGPVTPTVAPQTPSNLKTPVPFLLRGTLREYQHYGLDWLAGLYAN NTNGILADEMGLGKTIQTIALLAHLACEHHVWGPHLVIVPTSVMLNWEMEFKKWCPGF KILAYYGTQEERKRKRQGWNDVDTWNVCITSYQLVLQDQQVFKRRKWHYMILDEAHNI KNFRSQRWQTLLTFNTRARLLLTGTPLQNNLTELWSLLFFLMPSDGTEQGIGGFADLK EFSEWFKKPQEQILENGRETMDDEARAIITKLHKVLRPYLLRRMKADVEKQMPAKYEH VEYCRLSRRQRELYDGFLSRSGTRETLASGNYLSIINCLMQLRKVCNHPDLFLERPIL SSFPMKKSAVASFQATDLMVRSKLLWQDPMSTVSLGFLNLVPTRNEHLSLAVNERSNM LTAQRVLMDMREAQRLRAQYGMTNMDPSTVKSNLVFLESASRWSRFEELQHIVYLNAL RRQAKPMYGKGLVKRFDLGVNPRLFEKRPTRRDQVLEWIWKSSSVLKDMVLTLEQRSE ELEPYIQKFACITPPIVAPDALPVLLSRRGVEYFQTAPHRDKPDPFHEARMRLSVQFP DKRLLQYDCGKLQALDKLLRRLQAGGHRALIFTQMTKVLDILEQFLNIHGHRYLRLDG ATKIEQRQILTDRFNNDTRILAFILSSRSGGLGINLTGADTVIFYDLDWNPAMDKQCQ DRAHRIGQTRDVHIYRLVSEHTIEANILRKANQKRMLDDVVIQEGAFTTDYFTKPAEE VVTDTSLLDADAAASAAMDRVLGGPDNSTNVQRVLEAAEDTEDVVAAKAAAQETQADV EDFAEKAVTAAPTPRASGPDTPMDDIGTPATGATPAPEIMVNGVVVSEEERDAWGRPV GSIDEYMLGLMMELVKDTPLELPKEKSRAKRGKEHRQRNRRER VE01_10454 MSVVVAFKMLSRRIGRIQRLGAVAQVARPAGAIQKRCLSQADVE DPNMNGGYVNPPAIKRQFRDPHGDWWDKQQRRNYGEPVHEDDDILGLFSPEEYTHTKP ATAFFQIGCFITAVFGLCGVVYVLYPDKQSYPKEYEGGLEKELGGPGVVRARKAGDPY E VE01_10455 MRYQNWDVLLFSDKSKVPIQEFKTTCHVIQDSGKAVDGVASLLV DFTLPPRREEDMANRLNAEYLPTQGTLPLLPTVTSFVPALGQGQNFRLSIHSWEAPEP SRFALNFTKDPGLIMFEARVFIDGRLAGHRYFGRDGPWPTIIETGINVNKNGDLEPLR FPEFHQELLSQNYWNAGDDLGRIKVVIAEGLHRESPAMPFDRIKNIVAFSFQHAPLEV LESSSIAWPNAEMWRHISFVAGMLPGGNVPRKPSEGAVEAHSHSPRRRSISLARPQRP PPAMGMMLPPGLPVFPHPANFDPFTEPRATQWRQPSSADVSMPDYSSSVSLASHSRQF TDPISSNKPEPQRFQSLESIGAFEGLCEALKPSNVTKKSVLGDSDDVRLAQNKAPKVP DDHQSIRSIAGQTTPATAPESGISGSVKSRKENAQDSPLPREALSEGNNFTLGASQPS SAISAAGHKRQHVVTPASVKAIDQEDEPKNSIMRKISQGSAGRRALGELPNAL VE01_10456 MTTTTQHSTSSTTAREPPDTAVTSSASSSPASPRRLSQGAPPAT DSDAASTATRPKIGTRKSSGTIIVPRDAPNVELKKGEEVFDEDDARAMSPRRNSEDLE KMSQEARAQLSQHAKLLQESLLEIYNRIEAVKEEHDKLDSNNKFLQKYIGDLMSTSKI TATGSGNRKK VE01_10457 MSTSSSRSARGSTTRRLPRNMNGSLSDRLSRTVSRFMPKVVTYN ESYTYALRAAFLHHLLQPRAKRKQYLPAPKAISHRASTVGDLVKDLSGVGSAKSAKLP HGFMGPLEKRMTGVLMMKERLPGYNDPAVKRSFAEAYTAFTETTFRRRMEQERRVEDL VLIFYSNAVKSLQKGKPPGDDSWKNLLDRHVALFLRLLSNTMKDHGYDKDRPELMSRL ATLEKKLLTDDQDLSSGAGPSDSYIEVVNPISYDVKDMPMVLVVGRIFGMRNSQVQSD IDANKKFWTEEVALTELKSYQQCINSQSARVLSSNDFDVDEGYQAWKKAESHDLSQLM LDILHVKPELAKSSSASTKPAAPPTTGPNKPLPTIIPVQPDADYYNTISSPTERTSLS SFSQTQPADMSGLSLSDEPSTRLYLEEVSFVYIPPDPRAYYRSILMHAATFDQLHTEH NAESGAPAPPLSMQSLELLTELAIRWRVPQFSRLILYLDVSVQRFKDREIGLEELDAA FEMIKNPPAETKKSSTLAHAGGLQSIDRSHWTINDMALYRHTLVSVHDSLLRDLYDVL QHCYDSKPPSVGPVMVILESHIYNDECFQQSPEQVQDYSEQLDRGLREKAAAVYRGYL EAEVPQNQEEWQFYHVVQLGKSVVKLCTRIQKRYKKNPEFMGVNPLTVLVETMFPSFE NDAADLIQRIMNVAHANNSEVDLEDGFALYKELVEIRRIHQQALPDVPFAFHIEDALA DFVWRWINVADEKMVDLVDQAILHDKFEVRSENPTDNERHSVSAIDVFRLFNETTDQI FQLNWDDDVHHAKFMTALSKSFGVGLARYCEAVEERFTKEMNRLTPEQEAAAKQSKQD RWMQLAKDAWNNKEKMEPFQFHPQSLVKLNNIEFAVQQLDKLEKMMNVDACAEVLQRN ITPKEQQQMRKPNKYVFTVKIVEGEDLKACDTNGLSDPYVILGDEFKKRLMKTRVVPK NLNPRWDESIDIIVSGPVNIVATIWDFDTFGDDDLMGRTSLKLDPSHFSDYLPKECWL NLDTQGKLLLRIGMEGERDDIQFYFGKAFRLLKRTERDMIRKITDKLSTYINLSLSPD ALRSLLSRGISITSMWKTRQSLPPPLLPIDIENALKPLFTYFDDNFAIMQQTLTTASM IAVMTRLWKEVLLAIEALLIPPLSDKPSPQKALSRQEADTVFMWLELLRAFFNARDEE TGEAMGVPDDVLKNAKYHELKQLAFFYFDPTDSLIKTSERMASATAARALAQRTSLSL PPGSLGPSLGVGLGSATSLRRGKSIMLSRNLGTMRQAKEEKRREAQADPSDDVILRIL RMRHEGVAVAYLRDRSRQRERLAAAAAAESIVRQSLGGGGRFGGGNLPRR VE01_10458 MTDDAALAAATAYIQSLATSPTGLSALKASRKDADTNGIEEIHL PGSSTPAKHALERELTSLAKRVRFLESNAAASSKDHLMPDTPNDSIDSSPADDIIPTA STSAAREKIVSTLLASRESPAGQSTVTYTKLSSEQLEALREHVDHQSGQLESQKLELA SVNAQLLRQKQLQEKALKAVEVERVNALERELKKHQQANEAFQKALREIGEIVTAVAR GDLSKKVQIHSVEMDPEITTFKRTINTMMDQLQVFSSEVSRVAREVGTEGMLGGQAQI SGVDGTWKELTKNVNVMAQNLTDQVREIAAVTTAVAHGDLTQKIERPAQGEILQLQQT INTMVDQLRTFAAEVTRVARDVGTEGILGGQAEIEGVKGMWNTLTVNVNAMANNLTTQ VRDIAMVTTAVAKGDLTQKVQAECKGEIFELKSTINSMVDQLQQFAREVTKIAREVGT EGRLGGQATVHDVEGTWRDLTENVNGMAMNLTTQVREIAKVTTAVAKGDLTEKIGVEV RGEILDLKITINTMVDKLSIFAFEVSKVAREVGTDGTLGGQAKVENVEGKWKDLTENV NTMASNLTSQVRGISTVTQAIANGDMSQKIEVEAAGEILVLRETINNMVDRLSIFSNE IQRVAKDVGVDGKMGGQADVAGIGGRWKEITTDVNTMAMNLTAQVRAFGDITNAATDG DFTKLITVEASGEMDELKRKINQMVFNLRESIQRNTAAREAAEMANRTKSEFLANMSH EIRTPMNGIIGMTQLTLDTDLTQYQREMLNIVHNLANSLLTIIDDILDLSKIEANRMV MEEIPYSVRSIVFNALKTLAVKANEKFLDLTYRVDSSVPDHVVGDSFRLRQVILNLVG NAIKFTENGEVSLTIRKADQDNCEHNEYAIEFSVSDTGIGIQADKLDLIFDTFQQADG SMTRKFGGTGLGLSISKRLVNLMRGDVWVKSTYGKGSSFFFTCTVRLATSDISFIEKA LKPYQGHQVLFIDKGETGHGKEISSMLSQIGLEPVVVESDSHSDLMQAGRPANHPSNY DVVIVDSIETGRRLRSIDEFKYIPIVLLAPVVHVSLKSALDLGITSYMTTPCQTIDLG NGMIPALENRAMPSLADNTKSFDILLAEDNVVNQRLAVKILEKYHHVVTVVGNGLEAL EAIKLKRYDCILMDVQMPIMGGFEATAKIREYEYNIGTTRTPIIALTAHAMLGDREKC IQAQMDEYLSKPLKQNHLIQTILKCATLGGQLLEKGREPRQSTKEEKPTKIGPPVKAP QPLSPLSPGAKKKAEIKSGGKGNIPLENGGRSARSPAAAGASASPGPGKGEEGSPAKE GDDPLARVSSVAVRPAVAAAAANDRRRRETDAAAPSEAASAAKKRKVG VE01_10459 MAALKAHDDLRADGLGSEVNTIVDNNEHYAEKMDGDAENTDFEA EKTDVDSSSGNAVGVLPEDTLSPSDYPEGLQFFFILIALILSIFMVALDLTIVATAIP KITDQFHSVSEIGWYGSAFFLTVASFTSPWGKLFKYFPLKWAYLVAVFIFELGSLICA VAPNSTALIVGRAIAGLGAAGVASGAFILVAFLAPPEKRPAYLGIIGASYGISAVIGP LLGGVFTERLSWRWCFYINLPVGGVAAAILFFFFKLPAHVKPAEATFREKMLQLDPTG IVLILCAVICYVLALQWGGVSLPWNDSKVIGLFVGFALLMIAFGFNEWWLGERAMLPP RLLKNRYIWQGMAYSFTIAGTYFLVLYYLPIYFQVIDNVSPIQSGVRNLPLILAITLS TIISGVGITVTRRAMPFMAVAGVLTTIGVGLLYTLDIGTGSSKWIGYQVLAGLGLGLG FQVPVSAAQATLPQIDIPSGSAMIIFVQTIGGAFLVSAGQSAFVAKLSEYLLQSDAAI SPAKVIATGATVIRTTFTATEVPIILRAYVKGIQTAFIVSIALAGASTIIAFAASWGT LEPAAGEGEAPRVEKEGEPKEVV VE01_10460 MFHELESLTPADRDLFHRFGHGPRVEPRFSLVHKAFEDVVDSQP SLVAAEHDGNSVTYQELERLSNVLANDLIRSGLEPRQRVCLVLQRSIDMVVAILAVIK CGCQYVPLDGGVVPDNVMSHIFEDTQAQFVLCLGKFHHKVQQCAGSTTTIVVLDAPRD EKSSSISTERPAVSLNSSDGLYIIYTSGTTGKPKGVDVSHQNVTNLICLPPGNLGITQ WEILATLLNGGTLVLRTSNWNDVLKQANTIIATPSVLRKLDRAEYPNIQVVALAGEPC PKSLADEWAKEATLYHCCGPTEVTIVNTMHVHQPGYDMSIGRPVPNTDVYILDENENS LPIGSIGLMWAGGRCVSRGYLNLPEETSKKFKLNKFVNDGSFMFNTGDLGRWRDDGTL ETLGRIDDQVKIKGFRVELSSVATAIEQTPGVSRACAILNNEVLWGFYSGPSYVEELA VNAVVSKCQPYYAIPSSWMYRSDLPLTPNGKIDKHALLASIPANQEMQLIAQPTPATL NDLEKYPVSTDDTAVTTVESDTGVNKTDSSTSSIQEKHPLPQKLGTHGARALRHRFFS LYRRFFSVVFIANTVAVIYMIHRYAVQGPDLPSLGTAIGANLCTAVLMRQDHVVNLLF TLACSVPTSAPLFIRRNCAKVYHIGGLHSGAGVAATAWLLVFTIAATIESAHPAVLAV SYTLIALLVGIVISAHPTFRARFHNRFELIHRFAGWTALALFWIQTIVLSDSLRGTST LGKALINSPGFWLLLIATSSVALPWLNLRKVNVRRDVLSGHAVRLYFDYTTPVVGTAV RISQRPLVEWHAFATIAKPNEKGFSLLVSNAGDWTLNQIQNGPSKLWVRGVPACGVLR IAPLFKKIVLVGTGSGIGPCLPVIYAKKVPCRIFWSTPHPEKNFGPEIIKAIYDADPD AVIHNTKTMGRPDMVAISYRLLQESNAEAVCVISNKKLTQMIVYAMESRGIPAFGAIF DS VE01_10461 MSIFLWATRRVGGLSVLTLASLLSISLYLEWSTRKQNIQKPHGD SKAPSHASHTTAPITNGGYWTLAFAYYSVLIHVMVLMFPVRACLAVGSLTRGVKAVSR NRSLQRFKYGPTRRLSFMSLASDVTLTSSLASTTASSDAGDSDMIDSVTDVEYDQDKV IHAIIIPNYKEDVDGLRETLDVLASHPQARLSYDVYLAMETRESGAEVKAMGLVSEYM KKFRFIYFTMHPSDIPGEAPGKGSNAAWAARKLSERYSIEERRDVIITGIDADSHLSS NYTALITNMHLEYPELAQTTLYSAPILFDRNAHLVPTVVRVADILWCAAGLSGLYACS SIRPPTSVYSLPLELVDRVGGWDSDPEAIGEDLHMYLKCFFALNGNLTSRTVLSPVSQ TSVCSGQKGHRGLVMDIRARYAQAMRHMWGALDSGYAMRQMVKLWKNRKTTVHTYRPL HTTLNAETDYLPTPDEKMEERKAENGIFSDVTHGDVSSPDYIRIFYLFHRLFEAHFLP THMILLVLASSLYTCITADKPDTLHIGWTFALTNYLRIISFGGTAVYLMLYESYHATG AGLRLAEMTAVGLAEHMVFSFRKFPGNLVDYAVSPLVAPLFGCVPALQAQFSHFWTQE LVYAVSAKPEVVGRVRAKSVSGEEFLSV VE01_10462 MAIDTVVTDPSLKAVLIASREARQQAIDLLTLTSSPLPPSTAAL QISKQQKLLNGYLAQLRGLQRQATFGARDTKAQTAEARQEVDRLHLQLQNLYYEQRHL QGEIAACEAYDHKYLELPLIPESEFLTLFPEHVGKDEEALMAARIEHEHAEREALEQQ RQGLLKMKQGLIADNKRRKEDLASLDKQLENFIDAAKPIQKTLEKV VE01_10463 MASTTALAAGAECSTETMSATTSGIEAPAAPYKRRHSSFRSGRL SISSLDWEDGLQLKIDLFLEELERRLDLIEEYGDIDLDASIQRAYATLQAVRARCSQV SGEVLDAGRRRAKVMVETVESRYQHALVAKETFAEKAQTGLILLEEMLCEYEARAMKF REQGFCNAANTVIEGGRRMVDEGIGRAKVVVDDSIERAMKAAETLDIRIAHAVSQAKK HGLITFQDLPAPWQVNPHITRGYRFSESKVHILRSTLTISNELVNIWSHAIGLLIVLS IAFYFYPTSVNFSLSTTADKVIAAVFFFAACKCLVCSCLWHTMNCVANRTLLERFACV DYTGISLLIAASIMTTEYTAFYCEPVARSVYLIATATLGVAGVILPWHPTFNAKNMAW GRVAFYVTLAATGFVPVFQLVKTRGGDWAWDFYAPITKSIAVYFCGAIVYASKVPEKW YPGAFDYVGGSHNLWHFAVLGGILFHYRAMEHLFAAAFERAQLGCPTS VE01_10464 MEQPSSSSKVTVEYFDPYNVYELLSPGLLARLPLRTLHWESHAG PLRSIRSLHVDLVPAADARPPSSASSPELSRVKSNDSTISGDDGFRTQPLGKSSNDRD REEGKTPAPLQVPVKGRRHQIPGLRQTPYLKVLLVRCDDNDTYKAQARKQVREWVKAH TPPAQSTAKLSAQENHDAFEYLILHVIVPNTAAATQPRVSAKGGNTIYEKLKADFNGT AKAPVDRVAQIRIGVNDVPYDRLPRVVPAIPGSYTESAQEHEAAWQDLIAKFKKLILD SFDMRVTQYEDDIKEKDAQRALPGWNFCTFFVLKEGLARGFESVGLVEDALVGYDELA VGLDAIIREQAATGTGAEHGGSFLPYTDDLFGQVEQAKKRIANGDAVDPSDSEDTVDL QSSDNAQKADQDEILLSADRKPYRELILANNISIFDFRCYLFARQLSLLLRMGNAVSS REELLAKLKEQRETSLLGVAARHPPTQANEEGENLALLSEICRRSINFLASISRIMRD DITSALYISKEKNPDAKSSTSEDLATAQAIDNVVSSFTFSVTQQILAQTATKALPIPA SNLAPMNATDGPDQEKATIPEPKTMMHPARSSSLITNPPPRATSPGVFPGRRASSAAV DSNASVSSTFLKAGLEDLAAGRAQIYLLSRIVLERLGKKRKWSVGWEELQSSNVGTGA MEEVDLNSDSKESPDKLDKGTPSLQGIDNKLLRTALETQEDFNRLYEILTDKALRHFS VAGHTQSVQANMADLAVLRYHLDDYAGAASYLYRITRFYGESGWEQIQMSMLVMYTRC LKELKRNDEYVRVAINLLAKAAGHAKSDYFRKSGVKLGHEDALQALEDVSVDGYLTEL MTIVPTLQNDFAVSLKYFFARIEVEGAARYHEGRDSFSIQLKLRYLLPDDLHIDKAKA RLVPVTAGQARDIWLDLQEPMDLKPGINRLQFQSNVTIPGTYVVKQLVLYSSKMVMSY ETESAPPAMTQSMDFFKCPRIRLYQRADSFDVKMAASQNLHLDRQRALEVTFSSGWND VASGEIHVRAATAGLRLQTAETKVLDGELEIMKKSSPGVIRFGALPANSTVKLSLPFS LEQETSTLALKVEMSYTVGKEVFFLACSPTISVLLPLGVNVQDVFKRKALFSKFTISS ATTNPLRLLSSSLSESDIYEACSGDEFMDSVVIYPRQSASLLYRINRRKTPIIPSGGK KKQVSSLQLKLHYICLEEEIDSAITTALTTSLKTTPLHQYTRLIIPAILSVLHSRLSA YDLERAALLGELLTSTLLDTNWSPYFGGLHTSSQQPTDVITQIATWIREFHAAHPFIP LPPLVITADTIAQSRSITIPVAVPSITVVHTADLQLTTPSPHGAETTTDDELVAVTNQ PIPATLVLKHTRAWDNPDSPHRATELAFTYELSAPSDTWLLGGRRKGGFKIPASGSAP PTTLRFPVLLIPLREGYLPFPSLEVKAVPVVGEKKGEGKKEGEKGEVGKKEEEVRVTS ECDYGNVAMLVRVFSDAFKTTVSLDASGPQGGAWLLEI VE01_10465 MSTITTPRAESSAAAQRGKERTLADAMREPSVAVPAVVVLDEAH VEVPRRVFVSVKKDLWLSTVDGRHQSPIIPVRCGPEAETFYVHKDILTKSEFFRNALD GRFREADEQAVDLPEEDPALFSFVVAYLYEGKFSPIKPAVDALVVELPKGKGREGEEE NEESESGSGSEIGNSSSDDSMRSERRFQARQRRQQRTFDRLNRKEPGRHRLGCNCSTC FNHNQRPPCWNCGVQSNRPLPPRRAYAGYVAPPGGWGVPPPPPPVGVHPPHPGAIMHR RGPPRPRGGNQRRLSRDRGVVDPAPEPRMDGEDMRTWLMAYELSVDVYICAERYCLDD FKSCIRLCIIDYLETAGMDAAQPLLLECCRKLHAGLSANDVLLKMVFARVGFMLARLW KNHGEETHLFWMENAEVGGMIMKETMERREMDAGEDLPAMSRVFQVGREREVVAMHR VE01_10466 MQYSFAKACLLALATTTSVLAQIPGFNVLTAPTSHEVIPAGSTF TIRWTPSDPPAPITLLLMQGKDAQSLDIAEQAIASSIDSAVGHFDWTVPASAPFAAYG ILLQLDSNHDQFQYSNPFTISGGEGGSTAGAGEGTISISTAESTEGKSTTTIKVSQIS TAAEPTTTSSSTKASSTSTKAAPSTTSTEASTTTAESTTEAPSTTEAPSTTLETSITP PTSTEAPTTPPSATPTGAAPRNAIVGSGLLGAMVMVFALF VE01_10467 MGGQPAAEADGQYPQLSAKPVGQRISRIYKERINSFTAGGQYES QNLRSMLNEAVVSGEPHVRLSVYNVPDLARPPFKDAVSHDFKPTKVGESFGPSWSTHW FKVAITIPKELLKKELLELHWDGNNEALVWSEDGHPLQGLTGGGERVEWVIPDSFRDG KEHIVYVEMACNGMFGNAPGGDSIQPPDPNRYFRLGKAEIVAVNVQARALRLDFWVIG DAAKEFPENSWEQHKALQVATSIIDAFEAGNQDSIIKGRKIAQEYLGENVDSEKVYET GTEPLVYGIGNCHIDTCWLWPWAETKRKVARSWTSQCNLLDSYPEHRFTVSQAQQFKW LKEFYPSLFDRVKAHIKKGNFQTVGGSWVEHDTNMPSGESLARQFLYGQRFFESNFGE RCKTFWLPDTFGYSAQLPQLCRQSGMKRFFTQKLSWNNINKFPHTTFNWVSLDGSQVI CHMCPSETYTAEANLGDLRKSVTQHKSMDQDATSLLVFGKGDGGGGPTWEHLEKLRRC RGLSDKIGSLPRVHMGQSVEDFYDRLEDRIGKGLDLVTWYGELYFELHRGTYTTQANN KRNNRKAEFLLRDIELLATAASLKNSKYKYPKEDIDDIWEATLLCQFHDCLPGSSIEM CYDDSDELYEKAFTTGHRLLKEAHKALGLEDPVISGVSGGLVAVNTLPWYRKEIVRIS ETAVGVVCGSGTAIKIKPFNIAEKPAVTVREVIKDVFVLENDQLRVQVEGGNITSLYD HRAGREVIPKGSRANQLVIFDDKPLYWQAWDVEVYHLDSRKELHATSTRVVENTPHRV SVQSETRISDVSWIKTTISLSAAFVNTPSLVEITANVEWRETMRFLKVEFPVDIRNTE ASYETAFGIVRRPTHYNTTWDMAKFEVCCHKWADLSEHGYGVSVLNESKYGFATCGNV MRLSLLRAPKAPDAHADMGRHQIKWALLPHQGELGSETIRAGYAFNNPMRVLRAPVAA VEALNVLDIRITGDASLVLDTVKRGEDDEDVSRGELPKRGGRSVVLRIFDSLGGTSRG VIETGFKVKKAWKTNVLEDEGVEVEVGEGGVRICLRPFEVATFRLEV VE01_10468 MLLALKILKGLRNHEPAADARLEVINAFLLSIKRAADLANLEPK DPSLPPSTNAKTINIFPKELQYLNTTKAETHLRRVQAARNQDPTAEQFTNAELDVEVQ ERGKLTEFMHDTFRRRKGNVNLAVIMGLAPWTNFAAADQAGRTEGTRSAEERRRLWEE PETPGNVIVVKWEDNVMTIYDPSWSTNSRTYYRDGKVLRLREISGWSLVAALRTAINK QGTNVRLIQGGGGGNHHGESRRMSYRWLVEEVIAAIEPAEAKPVRCTDWVKIVA VE01_10469 MKVEAQTPFSSACKATQWDPEAILGIINPDRGSFTCVGYAPSMR RQCRNPIARNNRDFVYGLLDLLALMGPSSGNFATLLEEAAYRSLCWRHGIQADDIVKK WEASIVALNLPGPEAKGKGKQSKNRTKESQSTRSYYTRYTDEYVKTKAEDMKQKEREQ DCREAQQDQQRKKRQEEQDKEKLQQERRDKEARERRQREAQKRGEREQQAREQAMKER REWQQAWQNYVTKWAAFKEAKHEPCTVQQAQALIPWPVKSGRFGDLTREHVRGFYREA CPDTKTTAMFKTMQRESLKWHPDKMVNLYRNCAPGDADKMVIGMICRVVLELREEAKA MRDE VE01_10470 MRFTTTTTLSTLSLLLALPTLSTATPCKANEVGVGYTYMTNGQT PQGAGVLWVQPTIFATDCGVLASSHQSHYCNMGWDDPSGIVACDKDRKATGVVLNGRH YGNCYSPSSYICAAWLWGKASAEMCCIRDV VE01_10471 MAASTTTRPTLPKLKTPQTASFPSPFPSELSARSARTPLSAFPD YIKIECDTKTPITPPSAYLDFLKSMASPVVADKPKSGSTTPSSTAHSDGGSTTSVSPI TDDDHTLPHKSPAPSPFVYPTSAPSTSRIRLRIPPSPAFSLKDARSPLSANPYSAHPM SATSIRSPFSARSPHDWDIALKGRWFDVKSPKTSRSGVKQIREIVTRTVTYTPRMSAA PKGKRRRIE VE01_10472 MAIEVAGLVGVSIGKTPEDVGSNWCWSTGHRTPDTARSAKLCFI FWRQCIINGEEVVDTGSR VE01_10473 MPFYTPSKPTFEVAGRDFPRITWWNEPGMRSLYICLGFVVLTSA TNGYDGSLMNGLQAMESWRSEFKSPDPASRGLLNAIMSVGSICALPVVPYTADILGRR WGIIIGCTIMILGVVLQSIGINLQLLTASRFFVGFGVAIAHGASPLLVAELVHPQHRA IYTTIYNCTWYFGSIVASWTAFGTNKLGDTNNWAWRIPTILQALPSCLQMIFIWFVPE SPRFLIAKGKHEQALKVLADVHARGNQDDELVQLEFTEITETIKLEQEFEGNGWKQLI STKGNRHRLIILISLGFFSQWSGNGLVSYYMTDVLKLIGIEDSDKQLEINGILNIVNF CVALFMCFWIDKLGRRLLFLTATGGMLGAFIIWTATASIVARTDEENPNTMAANAEIA FIFIFYCFYNMAWSGLLVGYAVEILPYSIRAKGMTVMWLAIDLSLFFNSYVNPVALGK LAWKYYIVYDVWLAVELLVVYLFYVETSNTALEEIVKHFDGDSALLGGAVATEKGRQL VEQIENYSAAGGEEGIHGMNHIDAKAPEVVTDEKALN VE01_10474 MSFLGLKTFDDPNRAPSSGSFATYMIVAPTSFFLGMLFSSFPYD YPLLWTSSPLPPTFLSDLETHLQFLHASPPLIPRILHFLIVVGFAGFLLKLFKPSEAN LLFDGASLVLYVVGVIVYITNIIKGLRVVTKGEWDAVVQVADGEEVVGREDSLKVLAA SNTILALVLVGVLVLQAGQWYAERREREERAQFEAMEKKEGKGKGGSKKKQ VE01_10475 MFHSSMSPAPYTTSAGSSTASSSTSSKTTLQASPSPSPSPSPSP SISPSPSPSPSPSLSPIPPPSPTHQPRRTPFDIDHHLNPYLPTPRLHLLPRPLAHLLG HRPHLHHPPRTLLTTLLALLGTFTGLALATLLFHILPSLSAATDANPLIIASLGATAI LLYSTPASPLAQPRPLLLGQAISATVGILITLAFRSLGHEEFERLRWLAGALAVAIAA AVMSFTKTVHPPAGATALLAVTSDEIIELGWGLVALIEVGCAAMMVVALVWGNLHKGR RYPVFWWTEMELGEVEEGVVEKEMEEGRGERVVVLPRGFVLSEGEREVLERIEGRVAA AAAAAAAAAVKGGMGVKVVP VE01_10476 MASSTAIPRRDGLRRTAAAAAAAADSSPSTADVSPTDSPRASPS SSSLSSMSSIDNDVSAKSTTSTKLIDTYGNEFQLPDYAMGDIIRAIPKECFKRNTALG LYYVARDIACLATTFYLFHTFVTPENVPSTPARAALWAVYTAIQGLFGTGLWVLAHEC GHQAFSPSKVVNDTVGWVCHSALLVPYFSWKISHGKHHKATGNMEKDMVFVPKSREVY ANRIAKFVHELDELTEETPIATLLHLVGQQLAGWWLYLFANVTGHNHHENQKEGRGKG KKNGYTTGVNHFNPASPLYEAKDAKLIVLSDIGVGLTIAALVWVSKTFGAANMFVWYF IPYLWVNHWLVAITYLQHTDPSLPHYQPSSWNYVRGAAATIDREFGFIGRHLFHGIIE THVLHHYVCTIPFYNADLASEAIKPVMGRHYRADVEGGSWGFLKSIWKSARWCQWVEP NQETLGKGGEAEGVLFFRNRNGLGVPPAKVAKA VE01_10477 MALWVVLNDQERSNMTDAQERLWGYSKKAGMLTGYSMGYYLWDL ITMMKNTRSFGTGMLLHSQSFLQFYAPWGILYQLSNPFLQVHWFCDMLDMTGCNVQLC NGLALLFVFFWSRIICGNYQSLGLYHDIWTSYCKNHSPISFDSGVMGLANRNPSDTCQ ATQEGCVPLWLAFTTSLAVAALSVMNCFWFYKLIRSMNRRFILAKQQPKRCASGILKV NSANVAGTSIFGWPWRMFVKLLPWLAGFLYLGDRIALREKIVKTLQLFRHTAARIISR KLVLDMRDTSTEKLAPLTEMEVKVQRLWAEILELDVDTIGLKDNFFRLGGNSVQAVSL VAASRAHGVQFTVADLFANSTISELSLVATGIEKSTHVDLMPFEISSGHEATDTIVDE AAAMCTVHRDNIADLYPCTPLQESLMALSIRTTGAYVAQHLISLKSTLDVDRFRACWE TAVKSSPILRTRIVQSNSSRKMLQVVIQEHPSWAVSSDLQTYLENDKRLTMSFGEPLN RCAIVNDITSAQSYLVWTMHHCIYDGWSLSLIIKTLLQLYEGATVPNPTNFNSFVKHV EGANGDAARAFWQSQLSSSQPSTFPIVTGAMCTTTVESSYKHQICISRTTNTDITTPT IIRAAWALLMSMYENSNTVVFGVTIAGRNAPVSGIENIVGPTIATVPFRVNIHPNQTI SEYLEVLQKQATTMIPFEQYGLLNIKAINSETQSACEFQNLLVIHPTTWSKEIGRIGS VETYLSELENDKTYPLVMECTLTTDGIQIKVSFDSKIVDKPQIVRLTGQFEHLINQIC WKHEVALVGEIKMINRKDQTDICAWNSNCPEAVEACVHETVAQQVVARPDAPAVYGWD ASFSYRKLDELSTQLARHLVGLGVGPEKFVPLCFEKSAWTIVAMLAVLKAGGACVSLD PKHPIDRLQAIIADLNADLIISSTANAALFKGVVDKIVSVGPTALFRKIPLVPHLGVQ KARPYDPAFVIFTSGSTGKPKGVVLTHASFCTTARQHGAAMFLGFDSRVLQFAAYTFD LSLAEIFSTLVHGGCVCVPSEKDKMDNLGKVIRTMKINVAHLTSSVANLVRPKDVPGL KFLAVGGEATTQGVVKRWADSVYLVSVYGPSECTVFSSCLGGLQPDTSGANIGRGIGS VLWVVDPGNHERLMPIGCVGELLIEGPILAHGYLNDQEKTTAVFIENPEWAEHFGLGR RRRFYKTGDLVRYNPDGTLNFMGRKDTQVKLRGQRLELGEIEHHLELLVPSNWKLIVE MIRPTCRDGDPMLAAFICTEVRQYDTADADLALSALAAIHDKMLELENGLAAQLPGYM MPAVYIPLQHLPLTSSGKTDRLRLRQLGARLTVLQLTACSVGERTKRPPSTVMELKLQ QLWAEVLHLELSSIGADDSFFRLGGDSIRAMRLVAASREKGIVLTVAGIFQTPTLSDM SQKSNMADDDSLREIAPFGLWEETDSADFNRLEEIGAICNVAKDQIEDVYPCTPQQEG MMAISARQHKAYMARRVFKLPQSLDLGRFQMAWDRLAEVHAILRTRIVPAARSRPLQV VVREKISWHRSGSLDEYLKQDEHAPMSYGQSLTRYAILGQADARVFVLTVHHALYDGW SSASLFEQVSRIYREGSVPQPVPYNRFIHYLAGLDHERAAMYWQSQLSGKLPTSFPPL PSATYQPRPNDSIRQFVPMMRGSNSSVLTSTIVRAAWAVVVAQLSDSDDVLFVVTLTG RNAPVPGIMEIIAPTFTTVPMRMRLDRGQPVPDYLNGAQSQAAEMIPFEHTGPQNIRR LALDFKHLLLIQPYIDQGEQSSILGLEVGPTHLKDFDSYALVMECNLAKDGVFFEARF DATVVPTAQMQKILNLFHKMISLLNEEKGDMKLGDVLTS VE01_10478 MTLMGCLNDDTFGPVVQGCRDDFDFTQKFERILFTAVPASAFIL VAIVRVSHLAQKPRLVLATPFQLVKILTIIIYAASQLSLLVLLSIVDAAAVRSFSIAG IALAFTASLLMIAVSFLEHARSHRTSALLNIYLLFTLLFDIVQARTLWLVINSRSQAI FTYLFTASITIKLIVLVLETHSKARWIIWDEKEHSPEETSGIYTLSVYYWLKQLFLRG YKSILRFDDLYGLDSAMSAETTASKLIEKLNDQKHHGQKPSLLKALFRAFSWPFLLPV FPQIALIAFQYSQSFFLETLIEYLERPDHESSKNMGYGLIVACALIYLGMTVSTSFYA YHSQRAASMARGSLCAVIYKKTTEITTATATDTASVTLMSTDVQHVETGIRLMHFMWS SLIQVGLGCWLLYTKLGVSFVAPIVIMCLCSGILLWVMTFVQSRQSVWMGKIESRVGL TANVISNMKYFKMLGISDHVADLIQDLREEEIRAGTKFRILLLAAFGLGYIPLSISPA VTFGLTFRNLDASTLFVSLSFMTLLTTPLLQLFQDLPQCLAALTSLHRIEAYLAKQPW EDFRHRDAPGASEGQVIDDHKSWTSETALVELHRPDLTHAARHQAGVLPSSQEPAFEI ENGSFGWDESKMVLKDIDIAFPSGKMSIIVGPIASGKSTLCKVLLGEVPIACGSTKIK FPNSPIGYCAQTPFLLDATIMENIISYSRFDQKKYDAIITATMLSVDVANLPSGHHTK IGSNGILLSGGQKQRVSLARALYLESEVLIFDDVFSGLDAPTETEVFKRVFGPDGIIQ QRRATSILFTHSVRHLPFADYVVVLGSDGGVVEQGPFDTLANNGKYVSSLGVMTTTPD TNRVSKAEDIPKVSIQRKTLLEMNNANQYRQTGDWSIYAHNLCRDSVFGGALNLNFLI PEELPEVSIQHKAPLEMNDADQSRQVGDWSIYIHYLRNVATRSVAACIILCIVSGTAA NFSTVWASFWSENSFDRPTNFYVGLYGSIRALELFSILGAVVGLVHMVSSSGSNLHRQ AIITVVRAPLSFFTTTDTGTVTNLFSQDMTLIDGELPLAFINTFLGVVILIGNCFVAA IASPYLAISYPFVAGILYVIQVFYLRTSRQLRLLDLEAKSPLYNHFIDTTRDLATIRA FGWVKDEIDTSNKFLNASQRPAYLLAMIQAWLQTNLTMLVGVIAICLTTLATQLRTSS SFTGASLLTLMSLASTVAAVMQSYTQLETSIGAINRLRTFSQKVKPEDGNDANMPIPE LWPTYGSVSLNAVSASYSTKAADADDKSTGKSPQALALNNLSLYIRPGEKVAVCGRTG SGKSSLMLLLLRLLDPLPGSENIEVDGIPIHQVHRSTLRQRFITVPQDSIFLPGVSSI KFNLDTLSSATDEECISVLETVQLSSFVRACGGLHAPMSADSLSAGQQQLFGLGRAVL RRILRDKMGGPRGGILLLDEMNSKLDKDTDRITQEIVREHFADYTVIMVAHRLDIVMN MCDRVFVLDKGTLVEEGSPKMLAATSESRFGELWKEDA VE01_10353 MATRKVFRQFWRPVYKLCTGELAATPIRRTIQTSARNAHPFPGK KHIRPPPKRNGQILALTGGGVLTIILGSQLAFPSREKSASGPSPPSHKTTAKPQPHSE QEIQPELKEGVQYYRLDEIRSHDGASARPWVTRGTSVYDITDWIPAHPGGDVILRACG GSLDPYWDIFSIHKRKDVYEILEEYKIGEISPHDLVDGKLPAATVPDPFIADPERDPR LKTLTARPRNAETPSEGLSPFLTPTPLFYVRNHMWVPPVEPNEHSLTITLPSGDEKTY TLEDLKSRFRTHTVTATLQCSGNRRADMTRAVPDKGTNGLQWEAGAISCAEWTGVKLR DVLADAGLDLASPGPDAKHAQFEGAEAYGASIPLSKVLDSQGDVLLAFGMNGAPLPAD HGFPLRVIVPGNVAARSVKWLKKITVSDEESTTQWQRRDYKCFGPNVAKPDWSKAPSI QEMPVTSAITGIQRPEAGGKVNVEGYAYSGGGREIVRVDVSIDAGKTWAQAELMGDEG KGSKSWWWKRWRFEIPDEGDEGGEVLVKATDESYNTQPEDYRGIWNQRGNLSCAWHGV HVGPGEGAKRGKEVKG VE01_10354 MATSAFRPAVGIERLPVSAAARRLSNEPRESMNCKSCRKRKIKC NRLRPTCEACQVFQCPCVYDAVPKKRGPKTDVLEALLKRVDGLERRLKDEKQLNGSKD SPSDPPSVPPDTSSSAGTDTFPEHAQQQRPNLKTENIPEPTIESAIYTPTPSVQSPAV ADDVLLDAYFGRAHGKPYFILDEGVIRSRVQAGTAPNGLLLALYAVGARYAVHPNGYH AAVRLSEEYATRARAEVNIDEPSIETLQALLLLSLSFVALGSGKKAYMLLGSGIGMAM ALELHREADPRLKLSSGERSLRRRLFWSCYLMDRFASCGSKRPSMIPDSSLILRLPSW SPNPQSAPVEGEFFQDGSNLQYHAGSGKTSQGGTGLLIDIVRILGNTSRYLAAGGVKG DSHFPWHSLSNLSKIRQDLDIWASGTADVFASTTPLFHQPDSTILILAKLIYHLIHVL IYRPFLPIDLAELAGTGQHQSWQIEATNLCFLHANAIAELVELGRQAGSTEWPAFVGY CVCSAGTVHVHGSHYKTSHSDEVFSSSPDLLSRSMHQLSKLRYTWALVQHQRDTLQAL YAAHAELLKNLASSPMRYSPVFHLEDFFDRYAALGASFDGAHVSFAEVALRHPADEEY RAHNLHAMPASSSHFPDGTSGYPGNAATGKRKREAGEGNELPTRLHDSTNGNNPTSAT TDTFPHLDFSNPSSFPITSNGNGAGTSSRHPRTSMSLGAGNGGYPEHLHNGNGNGNGG NSAQFGGAMGMYPLSTPPPGTDMFGSHNNNHGLNPPTSSHQNQHTSAQSPLAYDAQTP SAGSGCGVAGGLGNAGGGGMGGQGQEGEEKDPFLTLLEQLAENEYSGGGPSELDFFLG GVEGGGMG VE01_10355 MATKPLQAVVQPTPVPPASANGVANGEKAGGGDTRTVIIVHGPG QEGIVSVFADVLGKPFRLATSFRSVADAGHGIVIGLRADDAKVDIHERDRSRIVAIHA HCVNLGMPPDAELSSECDYEFLYAEKPFFRRDLSRFISFTLGQINHHEALITKPRTYF ISTTFPDVRTALPNLDILTVGSDAVELRVDLLKEPLSDGTFSSVPSISYVGEQVMLLR QRTELPIIFTTRCTKENGKFPMENPQLFYEYLYRAVQWGVEYIDVEIWLPEEIRRSLY EKRGNSKIISAFHDFSGTFRWPSERAQEIFRDSRRYGDIVKMITVINDVSENYELEYF RSQIRANNPSGPALSAVNMGRLGQISRAFNTVFSPITHPLLPIVAAPGQMSAAEINSS LATMSQLPRKTIFAITSRPSAQTAFFEKCFNELGLTHRLSAVDRGPKGNVESFCSQPD FGGAYLNPPIAAAQAYIPQLSDAARAIGAIDTITAHTTAAGSQLIGDNAMWKGIRATL TRDFAPAAYRGRAAIVVASSADDASTAVFALRSLNVGTIYTVGFRAVDGAPGSAGLWQ GSEAFTGVESVQRVEMPVVVVSALPPSRSHLVQPLLRHFGAWPGTRRMAGKESGSGRI FVDLASGPRKGDPRAVAEGCGWTCYGVADTGAFATVEVLRLLVGQNVPYSFVRLASGR SLS VE01_10356 MGLLDKVKGHKASGNGLDTSGRTSSDPGIKEQEGGIADINFDQN TDDTETIMNNPNLDDGKVPRVTFRTAIMAIIAAMGGFIFGYDTGQISGFLEMQVFLER FGETNADGKLFFSNVRSGLIVALLSIGTAIGALLAAPLADRIGRRGSIPWWCLIFCVG VTIQIAVGDGQWVGVAMGRWVAGLGVGSLSVLVPLYMSESTPKQVRGAVVCSYQLFIT IGIFTSNCINYGTESRTDTGSYRIPMAIGYLWALILCIGMLMLPESPRYDIRKGFNKR AFNTMQKFYGVPVNHRAIALETAEINANIRASQGSHAWYEVFTGPRMGYRTMLAMALQ MFQQLTGANYFFYYGTTIFAGVGLSNSFVTAMILGGVNVGATFFGLYFVEHFGRRKCL ITGGLWMFMCFMIFASIGHFKFQPAIEGSNDAKTYGTVLIVFACLFICGFASTWGPMI WAVNSEMFPYRYRANAMALATASNWIWNFLLGFFSPFITGAIDFSYGYVFAGCNLVGV AIVYFFLIESATRTIEEVDTMYLIHVPPLKSSKWTSEMIERELASSDNMHLAHGGRNV EKRNRDEEANAQQVEGNGVLGGKLAGDDSLPQHPLPANDLPAHLQQ VE01_10357 MTALCKYDRLLSATGGYWVLHVDGLKALLESRGPWQQKSYASKT IFLEHRIMLVTEAIITSRSTFLRCPLWKTVPWEDDPASKSPIDYLVDIGADITEYIAQ AKIFNSKCNQGAENTNLKFQVASSLEELNTWWHHWEAEQTEPASELTSHQDTNESIFH TLLEYDTLWTAFTVCYYDAIRILLLQLWYILRPFPGPKIILDEPNRTALLGITSNSKR LAREILRSLKYCYRKSQRFIYTSSFLFIQDVAYGCFYQGSKEAAWAGRHSWAELGSFD NIEEANILRKLLPLGNLKVRN VE01_10358 MAATFNNPVISGFNPDPSVIRIGEDYFLVTSTFEYFPGLPIYHS KDLLNWKLIGHGLTRSSQLNLRTVEPSGGIWAPTIRYHKGRVYLTTCKWDRYRPKDDE RIFPRGFYVFTDNIWDDNSWSDPVYFDNPGFDQDLFWDDDDKVYLSTTVRIANRPVGS KLKDFAIHVSEIDLITGRTLTAPKVIRASPHGIAEGSHIIKRGKYYYLFTAEGGTEAG HQEWVLRSEVGPLGPWELGPVNPLWYNGTEEEVQSTGHADVFEDAKGDWWAVFLGVRP RLCEKEWLDSPLGRETFLVKVIWENDWPIFNGGKNITIATEGRHTGQLAPANVWNADL EKSTLELGWYQKHTPLKQEWSLSERPGHLRLYGSCYPLSSAEAPTLLLRKQTTFQQRF QAVLNFQPSKAGYEAGIVVWYSLFSYASIGVTIASEGPDAGKRVLLLRSPTDKIGVLT ESMYPLGPEGQVTLAIQGDGLQYTLSYNEEGKDDSKLLASVQSKLLTRSPPIGGAFTG VMFGVYSFGKMEPVLDPADFSKISLEAV VE01_10359 MPTAEGQMRPAILPAVSRRTRGAFPPASFPRQSSTGLPNRARSI PSSSSTHASLVGVSPTSTHLSTTTRPSSYGSPVVEPGESHQEEALRNKDLLDRIRNLE AQLAIAKSEGTTNPPPPVPNAAPKRLGGIISKTRFLGGTHWMYSHGAFDDIAYLFAKS TRHPSGCSDASSEQVDDITESMEKCKALAKSMKAIPYSQWLANPCFRDAVPVKGVADQ LVNAYFRTSESIYRILHIPSFMKEYRQYWDQPDVSNPVFIVKLLLVMSIGGCFYQGPD STHYRVESKKWIFAAQSWQSTPFEKAKLHMSTIQIQCLLIIARQYCSISGDVVWIAAG TLLRTAMQMGFHRDPASLPKIGILQGEMRRRLWATIIELNIQSSIGSGMPFLITEQEW DTAPPANIDDVDISEATNNPVTPKPNSTLTQTSLQIMLLQSIGPRLEFIRCSNSIGNK PLYDDVLRVGAELMKALRDNRTFILRVNQSLQSQDRVTQLNINMVDLPLRLALLLLHR PFAAKGMKDPRFYYSRKICLESAVTILKYPSSEPLLANQSVDNMFRDDYAQLKIVGGG FKSIITYTSTIIFHELHTQLKEDGPAMVQQTKDNRDSLKQCLLDLLDLSVDRVTLEEN NVMVRLLIVITLSLLDSTEKGVDPEPVVLDAAKKSSLLCYNLMSARMAETNASLPVEA GSHNALDIFEDPLGFGMDFTMQNFGDQDIQGSWLKFACDDGVLWH VE01_10360 MAPMKILVSGGGIAGNAVAFWLSKLGHEVTVVERFSSLRITGLQ IDLRLHGIEVLKRMGLDEAFRANAAPEQGIQVVDKSGRRRGYFPANTSGKGTQNFTSE YEIMRGDLCRIMHDVTKDRVEYIFGTSIESFEETDGAVEVRFADGKTGRYDLLIGADG QGSRTRRMMLGPGAADPFVPIGDGEYIAYFTIPRPMREGEGYMATFYLATRNRWVLTR RSNSKEIQVYLACKLDAGKLRTAYQEGVEEEKAALAEVFKGAGWQLDEILESLKDTDN FYGDELGIVKMESWSRGRVTLLGDAGFCPSVNTGMGTTSAMVGAYILAGEIGRHCGGD ASKGGDTKDGIAAALKAYEQKFRPFMNHVQKGVEEKSSLDSFTSTSFGIAVINCLVGI ASLFKVNIGKWSLKETLEGWELPEYEELARD VE01_10361 MRFTLPTIALILSGTALALPAAEQLDKRDTVQTVHLTFHGGPAS YEMAFPADGTIHPTNHDFAVSIIDAPDYLALSDCTFHTAGQQTLVGGMSATGVQQVII GPPQPITGVSCYGTCVGTYGKCYDSNNQFIGPCCNGYCAATLCRPWINPSA VE01_10362 MPGSDRREAVQVATTVTIVIAFVFVALRMISRIFITRRTTLDDY VMILAWIIAFGGSFTILLAARKGFGLMDAELKPEWITTLKKCGYIYSIIYNPALMATK TSILIFYLRLSRTNKLFRIGTYATMGVVNVVGLILTFINVFQCHPLDKIFSDSFVDPT QKCIPLVTLFLASSPANIFTDLAILVLPIPVLTGMHLPMRQKTILVLTFGLGIFVTIV DVIRIYYLQQALGPTTANSSSPQGTPGLGASPNFVFNVSYGLMWSIVEVNVGIICGCI PLLKPLVSKTMPKLINPPGFHGSQTSSQVSATLTRPAEMPTDRAPARQNNIEGFTPLN FRMPGTPLPEMSMTPGPSLGTPILQNSHRTSVSSTGGVGEAPSQLASRRVSLSPVHEG GSSMQQTSQEPSLQPSQDPLDSPVLESSTPIEQTESSTSPSTEHENFSPRRRYPQQTA LSQQNDADHQVQNDAQPAQEGMFSPEQQQHQEMDMLNFLTSPGMPPRTESGPDRPNQI HFGFVKIRQPRSMLRTSAKDSWKYCAWVSVSFFLLGFSYGLLNTLNVQISLIARYTRA LTVSLHTMYFAGYFFGPLTVGLYCLRRGGFKVTFMVGLCILGTGTLVFWPSSILLTYP GFIISNFIIGFGLSVFETTANAFVLLCGPPRYGESRLLAVQFVQSIGAIVSQLLVEKA FFPSNEVHNNVLSIRWTYLTISFITVIIALVFHYTPLPEASDEDLQDSLQPQLLPLNR VINDDPSNRIFGFRVVYISLAFACFTMFCYMFSQESFNVWFNNSLLSSTNVIDGTHRR QLLSPNFVLYGHCAFSGSLLLASALCLYVRPRIILLGALLGSATFRLAFILIPAELEK KNSSKIAGLAVAEYFCEAPIYAIIVGLALRGLGAKTKLGSALVITMNIGGAAGPWVVL GVIKGMGNTRRPFVVGVAFLFFVSLYPLYLSVVPKARAIVDWPDDGEAGSERRVSQSD SMAAVEAANAGVYSSRHHGMHWPALVEKEFAHIRRASSTSGKAVSKWAGSVRDWTRRV SGL VE01_10363 MTMEFEMTGRRPSRNGSGEVKNDDEEYSYGSRDRAVLANLGKKQ ALKRNFGFISMVGFSSTLMATWEPLSALLQGGLLNGGPVSLVYGFILCFFGTLATCAS LGEMASMSPTSGGQYHWVAQLAPRKYSILLGWYTGWASVLAWLAATAAPAFLGGTLIQ GLLVLNDDTYVYERWHGTLLYTAIVTSAVVVNIFGIKILPHLESIILLMHIGLWFLLL IPMVCLAPQHSAEWVFTDFENKGGWSSDGVSWCVGLLTSAFPFTGFDGAWHMSEEIEN ATTVVPRAMITSIVLNGSLGFGFLIALLFSMGDINAALSTPTGFPIIEIFYFATSSKL AATLMVCGIVFSAVTSTWGLLSAASRMTWAFCRDNGLPYSTYFAHVHSTRHIPIRSII LTAATLLVLGLINIASTAAFYAITGLATVALYSTYIVPIALLVVRRLSGDEIPFGPWH LGRWGMPLNIFSLVYALFVSIWMFFPAFVPVTAVNMNYTSVVYGGTFIFSAVAWYAYG KTTYLGPIKEVRE VE01_10364 MSEESDPNPLAALSPPHWVISDTDHGGYVVIANWTMMCFMVITV IVRLLRKLSIRSWSLDDNLIAAAGAFGLIQCGILHKAVLAGLGRHESSLLDGEIDMYN KYNYTYQILFIITTVLAKMSLLLFITRLTPNVATIRVGRIILCLIATWGVATVIAFAF QCSLPQPWNNISGVCKNQAALYYAAGIIDIITDIALTILPVVILWGVQIQRRKRAIVM LVFMARMLVCLAEIPRLIYLSRYIGSTDKPWYGANVAIWTQAVTHLSIVTACIPSLQH LLASMQSGVFNTALPAGFEGGLSYTLESTGSGGMSRELYGSKRVGTTRTTVESGTQPP RSESKTRLVVDGGIYKTTEFVLNNVDNDQDSGARKRGPPAGRTG VE01_10365 MSIRAAVPADYPRMAEVAAAAFMEDDVYGRFMFPRRRQYPEDYI TQWERRIAMYATKPEYEQYVAVDALTGSVVAWACWERIGPGAAARANPLYLRIKNFYA TVMGSVVSYFWPDRSADPEHIKAFKDAVPYAAHLWSGDREEAWHLDILCTHPDFEGKK HGSSLVKWGISQAEGEGICTSVIAAWEKDPYYAKFGFKEAGRADVGPIAGVKGGAVMF RDKIARE VE01_10366 MSDKEDVKERQVGAVDSELSQDNSIPSSRDGEVDVFAEVAHPPR TIGALEVLSAGFNVCNSWAGVAASMFLAIFQGGPVTIIYGCIVILFLMGATALSLAEL SARYPTAGGQYHWTAILAPKKYARGMSYTVGTINMFGWVAICAGICIIMPQIIMGIVV FYNNDFVILQWHSFLIYQVLNVSVLVYNLFILPKAAWTHNIGFALSLLCFIIFFITCL AIAGPKQPNEYVWNTFVNDSGWPDGIVFLTGLVNPNFMYSGLDGAIHLAEDCINASTA VPQALMATIVIGFVTTFAFVVAMFYCISDFDAVLMTPTAVPIYEIWNQATKSETAATI FIVLLLLTGIFALNASQQTASRLTFSFARDDALIFSKYIGQMHQGLGVPPYALFFNSF VVFIIGCIYLGSTTAFSAIIGTGLVLQQLTFAIPAALLMIRGRAPQYLPSSGRWNLGK FGWFVNGVTVAWSALQLVLYNLPLALPVSGSTMNYTCAVLGCMAIFALVNWFAYARTR YAGPKIDLTKFQ VE01_10367 MTTLFNAVSHASSRRPIALRDEDYDHEIHLVDQTNLSPVGSRSV EIPRSDDESSVTPQTSQTPLSRRHSFDHGKGSARIRGGIEHKRWSKKWTEERTGERAG QLITDDDSSLDVTPTKSAGVRVIVSGSDGENSRSGRPKHKVVPSVTIDRSPSICTPES AIDVLYENERGGFLCGHPLFSFRALGMFDAAPWTNIAFKPSATNIKNAQVPDPGWTWA WADWVINRDPDNEILDDEGWEYSFMFSKRCSWHGPTWYNSFVRRRAWIRKRVRVHHET DTEDPHRLTAEYFAVHPDRLSSASASVSRRSSRRDMVRGEVEEIKDIEQVLAALKACR IDREKSEVVEAFVKGGKADLKDLAERMHDVMGMFIFQASRRTLLAHLTTALDETSKEQ KEVEEKGEAANAEDGKRKERLNHLLNAVEAADGEVKRLEYWSDIKGVTEEGMAKGAVD EEQGWDPAWTGLDTSAPRDVIKEAQMPGVDNGPAKRAGETADSEPAATEKKGKGKENG ETP VE01_10368 MAQPKSRVPLAVGLTAAAGVGYYLYQSGGNAKVAEKKFEADLSS ASARVKGELPGRGKEAEKTAAAWATEKGQQIDDAAEKARKELNKTGESLDSYRKEVGK EAMAKVDKFDATIEKEAAKAKSGISGWFGGSSK VE01_10369 MQLTSSGIEPVRSSGPAVKEQVVDKIPKRFKELKFGVQSTRDIV NQGVLEVSDRMLYDVEKNRKPVPNGAVDPRLGTSSKTGRCETCGEGLNNCNGHFGHVR LALPAFHIGYLKLVIAILQEICKDCARVLLTETERRQFLKELRRPNIDNLKRTQICKK INAQCRKAKTCPYCSAVNGQIRKTGVFKLTHDKFLAYNKSTAAKKVIPPEKAAFDKSF VEAKKTNAELDKHLKKAMDDLNPLRVLNLFRMITPTDCELLGINPSEGRPEMFLWQYV PAPPICIRPSVAQDGASTEDDLTSKLGDIVHISSLIRSALQKGQPIQTIMEQWEYLQL QIAMYVNSDVPGLQQPGFGKAIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPN LSIEEVAIPILVATNMTYPERVHDRNIHKLRECIKRGPGKWPGANIVEKKMDGRKVSL KHADREYTANTLRVGDVVERHLEDGDIILFNRQPSLHKLSIMSHYVKVRPWRTFRFNE CVCGPYNADFDGDEMNIHVPQTEEARTEAINLMGVKNNLCTPKNGEPIISATQDFITA AYLLSSKENFFDRKTFAHLCMYMVDGKMDIDIPPPAIIKPEALWTGKQVFSVLMRPNK TSPVKVNLDAKCRDYKAVAGQAPDMDPNDGWLVVRNSEVMCGRMDKTTVGSGKKDCIF YTILRDFGPDHAVLAMNRLAKLSARYLTNRGFSIGISDVYPSKSLEDQKLALVTKAYA ECDDLIVQFKSGKLEKATGCNMEETLENKISGILSRVRQQAGEFCINTLSKWNSPLIM AKSGSKGSNINVAQMVTLVGQQMISGARVADGFQDRTLPHFPKNARQPPSKGFVRNSF FGGLTPTEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRNSSGG IVQFQFGADKLDPVDMEGSAVPVHFDRTFTHAESLTWDNNDAALLPYEITAQCAALLE PEKAKLTRRGLLHNEKLEYNDQSDYAIDELESARGFVSEVSKYVGRLAQKLSQARKRA GLPELAENPSLSDTHYNPATEDQTRRNQMDRVAKVSEATLAKFIELCLMKYLKAHVEP GHAVGAVGAQSIGEPGTQMTLRTFHFAGVAGMSITQGVPRIKEIINASKLISTPVMTC PLENPHDIVAARVVKGRIEKTYISDCIRFVEDMWSAKSATICLSVDVQGVSEMHLDIT TADIANAILRNKKLKIPNDALDIIGDDIFVRVAHGSNTGTFGRSAAAKKSTDEASDLL LRVNHLKRTIPTIPVSGYPEATRAIIQQDKGEHTVHVEGYGLRACMTTEGVIGTRVTT NSVVECNQVLGIEAARTTIANEIESVMSEMNIDPRHMQLLADVMTYKGEILGITRFGL SKMRDSVLQLASFEKTPDHLFDAAAGMKKDSIEGVSECIIMGQTMSVGTGAFKVVRKL GLKDTDVKTKPTAFEDLWKWELGERKRELKEAEMADVDMNGV VE01_10370 MVPGSRSISICKAAVSRCSPTLHRDIREPLLFLYPTWARGFSSS AAEVLRNEQSTLHSRLAPESQQACSSSAASFDTRALDTRPVAPSSSSQEYESISKARG AQQYAHATSNKDTCNNKGPRGGLSPNGRRSNQKNPRPRRLQIQRVVSVNDALDIRLRR VKIEEGDTMPKARKFRAKSSKYPTTSEQQGDTGATMMRNIVKAYPRPIIKGDSFRKVP YGQKVRMILSTTERAEMRWWYQDEVRRLQHENMNEDRGFPQKGPPEVLKTLIRYTPKR VENTLLRISVPDNAVDSLLVGPGNNIIDIKNLTGCDIELLEAEEGKSTRSLLLSGPPI CISAAAAHIFRIVPAAVSNSTNRAFTFSQYNTRSSTTGVAQTTDARGSAHITTQYYLA SSKVDDIPRRADSIPKPKRLTEKSLELYIRSLTGIKMTSPLHKMLYRKNEDHVEIVAE RLLEVFAYDECMDVMTITALNEAVSFLAKYGRVAATREIFDKARRVRFPLTPETYNIL LRGCAKTQNINTFAALLGVMIHSGYRPNSGTWRAFMMVLRNPKAILHVYEAMQGRGLF HEEGLLSDVCELLLPHEMTFCLNKNQLVAPFIEHMSSRYGDGWLSVSGANKVLEELGK RGLLSECWSLVDYMVEQNILPNAVTINTIFTHSTDARDFRNNIRALDRVSEQARFRPD GTTYEILFKLAWRWRLYSTARVIWQRACLDACARFEMRQRVSTSLQSTFMFQSTALPS LPGPSAPADSVWDLTAGVFVVREICPPAPKDESQTPDESSLSQWKAFHHAVETVKSEL LIFKEWRAVGSLTKLLVAAVKTDETVSKQMDNNFEKGMEHLLQYAPVVRIKRRFWRDD E VE01_10371 MAPQLDAFFKEVDAQADHFIERLRQAVAIPSISAEDERRPDVVR MGEWLGKELEALGAHVELRPLGPQPHKEHLMLPPVVVARYGNDKAKRTILVYGHYDVQ PAAKEDGWATEPFELTVDDKGRMFGRGSTDDKGPVLGWLNAIEAHQKAGIDFPVNLLM CFEGMEEYGSEGLDDFIKEEAGKFFKDTDAVCISDNYWLGTEKPCLTYGLRGCNYYSI EISGPGQDLHSGVFGGSAQEPMTDLVRVLGSLVDTDGKIQIPGLADLVAPVTEEEKGL YKDIAFTMDNLHESLGSKTTIFEDKESTLMARWRFPSLSIHGIEGAFSQPGAKTVIPA KVIGKFSIRTVPNMESEDVTRLVKEYVTDVFAKLKSKNTLDVSLMHDGKWWVASPKHW NFSAAAKAAERVWGVAPDLTREGGSIPVTLTFEEATGKNVLLLPMGSSTDMAHSTNEK LDKSNYLEGIKTLGAYLHYAAEEPMV VE01_10372 MATTEIASKTRRDQCTLSNYDCWTTKHTIADLFIDFKNQKLDGT VTLQLESLTEKESKAIILDTSYVDVTSITVNGQLAESGSWSVAPRMGPLGSALIIEIQ GGESKGQVVELAMEVSTTDKCTALQWLTPAQTKSDTPYMFSQCQAIHARSMFPCQDTP DVKSTYDFLIHSTLPVIASGVPVEGEQGVDFKSKTGAKLYHFKQNIPMTAYLFALASG DIATAQIGPRSTVAASPKELEASKWELEKDTENFIQIAEKLISPYVWGQYNVLVLPAS FPYGGMENPVYTFATPTLISGDRENVDVIAHELSHSWSGNLVSAAAWEHFWLNEGWTT YLERRLQAAVHGESYRDFSAIIGWKALEDAVNLFGSEHDYTKLVPDLKGLDPDDAFST IPYEKGFHFLYYIEKLVGKDKFDQFIPHYFNTWAQKSLDSFEFKDTLINFFSGDAQAA EKVKEIDWDAWFYKPGMPPKPEFDTSLVDKAYALADRWTASTNFKPSPEDIEGFSANQ TVVFLESLLTSFSRSPLLPSQTTLLGNTYSLFKTRNVELSARFLQLGLLAKDENAYAP TAELLGRVGRMKFVRPLYRKFASADRELALKTYEANKGFYHPICNAMVSKDFAEKK VE01_10373 MAHIADLMIDPASMLASNKFSSRESSPHTTYERSQTPERGLIDG KEKDKYVWRMHQPSQPPRYQLFPSSSQEKLAITTTLGRKTPELDQTLPNATTAKGNAA EGPSPTNGLKREPSLGRRRKPSVTDLGHMATVQEVAMDSPTIPGRFPVHERSISAPGE PSWRQHVFGESMFSAIEGPVVDIEQRRVSRIQLQRRNSASPSSKMHSRLGNRLAPLII PTTNMNDSPERDTFPKRVLNRLSEQSSLSDTPPEVPPKSARMLPEASPQSGLASSVPS LPSAVPTVTPFTPFTPMSDFSASTASLVPLKLAPVTSTSSPQAQGRASPAPKGTRKPS PTPRNHTRGLSESSSFSAVTRPGHRRTESEASIMDRGRPKKRADGSSIKSATTKASEQ RAFITLPTGVKASDASSKFSPQDIEALRHQAQGQAAQFEVLASKDVGDLSRELRALDE RCEYLRRTHRSLRSGRRNLHDRICSYLRSPRVARFSHDAILKQEEALSELDASIDDWV SKLEYAENRRTRVRQKLLEHVAAALMMPSQSNETDNKNVPEYEAINILQSLATADHTP PRSPTKALSPSPIINPSKRRASPEPEAPARLARPVPPQLLTSPPPPHTLENEPFGERH RDSHSTAGRPSAESIRIYADSDVYALLADVEQEIHRMGQGCIEEEVLLSQMKYEAPPR CETPKSGTSRSGTPTGIERMKGLVKEQLRVAGLVG VE01_10374 MSGVIEALHIFEDHHRPLLSHTYTGRPLSPSQLLPLYLAHPEPR PSLIYLPNTNPPTLLFNLSHANLLFLLTTSSEIEPLLALEFLHRVIDVFEEFLGTPLL ASKIESSYDVVAQLLNEMCDTGVVSTTEGNALRDLVEVEGWIGKFLGGINLPGNAPFS SNTPTQMPGISSLGPTSTPALPWRRANVKHTSNELYVDIVETLSVIIAPSGRPLSAFA TGSIAFTAKVSGVPDVLLSLSSPSGRHNIDSTMELPVFHPCVRLAHWRSNPGELSFVP PDGRFILAGYEVNLLPLPTSSLTSSSSNTNLKLPVSIEVKTSLGPTGSEFEVRLLLSP PPGPGQSNSSISSLGARSSRLGGSTSGSAFGSGGSTPKSNLEGLTLTVPIPVDVRNLS EIRASRGDTTYAPGAKTLEWHIPIKEAGAGTATLRCSVVGNFDDDDEGSSNAFTFEQY DETEGYQAAGASSPRAAEVDAEKVKEKDDKTVARNKLLMPTSARVSFGIKGWLASGLK VDSLVIDSRKSRGLGDGVKPYKGVKYLSVSRGGVEVRC VE01_10375 MFNKSNPVIPPVASLERPEPLTTVLANDKEEFRDDCTPCRVTGA AAFAGLGIYSYYSGHAQLLAQKQAIAKSGSIFGLKSRQTGITGIAITLVGMGLWRLVN VE01_10376 MAITILAPAPPIQDVDYDSSSDSGSDGGADLDGDLDMRPKKRAR RAGSTSIVTPGEVVTDDPQWMRGHGTYVEPATAAILATVAGTIQKTNKLLSVRPLRAR YTPEIGDLVVGRIIEVQSRRWRVDIGAPLLAALPLSAINLPGGILRKRTETDELQIRT FLSEGDLLVCEVQSLFHDGSASLHTRSLKYGKLRNGVFVSVAGMGGGGGVVRSRRQVW TIETANGGGPVDVILGVNGYIWISKHIKTADEDKAEVGITRIEESTNSTVYSSQNDEI AAETRREIARIRGVVASLVEAGMKVDEDMLMKGYGAAVEIEREEDGPGGDFLGGEHGK RLVKMLQGMS VE01_10377 MDSMRSLNTSLPRASPPKQQTPNPPEQLLQAFKDAALSVTKLYK TAASDQTKLRAEGYQDALDDLLTYLDKEDIGLSDGEGWKVRQWATARLDGRDPIPDSD DEMDKERGSSPVAQKKPSVTSMPIRNSSPVRLDSVPPILEVPEPVEELPSPPTITVLP PTGNFSFRSTHQYPQDADMSDQGNQAAADATVVSTAPTSITISRSNRSGSRQVGRGRH STRNNTRSHGSGSAGQKRKINFGDFFDIGDLSKDGFGGGGKRSRFA VE01_10378 MAPSRSGRLSGADTDSSMADASDLNIKSRVDAMDIDETPDYTED SDTNPNTTASSVAGEPVDGRRRRSEATQLRRSVFGKKHDRLGQSQEDDSIRRFRYLLG LTDLFRHFIETNPNPHIKQIMVEIDRQNEEAAEAKSKKASRAGGAANERRRRTEAEED AELLKDEKQGGSADTVFRDSPGFVKGGTMRDYQVAGLNWLISLHENGISGILADEMGL GKTLQTIAFLGYLRHIMDITGPHLVIVPKSTLDNWKREFAKWTPEVNVLVLQGAKDER NLLINERLVDEKFDVCITSYEMILREKSHLKKFAWEYIIIDEAHRIKNEESSLAQVIR LFNSRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQGADQDTVVQQL HRVLRPFLLRRVKSDVEKSLLPKKEVNLYIGMSDMQVKWYKKILEKDIDAVNGAGGKR ESKTRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVVNAGKMVMLDRLLTRLKKQ GSRVLIFSQMSRLLDILEDYCVFREFKYCRIDGSTAHEDRIAAIDDYNKPDSEKFVFL LTTRAGGLGINLTSADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTENAIE EKVLERAAQKLRLDQLVIQQGRAQIAAKAAANKDELLNMIQHGAEKVFNTKGATGILA QKGAEIGDDDIDEILKHGEKRTAELNARYEKLGIDDLQKFTSESAYEWNGQDFTNKKK EIGIAWINPAKRERKEQSYSMDQYYKQALSTGGRTADAKPKAPRAPKQIVIHDYQFFP PMLQDLQDRETAYFRKEIGYKVPLPDGPEEDLSDREGERALDQQEIDNATPLTEEEQA EKLRLSEQGFGDWNKRDFQQFINGSAKYGRKNYDAIAEEVDNKTTAEVKAYAQIFWKR YTDLNDYSKYINLVEAGEEKTKKIEHQRQMLKKKMSQYRVPLQQLKVNYSVSTTNKKV YTEEEDRFLLVLLDRFGIDSENIHERIRDEIRESPLFRFDWFFLSRTPLEISRRCTTL LTTVAREYEETQPKANGANGKTKREPEEEDNDEDSVHDTAPAKKKSKNVKNKAIDNLK PGSKATSAAPSSRASSVGSPAPAPSKSKSKGKKK VE01_10379 MGLDLDPYTYTSGRWLRHDKIQIDSRYIEFDFDALCRRVIELSP GATSIATYDKKEGGFNRVFIFTTDNGKTVVARLPFAFAGPPSLTTNSEVATIRYLQTN TSVPIPKILDWSDDPSNAIGSEYIIMERAAGTQLHQKWPTMAIGQKIRCRDAIYRKLK EVVRITFPAYGSIYFADSSLDSTSKPTSKRPLDQDFSVGPHCSSRYWDCNVGESRYYH NTKPNQGPWDDLTTYCDGLVDIGMSRIPPVNPVPDNLRRYHGSVSTHLRLLEFGRAVI NNMCKDHRIRDAAAPTLFHPDLHKRNIFVSDDDPTIITSIIDWQSASIEAAFWYADEV PDFAMTLPHPSLETQLEPNSEYCAKAFKVATQFHLPKLARPRVMDESLFRPFRYCYRT WKDGVVAFRHELDKTSKWWQELGLVGSCPYPVPTAEELAVHQKEYKYFEAAHDLRNHV TGLLNTAFDDWVPAEEWETAKLANKELFGMMLETVLSIENPDDDEPLKDEGDVREIWP FEM VE01_10380 MKLSLATSALLALASAVAVPGTTHEAERIPVPEGFFESHIGSVG FTLVPAEPLKMAARAITHVYVCINADFKPACSNLQVNTGSCYNLVGNWNDAISSIGPD AGTTCTLWFDAGCSGRSVVNIINPGIWNLGDFNFNDVASSIKCV VE01_10381 MKLSAATAAAILAGLSVAVPADYGVFPKNSNALVSRAASNSKVD GLLFNIDGETKYFAGTNSYWIGFLTNDADIDLVMGHLQTSGIKILRVWGFNDVTAGTN EVWFQSFAGSEPVINTGENGLQRLDYVVKSAETHGIKLIINFVNNWTDYGGMAAYNKH YGITKPADWYTNVDVQTQYKKYIEAVVSRYKTSTAVFAWELANEPRCNGCDTSVIYDW ATTTSAYVKSLDSEHMVTLGDEGFMNGGGDSSYPYTTGEGIDFAQNLDIKDLDFGTFH LYPDSWGVSTDWGSSWVTDHAAACAAANKPCLFEEYGYPNNHIAIESPWQSAALNSVG VAADAFWQLGDTISTGKTHDDTNTIYYGSDEWTALVTNHIADIDAKYGGSSNGTAPSN GITA VE01_10382 MTSPTPLSGKTAIITGGTKGIGAAIATHLVSLGANVTPSSRLSP PPSLSQLPPRALALRGDAGSIPDIHALVSHTVAAYGKIDIVIANAGVLPMADLAHLTE EVYDATMRLNTKGPLFLVQAAAPHMSAGGRIVLVSSTLAHASVVDPAYLPYLASKGAV EQMVRVLSKDLARKGIMVNGIAPGPTGTELFLKGKSEAVLERIRGLNPAGRIGEPEEV ARVVGFLSGEGAAWVSGQMLRVNGGMA VE01_10383 MAVEGDVPLVLDAFSPERGVSLHPSKVGDFLACEQKRRSPWSSY PRIVSSACLLFVLLYVTFHDAHLGRLVRRGESDQLVVLPALAEGVLEVFQVNAPVAVD ADKCRVLLMEHSFGFSYGKPFVGNYNPPSCKFNRVVMNFTVTSRGRQFDRLALMYLGD TEVWRTSTAEPTEAGIRWTYIKDMTPYLSMWKQPQKVIFDLGNLIDDKYTGAFNTTLT LTFSTISAAAPPAGLILPLSARRSASNSPSVFTLPGQRAVTTLVLPRNANRATVSLSA CGQAAEEFWWSNVPSAATSTFTNTTGELPGFSPWREVQILIDGRLAGVQWPFPVIFTG GVVPGLWRPIVGIEAFDLREYEVDISPWLGLLSDGAPHDFEIKVVGLSGKAIVQEVGS SWLVTGKVFLWLDGTGKVTTGSPITIAKDGLGMGSKLGTSQDSAGANVTLSTQLSVHR ELDIRSTITTAAGARVASWSQQLTYSNVNDLSAYGVAQFTNLSRTAIDSLIPDQYNHG PSAKYSLVVDSEYRVDPEHVGDLTINATSVCEVEYRKGRGSVFDSSAETGASGRVRTT QEGKATYVSKSGASSGTGETKQVFVLEALGKGEPVEVYKRDVGAVGGVVVWDRGSNGS GGQGLEQTGIPVAVRLEESVGSVREALGRGPGSTRKVLVMGGK VE01_10384 MVFTDEYECPDTAFVKKQDYKRPLFTSSPIGNPRRLAPKLPEPI SAEDLDVVHALRRDADNCPCIDDWAEDSMFERDPSNKPTFPADNSDIDDFLREYRESK ESVSKSVENLNNTSGHSSSTAGPSAAPNNSNGFATSNKHIGTMQEDFRAFATLENTVM NRPVQNGANIRGPMVYGYPQRGYFTVTPDKAKKRVSKPKVLHSPSVYPKSQIAATPTK ANLQVHLGAPELSPLDGKQAHHHKMGKSNPVSRKWATEAKATPSIPTGEPSSRPSNAD IEGVSSTDSDTTSKTSSKSRENSGSSDSTVSDTPKGPPPAPEDPPAPTSGGYGTRSKS AVTDRAANGKNSTSGVAAKRSAYRERYMSRSKSCPCENSNNPKGYRTRGRYLGFLVAS NNTSGVKASLDGLEVRNGVLCQNGVPLAIMDGVIGFSADNAPLAPYHLPPLCGAATNS GSMYGSTTHNGPAMQNGSIQTYGPPMQNGPLPQSSAAVQNGPMPMPQNTPPTHGYQTH AGLVPPFVASMQSGPVPATSKKIPLMRNDHMPPYATPMKNSAVPLNSYLMPNGPMPLN IYPMLNSPMPPYILPIQNGPVPQYGHPIQNGQVPAYPPMPNAPMPPYGHPMQNGAMPG NNAPMQNGHMPAYGAPVGDRIMPVYDPPMQNPLRTSNGGNFVNVANAYISIAGSGFPD HVFNVQAPNGQSLNVQAPNGQAPDVQAPDAQAPDVQAPDAQAPDTQAPDAQAPNVQAP NETAPPRMPAPSGQVLNVSVFSMQASNGDDNNGGLGIGPRRQT VE01_10385 MSVVQIILRGLQFLWILLITALIGNVLAGKTSNSPPTNYAMFTV AFCWLVWIYGMAAAVIESVAIPIVLIILDAFATIFTFIAGVVLAAKLGAHSCDNRAYL ETKAILRDNGSTTRHCRELQASCAFFWFLFACFIGSMVMTGLKGGFGGMRRGGGGGAP AMSQVR VE01_10386 MRSTNYPRVLARRIKVPRQRSHLSTKQITSVLIANRGEIALRVG RTAAESGIRCTTLYTDPDAHSQHALSSPFAVNLGQASAYLDGERIIAVAKEQGCQALH PGYGFLSENSAFAKRCVEEGLVFIGPPWKAIEAMGNKSRSKDIMIKAGVPCIPGYHGA NQDPENLLEESRKIGFPVLVKAVRGGGGKGMRIAMNEAEFLDKLESAKSEGRNSFGDD EMLVEKYITTPRHIEVQVFADKHGNAVALGERDCSLQRRHQKILEEAPAPNLPEEIRQ DLWEKARAAALAVGYEGAGTVEFIFDNDSNQFFFMEMNTRLQVEHPVTEVVTGEDLVS WQFKVAAGEPLPLTQEAIAKRISERGWAIEARIYAENPDQDFMPDSGKLTHLRTPQIT DNVRIDAGFIEGDTISSNYDGMIAKLIVSGATREIAIQRMYSALQEYEVVGLSTNIEF LKKICLSPGFAAGDVETGYIKKNHDELFEKEAVENTSFAQAALALFTKDAGSKSLTKA GPHGNDADLTTILGERQFRFSLLSSSEPIDVTVTVKQSSRNVFEVSVDGPGIQESYSN VACESVESSVTSFFPHTRVKSTVVRDGDNITMFQKGKMIKLKLAQPLWYEKALGIKDV ANSVLAPMPCKILKNEVNEGDVVEKDAALVVV VE01_10387 MEQRREQRREQMVRRPLYVYNLPSDILTTLQQKDGVVPDILEAQ DIEAKAEHDGTRSVGAASTTACSLCDQSFSTVEEQRSHIRSDLHSYNLKLKLRGLEPV SETEFETLVKDLDESLSGSDESESDDDEGQGGRNETTLSALLKKRAAISAQEGEGGTY MNSRKESSSNQPLVWFTSPTVPPNSYLGIYKAIFTAEEQNDNGNFVDTIRKKQLLPRM PTKHTDDNSEISKLASENIGPHMFMCMIGGGHFAAMVVSMVPKQSSSSATGPLAKEAT VIAHKTFHRYTTRRKQGGSQSANDSAKGAAHSAGAGIRRYNEAALNDEVRLLLAEWKS LIDTSELLFVRATGNTNRRTLFGPYEGQILQANDPRIRSIPFNTRRATQKELMRSFIE LTRVKIRDFDEQSLATAASDAVPKANTIQNSTKAPTTTTKPKFSDEEETFILHTTQIQ ALIRRSRLPALLSYLKSNSLNADFRFFPPDAPQNFHTPTPLHFAASLNNPVMTTGLLV KAHANPESLNSEGKPPFDLAGDRATRDAFRLARSRLATVSPPVTWDWEKSHVPSPLTD WDVETRRAEESLGRKREEEDRRKAETERLKNEGPKVAESGPLGKSASMGRALAMEAAR KTAQEKREEESRGLTPEMRMKLDRERRARAAEERFKAMQANSGHT VE01_10388 MSSCFPFRKKRDSETTPLLPQYNDSTILQRRIHQKLHSYQMVRA LGMGYMPSTDQLIVNLRTLIASDVLNPDVPGLNRSSRLLLKYLKQWLYDFIEMLRNKS GQDQIQDFIWAASRAKISLDTDDVIQAATSTNVRLDASNAYESIKTIGSLLLTNSDFR MFLKDLNVLGRQVLADTANELSEAAEIAATQIEPPDSQSQALKEPGNEEWPVPTTGDL LSEATEITDSVVDGVKSTGQAAEDSLKDKLSGEERNSLLFRLKSAVTKLRKRYDYSES VSMIGLLIKRYAKVYSRAADETIGTIQEDIETNEELDRAVRLGWQLLSSFGKKETWDE LERRFKKVVEHSQTDGEFESLMGDMASSIQDILMNPGFFESANYNSGDAKWSRTGSTA PSPLRRDIDGLLEQARLTCEKVADDDDISKILRNSLHVWAILSPVQGGTNDELLVDTY SVFVPLLIQAVQYIPIPRLEVSAPEIDLLLENLILEPGRTINHTSFLPFKLNINTNND ITIHKARYHTASSLTTLVTVNLQGLSIRADEVGFWLRAHKGLLRLADEGIASLELDDR GIDIAIHFEIGKGHIEKILSLRNVKVKIHHLNYSLRRSKFACLAWIFKPIVRRIIRKV LERKLSSTIEDGIHAANRELVYARERLRATRISDPKDLHTFVRAIITRLTPEEDPDLY TNIGVVGGANKQGNVFAGVYAPGSIVKLWQEEAHEAGERVEDNEVKGWRNEIFDVQAG PIP VE01_10389 MQSMHRQLGKIKPKGPDDAKVSVLLQDFTNVDEVLTSIVHTSRA WRDAWLSILALQLNAVTVFEEIYNPIVGATSEGHGHDAIMTPQTMLTKTKNLKEAYAE LKADLLEELNLVDPRIIRPATEVLECIKPIKKTIKNRENKRIDYESYQDRVDKKRRQK RSEKEEAGLLKLEADMGKAADDFHYADDRLRESLPPVIAAAFSILPHILAAQILIQNT LLAQYYTTLHNYCTEEGFPSPAPPTQDIVGEWDSDFKRIQQDVESINTIARGKAIHAP MVLGDDSETRRGSSMSGLNLRNNLAARRSNTQSSLPSMSRLGGKLSAGASRLSPSGHN SGSPQAPEPQREYDEPEVERNNPSSHASTPSYAPAGPVRDYFQGQDSQSARNMSSAIA AKKKAPPPPPKRIQSSNEQWVTALYTFTGQESDDLAFEEGDRIKVIKKTDSTDDWWDG ELRGVRGRFPANYVEL VE01_10390 MAFASHISPLEAYVSPDELADELVFQKTLLLTLDKTTEGSEQAE TDIKAEIARIETQLRALRGGVTGPHIGASVLGGDISQQQQHTNNFGSASPFSNYNPTS TDPFSSNTMDPSHPPSGSASGSTAIKDPSANFSLPSRKRSFEGLHGLDSSRKTGSKSR RTSPNPSTGASTSYATTYTDPVTRELELHKIRQREIEEAAAKLKKDREYARHLQDGYS ASSPSQSEIRPVASKRPSAYDRMMGISHHPPPSRSQKKDSQNTNSQDNEVPAATQGSQ PGQRPHVHQSVNLTLNGPASHSTLSSNTPSKMPGSYPDQDSDSDIEIISSADFRENRR GHHLSRSPHPTNVGFGSYLSYTRQGPPSTLPYLGGYPSNNSYYPNAYGQSISGAYPSM ASPAMDAPLAQILNQTSAVDYVAMRDRLNQGLAGEIYNYVTDPRKTEKEIQDLLENIR PDTEIPVEDREGTPEGLKYPLYEHQKLALTWLKSMEEGSNKGGILADDMGLGKTISTL ALLLSRPSHNKARKTTLIVGPVALIRQWEREILSKIVSSHRLSTFVYHSGKKATWSTL RTHDVVLTTYGTLAAEYKRYLDIEKRKEAHPGMDDTPYQSTLPFLGRNSRWYRVVLDE AQCIKNRNTKSAQAASLLDAETRFCLTGTPMMNGVHELYSLIHFLKIKPYNEYSRFSS EFSCLTKGTGSEYNMKRAMKKLQAVLKAILLRRTKQSQIDGKPILVLPEKTEVVSNAI FNEDEQEYYTSLEKKTQLQFNKYLKAGTIGKNYSNILVLLLRLRQAACHPHLIMDYEE APTEATAEEMLNLAKTLLPDVIGRIMDATVPFECPVCYDPVPNPSIVVPCGHDTCAQC LVRITSSFDQAIANGEDSTSAKCPTCRGAVDFKKIIDYETFQRAHMPNSESLTSNADD IDDGDSDSYDSDSDSDSDSGPEETDENGNIRDFIVPDDYETSSDEDDDLETRAKETKP KIEVDCKKEAIKYEEEGKEALSKMLGASDGSDDDLPADIFATFRGQPKREDEKSQLAS KSKPLGSKDVSKDKSKKSHRSKKLPKRKKTGKKSEDKHVSLAELKKKASKSADGCRQY MRYLKKNWVSSSKIDKCMDILRNSAPDVKTIIFSQFTTLLDLMEVPIHSERIGFGRYD GGMSADARNNAIVRFTDDPRCKILLVSLKAGNAGLNLVAASQVIILDPFWNPFVEMQA VDRAHRIGQQKPVSVHRILVEGTVEDRIIELQNRKRKFVDAALDENASRSVVVLRWTD LA VE01_10391 MASIKHRRRGVAKPLLGSGLDPTGGHVEKPTVSRIDWKAKYRLK HNWSRGSADVREIGLVADGQGMDTHPIGQEDKNPDTGSLMVRIVDGKVVTVDHSSGLR VWDLQRNGASSKCIARVDLDNDSQSAPTALALDYTLETGAVRAAIGFKNGGFGIWKFD ITSVEMDGVFLKILSRSGSGEEEDQALSLYILEDKAASKSCTSICDVDVLEAPNRTFS TGDKPPTKTMSTRLITSLKSQTTWPPLSLSIRATPKTIIASIAYALPTYSSGWSVGLQ ELHISHNGTISHSRLASAIEPGFHPLLSPISSSAENSPSTSSSAPNAAGPGRPPTNPR PSSLSYSHPYLLASHSNNTLALYLVTSTLDDLKISAARTLWGHTSSVSGAHVGVRGKA VSISSGGEELRVWELERGLRSRRVVNEESVRVRGEAHTTGTGTGTGSVQTTNRGDWIG FDDEVVVVLKEAEDGGKALVVYDFT VE01_10392 MATTIDPVLRSRVLKVVFISLLLDLISFTFILPLFPRLLEFYRS LEPTDIESSPTVLTSVLRSLNSYKNAFSRPIDSRYDIVILGGALGSLFSGLQAIAAPI IGALSDKYGRRKALLISMCGNIASVLLWLLATDFRTFLLSRVVGGLSEGNVQIATAIA TDISDDKQRGATMALVGACFCIAFTFGPALGAYLSTISLVAANPFATAAGFSLFLIVV ETVYLFFYLPETLPAITTGTTPTTGPSSKPKGTPEAPIRTNSHLLLNSTHLMFILVFS GMEFSLPFMTHDLFHYSAGSNGRLLGYIGLIASILQGGVTRRLPPLVCVRLGVVSCLI AFIILSTTTTVVGLYAASTLLAVTTATVVTGLNALSSFEAGAGERGGKLGNLRSWGQI GRAIGPLAFCSLYWWAGRNIAYQSGAVAMMVVVGFVFVGLKDPRKQETLTDLKEKKEI VE01_10393 MATTDLYDSALAPTASPSISVSLPPTPPRSTSDPTDTHASESVY TASQPPQPSVMQSQLPEGVDANSPSILSMLKSVPNHLHANLPMPHEWPSLYSGFVLKN ASSVAQIESALRSLTYIIPGRFRDAEIASESLHSSIQLLSLYHDSLLARAVARLPGMP RVDNPHTRYTKYWTGKSKVYTRVALVLQCAQYTELLWEMTAKRRGEKVRWRVVVLIEA LKAFCRLLLLRITGGRMVVPPLPDREPIPEEPTDDNELEYGEDEFFVKEEKKPKEWSM PRTGLTLPALPSPSDISSFLMRKVLTADDIKPATTLLSRISGTAHLAEVLHILRPVLY AIAMSRNKDKKSWRPWLFAIAIELSARQLRAERGRNGTRETALEKEEWNRRGRAMGWW TLRGAFYENVTKGWVQSLVGAKYMPGLVGGILEDYAFLWEEYHFASDDM VE01_10394 MPVPVRDITRKGKTASVDNRQSPIANRQTSNRVKAATEWIKGLL AVPFVLHSQPTGVFEARGTVADMAAEACRRYAEIMKDVEEMIDDHINHQDDGLQHQSK LKLLVPSIGNFFTRLPLAEAFKYQDSLRFISSRRFVPPSFNDIRLILNTAQIMGVAAA GALDLATFDGDVTLYDDGKSLEPDNPVITRIIDLMSRNTKIGIVTAAGYTEAERYFER LYGLLEAIRDSKVLTIEQKHNLVVMGGESNFLFEYSISSPHLLEWVPRRSWILDDMLT WSEPVIQALLDKAEAALNECITNLSLSALVIRKERAVGIVPTIQGAKFPRESLEETVL VVQKILEMSPVSKTLPFCAFNGGNDVFVDIGDKSWGVLVCQKYFGDRLGRSIEGKRTM HVGDQFLSANGANDFRARRVATTLWVANPDECVTLLDEVAEFMRAAERKRV VE01_10395 MKDNGTHLYPNEHVSEKVTDYAFEHSTKLPDYITKHHAWGSDQP KAGFMISPFQTQFQIWFAKALGAKRILEIGCYIGFSALGFSEAVGPDGHVTTLEFDPE YAQIARETFEKNGVKNVEVIVGDANKSIPELALAIDQPYDLIFIDADKISYPNYLALI LSLSTSTSQVRLLKSGGTIMADNILRRGVVADRSASNPNATPEELSTEKGGRAEQLVA LDRFNKELVQNERLETFLLPLFDGLGMGRLKD VE01_10396 MTRNATSKPETGTAFPSKLSRSSTAAPVLVTAPVPSILGAIDGI QETPGAPVVRHKEEAKTVELGPSDNKPSETPVAKIPKRVAESSEIEISSVVADVEEGS RPNEPAEDAYAQDKSVALPISENIHTRTTRLLAITPSFTAKPYQTGIQVPSQGRVADG FPYPPGLAAYEILEEDWNKFTAYLTSLITPQRKKKKKKTPIRLVLPFGGRGGKSEKLD FQKSLSKVFEYVRASQNNLFRPKGLLMRVDIPEEGVGMEFMDLYHGGHVDRLSDTWGI EARVANAEPVADGEEIDTTAEDVAAHSKVSRTALQPSKAQTKANKVQTKANRAQDKTL EKARKQQLKALGHLNNAQKKMSQRIRIVIEPVTVLGNVERSDKNGWTAWIRHCDNYGS QLTN VE01_10397 MKSALTFFSWLCNALSRATNEIVTDRFQIVVERLTKNINENHLR ELFGSFGEIIDMDMPMNRQFGTNRGTAYLLYAVEADADQAIAHMHEAQLDGAVISVSI VIPRRNTNGPSRASATKASIPKQKLQQRCAVRYLSTTVIVQVSFAKGAQEPFQNLLIH VKIPEEARWEAR VE01_10399 MWRIPFVSRLNLSEYVALVVSFVLVGLEALIRVLTLALPTSIIA LCYRASKRLFHYFTSTAAKKSVSRQSSRSSAIRDASDFVDLCALSGYLAEEHVVQTSD GYLLGLHRLGWKRGEEGVRVNSSKGGIKKPVVYLHHGLLMNSEVWVCLTDEERCLPFH LVEKGYDVWFGNNRGNKYSKKSIHHPPTAIAFWDFSMDEFAFHDIPDSIDYILSTTYQ PSLSYVGFSQGTAQAFATLSIHPKLNDKVNVFIALAPAMSPAGLRNGVVDSLMKASPE VLFLLFGRRSILSSTTMWQSILYPPIFVRAIDTSLAFLFGWYGKNISMAQKLAAYPHL YSFTSTKSVVHWFQIIRTASFQLYDDDVQPPLRLGSVSKYTKVARFPTRNIKTPVVLV YGGSDSLVDINVMLKQLPAHTVATEIPHFEHLDLLWARDVDTLVFPHVIDALESFSDS GHTEEHFAKYRAARHASLGPGARRPHNPTESASKSLGSSYADVAAVTPDIDGEDLVSD GPRRNTEGAMPSLAGRRKIRGRSGSERIEYDSTSSSEIAHAATARATEDEK VE01_10400 MAAAAITQTGARFPGIAALFEKPSISSSNSVDASRKKDAVANMF LSNAATRNPPGDLTKGFVEKHLGSSSKTLRLQDFKLLRILGTGTFARVWLVRLAHPMQ GAEDRVFALKVLRKTEVIKLKQVDHVNHERAILADVAGYPFITTLITTFTDSECLYML LDYCPGGEIFSYLRRQRRFPEHVSRFYLAEIVLILEFLHEREGVAYRDLKPENILLDA AGHVKLVDFGFAKRVRDRETYTLCGTPEYLAPEVIQSQGHSTAVDWWAFGILMYEFIT GYPPFWHQNPMEIYKQIIHKSITFPQHDPPISSDAQDLILALCTVDRSHRLGNLSGGA ADVKSHPFFASVNWDDVYARRHDGPIIPKLSGASDDSCFERYSEDEGQVDIYTQEARE KWDSAFEGF VE01_10401 MPRRGQSNRITRRKSAASIHSQPHIDPETSRQQAYAAATYAFAR AQERSGDMGPGGAVAPAITANPLEENMHPRTDNGTKRGALKHKQSVRFAGPLAAPRPA LGTRTIQQNSVQRKLSTGSLRPQASTGDVPVPAAYRPQSRSSSLEASFKQVGPDSYAT ALAAYDEYYTREDDVVTTPSSYRKIRRSKSMLHPATAQGPLFSNGTPESSIAGRRNNG LFRSKGNVALRAPKSMSFLQGGRSRSAQNPEYDVAVQVARDKFLRQVEQQRLREQPSF LFRSSKARREEKSLRRSFRTNSSTNSYGMPIASENQNYHRSWDDTLKNKARQASKSFK NKLKGLFRRSNGDGSVAIPDQEVQAQKSHITDYEIQIGPPTALGSPYEESPPARATLS TVSTQQPSLRMVPSSHRLRSIAGSMQSLTSEASSKSRVMSWTNTDTTANSRYAAAERE RERLSVINENGTHKASSSFNRPTLKNQYSAYPDFNPPGSTHGHPPPFIGQVDSARVYS ALMRRLYENSPEAKLTKQKDNSRTSRSPKHGVPGSGSVDSRQSSQGYKSTIIRVKNSS ENFSASADRFQNMNQSNDVFYTARSYYDSGSIGHKRGHSNSSASTVITKKPNYRAYPP PIMGDLPAKITPQEAVAAVELQGTVSRGPRETRSTFFGGTELTNIGGTPSPYRRAMAE ADLNSTMGKMGMSSADSSPFIPDSLRREKSAFSLANCESDKQEGDGIDYAYTESIYSR TTSGRTPPDMGESFQASEDSTPWKLGSAVLVERTTYCPPGPPHRVNASTGSTDWKAWM SAQVSKLERAKENVDSATSAGHLVPHIVPTMPKIFGGHVRETAQISGDDTAFSVPKAT TIPIQPLEVLQEDPNVSPNPILKSKLSSSSLLVPNVTPTPSQKGVRGSLRQAPSRSSM KSVSTVLKERMVSNSAANVMGTSTGNSPSSQRESSKARQKMQRKQSSATLHSVQTPSK LVKKIGRRASNDNAQLPSPGVGLAQMVAEKKFASVGGRVAGMENWTPTKVDGLGGDGG VVVGGRGVELNGQVMGSRRMVDLFLSSRRKRVAGSEDSNVFL VE01_10402 MRSAVIAVSLAALVSSAPTISTETIHGDAAPILSSVNAEAVPDN YIVVFKDHVDANAAVNHQLWIKDAHVAAEVDRAELRKRSQLPITTDIFEGIKHTYNIA GGFLGYSGHFDESVIEKVRRHPDVEYVEKDAIVHTLGGDSHETESNAPWGLARISHRD SLSFSNFNKYFYSAEGGEGVDVYVIDTGTFIDHVDFEGRAFWGKTIPLNDEDVDGNGH GTHCSGTIAGKKYGVAKKAHVYGVKVLGSNGSGSMSDVIKGVEFAAESHIKNVKEAKA GKRKGFKGSTANMSLGGGKHAALDAAVNGAVRAGIHFAVAAGNDNADSCNYSPAAANL AVTVGASTLTDTRAYFSNYGKCNDIFAPGLNILSTWIGSKYAVNTISGTSMASPHIAG LLAYYLSLQPATDSAYAVAEITPKQLKDNLLSIATVGALGEVPSSTQNLLAWNGGGSS NYTEIVEGGGYKAKPHSSGNAKMPSTISDMEAAIKAEFNVASGKVVKGSKNLASEIEK FSNKIHDLVEEELKEFFEESS VE01_10403 MSSRTGRYRSLTASSTSAPPPRTEFTTTLEELLLILKESLQNPT KFRVDDITAVSTTVQSIRQCLDKSPKSDRPRDAFRHLDGFQTILDTIRSVSGYYHPTA RTQEEKEKLFHLLATTLALLSDAFRGHHGNRRYFKKRVEGGGWAALEQAIASIGFGGS DFDTWSENKLFGILLAFAVDNEKLYSLCQDIQGSSFEKDSSPSKKKPEEPMKESSEAQ ELASRPAASGSDDGAYEIARMIEKDISDKLRDIDGLWNPDIAPTIIGFWKALPRSRPL SLAPTSIVIILALSNISAASQANLLGLHSTGILSSILPSAFDDGTGLSSPEQKAVENL CGSLMSLGLTSLEDARYLLRNRSPRAAEFLLRMTKVTQNPPHIQFDLSLNGYSSIELP TLGRPFPPPSSTAGYTFAAWVHIDRFDPDAHTTIFGAFDMSQTCFVLAYLEKDTHNFI LQTSVTSSRPSVRFKSTVFKENKWYYIVVVHRRPRAIASSKAALYVDGEFVEQVKCQY PCLPPQSNPSTESFASFSSSSSKLNPVHAFVGTPQDLSSKLGHGMVFSKWSLASAHLF EEALSDEIIAVHHRLGPRYNGNFQDCLGSFQTYEASAALSMRNDIMHPGKDEKSEIVT AIRDKASNLIPESRIVLSILPIAILGDDIQGELDMPQLVGGLSASASTNLYRLAHNTG TSVAINAAIPFYNDALVSPNGVALLKGGPVVIVPQALDDAMWRLGGCIAIGMKLVEDA TSREELVRAVEIFFESIKGSWRNSEAMERENGYAVLAALLRGKLSATTSATSGSSQIG EALPTCPEERDKVSFELLSVVLGFVGYNHRAPEESMINNPMAYRTLVADFDVWRKSAA ITQKLYYKQFITFAVMSKHHHYNSKRLARMRIVKRFLDALKAETFTSDIFPGFVEAFT VLVLGSLNPEVHRSLALFVTYSFHKPSTSALRTPKAKFGTVNNPRLGLSGPKRPPINT SLDGNVDAPSSVMSKRELGRGILQMYTDLLCEKGSTINLKRFAKTVTNKWLLHLLAED DAEVVVLGTKILARLLVVHGSAYVKKFTESSGGFVVMRYRLKRWWDIPTLYPIIFSIL FNYDVAEIDFERSFDLFSLSELFGRKSLVYPDVLPIIVSMLQQGLNTLVHNQDDPDSP HPDKNNGPAGGQLKVPTLGSRRRSMSLTKELEARQLATSSTEKLGGQATVLHAVIRFL SYLHEQSQNFRDFSITSEYVRLLFAVLFPAVVNADAVSPETELNSRDSALTFDGEDVI IRPTQRGLSAPSPVVRTTTVETHLGQDVHGTSSKAKPLRRGSSFILLTANRPALSPSP AKLTGIGNPQNAVISQKVGNAVVEELLELTINVFLDQILERKEFPGFGLFLKVPPGFQ EHQAYFESYILRNTISHVSNTIQLQPKLLIQPKIITNMARFTTHITEAIFEGWFLGGA EPFLDFAGHLLDYFQQGETSKIKSVRLCNQAIQTIRSTFLRVVLLRLSEIDAAGVTEE EAALFMNKLLYWQTIFLSAEASEGEFLKLMCYQLYMKLVDPRQKICSAAADLWRILLV QKPDETSAILVMSMDKQRLILGFKRLMELDNESFVEWVGDHRDELDKPFFGALSKTWE DFVNAENGKTEEALKTRLAKRKDRLRQWQGEDRNDADVMLRHDLTSNLWGKNIYAAEH LKHQRTMQDQQENLTFMINSFIKMGRDLHRTCGVFDNGVPCKWRLDQTEGRNRMRLRL IPDRPSSEEYQPKRKNNVPEPAIKLNTQLGPAPATADVAPTPVSTAIPVLDGSQDSTS GVSTIGSGKQESEDAASVVGEEDFELIDDPNDNDDNFEDKQRKVMRSLERGDQVQHVF NISRIIGLEACEGLLILGKDSLYLIDDFFQRSDGEIVDVWNAPADERDPYVQMISGKE IKEKPSKSTGEQESRSWKWSEVLSVSKRRFLFRDVAVEVFFTDGRSYLLTALNPVLRN DLYSTMTSKAPHPSDKSPPADGEDAWRMEALKAPDEAPTTLSSRIAGLMNSSPWNPTM RRWAKGEISNFHYLMLVNTMAGRTFNDLTQYPVFPWVIADYTSEELDLDNPATFRDLS KPMGCQHLSRAADFVERYKTFAEMGEQNPFHYGTHYTSAMIVASYLIRLQPFVQSYLL IQGGNFDHPDRMFYSIEKAWKSASRDNMTDVRELTPEFFCLPEFLTNSNGFNFGLRQG TGGSIDNVELPPWAKGDPKIFIAKNREALESPYASAHLHEWIDLVFGCKQNGESAIEN VNVFHHLSYRGATDLDAIQDRHEKLQTISIIHNFGQTPHQVFSKPHQSRDDWKNNPRR LDTTASSLTRLPFPLFEHPDKITSLTYAPKLDRLLCGSAFRLNMPSLFDKYMEWGFAD NSVRFYAADSKKLLGLFENLHQGQLSSAMFVDSKTLVTAGVDCVVSVWRTTVSPNAKS VDLAPMTSLFGHTQPVSTLAVSKSFSTILSASTDGVVLLWDLNRLEFVLKLASGRAVQ CAQINSVTGDILLCRGQRAALYTLNGDLMVDQNICTDSDDYVHSCAFYEGAGNEWLVN TLIFTGHRRGVVNCWRKCVGASGKWNLELVKRLDHVDQRKGGERTPGTITALTPMPTG LYTGDEDGKAYEWTCVQRAVGTVRS VE01_10404 MSSMPPHSYMMSDPLPGSPGLLGEASIYFHEEIDGGELFPAPLN GDNMLQFSGQPWQNTDEMTGNSTSAQTWPVSADMTRNDSSATSQSRQSFDFPAAAEQL QTTALQSVEMKLKTSSSPSPSLLGSTSPRGMRTRKRKSSPLSDEDEEEDGTPESPPKP PMKKTAHNMIEKRYRTNLNDKIAALRQSVPSLRATEKTLGGKGAKNLADVMEDLDGLI PPNKLNKATILSKATEYIGHLERRNKSLHAEKLSLIDRINTFENLLLGRKHHGQQMYH QDQLLIQQQHQMMDHGNQRPTRN VE01_10405 MAPARSSTEGKKDRLRVIIAGGSISGLVLAHSLYCSDIDYVVLE SRDEIAPQVGASIVVLPNGSRILDQLGIFDDVFGMVEPLENTLTWTGGDGKLIVDSNS PRLLKNRTGYPVSFLQRRDLLKVLYAHTPDKSKIHTSKRVCKVDHQDSRVVVHCQDGS KYFGDIVVGADGVHSTVRTLMQQHIELSSPGATKKDSNSISAEYSCIFGLGNAIQGVL HPGDSHRSYTKGYSTLSFVGRGGSMYFFFFSRLDRRYHGKDIPRYSKADMDEAVKPFL DIYMTDAIKFRQVWEKRTFANMSPLEESENQHWISDRFVCLGDSIHKMTPNLGAGGNA AIESAAALANSISKLKSTSPSTDEVRTVLKEFYAKRHERANATIKSANDLTRIEALAT LTDKIMAIHAIPALGDFLADVTCDSMVGAEMLDSLPPPARSLEATMPWDPESGIGKHE SKLVRALYALPLLAILYGCANTMGPALGPGLPLLENAARAGEVALGDGRVVPLVTRYF GHKGFDDFIAIYVAAFTPSIGGQDPASRMQMISLLGDLIPIQAIWMIEGVRRGNFLTA SHLLPTIFGIFFQLQGIGYMAPIYFFLHYVQSPMENYHASDNRLTQIGAVKTIIPTIL LSYVAPTVAMFAAPTLATRQWVNGLFWQPFPVYAAILQRVFGMFVKDTTYRDRIDNPE ADMPHLRRVYRFAGVAAACAFLYVRFKSPVSATEVFLEGIRNPGAAVPLFQRLSKTFR YDQICAFGAGAVFTLLSFRDLKKAKKVEAGWAKIIGTMAGLSLLVGPGAAMTAMWAWR EEALAKKKVPVVKDN VE01_10406 MSYKICTEVSDLCPVSATTYGYYPNFGGNVFFTAFFGALFIAGL AIGIRGKTWTFALALGIGSGLEMLGYVGRVMMHKNPWDSAGFKMQICCIILAPSFLAA CVYLTMKHLVLHFGPEHSLLKARLYPWIFVGCDLGSIVLQAIGGGVAASAGDTRNKKL LDTGNGLIVAGISFQVATMAVCGLLVATYVFRYKKAKRIGTPTTEKNEYNPGERRKLR MFCVAISVAYITILTRCVYRLPEMSGGWGNPLMQNELEFLILDGAMIAIATLAMTVFH PAIYLPAMSSRKSVAATESPSTSE VE01_10407 MDREYKHLTKEQVENFMKYGFLRLENCFSVEKAQDWTETVWQRL GMDPNDKSTWTTERINMPMHRTEGVQTFAPKAWNAMCELLGGEDRIAEGSADWGDGLI VNLGTPEWEGKFPHPKELDGWHVDGDFFVHYLDSKEQGLLVIPLFTDIKDNGGGTMIC PDAIPLIANHLYTHPDGVSPRMVPRGEEPKHNDLGWYSEVVNQCDDFREMTGSIGDVV LMHPLMVHSASRNSLRIPRMITNPPVSLKEHFNFDRENPKDYSLVELKTLRSLGKDKL EGWKATGPREAVIPERLKNQERMKKLELERLKQNPQAVTV VE01_10408 MASQIVDGGLISDEKVALENEKEKLEVLEKPSPPLTTSSSSISD GEEISEKAILRKLDYKLLPPLTLLYLLSFLDRSNVGNAKLEGLAADMNFTDTQYLSTL TIYFIGYVIFEVPANIVLKKTTPQFWLPTTMVAWGIVATLLGVCKNFNGFLVARFFLG ATESGLFPGVVFYLSMWYKRSETTYRVALFFSAASLAGAFGGILAFGIAHMRGIAGLN GWSWIFILEGILTVVVAFAAYGFIHNYPKTAKFLTEPEREFVQARLKADNDATNHEPF SWPSVLRAVKDPKCWLYGLAFHTLSLPLYTLSLFLPTIIKALGYTAAQAQLMSVPPYA VATVLTVLVAVASEKAKRRAPFIIGSSIVAIIGYIILLSAPSNKPGVSYVGTIFAAAG IYPATGIVLAWPANNVSGQTKRATANAMQISIGNLGAVLGTQLYRPSTKPRYYLGHGF AAGYLLANILVVLTIWAVLARENRRKLESERSAVVDESPIENDDDVRWIFQV VE01_10409 MVSPEMTKETAGIDISPVGSAGHDDRRVDDGRAARTEEPLRDDS DSDETDTPENEEIGRAIDELENQEKSWFGYLKTRDFYIVLILGQILALCITATNTFST KLVMAGNSIPAIQTLFNYVLLTLIYTTYTIYTYGFKKYFKLLLVDGWKYFILSFFDVE GNYFTVLAYRYTTLLSAQLLNFWSIVCVVILSFCFLKVRYKWAQIAGILVCCGGMGLL LASDHLQGTNGGQGVDQLKGDLFGLLGATLYGLSNVFEEWFVSRRPMYEVLGMLGIFG ILINGVQAAIFDRTSFHDATWNGAVAGYLVGYTLALSIFYSLAPIILRMASAAFFDIS LLTGNFWGTIIGIHVFGYTIHFLYPIAFVLIIIGLMVYFLAGSMLGDSKKPWLGKNQE LGVEGLGTAKRKAVKRVIRERQPGQQA VE01_10410 MHSVTALVALAAGLIGQSLAMPLIVHPGNAHDIIIIPEEIHDGI LVGGTAAEGDGQSTSNPQLGLVLQNNYGAAPINVYITGQDSSGGIVFVLPDGTFYYPP STTSTTPVLITENVAVPLGGKGSATSLSFPDYISSGRIWVAAGDLKFYIVDAGGRAGL VEPSSTNPTDPSAGSDWGFVELTNNAGGIYADVSFVDFVGMPLGLSLKSRDGVQTVQG TSTNAVSEVCSALQAQAAIDGAPWDALCVKDASGKLLRVMAPADYITLDNGAFSNYWT DYVNQVWDHYTSNTLTIDTQTSNGKVACRVVGDELKCEGDNRGYAKPGPVDIFGCDRG PFSIAENDGDIHRAVVPRLCAAFVRSTLLIPGGDVQPSLSSENYYTVSPTNYYSKFVH EHELDGLGYAFAYDDVAADGQPSAAGLVNNPNPEVLTVIIGGPA VE01_10411 MGVAGIRDRIHFARIYGELESFGLLGRHDYVYMEHTRNGDKYDI QVKVWKNAGYGSTKLKADGNKYCNMMGHSGGREDYVWTLSTGQMTIHPNAGLGEFRLR PPAVHELRADAIWTDEICIWSIGMVEVWRNLYGETHAWNWSYLGNPATELSCVEKRGL GIHDIPVHFADVTGNKKADYLCMQKDGRTTGWVNGDSGWEVIDQFKHTEGLDRANFQF ADADGDGKADLIWTDKFNGDGTVYYNGGRQEVGGSQFLWSNEGKAFTGNAAGTCVYYP ELNGDNRADQHNVLGTFTNEARTWFNTCDGGNAMGDGPSTGTDPQLPAMPGLDPDGV VE01_10412 MVGSKNNGDMQDNVRVAAENSYHFNPNVVTINAGTNDCTGNIDI PNAGARMKNLIQTILGQPGWDRTTIILSTLIPSANGDTEAPRGSVNDQYRNLVKDMQA DGVRIVLADMDPPVAGNGWLSYPTDYGDLVHPNDQGYAKMAYVWWAAINKARNDGLLQ PPNISKIDEDCHKKPGDGVSAGGLTQQVNGLDDGIYYHSSVGMGSVFDFSSNFDRGQW FFAKLFSRDRDDLVGWVDQPDGTVVYAVYKNNGGGFPRFTKIDDMTVHDNCLISEVNF VDINGDGLDDFVCIAKDGEAFASINNGPSSGSSPTFTSIGSIKISEPGYDQSNIRLAD IDGDGRADYCASNAAGDISCWRNGGIRDLNGVRFVDINGDGRDD VE01_10413 MLELTTPKTVLVIGATGKQGGAVIENILASPQAHSFNIVAVTRN ATGRKAQKLAAHPNVSVIEGNLANVDDIFNKAGPVWGIYSVQINSDVEEQQGKAMADA AVMHGAQHFVYSSGDRGGPERSPNNPTYVKNFAAKHAIEKHLQQRAEKSPQQMTYTIL RPVTFFENLTTDLHGKGFARMWEQLGSKKLQMVSTKDIGWFAAQSFLQPDKYRNAALT LVGDELTQPEANVIYKEVTGQTMAMAACPIASAVKFVLKGSVGDMFKWFEDEGYGGNV QECRNANHNMQDFAAWLNENKGNFM VE01_10414 MQITYFVQALFVAVALAAPAPQGGGDLPSGIFPSGGFPTGTRGP IPTGGPIPTGTGGGPVPTGGFPSHTGGGGHHHHHHTRTRGGDGPVPTGSPAGGDEGDD ESSQ VE01_10415 MIEPSDRFWVVGEQVKGNVHTGQVIDWDQRRWYTIEGPVSLIPP DENVDIDVLKCYVGQLGQTVQSITVDDKGLLVKVSSDPEDDRTLTTHYPRFATAPSLQ NCLTVHLSQLTEEDRLGPSVDLVSYVDDSGTSKFAVKYYMIYQTRHWIRNELHLTKAL PRHPNILPFDRVVLSDAEPRILGFTTPYIHNGTIEQNKDRVFKLAWLQQLLDVVDYLN FDLGIAHQDIAPRNLLVDPKTDNLLLFDFDRAARIGQPSCFPPSGTMSAASFSLCTKL SRKMTISGAWNTENRIQTRC VE01_10416 MSMSIDPASNYPPRNPALPASSTATTTTTTLPIHPPATANTTIT APPPPPSEPLNPSLFPPILPPGKSPKYLHIPISTATKSPSSTPSTSTALTTTHASSSA GVGGASGTGGGLAPKHKIRADLASVRVSEIPDSVRKAASVFVRSWRAVWEKPVGEDGE DEEDVGKGVGVRVLAWPGLRASEGARGGMGMGMEVVIPRMGRARRARERGLNELAWRL AWVGTKRVGGRKVLLQRCLDVYRTKIRKALEVVEGGERFEVFELRAGKRVWGERMARG RNGGREEEV VE01_10417 MVTDKYYSQQHQPHHAQGPPPQQLPHQRPSSVVHQQQQPPAPQQ QQQQHSGAYQPSHGLPQQYGQNGTGQVAQHPQDVPYYTHASPYSTPSATGTYTSAGEF ARDPTAPPAQQQQRLTSAAADTPDIIAAAGMARPLYPPIYHTPQSNSPASVASPSGHD QHGRQIYSQPPSQMQQQQPMYYPPPPQTYPPMPQQGNPSPYGQQQPQHHQGMGPQSNL LMSHPQAQHQMQHPGQHPQQMTSSPRVTKMEHPPQQQQQRAAPAPPQGQAQPPHTHQH IPPATNGAPPLPGTGVNPSAAPGPIPATTPLVVRQDGNGVQWIAFEYSRDRVKMEYTI RCDVESVAVDSLAPEFKTENCVYPRACCSKDQYRGNRLVYESECNTVGWALAELNPCL RGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMAKINSRKLVHAAPLGLPPGAPPGPLPV QQQQQQQQGKMGAQMHHHHQAHADGAAAPNGDDGSGMFHQM VE01_10418 MGDNSASSSGSADTSTSDTVQHPEQEINVLITGFGPFKAQYPIN PSWEIARSLPSTLHLPPSPHAPGGTKVNLRVHPRAIRVAYAVVESVVPGLWEGEDGWR PDWGVHIGMAAGREFYCLEKRAAGFGYKVGDVEGCLPDKAGTEGDVLEPGIEVEDVVG VWKGRVGGADVRASEDAGRYLCEYILHESLGFLRGGEREGKCLFLHVPAGVNEVDVER GRRVVLGLVEAVVEVGWEGGAREQGGGGEKEAVKGFVGGGSVRERVGL VE01_10419 MMLPSEVSALSRNSRYCTPEPTAMAELPEPSAPRAQPRLRIKRR NISHAAGPTDAFLASVAAADVPIPSIEFPDAAGDCEMVDAGAAQGCLSPLARPSPPKT PMPGQSSPYHGDGYRRTDWYASTPGTPDRPSSSHSDPSNWSDDSYFSGNNTMRSNGTI CTSPDSEMGDPFISAPQKEAKNKHTLTYNEVYDATSDQYSQVRGKKYVESRWTKDQSD HLLRTYHIYLQDPTVTPFRMENSRIPPEGIVRRVSKEAKRSWKGPKPKKQSKLEKGKE RQYPGSNLTVESAYLAPLNSDAMDFARGRSPTPTGDAPKAYIKWAHSGAATRSHLRQL CKYNYDQSAQMYMYLQGRATTPFSHREDPSTTYLLGEARAPADTQPFSTKSIALSLAT SASETMQPGGPLARLALSPPLNTTTSIRDFATPPTRRLGSPFAAKTYGPQSSRPLLPP PSSKSLASTLRPSTLTGTQKRRAMHDPEDARSSRPSILSANFFGPPTEPTAESQQEND QRRVRSRGYTVNDASVRSRDYALFAPRSINFASPANDVFGPEPSPGPTSRTHSRTQSE RSSWSRVAGAAALLPPPVFRLNGTGRQENSTFPRRAHGVTEMDVVGGVRWSTFQNTGR QVRHSIESFDFAPSPMRARLEEMSERIDARDRSL VE01_10420 MLPFKPPLRALMLFVAVSTAFLYFALYRHDLDYITAKILPLGKT DKLPEGTNLDDKASFIQAFLEHEIDGPFDPAPIQKVCASKKWNDNLTIVCGAPQGGIG NVRNVFLTCLRYAIEAGGAFVVPEIVGRDADDLSKLTTNNTVPFDYFFDLEHFKASLA LACPQMALHTTAPGDLSLNPPIHLSPQHLLSETFAATVILRPEKWRPAFDDWLSEHPP ISPGRSTISLATPLLNYPIYSDPAPFMTSFGRLLRIREPSRRLAAAAVHALRTKHNIP ITPIGITSSAFFGAHLRVAADATKAGWTGYDQQAAFLLDFAEEVKLKTLYVTSESKPA ETFRADATKRGAVALAKEDLLDEAETKALRAMTWDQQALVDYEVLLRASSFGGIELSS FAWNVALRRHVVSRSGRKAWEGVKEGGGMNFKDEYSRLRGEKGAHQLFVEAMWP VE01_10421 MSENPPPPTPLSDNPLPIIPSPYNPPPPTPPERPSSPASSTISW ASSLLPPTPSDVFSTPFEHNGLASLLDRESALLQALQELESERAQVAQEKAALEQEQE ITKRERRNLQSFQANLVREKIDQMAKKRCSAPTWKDGNAELWEMVAVGAAVVVLASCV IPGFFALMTVIQLQAVASRKVSLWVLGKFGL VE01_10422 MSPTSSSSSSSSSYNSFTIPATPRTTSPALSTEETSTSPDQPET ALSKGSQEFAREIAKLEREKAELQREKDELQKERDELASACNKAKLATEQKKATEAER QRKEIYSAMGAAAFVLFIMPAIFIALSLFSAQWVACMRMLRWAVQVVG VE01_10423 MSPHPPPNPSSPSPTGHTTSSRSTSSSSIHSTTLLTPGGASPTP GNEATPTSLYHKTIALDEATKDIVGEISQLERDYADLKSKKAELELKIEELKRDMVAL NAAEKKAAEKCKEERACLEEWEKKLELLMENLKELYSHSKGAVMKAVTAALLYIPLVS LGVTVVATEVAIGWRMVSWGVAAVSR VE01_10424 MAQIKLRQRETALSKASKELAIEKAAFFLKKAEFQREKDEFAAA TDKVTLAVKQKRTKEMERLWEGVFIAAIVFLAIPVVALGSTLISVEAVGAWRMFVWAI AAAAGH VE01_10425 MAPYTHTPGTSSSPITPPSLNDAQINLRNRELDLEKTELKSKSK EALLKCKFYRAKHNLDKAEMKYERRKADIEAKREKQKTDFEIMQRKMALEAERRRDDL ELKYQKFSTDLKSEFGNQTPRTRPQLMEKLRAWKLRWV VE01_10426 MSSCECSKRDHQITLRYHEMELEKAEIKFKAEKAELELKQYKQE FDLRLQKEKIDIEIGRKKVQLETKHKATDHTHTHS VE01_10427 MADQPLTTSHRLSSQSPIGYAADPQPRSPSSTTDSNSSSVSGPN HKTRLSNSENKNDRSKIMLRCKEMALGKAELDHKLQIFQLRSSFNKAELEHRPQKIRS EGIYHKSERELKVHNEGISDGAGQLIGGIGCFMLAVLFMVSKALSAAAAPK VE01_10428 MSQSKPESGPQSPPPPYSTPATAQTPSSCLQYDGATLQCKHTAL EKDKPGINPRQSTYDDEKLNCQCERHIKETEELNLLTPKREICKEDGEWKWKTKKGDI KRKWATKKADMKRKWEKAKDDMRTKTKEDGAHSYTPLNENEKGNGDKRRPDVPLRENV KETIHPYPGKDVRYSRAALSGNTDATILAAFVSLALWMMSVT VE01_10429 MAVYRSPFEPSPESMPESSLASTPMLTPQSTPENTPVSTPPPTL QPTGDYRFVFVPQHIPPFVPRTAPPTAPQTTAETILPQTTSQRPLHPTPQSPQPTTVN PSTTQTENQPSTKEIYKNIAVRRSQVDLERAELGLACRKRLADKLETGVWLLMGFVVV VTFKILFI VE01_10430 MAPSKSTHKTPPQPVPDDPPQSQCTTIQLVKHAERDLTYNEMHY RQTQIYLRHQEIELAKAERQFREQRWWDISHVAVLGTMTLSVAFIFGVIG VE01_10431 MPSSKWPSLATVEQDWESSDQLMATTDEGAESSDQLAETQNDDS GSSDQLSETPNEDLEPTTAPPEYSSVQTAADIETGSSYELRGNMVRRQREIDLESGWS MFKKPRENWIHKIGRVLTLENICKSFGIIILFVVALSLAIMLGMLLWNGIVMMIKSRQ RIND VE01_10432 MADVDPLATPETDITTQTILLHAANHDIPALKPFLRVPGAASAQ DPETGFTPLHAAIAACGPVSEGAAGSEAPAGEPAAATPASGEEKGSGDDASAIEDIEA SAIATVTELFLSGAIWNDLDASGETPGCLAWRLGRKGVYEAVVQAGVRAEVLLGMMGG YDPLSSGGESEAEEEDTTDPATEAEPQPEATDEAKEATDTKAPAPFDPQDPTDVNSRD YLASELTSTSTALLDSDANAVMMEWETDIMKLSAALLAPAPGLRVLNIGFGMGIVDRF FAAETPSTHHIIEAHPGVLASLGAPDHEFGAKWEAKGGEGRNKVWAGRWQDIAPALLM GGEMYDAIYFDTFGEDYGALKMFFEDYVPGLLAEGGRFGFFNGLGADRRVCYDVYTRV AEMDLEGVGMEVQWVDVEVPIEEGLGKEGEGEWEGVKRRYWTLDTYRLPICTFMG VE01_10433 MVGLGPKKPPSRQGSSTDLPKDLAQEVKRLEGMFTVPQEKLKEI TDHFVKELAKGLTVEGGSIPMNPTWCMGMPDGNEQGRYLALDMGGTNLRVCEITLTEK KSEFDIIQSKYRMPEELKTGEADELWEYIADCLQQFIQTHHGEENLETLPLGFTFSYP ATQNYIDHGILQRWTKGFDIDGVEGKDVVPPFLDALKKRGVPINLAALINDTTGTLVA SAYTDTTMKIGCIFGTGCNAAYMEDCGSIPKLAHMNLPADTLMGINCEWGAFDNEHVV LPRTPYDVVIDKASPRPDQQAFEKMIAGLYLGEIFRTVLVDLHDNKHVNIFDQQNIDK LRKAYTLDSSFLSAIEEDPFENLSETAELFQARLNLAPSMAELELIRRLAELIGTRAA RLSACGVAAICKKKGYETCHVGADGSVFNKYPHFKERGAQALREILDWPEKKAGERDP IEILAAEDGSGVGAALIAALTLKRVKAGNMAGIQHPENYK VE01_10434 MVNIKALSLATAVLSFAGAALSKSTVTKPASSQNILPSTFTPPQ VFKNINIQRSINLEKSYPRNVVNVVIENVSPEAQNEYYIPFTTSQAEKLGNLEVKDNK DLTSPPFSFEAVSIDGSSETQFYKVQLPQPLAPKGKQTIQISYSFLSSLRPLPAAIAQ QDPQFLVYEFSAYWPSSYITRHQETEIKFPGASVPEYTGGIGGKEESAQKAGSKLTYG PFKDVTAGTTQPVRVRFQHTQPLIHVSRLERDIEVSHWGGNIAFEERYTIVNKAANLS SQFNRVEWAHQQYRTPETTAIKALHIPLRVGSLTPYYTDVIGNISTSRFRSNKREANL ELKPRYPIFGGWNYPFRIGWDANLATFLRTVKSSDSYVLNVPFLEGPKQHEGISYEFV ELRVILPEGATNVKYETLVPIVSATISTHVTFMDTIGRTALTLQARNLVDAVRDRELI VTYEYPLSAALRKPVVIIVSVLGLFVAVYLLGSLNTGISSKRVGKKA VE01_10435 MGGKHKRQAQDAPAGAPAAKKQAKEAKKDPNKKETRKSAAAAKR AAIEAEPLPILPFVDNAKGPELKREVQLYDQLSSEDAAERLAAADAVVSGLLMGEGAS ETTMQRHLERRLFRGLASGRKGARLGFSIVLTEVLGQMFGKEDKYPGLGFDKVLEILK LKTKPEGDLSGQEEKDHALGLLFGLQCFVRAKILFSGEEKRWEVVFDSLIYLCGKKPW LREEVGWVVVEALAQMSQSQAETTLQRLFEAGLAASPEGVGIWIAARRQFPDMKFPPK PWGSSGNPLEHLKSLGKALKESSSPEGEGQAKQTGNWNPQLHFVWGMIVDQYVAGARA GDEDVADEFEKFWKVAVDENLFSASASRERKFWGFLLFQKMLTDSSDYKELLVSVFSP NLVRCLINHMSQEDRFLHRAAEKSLRAVQQMAEAHPHTIPTVLPKLIGGNGFYNFDQV TKTKTIDKMLGWASGKDAEAVVDILLKPALKIEGCESDKDAETRRQCFGDYLLSMIRR VNVTDESVDATWVKTTGLPTLGKLSYSKKHLKCKPELSEASRTMFRGRLTSAFAHLIS DPKGFPYPIELLQSVKTDAVEMEDEVEAAKDKALATMEKLLKKTKKASEKEKVSLEAL ALLYALVVFQLLNGESDAASVLDELKLCYDKLIRGKEDEEGQDVSQVLVEILLSLMSR SSLLLRKVAQHVFTAFATEITAEGLALMTDVLGAAESAKGQQALFDQNDDEEHDHDDE EGSDSDELDSDVEMISANGDDADDAADDGEDADMAALDKALAAALDTHRLDEDAAAES ESDSDMSDSEMLQLDEKLVEIFAARKKVPNKKQEAKDARATVVNLKNRILDLLEIYVR RVPEKVEAYGLILPLLRCMRETGTKQVGERAHSVLAAFKKATKGGKADGDEEEGEEKA DFDILERIELLKEIHEEAARDASHAFAKAASTASLLVASSLYRVDKRLVKKVAGVYRD TQVQWVMGEKKVAAGLFVDWVNWCQSHAGAAKE VE01_10436 MEASSPLAAMQPPSLMPWNCRDMYSRGNSNLSATNSFGPGAFNF RDLSMKRPTPEYFSLKTIRGSSPTTSLAADFPQLPTPRRSLFTANIFGTLDSRESVTT PPLQSSSPGPFYESMDVSPLPHKAPYSVQIEAQSPCPPQTNEHEMTLRSSPPRPNVAE LPKPAPFMPERRKSILLRPGLARTKGHSTGAVPQRSRPEMQLPPFRFGAGSSKLSTST SMSLGECFMESPPQEKRMAVSSNPTIAVIPPQRTKQSSLSISVVRNGSPISHARRPSN PLFRPRKQFRRSLSMFENPADIMTQRKEPPTCSSTALQSVMDVDEQHQPVLPHFFQEG QPDSIPRITKDTLVDILEGKFDNNYKKRMVIDCRFEYEYNGGHINGAVNYNDKEMLTG ELFANTTENTLLIFHCEYSAHRAPIMARHVRQQDRTTNIEHYPRLTYPEVYILDGGYS AFFSDHRDRCYPQNYVEMDDKEHAFTCEREMGRLRQNRGKLSRAQTFAFGQHGGVEDS PTGPSRSGRAFDTMMLDSSPIPNDNRVHGRRLASY VE01_10437 MQNPAQGIAPELLPHVHCLSVYRFPTLQTVHQSKIADWLLSAPK IANSSAPFYWTYLERPQDGLILLTWQPEAQAGTNFASDGYIWAGAETVYAMPVDNFVL EIYQHKAGFAPGDAVATHARRRYRLVPGKFPNPGGPAPDPSLWVTHYYPASPGDRVPT NVIPTDMRIQSMMQTRAYLQSQGQIQQKEFMLHDRSKWPQIQFPGRAPQRAPAYFSPM QTRTPQQMAYPAQHMTPGPAPKRARTQPNQQHAAAQAAPVEMMDDEEDTSRGDMFDHM TPREVSQSRYIQNHEWMEEVINSPIPIHHLVPVDLGLGLGGELKKVTNGIFETYNSAK YIEEGKGGENEYIGRLDPGKADEFRKRAAEKIEADNKEIEKLKRRHARRMAKLAKNGT VTEAEKALRTAVNDPSDVGTEYWRLEGKLPSNDDSDSEEPAPALSTAAKVDNIVAQLE ASLGLHTAAVKELRRLHDGGLQERQPSPEPAPYVPSEVASPQAPSPRSAHSSLLAADH ELDMGSAAGLLDQFHTGFSAATTPGNSYTPHHIQGLSTVNSSAPSPAQQQPDVEMGGT AQEAEKSEAGDWVVVPPGGVSPTGVAPPVAQAQSQAPTPVPVPAPSAPAPAGEQTYAP QSAPQSAAATPTAPALAPPAATGGAQVGTPAALGVTAASTPRVSEAAEPVDFSGLDDL DTAGELGEFEEMEGGMDLVDDSAFGEAFHGVEGGQEEGQGEGGGM VE01_10438 MASTTPLTSLPFPNPTKSYWQTPPLPISDHRTTPSLPPSTKYLI VGSGITGASIAHKLLLAEPSASVVLLEARQASSGATGRNGGHCRPGDYLEFKNNLDLI GVEEALRVENLEETNVRAVAALVDEHSIDCDFRARESLDVFVDPKQWEAALAALKARE EVGVPGLREHKVWSEKETREELLFPDGVGAISYLAYILSPYKLVCALLEISLKKGMNL QTNTAALEVSQDPNSKLWTVRTDRGEIKAEKVILATNAYTAALYPPLADFIKPARGQM ATVRPGSKIEGNPALRRTAAIHTTEGYPYFQSRADGVSGDGDLIFGVGSWHGKVGIQR TFDDTTLHPEISEYLTNAATNLFGEETWGEKGAVLQEWTGIMGTTTDHHPLVGEAPGK EGLWICAGFNGHGMGLAFQSAEALVGLVTGREKEVDEWLPKCYRLSRAL VE01_10439 MSYPPPPGLSSYSKSTSQPSSLPARPPPSAVPAAAFKPNYANPP SNYAAAPYQSQGFVPRGVQPPAMSAPAYGAQPSYNSSYQSSNYGGQQGYQAEQQQDAY GTPQIRNPFPLPGQPGAAGHYDAAADPEMEAQIAQWQSAYSKDNSASTQPTGRPTYAT NPSAGGGTSGAATSANAAPLGGREVSSAVNADTGVATVVGGKDGKQATVVRSGGGTQW TDSSLLEWDPAHFRIFVGNLAGEVTDESLLKAFARWPSVQKARVVRDKRTTKSKGFGF VSFADGDDFFQAAREMQGKYIGSHPVLIKRSTTEIKAVVPRDNKRGRGGRNNRDKDRG KGGQGVKEGGVQKAGQKTKGGLKILG VE01_10440 MSGPVARLAGINVGEDARIPSGDFGLIGLAVMGQNLILNAADNG FTVVAFNRTVSKVDHFLENEAKGKSIVGAHSIEEFCSKLKSPRRIMLLVMAGKPVDDF IETLLPHLEKGDIIIDGGNSHFPDTTRRTKYLDTKGIRFVGSGVSGGEEGARYGPSLM PGGNEEAWPFIKDVFQSIAAKSDGEPCCEWVGDEGAGHYVKMVHNGIEYGDMQLICEA YDIMKRALGMSNKEIGDVFTTWNKGVLDSFLIEITRDIMYFNDETDGQPLLEKILDSA GQKGTGKWTAINALDLGMPVTLIAESVLARCLSSIKGERTRASEKLQFVGRSTKFEGN KEQFLADLEQALYASKIISYAQGFMLMQEAAKEYKWKLNKPSIALMWRGGCIIRSVFL KDITDAYRTNPDLENLLFSDFFNKAIHTAQPGWRDVVSKTALLGIPTPCFSTALSWFD GYRTKDLPANLLQAQRDYFGAHTFKMKPEAANEKYPADTNIHVNWTGRGGNVSASTYQ A VE01_10441 MVTLSAVEASNSRISTALPAGLVAVFVGATSGIGEYALKEFAQQ AKDPKIYLVGRSEDAANRIIAESRDLNPTGQYTFIKSDISLLKNVDKVCQQILAKEDS INLLFQTQGTLLMEKTSEGLAKSYVLPVTSRILFTLNLLPALQKANSLKRVISVFAGG FEGPFNDKEWTEYPIKHPLKSRAHIASMITMAHNSMARQAPDVTFIHNYPAGVKTNFG KDLGSWTIPARAVFGLIGPIFLKHISSTECGIRQVYGATSARFPPAEGSAAGVPLADG IPVAKGSDGQLGSGSYTINFDAENVSDRVYEHLAKAKADGAEESLWAHILGEIKGITG KSY VE01_10442 MATERVETQGQRRKIQNRINQRARRLRMRDAGDVGKTASQNQYQ IECWRLDEHNNTCVQIETPLDPSPRLGNRQQDHSQDDSNGNRFSITKIELDTMNCDNI RSAAQLFHLKLSPLQDHLLSLIQFNVLRAIFSNKSTLMSSAAYFKMVNISNKPQIERI QDCYPTRAIVVSASSGIPVSLLPTQLQATVEHSTWIDLIPFPRMRDNLIKPKAEYDPV ELARDIIGDLVDFASAYDLSRPSDTDDAENALDWSKRENESTAGRNGLVIWGEPHCPE NWEVTPGFLQKWRWTLEGCQDVLDSSNRWRLLRDEEPLTTID VE01_10443 MATSQSNHQDEAQAPPYPSYEEIRSDISLVQPTVDGTPVSKMPL YEPKVSSITVSVWDLDLWENDWLDEHDQHSSPSGGEGEPNARYGRLPDLGLEGGEREE EEEEDEDYDPNIQLLICCGEIRPHGKDVKLVVKPATGGEGFVTVHDYVSALHPWLMNM KEDIVNAKGVLDQPVPPVTELLVDCTPLEHVVIN VE01_10444 MLAQYTDFGCTSPDPYCIFNNVNFGYGLRDCSNGACGTDVASTV ITFGSAYCSAASATHTGTVTTTGVAALPSCGQLCFNNMLAQYSALGCTSPDPKCVCSN VNFGYGLRDCSNGACGTDVASTVIAFGSSYCGSATASQTVSPTSTACQVVSGLASPVP SGAICGSKGTSFAATGAGTIIGYSAGSSYVGSLAACSAQCLATSCCTNIYFIQGQSCN LHYGSDGFHAIGNPLYDFYDAACFTCGKLSCNT VE01_10445 MSKLTGSALDWAITLAAGTGFLLFGYDQGVMSGLLTGSAFIAQF PAIDTTHGGGGSSSLQGLVVAIYEIGCFIGAIIAFAFGERLGRRWTIILGCAILIIGA AVQTAATEISHLIAGRIVAGLGNGMNTATIPVWHSELMKPTLRGKGLSIELAITIFGV MLSYWVDYGMSYVDNEAQFRFPIAFQIIFALITMAMMYFLPESPRWLVAHDRSDEASI VLWRLQKNASEINQDSHVVVAELHGIQYALEEERAAAGGTSFLAIFKSGPQRFRHRTL LGIGGQFMQQLSGINLITYYAPVIFEVSVGIPHSTAMLLAGFNGIAYFFSSLIPIWCL DRLGRRKLMLFAACGQCVCMAVLSGTVANGSKPSGIVAIVMLFLFNFFFAVGLLAIPW LLPAEYAPLAIRTKSAALATASNWIFTFLVVMITPVSIDRIQWRTYIYFAALNLLFIP IIWFFYPETRNLSLEQIDLLFTGEKVLLHWDASMGDLRGSLAKEAPSRVLQVSIEDDK SIDNAARHVE VE01_10446 MTQSLVPDTTLPTEIPESRQSAANAPVSSLFSLSKRTVIVTGAG RGLGIVLAEAILESGGDVICLDILPAPSKDEWTRIEQTQKTSGTYCSYHQCDITDEDA VTATLTEAAKAASKRGNPIRGLISCAGIQQMLDAIDYPLDGFRRILEVNVTGSFLIAK HTARILWDEGNSGSIVFIASMSGQIANRGIHCSAYNTSKAAVQQMSRSLAVEWGQYGI RVNTLSPGYIRTSMTDQLLAEKPEIEKLWMAGALLGRLGAPEDFKAPAIYLLTDGSSF MTGADLRVDGGHCASA VE01_10447 MSLAPKQQRLRIRHEPRGEVNLSAPDNSQDIHGPTMGSDGWATD LNEVNDDSVISTRNRTDQSSPHSILALNHTRSVGSINRSPPELSRDGVYKQHTAPTGG TAAPLLDQALSRPSPHTSTIDAFVSTTEELEPAGIFNANGDPYLLDIDLVELEALDYL IANPTDAELFSTNMNQIESLPWPPDGNCEVLPTDHISADSPHIYSTYYPNTIYEELHT VLHNYMVQTARTTMMTRQGTPNATSEAASRARNDPVTTQYVSQASLMDAGALKRLPEG TKLTDRRELELWQNYLDEVAVWLDMFDVERHFQLRIPMMAKSSEHLRYSILALSARQA ERKDPGKPATESLTLYQKAIELIVQELHSLDTAVIASCVLLCVLEMMSSSPKAWDRHL NGCSMLLQAAGIDGTVGGVRQALFWCFARMDVWGGFLSDTLTKIPTSRWFIPGQSMST AVIRFKTGPGGFDNYANYAVFLCASVVNVLSNRGSSSAGQRDHTSNSRGSLSAPWKAL YDLLEDWYNSRPEEMRPLMSSTATLDDHYHPFPIVLYGTSPAVNGNQLYHASSVLMLQ EKPKEIRLTRGHKSVQWHARQICGIATSNHSHGAWINALQPLFIAGKIMSHPIEHRVI LDVLARIEKETGWATSWRAEDLKEYWGDVEE VE01_10448 MSTEAYQASLDHGTHSAGFFNPDNLQARKLREQIAMETLDESLD YLLNEGGSVAIFDATNSTIERRRKIIARVQERGRSNLQVLFLESQCFDEALLQSNMLL KMSNPDYRDQDPVKALEDFRRRVAMYQKKYTPVGNIEERLGVSYCQMIDVGRKFITHN IKSFLATQVVGYLQHFNLAGRQVWLTRHGESNDNLSGKVSHDPGLSPHGVKYAAALSR FIDQERDTWNRQQKRLEEAGDSLRLSADKSPKRFHLWTSMTQRSIQTAKFFDSARYRI EHMRMLDDLSAGILSGLSDAEVKQRASDTQLNHTSTGYPGTRCEGYSDVTKRLRSIIL ELERVTGHVLFIGGSAVIRVLLAYCRGMQSYVSANVDIPLGTVYLLEPKPYGADYKEF DYNPETDSFHQKRDREG VE01_10449 MTPSLEVLDIDPKPTLNPYSVNSIKNEDGDKNDLVLKVFRCLIA DLCEQFKGGHPGGAMGMAAIGVALWKYVMRFSPRNPSYFNRDRFVLSNGHTCLFQYTF MHLVGYDNMTLDQLKSYHSKRVDSLCPGHPEIEHEGIEVTTGPLGQGVANAVGLAMAS KHLAAIYNQPGFPVVDNMTWCMIGDACLQEGVALEAISLAGHWKLNNLAIIYDNNQIT CDGSVDLANTEDVNGKMRASGWNVIDVFDGVFDVNGILEALITSRASDKPTFVNVRTI IGVGSAVANNAKAHGAAFGSEAVANMKKSFGMDPEAHFVIPDTVYEYFHEVRSRGARY EANWNSLVASYAKSHPQLAREFNLRVRGKMLDDWSKYIPSKESFPTGPTPSRKSAGIV CNPLAQNLKNFMVGTADLSPSVNMIWKDKVDFQNPELKTACGINGDYSGRYIHWGIRE HAMASISNGLAAFNRGTILPITSTFFIFYIYAAAGIRMGALQGLHQIHIATHDSIGTG EDGPTHQPIALPSLYRSMPNLLYIRPCDTEEVAGAFIAALNATSTPSIISLSRQNLPQ YPAHTSRDGVQLGAYVFSEQTGAEVTLIGVGAEMVFAVETRDILAKEYGIKARIVSFP CQRLFDQQSREYQQEVLQYRADRPIVVIEAFAINGWERYADAGVSMSSFGKSLPGGTA YEHFGFDGKVIAPKVKALVEKVRKEGVRCLRGNFRDLNGIMGYGFEH VE01_10450 MYTIGGVCALPFIGPAIDTWGRGVGMLIGSASVVLGTVIMRTAI NRSSVGQFEGGRFLVGWGVPIACAAGPMYVVDVSHPAYRGIVGAFYNTWWFTGSILAS GVTRATHSLPGHQSWMTTLWFQIAFPGLVCLGALFLPESPRWLHVNGKSEQARAMLTR FHGEGNPDSVWVTFQMQEYEEYLELDGSDKRWWDYRALFKNKNTWNRLFCNCAIQAMG QEAGNSVLSYFQAAAFETAGITDEFTQHNLTLAALIFASSGQTNVAAGTATLAFQFIF GAAYSIGFTPLQALYPVEVLSFEMRAKGMAFGSLVMNVVSIYNNYVWLVVLKRIAWKS FIIFCIWCFCQAVITYFFIPETKNRTLEELDIIFDSDSPVKTSLEKRTVGVTARGDVV EYGDE VE01_10451 MSTEFETRREFSHQSLRRYWPKRFTKRQPFNPNSENQTYLEYLQ IEQYLGEGVSDEEDEEEEGNEVEAEEEDGASCEDDGSSNAPEDDVNEQSKAADASNQI SDVRRFMRGMERDEDFLDDYDMVDEVAKFCEGGSDGPFRAREKHVAILDERNIAGTII DKGGYCRTNLEPLTLEQLFDKLSRKRLRVESDSTNAFDDEEIDAERRIVFISDLDSFT IQAIIKTASRTQAPALRDLFHKYLTRKASIGVTISNGFPVFTLELHMPYYVLGKSKTA SRDARRKSDGSPLRQSRKLDFLSRSLDASKGSNPTDQHYWLHEAQISIVVTGVNNWVW TAYGFVDTYFGSKGTVEDYDKLKGRHWEREDPLAAGRLNGGEPIWTPREYFLRVAQSR IREVLKEWNRIVRTVTEEVEGSRDLVYSSSKITAQKLEFLERRNSQMAALSTQLIGGL SETIQAWEGFRTTEANYFLFDEVSTAEPSLEASLNAIDKEFSKLMPGLRKLEQLKKEL CDRREGLNAYLSLDGRAAARQLQDLTVLAIASSPGKYRIWAACLTICFSNS VE01_10452 MATSSLPGLQTFVAQIGLDPLPTFATADALNNPIDIYHSYLAER LQTLVECDPDVVYHSIQLSKTIEDGDLDIVLPKLKLAGVKPKELAGELLKKFLPHPLF AAPFKDGVHIRVLFSTKNTPRLLLPYVNDRKATYGNFPALGLQDQAESKSKKVIVEFA RPNIAREFTIDHLRSSILGAYVSNIHEAMGYSVVRVNYLGDWGKNLGLLGVGWQKHGS EEIVNEQTDLFRYIHDLYAKMEEELQPEQDARKKARQDGQDTSVLESQGLFAERDATF KQMEDGEPAAMALCEKLRAITIEYYIETYAKLNIKFDEYSGESRVSLDSEAIAQVESM LKKNDVWEEKDGAWVIDFDKHGAKLGTAAIRDRNGSTTYLLRDIATVFDRLKTHEFDK MVYVVCEQDVHFRQVFKAVEIMGRGDVANKLQHVTFTRANSPVAQPGSTQLLGDILDQ CENHMRDAMTANPDEYQIEDHDAVAKAMAINSLVLHQLSLKKGDSNDLDFNLLTSPTG ETGTNLQLCYAKLCSEIASVGPYPGPDEIPDLDYTSLSQPPYSDVLRLIARYPVATQF AFEKLDPGIIILYMFLVIDELSACLAIDEFERVEGEGESSSAGLKHLARVVMYENIRQ VLENGLKLLGITPISP VE01_10503 MATPAVTSHDAAAIDIHPLETSFSMFDSRASLDLSFGDFAINRL DPRFSMMSFGGSTSGDDRRSSVGSARDEPQGHDGDVRPGLLAVEGDGLQNDGRRQSLA SIQEEPTQVDGNGRNLTPIREDSAPKRDNQTDIAPSASYQQPQKEYEHPSVMFIHELP AENYDLDPTPIPTPPQELPAQTNEPSRNLMSVYDDAVPDDRRQSTTSTNESLTEANGR RRSIVSLHKDYVPNDEIRLSVISIHDDDDGERPVTQPRETQVPIHPIESMQAAFEESM LDAFDNDDPPLKPILGNAAERRKALLDQDISAETHAGKWKQKPGQRYHELWKIMSQIS FGIYLLLNGLARDDEQALTILQGHVDEVDGFLETTLEDFDLAQEDIDERLKNLRMPLE NISVFEDMMEDRDFRNQIVSGNETIEHIITRTAAAMKDSLRNVQQGMEATREVAGYMA EEKARHRWQAERPEMKEVFDAMKGNADGWYKAYVSLQTKGNKLGVALVQLGSIVAEID KRAGEISRNARFSIAMSPRQSIKQTSITSPPSSPRHSSRPSRASLRSGPSTRSRASMA KELPSNPSMITPAIRATLPAFQLVQEREESPDRDSSSISDPELQEPQFLTLEPRTYTP PPLTPRAYSAGPPPSSRLPTPPDVQERVESAPPIARKSSLRERLSLTRKAAPAPLLNI PSHHNANPSPAHTPAILQIRRPPSNMELRRPPPLHSGATPNSPRSLSNPTTTGLGLDS AYYSDPNRVSVVGTPSQEYHQFIASAQTRHDYGSTYVMTPRSEQQHFHPVQASPHSPL QRPWTAAPTQRLPPGRSGGVASAQYNSYGSSYGNTTNSYNGGRESRMNGSGSVYPSNM SQMTLASQVTVGVDEQGKKVKKKRSGFGWLKKAFSLSEEEKREFEEARRRRDVVDGGG PRERIFLDGRRVNR VE01_10504 MSALRRIFSLSPDLFDSSHRFETSWLITPWALFFFRAFFSFYAF FVLIFNLIFTGVQPSYGGSEAASLSFSYFTILTYWGLAFYFLFASLHTLSYARHGTPL LAHFPRPLQALHSLLYTTIICYPFLVTIVYWAVLYSSWFPTPYPRWTNISQHALNSLF ALFELIIPRTSRPPWIHLPFLILILALYLALAYLTHYTRGIYVYSFLDPGNGRGMLAG YVLGIAAGIVVIFLLVQGAVWVRKWGTERKMGREGRFHGGRSKGQGDAELEATRQWED KA VE01_10505 MNGPVTASSLDAGNASPTYPHPPTVQQLTSLDTADYDPIDDLNT IFSHPSTLSSVGAVGAALQTRQDALDTSINALTGTLAAPRASSSLDRMSSAKTELDQL FRKIESVRSRALETEHTITSMTADIKRLDHTKRNLTLSMTALKRLQMLTTAYEQLRGL AKSRQYRECAGLLQAVLQLMAHFRSYRSIDQIATLSRNVADLQRELGEQVCEDFEMAF AKGEVGGKRGMLKEACLVMDALGDTARARLITWYCNTMLREYRQVFRGNDEAGSLDNI GRRYSWFKRMWKTYDDEHAAIFPLSWRVNEMLANAFCEGTREDYKAILEKSTRRTDGN TLDVSLLLRCLQETLDFEHSLEAKFASDPRASLDTLNSEERAHTFDGSISQAFEPYLS LWVESRDKQLASMIPTYRNQPPLAEDEEFHAHSVLASSIELFHFYKVTLAQCAKLSTS DRLLDLSRTFAKYLDEYAHAVLLPMLSRSSPAPPNLNDAILVLNTADYWHTNSTQLAD TLRRRIDADLAPKVDFAPQADTFMGVASAALVALARRVDAAAEPAWREMRNTNWSRME SVGDQSSYVGELVRRVEASAGETLALLQKPGYARAFADKVVEGVVQAYVGTIVACRPI SEVGAEQLLLDKYVLTSSLTSLLPPNPSFQKRVALSLARLDPLLKTLQVRPSPPEALV QAYLIHIHDRSDSNFRKVLDLKGVRRSEIGSLIELFGVHRASAKDDDGGGGLVDVDPF LGGLSLVAVQTPPNAQAAAAAAFGEKILGVAREGVGIGEEGEGNLRGIGKFFRRDISA FGGRFGRGGGEGEGK VE01_10506 MSTPTRLQYETLDVFTTSRYAGNPLGVVRIPPGNTLTQAQKQTI AREFNYSETIFIHESEDQGAAEHRIDIFMTDAELPFAGHPTIGAAHILSAHQSSSSDS GIGRKIITKAGPIPYKFDAERGMAFIEVPHDFHAHSHQLSPEEAAGAGVPASLAKKIL GPLSVVSIVKELTFVMVELPSNEVLSTVSGSVDATKLRGNLDHPWFTGGLLGTWYFVN NGRKEDGTVQISARMVTNLGALEDPATGSAASAFSVFWAKKVLSEGGKSASDSTSITT SFEIKQGVDMGRPSEIKTEVELEKGTGDVKRVVLGGSAVQVMKGEIVV VE01_10507 MGTPASDIKSPSEAVNKSETQSVVTLLEPTGANEKAGSIKTNDW KLSGRVEELHRRDVESGFKGRQLGVTWQNLSVEVVSADAAVSENVFSQFNILEKIKES RHKPALKTILDNSHGCVKPGEMLLVLGRPGSGCTTLLKMLANRRAGYSSCTGNVQYGS MNAKEAEQYRGQIVMNTEEELFFPTLTVGQTMDFATRLKVPFKLPNGVDSSQQSQKER KQFLLESMGIEHTNDTKVGNEFVRGVSGGERKRVSIIETLATNASVFCWDNSTRGLDA SSALDYTKAVRALTDTLGIASIVTLYQAGNGIYNLFDKALVLDGGKQIYYGPTKDAQP FMEDLGFICGNGANVADYLTGVTVPTEREIRDGYENSFPRNATVLLAEYEKSQIRERM AAESDYPNTAQAKAWTEEFKQAVAEEKHKRLPASSSFTTSFPQQVTACITRQYQIIWG DKATFLIKQISTLVQALISGSLFYNAPNNSGGLFIKSGALFFALLYNSLMAMSEVTDS FSGRPVLIKHKQFAYFHPAAFCIAQIAADIPVILFQVSIFSIVLYFMVGLTATASAFF TFWIIVVATTMCMTAMFRGIGAAFSTFDGASKVSGFLVSALIMYTGYMITKPEMHPWF VWIYWIDPLAYGFSALLSNEFHGKTIPCIGPNLVPNGATYTDSAYQSCAGVGGAVQGQ VTLTGDQYLGSLSYSYSTMWRNFGIVWAWWFFFVVITIVATTKWRAASDSGPSLLIPR ESTKEFKAVKVDEEAQVRKETPAGSSSGTVVENDNVDEELIRNTSVFTWKNLSYTVKT PSGDRVLLDDVQGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTDGTIKGSILVDGRDV PISFQRSAGYCEQLDVHEPFATVREALEFSALLRQSRDTPREEKLKYVNIIIDLLELH DIADTLIGQVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFLR KLADVGQAVLVTIHQPSAQLFAQFDTLLLLAKGGKSVYFGDIGYQAQTIKEYFGRYGA PCPLEANPAEHMIDVVSGHLSQGRDWNKIWLESPEHERVTKELDNIIKDAAAKPPGTI DDGHEFAMSLWEQTKIVSQRMNVSLYRNTDYVNNKFALHIGSALFNGFSFWMIGDSVS DLQLALFTIFNFIFVAPGVINQLQPLFIERRSIYEAREKKSKMYSWIAFVTGLVTSEV PYLCVCAVLYFVCWYYTVGFSSDSNKAGATLFVMLMYEFIYTGIGQFIAAYAPNATFA SLCNPVIIGTLVSFCGVLVPYAQIQSFWRYWIYYLNPFNYLMGSMLVFDIYDKTVNCS EREFAIFDTPNGTTCASYLSDYLQGMGSGANLVNPDATSGCKVCQYRQGSDYLYTINL KDYYYGWRDAAIVVIFAISSYSMVYLLMRLRTKATKKAE VE01_10508 MARKTGLAPAVALIAAGLMVVTPPVVNASPPGTKDVTAVLFEWN FNSVAKECTNTIGPAGYGYVQVSPPAEHIQGAQWWTSYQPVSYKIAGRLGDRTSFQNM INTCHGAGVKVVVDTVINHMSAGSGTGTGGTSYTKYNYPGLYSSYDFDDCTAQVSNYA DRFNVQHCELVGLSDLDTNEEYPRKAISGYINDLISLGVDGFRVDGAKHIATEDLANI KSRLANPAAYWKQEVIYGAGEAVQPTEYTGNGDVQEFRYAYDLKRVFNSEKLAYLSNF GEGWGYLGSSVAAVFVDNHDTERNGATLNYKDNAKYTLASVFMLAHPYGAPDVHSGYE FSDNDAGPPNNGAVSACWQNGWKCQHAWAEIMRMVAFRNAVRGQALTNWWDNGNNAIG FGRGSKGYVAINHESGSVTQTFQTSLSAGTYCNVQSNTYVTVNSSGQFTATLASDTAL AIYAGKTSC VE01_10509 MFSHRFFRFVLCAIALLVTHASAYAPSNDTSVDDQVSCVYPMSG QYGVLPRVLFYVSLIFAVCGQHFEWLVIGALASAMTFSATTAIHIFIIFATHWRNPPI LDLDSLSIALIAMVSVTMFLAFICFSSTLRKNLAGVAVVKFWFITMIITGTLALFLVN DAGGPPQNSNAEVACFLPDKTLLTGLAQLNGRQNLECIYDCFLTRGSVLKPQEAATVI WGAPVNGALGDWGLTIYKTFYERYEAASDEPQMRSGYGPASDKEGYALIGVCVSIGSV VWVPLVIMIEWSMRNIPVEENPNAVGQWGPWVAALFAIIGSVIHRYFEPEDRKERRKT RWAAPNETVANSPINDMPSSEGAERSYDMDNLSRARKNDYTPSSDEDFSYTGSDDDAY MQPTQRHYLGEETARYGRSGDDRTRSASTRSDATYMNQGGRFYTAGGRSTSWRNSANQ RERLYTVSDRSMSRSNNDAYVHEEEADIGTYGRGNSTSVEERPPIGKPWPL VE01_10510 MLCSFAQPVLFHYYATGNLARSVRTDFQEYNRSWDVEYWNFEDD KLPAFLRSLITRPDLALCVKSLQLQGFGDQDLCSPELMRLLFNAGNALNFKPPAGWRW KGWAEEPEDWSDYEPPTNRTYIVSYENFHPDFLDFHDWLRALAIALTPRTEMLMYICE GLSELDSFKETKTVLPALKTLALRGANDEDYFLSSIHPLLTVAPNLETLYALDCNGVK NSPSRYSLVAKGDMWIENLAVNKVHKLVLDEIPPAVFEKLIERFVQLEDLEYYIYSWA NYPNIMQAISPTKGRLRRLCFGYLPPPAPGHYESRLPDNDYATITSLQEFTQLEELVI DQALLYRKSDGPKGTILVTLLPSIRRIHLTYVYKSMYEDLMSLAHEAPRSFPNLRSLK IGLSSPIPPNRIAEIEKMKAVESTFVSMGVHVSWAEDLKGPFLYTAIPGGAPGLTVTH VPASSGVWE VE01_10511 MRGIQVSKYVDGPSALTVTTHPTPSPSPNLYQITIHATAANFFD LLQIQGKYQHQPPLPWISGSEFAGVITALPTSPSSRPKFKLGDRVFGAAQGGFATHVL APEGSLRAVPRGWTFDDAAGLFVTAPTGYGALVTRANVQKGEWVLVHAAAGGVGLAAV QVAKARGAKVIATAGTAAKLAVAKSFGADYGINYGDKKWVEEVMKITGGKGVDVVFDP VGMVDISLKCTAWNGRIVVVGFAAGTIEKVAMNRVLLKNVSLVGLHWGRYVKEEPAMV EEVWAGIFEMIERGQFRGTVFTDKAYVGLETVPAALEALGSRGTWGKVVIKVPQGDSS KL VE01_10512 MSEEDKTAEEVLLNFKVKTSSDGLHNITIADTATVLDLKNKLAS EEYENVPAERQRLIYSGRVLKNEEHLATYKIKNGNTIHMVKSAASNAAQNPSNAGAAA TPASGVPTNMAAGTANNPLANLTGARYAGQVNLPSRDMFGVDGGMGAPPNEDQVADML SDPATAQIMNEALNNPQMVDMMIQANPTLRALGPAAREMLQSPMFRQMMTDPNMIRQA ARMQRQMGGGGGASAFPAPGVTDTTPADASGAQAQGQGQAGSQDPAAMFPGLFGAGGG AGAGNPFASLFQPQGQGQGQGQGQGAQSPPAVSSPGSQQAGQPGAEGMPPNPFANLFS GGAGGNANPFAYGLPPPTPEQMRQAMQMLGQMGGGEGGGNPFAGAGAGGFGGPGAGTT SPPPADTRPPEEIYADQLRALNDMGFFDFEANVRALRRSGGSVQGAVEQLLG VE01_10513 MFTSSVRRAAFAAQPSPIAASLATSVPRAVSTQSLSCRPLQRRY SSSKPSSPADGSKGVAEGSVPATPAQTRSEGAKKKTQRTRKAKGAAAHTVKGRDEAFD NLPSVPSTHHLQVKDIAASTFFSLHRPISVTSPFPKPITTSAFASIFAANPPHRVADV LSTLSSTVRALDGTPTHDSFGADETLRAALAAEPNDITHLDGQDAIPFPQHILTGRYQ PFNAPPPPVPMPVDAAPATETAQAKRTYTATLTIEESTSPLGEVTYVAHSSPLQDVEE PTVPTAFRDRMRERRLRYVEERAGGEMFALSVKRQRKLKMKKHKYKKLMRRTRNLRRR LDRN VE01_10514 MDPDVTIAGIVPHENDLQRSVQTANMAGASQTVAPKATHGTFQL SKNDSTMSFAKFTAPLSNSDSFGNLAKVTTRPSQNSLAGSRKFTPVQSVFNDFATAHS SITSKNSRAKLSENSPTESSLPKTELTLEHFEVKSKRLDTAFPDKPSIPPRAALNNVR NLSLEFGALGAASESEKGVFSTRNYGKVFSPRLSIVKDSPRSDSESPAGSSSLLVNPP STPNARVNLLAPRPVRPTSSVYSVSSPSPAPKNFFHGPESNVLPPTQVNEDDVNFISS SPTIDQSKYQPGLFHSTSESVIHNHNYGEVQHIESNFGNFGDDSRSYYGYDEDISFEG SNNGEDLILFPDQIQTFEDKDQTSLSNPSTVSLSNSAPHSESGTVLHHVEFAGVDDDN FSLMSYYDEENSIESIVYLENIPTATRATHGCPMICPSQESIQPAPFTPFRHGTNTSA PVTGNPSNIGLPRVPAPPGRVYLADSSPRVLGPSSSPYDDTHNLLGLSPNTPTKKHAG KQANSASPLKNGFSEDDEKSMSPATHNRHERNISIELHGMADDGGNSDKAPSPKKGEV GVQTTPPSYKSVIRNLRSDQKRLSKIFSDGFYATRHSPSKKAWHRRNKDKAIKIPIRP LPGKDRSPRISKYRTSGSSNVTGKEDDPNDWETVTDSIMLFSRDDEKENIRPFVDAAF NEAGSSIANFSDHLGESSSGPILAALTLAQSEQVMHYRDRYNGDDGMYQGHVMETSSS AMLPRRRMHGTDGFPLNGFRTPKRGFEAVTSSLIPEDTLSSPLENYSRSRSRQNGPVA KPQYKSRFNDDFHQDPTISTTMDMTLPGRGNFAGPSTPMHWVAGDDHLEVDLRGSPRN RGANSPDSFMQMVMQANGGTMPQYMGDHDSERRTLGSSIADVSTVADNQSFIDLHGGP AANENHQYGGLYAFNQNPNAKERTGITPGNSRARAPLVKGPPGAFYRKLQSKANSLLA KKVDKVDKVDETPTKMPSSPTKTRVTYSPSRMLRPLSLVADSLGPMNQIIPQPADIEN YVYRSPLAPVQSAEWMNLYSTADLNRINSSPHSAHSSPRFEQSTPRFDQSSPRPIDSP LNSVHSSPLIGEPLLRDPAWHIHEGPPRLSAWIHDNSSTATDTADRKAKISNVALAIC MLLPPALLLLRVGWLDQFMIWYTKGDISHFGKTQKKAAGYLFAAYVCSATVVVIITVL VHTFHAGAA VE01_10515 MAQALNSSVEPTNIYGGDEVSALVLDPGFTNTRAGFAGEDVPKS VIPSHYGIVRDVAATKLLYGDNAIHTPMSNLEIQNPIGKDGTVEDWDAAAKVWEYAIT SRLTSFKPTHPSKNGLNDDTKDLDAEMEGVEDNERPLEENPLLLTETAWNPAKSREKA IEIAMESWGCPAFWLARNSVLAAFAAGKASALVIDIGATNISVTPIHDGLILKKGVQK SPLAGNWLSGQIRTLFNSPDSKVDLIPHFMVAKKTAVDAGAPAQATYHNFAIPPTSSY RALQEERVLTEFKESVVQVWPGPGRLSSGTAQGTTNEDFAKSQPGRVFEMPNGSNQLW GVDRFKVGEGMWDEKAALPVPGEPAVTKSQTIPEMIKAAVASVDMDLRVNLLQNVVVT GGTTLTNGFTDRLVSELQQAYPGARVKIQAAGLTSERRFGSWIGGSILGSLGTFHQMW IAKKEYDEFGPNVVEKRCK VE01_10516 MGVFSSLRSIYALDTLDTRFTSSPRVPYQAVVDARDGQGIAPGT DVPVKLDSRRKPIPPTRSLWKTTEFYLYYTVVTISVAYMFWVAFDVSRPSDPNYYKYE RWLAPGWIPGRRVDVSDAQYRTFRRNTPYIFALLLVHPVLRRVYERLRPVSTQSKATF SSQPGAAAGDARLEQRTSFDFVFALIFLAALHGFSVFKVVFILYLNYSLATKLPKKYI VPATWIFAVGTLFANEIFNGYPYAKIEKFLMPWASQSSFQGGAVKLSWGSWLDGYSGI MPRWAILFNLTVLRLVSFNIDYYWSLDHRAGSPLEKKQLDPANLSENDRIRTSAPARD YNFRNYLAYAIYAPLYLVGPIVTYNDYISQCKYRSPTIETSRTLKYGIRLFLTFLCME FILHFDYCVAISKANPVWSDYSAKQLSIMSLFNLHIVWLKLLLPWRLFRFWALLDGID PPENMIRCVSDSFSTVRFWRAWHRSFNKWIVRYLYIPLGGSNITGRFGLARTILNYLV VFTFVALWHDISLNLLVWGWLIVLFMLPEIIGTRLFPRSKWENNLKAWRMLCAAGSVL NVIMMMSANLVGFAVGVDGMKSIIHGIFSDWGGIAFLLTAAGVLFTAIQIMFEVRESE IRNGIDLKC VE01_10517 MSADDQAFLDALNSVPRHVQRYSNDIANYVEDHVDRVARTLRDT LASADWIPESARPKPVARAPAPPPVTALPGGVFARVQAWVLKNKVFTTAIVAGVGASV YMVQRRKANYNKKRRAKRGTNGARLEVVVISGSMNEPLVRSLALDLERRGFIVFIVCD DVQEELRVQSEGRSDIRPLVINVSTSKLGHDGVERFAQFLQTPQHAFDTARAHYLQFT ALIVIPTLTYPNSPVATLSPIDIQDVINTRLLHPILLTQAFLPLLSAPTSQHHHAHPP PQPKLLLLTPAIISSLNPPFHAPESIVSAGLKSFTATLSAELAPLNVPLTHFELGSFD TNPMVPKNQLATLSGHSAEARTWSDDAREAYGSNFMRVAGSAVEKRSKGSNLRELHNA VFDEMAKTGWRAGGTVMVGRGARLYSFVGAWAPRGLVGWMMGVRKVERPASGVSVSST GGSDVDLEAGHSEGEYVSVQPGDDKEKR VE01_10518 MNTLQRAASLVKPIMRAHNFKVGLLAEFLPKERGLLGLNTGGGR MIHIRLRHATDPTQFFTFQMIIDSVLHELSHNRFGPHDANFHALWDQLRDEYYALMQS GFTGDAFLSHGHLLSGKRIRQDEARRIARTAITDSRKKQSLTVNRKLIEAGLIQGVGA RSTLTGAFGRMQIAQGCGCDMPKSEQKALVAAAGVNVIKSRVENDDANEVAIQEALWE LAQEDRASSKQKGASQDSAATKRGRQSINHPSLSHTYDSSSKSPKPYDTDSEYSEDPS LSKPPPQYVQLVDEEFWQCETCTLINKEEDVCCDACGTARPGTEPPPKASSSKAPSKA VVKKTAYKAPPAKSSARTRPSSSRAGPSRQVARSGPWQCACSLINKEGRILKMTTSGE VE01_10519 MLLSKNLLALATYAFVGSTALGINCQGSGLCTGSKGILGQAQGQ LRALDQSQQFSDGQHITCVKTSNIGEPSLCIFYQKTNGRKWTVAQTVNYVQQLIDHGC KACGSVPTDSGNNVNNGELTANMVTNAQPGIDKRKEEDVPKVAVQEEYSQPTERKEAS AAALGINCRGSSTCGVGGVGHSPAGTMEQVRDAVAAGPEGQWGNGQQIACVPHVTGRL CAFYQNIGSRTFTKGQTVTYLDQLRDHGCRNCGSIPTDPGNDVSKGELTVNFVAN VE01_10520 MNFDSLKEQVTNLSLYDIKAGVRKVQNAVMNYTEMEAKVREATN NNPWGSSTTDMQEIANGTYNYQLLNEIMPMIYKRFTEKAADEWRQIYKALQLLEFLIK NGSERVIDDARSHLTLLKMLRQFHFIDANGKDQGINVRNRAKELTELLSDVERIRTER KKARATRQKYTGVEGGAGLGGGGGSSRFGGFGSEERGDFGGYSGGVFGDGGGFGGQQS GGDYEPSRAGGSSGGGDRFEEYDEYDEGVSSPSSRRRPEPTRTTPRAGTERSTAKPKA APPAPKAPEVDLFSFDEPSPAPGLGAVAPTSHALAAGNDDDDDFDDFQSAAPAPSAPA TTSTTTAYTQFASPQPVSAPKQADLSTMMGAASLSPVPSSTSTPVNYSAFSIPAPSSS SSAGAGAAAQAFRPQPAQTSSYQSAQPNYFTSVQAPGAASTSSAPMTATFTGSGATTS GAAAAKPKASGDAFGNLWNTASAGVKKSAAPAQGPALGQLAREKATQGIWGAGAPKTQ SGNVGGGSGLDDLLG VE01_10521 MTIKTFFDVTWEGPVLDASGKPTSKVAEQSGRINFNLYDDVVPK TAENFRALCTGEKGFGYAGSAFHRIIPQFMLQGGDFTRGNGTGGKSIYGEKFTDENFV KKHTKPGLLSMANAGPNTNGSQFFVTTVVTSWLDGKHVVFGEVADEESLNIVKALEAT GSGSGKVNYNKKPTIVKSGEL VE01_10522 MASPPPTAADAKLEILKNQVYEQCLDEEPDTVFRQQDILDMGVI PDSDAALLLEVTQRLVDEKLFKMVRDGQLGWMYRPLEDALKYRGLTHEQEMVYSLIDE SSTEGIWSKTIKNKTALHESLMRSALKALETKRLISDMKSVEHPTRKMYIKSTLRPSE KATGGAWYTDNELDEAFIEGLTGVLYKYIEARSFYRSTSRRPLKRIAGRPAPKQAQGG DTITLLPLPPTYRGYPSLAELTLFIESSPITSTTLSADDISALLDVLIYDRRIEQVVA GPEGVAFKAVRKAIVGEEAVERGNGVTEAPCGRCPVFELCEEGGPVSASSCVYFQEWL TGGGF VE01_10523 MSAPPAKRGPSVLVTDSRERLPPPRQPARPASSPSNARARMPTR YISVDNVLQNSSEIPSGQARLPPHARTARPGVQRSMSAANVSPGTRTSQVNIPGRTTK VSEKLVLIPELEEGGEIEEGVSEGTFRRGYVEESEDEGRPLRNEELDELRKKGALRGK SYAERLPKAKRTEKVARCTAYCTAQGFKMAATAAFVKEQHGAKTKLYDDCLYTVYHLP LLPGNEGYRLRSSPILKHPGGKAVLDEEIERSEARVYHEGYFEDTDVYGVRGGEESPA GESEDDRIRREDEERRGREEERVAAQGKRGDSPNRLAPDATTFAEMFVFSYGVVVFWN FTESQEKDTLADFTFTEMETGQSIISRPQDEADFETEELHFEYSSFVDRPRVFNDMIT LRSGDHMIKLAMSHAIAQSTKLSFFEEKMSQTMLDAQHVPKRLALTGELGMSRPEVVK ILGRLFKSRVDVNLSSNILDVPNFFWDSEPTLHPLYFAVREYLEITPRIKVLNERCRV FLELAEILTDSISDTKMSNITWIIIWLIAVSIVVTVSEVVLRFGMLQSGRNTNVPGVC GLARVGAYGGGNNNLTRIREKLTDDDMQQLCGMPYIQTTYKDL VE01_10525 MFGLNPSFPPRSLVPLVEEVAELLKGRNETVSVAETAAGGIISA ALLSTPGASRFYKGGLTLYTLPSRVQFAGWTEEDTRSYVGPTLGVVSKMAENVRPKLD STYTICESGTAGPTGGTTKNRTPGYVALAVATPEGTFTREVDTGSADRAENMVNFAVE ALKLLIDVIKGEWDVKKSGREGQAVNWNL VE01_10526 MNVGYPRFPNPSEYPPPPGYPYYEWDEFYDPQPPTGPRHEHLPR PRRDSHVEREKTHDDTANFDSACGKLFQQLEQAEQFYQNFQQEFDNEITSIKKYAGDG ILRELWSRRIGVPSSRRDSIKSEEEVNEWEAQMRKPCQKFRIQATKLDMCLQKATTAT IPTPKSRKDETSVDSAKLLQDKIETSGEGIRSLLGKVYRSRQYCSELVKELGQLKSLV DPQKSQGFDEQEDGTDSNDAEYGPVADTAPGNRGASW VE01_10527 MCIVFTCGEHEFSSELKGYEGVTCQCHNCGNYSATVMKRWNWFT FCFVPILPLSTHAYKDVVCRICGFAQPLEYVSPGSVRGIGNRQDVQQQHRGAGNEMQP QGQPGPGYNQQPGWGPPQGQQQPGAKTNMTYG VE01_10528 MDANHITVPHPLGQAWGYGIILGLGSLFALGMVLVTWILKRYNH EVQTSEMFSTAGRTVKSGLVASAVVSSWTWAATLLQSSSMAYKYGVSGPFWYAAGATV QIILFATLAIELKRRSPNAHTFLEVIKARYGMTTHLVFVTFGLFTNILVTAMLLTGGS SAFTALTGISTPAACFLLPLGVIVYTMFGGMKATFLTDYVHTVMLLIIIIIFAFTAYA TNHIIGSPGAVFDALVAATERHPVEGNAGGSYLTMRSKEGAIFFVINIIGNFGTVFLD NVYYNRGIAASPVAALPGYIMGGLSWFAIPWLCATTMGLSALALENHSVFPTFPERMS AADVSAGLVLPYAAVALLGSGGAVCALLLIFMAVTSATSAELIAVSTIFTYDIYQTYI KPDASSRSLIYMSHVMVACFGLVMAAFSTGLYYAGISMGFLYLMMGVIISAAVLPATL TLLWSKQNKWAAMLSPPLGLVCALIAWLVTCQKMFGELTVETLGSNYPMLAGNVVALL SPVIFIPVLTYGLGADNYDWVSMGEIRRSDDHEIAEASGVDLELVPGEAVISEEQLAE EQRVLLRASKIAKIATISMTLGFLVLFPFPMYGSSYIFSKPFFTGWVVVGIIWIFCSL FCVGIYPLWEGRHSMAHTFKALYLDATGKQHPSRHHAHGAMIVEDEKSSGSETPPEKK DLSVSVSE VE01_10529 MSLDDVDWDKQAAEDDKILQRFLSNQENPDDQGALNLDRPLEVG EKADDAEDFEDISDDDLPDEEEATNGGGADLPGLTDDGGTSHDTDDLFGELRGSSPFE GEDRHTGVQIKQLDDSQTTQSIGSRLTLPSSLPSLDTSAEFPSMSFDQEPKPSDEANQ DLSIPAPAETEEELVKQAWPTFEQGITINWNELLPPKKAHYIPKQPIKPPKPVNPTRV SLDLAPEQEKSFRVAGPSHSSKLQRIQESVAKGIIPILEESSDEATSEEGFDWDTPPP DEEIGGISWADLQVICDDWESRIEASSPEVEEREAPEVTWDDADDEWFRDMEMHPAKR QKTSKSKEIDILKMPSFAVPSFDDFERATARVAKRVVLDLNDPHLLVDMHQPSQTDRQ LRLGGSFKRGGSGNVSKSLSQRFNISNDEAYDALKENHQNKVRALIGSITVEHSMPAL KLQWPYYRVKLYTKEARSYHRPSLKFGKFMNHVIYFSKPAQRKRKHMKGLSTQEIFKE SKDLSLADNSTALLLEYSEEHPTVLSNFGMGNRIINYYRRKDADDASRPKNEIGETSV LMPEDRSPFAQFGTVEPGETVPTLHNAMFRAPVFKQDPKNTDFLVIRNTTGVNGTSWH IRNIDNIYAVGQQLPSMEIPGPQSRKVTNASKSRMKMIAFRKIRHSPANTVRIDEITS HITDSSDMQNRQKLKEFIKYYKDEKVWRVKPGDVIPDETTVRSWVKPEDVCTIDAMQV GLRHLEDAGYGRDNQDDGSEEEQEGDSLEQLLAPWKTSKNFLDAAAGKAMLQLHGEGD PSGCGLAFSFIKTSMKGGYLDTIQGPQATTEDAIARERKANGGHKYNVDKQNEIYTRA IKGIWEKQKANLSDTAEHAGSEMELDHPLEMEQPYGRNATPHSAATPANFDDSVSQVS RFSTSSQHGGKAHRIVRSIRNKWNQLEEVEEIVSDPRVWREYVKRRHALDADGHNVYD VKPTGDPEWDKKEAQRVRKELARLERNKERRHAREKQKGIYRGPEGTADAGSPSATPA AADATPKGTSRKCANCGQAGHIKTNKKLCPMLNGTMKSEDGGMDHGFGAVAAPSFGS VE01_10571 MAAHQSKIAIADGGPVMTTSRRPPMTSKQAKKAHAKANNIPKMN WKERKRMEAAELAAQKREFEREKAQAKARAVKAKKDAKEEVERAERKKAGVPEKSRWV RPSQGRITGFLGLGKRGRGKDVEEEEEEGGDESDNDAEEPVPKRVAMDGAEDDTEEEE EDMQEREDRISKAQGNVPAIKTLCDTEDGEEDAQPEDRPGPREDVPVVRTERENSLEA QPEAEVLQDPEDPELEVKRELVVQSEPEVQPGLKEQPEPELQADLEDSPEPEVQARAE VQASPAAEEEQEVQASPKVQAEPTAHEDKEVQVESQGHPVSKPADLKLPPSTQYFTSS QDFLDDDDIFLELAAAHQLLSESFDAGERSLAASHSSPRIEIKEAPENAQANVAKTIV VDSPQHCPSPAIPTKPTACPSSPPRRQLASPVLQRTPRPRIEFNGSGVSPELTSSNPF SLKQTALRSMSKPIPKPSTPVVASVMGPPTSIVRPRTTLSTPIRSQSRQMPALRANNS LSQRSAPGSGTGGSSHRLPLNDISKHAQNASTKAMASPSARSKPFLKPSLLPTHAAPK VSPSTLPTSTQLFLVDHLDDFFPSPSQELRELLEDIEDDDIPSNTQVAREIGRDSVVA RPILVEPAQPILDDDFPWMSSRELMFSSQELRELELPSKVSPVPAPPPTPWDMRRARK PRFFVEKEEDLFAAAIHESKLQSKVDDEAAKLRLENMRIERELLGTRQSSQRHTPSAK RQSQDATRLDAGADDVSEQLESLNASQLTKLMDGIESDEYSDDFDDEEAEASMIAFGH KLENPFAPVYETETESETESEKHESFIQRFLEDDAEAFANAEDAYAFM VE01_10572 MENTTGTIPVVKVLFALYPGMDALNVLGPLEILNKANHNINDDS TGAFDCTYASDTPTVESEQGVIFKSDASFEEIHKHLKDFDILIVPGGDVEAIIKNKVQ GQPLKIIKAFSDVQQKYPDKERTLMAVGTASLLLAHVGTLSGLSATTHPDFYTKFENV CSQAAQRDLAERTNVLEERYVVNNLRFDLGEDIDENPYIRKKSDARRPSNARKGSNAW KESNTRRESNARRAAMLLGGLRVITSGGTGCGMDAALYLVSIMVSVDTANEVARILQH NWTKGVVVDGIDV VE01_10573 MYLRSLLLLIGAGLTSFISPVQASEYHEQLLLRPLPPSSVLASF NFRANTTAEAFEQQNFRYFPRSLGQILQHANTRELHLRFSLGRWDAESWGARPWGGER EGGTGVELWAWVEADTDEEADGRWLTLTNALSGLFCASLNFIDSTRTTRPVISFEPRG DHSEASLQNLHLLHGTLPREVVCTENLTPFLKLLPCKGKAGISSLLDGHKLFDASWQS MSVDVRPIEGGLEITQTIDMVLDIQRSKRPRDNPIPRPVPYHELKCDNSKPYSNDHTC FPLDEAQGEDWSLSQIFGHPLKGSCPLAEEDAESVCINIDNDAEVYTSGNAVERKDGS GFMRCYKLSDEIFELNLPAQHAKPTPFEQPLLYADRSFNGYGQERGGVQAILKNPSPT ETVEIIYMESLPWFMKVYLHTLRVKVNGEPSDLIKEMYYRPALDRQRGTQLEIKMDVP ANSTVVLSYDFEKAILRYTEYPPDANRGFDVPAAVINIGNTSIRTTSLLLPLPTPDFS MPYNVIIFTSTVMALAFGFVFNLLVRRFVGVDEAEKLDLKGVREKVLSKFKLLANKAS KGKKAGKTE VE01_10574 MAAEQRKLLEQLMGASASTRSAQLSITDPKLCRSYLVGTCPHDL FTNTKQDLGPCPKVHSEPLKEEYLAAPSKYSFEFDYLRDLQKYIDDCNRRIDTAQRRL EKTPDEIRQTNALLKQISDLAASIQTGLLEIEVLGSSGLVSRALDEHVRIRAALLTKS EHEAKLKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQMRRE AEKLQKELKGRQPPPRAEDDRDKGYGGGYDDGGWGGRGGGFGGRGGGRGGGFRGGRGR GGFGRGGW VE01_10575 MLQSVLRETVHTAKDALAGNKKVADIKKDLVEQTDKTKLTSDFG VKEPDTDNWLSASTNGRQGPLLLEDNFAREKIMHFDHERIPERVVHARGSGAFGTFKL YESLEDVTHAKVLTDTSRTTPVFVRFSTVLGNRGSADTVRDVRGFATKFYTEEGNWDL VGNDIPVFFIQDAIKFPDVIHAGKAEPDSEIPQAQSAHNNFWDFQYLHSEATHMFMWA MSDRAIPRSFRMMQGFGVNTFTLTNAKGIRSFVKFHWTPTLGVHSLVWDEALKLAGND PDFHRRDLWDAIKKGAYPSWKFGVQICPESRQDDFDFDILDATKVWPEDIFPVRYVGE MQLNRNVDEFFTQTEQIAFCTAHVVPGIGFSDDPLLQGRNFSYFDTQISRLGVNFQEL PINRPVCPVMNHHRDGALRHKTTPGNMNYWPNRKSVVPPASEARDDGYVDFPEKIAAM KARLHSAKFKEHFNQAQLFYNSLAPIEKLHIRKALAFELDHCDDPVVYKRLVERLCNI SLGLAKAVAETVGAPIPSKAGHPNNGQTSKGLSQLEFSARAQGLKSTIVSRNVAILVA DDFDFAEYEAVNAALTAAGAFVATIGPRRGAVKASDGREMQTDHHWEGKRSVAFDALY IPGGAHVAALGKSGRAMHWVREAFGHLKAIGATGSAVEFVKKAVGVEGMVFSGSGEIV DCYGVVTAGGLGDKPQSIREGLDKVKGEMKFVDTFAFNISEHRNFQRELDGINDLVAY VE01_10576 MKYSIFAVVPLALGASAATPCTVTEFASISSAVASCTDIVLKNI VAPSASAIDLSKLKTGAKVTFAGKTTFEKTPDSKFDPIIIGGTKVTITGAPGHVIDGN GQVYWDGLGSNGGVPKPNHFVVVKNTVDSVISNLNIRNWPVHCFTISGGSGLTLHNIN LDNTAGNAPNSVSNGLAAAHNSDGFDISSTSGLTLKNSVVNNQDDCVAVTSGSNILVT GMTCIGGHGLSIGSIGGKSNNVVDGVTFSNSVISNSENGCRIKTNSGTNGTVKNVTYS GITLRNIDRYGIDVQQDYLNGGPTGIPTNGVDISGITFKNVRGTVASGAYNYYILCGD GSCSNFTFSGTRVTGGKSSCNFPSSKCTS VE01_10577 MAELTDLEGLELLHRDLVAQAEQRLPNIDRLWVQLETRLDEFRR LLDKAPRNEQSRKSLDSGRIQIQDEEYSINDDFKQGALMLADAVNLDELDAARIFFAS QEDADVLGRSVLECSIIRFHQTRKYLLDCFRLVLQLSSANTDSDSDSNSDELEVQENI KSTFQTAVGLVLQTDNKAENGPSFVKKCLQSMADIKTWLQDLADRVNSANVLYQGQTD EFSETIEYQRVSLIQQHESLGSIVHWLVKARYSSVENFETVLGVLRQTDRFDNLLVHY FPATAAFISTFGSPEGSGSLQQARSLNRMILENKDKNNWTLQYVYAGVSVLWLGEYSG WYLDNQGNSPAVGVNLDDEKRTRSEQFAGFLKDGAFEFLLSLGADVKSGDWQDPARQG LRRWLQNKVPPMLQDSVPFSEFFQLALKEQLEAFIDAFITNMPDPLRKLRTEEQEQRQ LSLTYEHDLDLERFLVIISYAFEQRPDAALAFWSDPDSNLAGFLQWASCRASTPLLSA FCEMLQAISEDDECATASHRFLLEESTTASGKLRRSQSLTWSQIFTDLTHYTSKLRNQ PAPHQSTSYRAGKPGANEVESEPEETLMLESYLRLITRLCTGSEEARTFILKHPSFHL TGLLYELASSRIESRLRACAFTTLRSLLSQKSKEINDITWMSLDQWISGGHSTMPKVS LTMSTSASITSGIFEEISTGFEEPSSFIQFLTALLTPAEPSMGLNDDLPFPENLGSSK RMPGVDTYIDFVVGQIFVRKSRTAEIISQPQQRLLSLTCLDFISTCLSTFNEDLVVFA NQSNVSVDSAIRASDLDTYVRLHPFSRTMEWMFNEKVMDALFQSVHEEISAVGNAAPS SPLVLSILAAIHVITLIMDLQPTYLDIIRPLNRQQATHTRSPVANAAYASFDDGVLSH LSVIVDLGLYCGSGHSELAVASLKLLQRFSTSPKLISPPVSNIGGRRDRNKAIAALEM GNDSERIARSLISEFESNIDMEEASESPAYNIKIHILDFVNACLQAMPNRPSIAHLLL GFRCESESLEVLPDSPFARDASLFHSIMKLAIQCPVQTEQNVIAPWLTRLKSKALQVM RTLWRSPISSQVVMVELRSHNFFTHLWTSEVIIGPQTISGLGLPNETYFGVIESQNDI NDYLSQRSMLFQYVASELRRVSKDGSTSVRQQISNTLLGRTTIEDGTEIQNYTIFDMF DFMVVDPAAETFPISPYFKDLNFSVCLTGNPGEPHVYDVKRVEALLVLRQSEMKVAGY LQKPEDEAIFEAEALNLLRYLASQSNISRLHATWLETLEGWTQVVLMTVTVGDLEDTN KLRAILEAVLVVQSKLERHSSVNIEASQKLATLAKFLLFNIDFTSEPFRNDDVASLAN ERLSQLFSVSLKAIQSPISDSHFRETLYTICYKYLVGMSDMPRGLTTGRQYITQTIKS SGESLVAIICDDAYAGENTCRISALLLLGQIVELARKEDSSYINTTLSRINFIGLLVD SLQNILDEMQDLRTDEIDMYLSYVNAKLALLVQVSQTRSGATAVLGAGLFQIIRDSGV FSTDPDIGLDIADIDAIKKHYGLLLALMRVINCCVVSRGPQNEQTLAQAKKFVSENRL WMLSVFKRSARIGAAVNNELDEVVDELSDAYMLLISMSGFLDMRPSEGNSTDSTSDRP VPGKRRIADTLGTGVATAASMPGGDKPGSRSEAKRQRTIESTIAGHPKGKMSETIGLV APSNVDFRRTVGFQPQSGVRKLVVKNLRPSRVADLREHYGKVQVQVLDAVTAILKAQQ PRQPLERLYRDVEDICRNNQAEGLYKELRHRTSDYLASSVLGSLQKADNGNDPLQFLE ALLDAWKDWNAKAMRIRAVFGFLDRSFLLNSKEYPQLNDMSIQQFRSVILENPAIDGQ VYDATNKMIDNDRKHRGQDSARWFKSTLFKDIIMMLLITNLYKARFEPKFLEYSRQFF SEFALIDADLKNMISQCVKLLEKEGARCDIHNLDSGTKRELLSDAQDILIRDRSEFLL DVHAVGSLLEARDIESLKSLYGLLKGSEIQDKLKTPWEEYIKKAGATIVSDQGKGDDM VVRLLELKRSLDLITRDAFNKDEIFKFSMREAYSTFINDRKSATVWGTGNSKVGEVIA KYIDLLLRGGLKAVPRSLASDELDRIDAEKQGLASTGDEDAELDRQLEQGLELFRFIE GKDVFEAFYKKDLARRLLMARSASQDAERNMLTKLKSECGSNFTHNLEQMFKDQELSR DEMISYKQSLSNTSKTTMDLQVSVLSAAAWPTYPDIAINLPAEVARHIEKFDRHYKNK HTGRRLTWKHSLAHSIVKGHFKKGVKELQVSGFQAVILVLFNDLADDEALSYTALQAS TGLVDAELTRTMQSLACGKVRILTKHPKGREVAKTDTFTVNLAFTDPKFRIKINQIQL KETTAENKETHERVALDRQYETQAAIVRIMKSRKVLPHQSLVAEVIEQTKMRGAVEVG EIKKNIEKLIEKDYIERDEGNYTYLA VE01_10578 MVSGNGEIRIDEALHTMNAGGVKNRTDGVTDLITIFKHNRQTSS LEVLKDGAYHTIYEALFKVATEEKRLYLSAKNDKARTKAEKTLSSCSEALRITIKSGA EKLKPRTVRAVIEHITQLLPVASGEYCLAFSQQYLKVLNILLGHEAHVELLDQDDWLA TVEFCLDGIEQYESSTRAASVPHGNSITVPLSTGSSVYTSRSRSSVKSVSGEAHLSSI KKRNSEELMECLLSLVSAPNSAVSERTEHILKAIVHFLNSQGNVVSSFHQIAFAALNV VLSVTSADELSLAESVVCSVVPCISRLWSSKTAANDEMLNTAKDEMAITILLLRLHLE RLVSDPLRDDDLGNILKDLRDSLANDYEKRAERDQLQLDDMDFSIDPSENRISSSLSC GYLRLRQQAPRAERKWAVVQVLAILDNLLHGAPLRSNLVATDDNESPEGVSHPRKRRR ITERFDALADEIRLGDSGVQLVALQTLFFALPPSQVSQHILADILPTLASLVLDRNIN ISCWAILCISSCAHQSATESSTGGFSWKQLWHTVSRLVTSRALCRPACYLLHTILAKK LVEYNDVVEWATSMLTMTEISAPASICDSSLALMAHLVHMRNTEGSGGSVNPSQNVVR WLFSKWNPAEKAFATRSSIDIYPVDVSNLLRTIFDLPGLALFANGNQPGGAISQAWLR HRGNEKTLRFLLLLDDEPKTSTECPRCAPTSRLYQCAQFSDAQLHGNPMKRLVSDLLL PKLDALAQGWEQQEASEAIEISSDSMHSTVSACITTCLILPILENAGSSSMLDDIKRI LERLETIITSVNSQVGVNRVVVEAMLQAIRPYLPAISSEEIYHIYKTLPRLGNFIVSF AEIFKLYKFQKEDGFATDDMDLDDVFDTQQSWTRSERSQNVIERREMAMKLSPATFFN NTSTKLILVASFFECETETLYVPTNFMDYLLNLPPMDIVSCTELISEILHSDLSLTSD DALRIVQCAGGLLSSQYSCCEVTLRLCIDTMEGLLPSWANSESGDLGEMVQQLYGWLV GTVISKRRTSPYVQICFSKLLFQLIRIQPTYGDDQSLPSVRSSLFDILQKAPILVKFN LAQNIPDIFGLFVLKNHLRILNDLLDSLPTDLDWCEGNAFRLYILSRLASRWPTLLRI CAYYILEVSGRVPASIPYAKRCLLEVSETLGLSSARDLFQLFNSQLLFTWLDTEPFES LPHSIFGYNTLHDLLRDSQEEISALMVMRDRDDEVKSLAELLEEPVEQILTKSFTKVI AYSVAHDISIPPSDTSGKYITGEARVRKQLGKNVFLDLMKTHFADIISLLFKLIDHEQ DLEKSFMKEGALQVPASILTEIKGFSSSGITLPPSQQPTFKAKYLTREINHVCGRTPY EPSDIFTPVMVAFVARKLLDTMNPALGSLHACSVLRRLRVLIGLAGPNAVSGYPLEMI LHNLRPLLADVECADDAIGIAQYLFTRGSEYLSTVPSFIITIALSMFGTLKVFLSSSP SSTTQESQYRETLSKAESFHSWLGIYLKNYKAIGLDRRDQDAFRAMVESAHGLSASDS TDMGTTGGDLLMDILRDKRSRRNLLSKSTCEVVFSLLYSEFAAPASFRTDHLGQDDRA VRYAPTVWELCKTGSTSSSFLTWAGRVFGRAFAATGHIELSLLKETDLHEILDPVEID ASNKPSSRSSILGLVKNLTVVDHGSVTGVAEAALRIIVSGITSQPNNADNTACSIPLS ASLIQCSTWSPYNVSPADMLAFQTPAPKDPFSPGYIEDPACIRDLSIFLVQSVPSDSV IHPIQQLLVDAAGFAEKCFPFILHIVLSQQLDESQNTKRLLSTALRSWFGNGKDIVKE RLKLLINAILYLRTQALPRETSIADRSQWLDIDYRQASQAAVNCGMLKTALLFTELSS SELVKASRRSSSVKQPDSEILFSIFKNLDDPDIFYGLQRTATLETISARMEYEKDGLK TLMFRGAEYDTQMRKGGLASTSEAQSLVGALSMLDLDGLSHSLLQSQQTAVVMNEPAI QNMFRTARKLEQWDLPIPDSYDGGSITIYKTFQALNNAVDRVSAHVAVDTGIKSAMSS LIQVQGDAASIHTSLQALSIFTEMDEVLSSSNSEQFEEMLERFESRSRWMKTGKFEDS SLRKMINVSASDTRLVEARSSLLSSSIHRSHGALQESLTSATYLNSITGSCRDLGLNI EAAAYFEVANAMWDQGEMASSIGMLQELEQLSNLKEQTIPIGKSKILAKIASQVSMAK LEKPDRIIEKYLSPALAELKGKTDGSDAGQVYHEFAVFCDRQYQDPDSIEDLERLRTL KEMKEMEVETLLKLSKEATSGQKQRNHRAYNQAKQWLALDTEEYERLNDGRGQLLRRS LENYLLSLAAWDDHNNDALRFTALWLEHSDEEVVSESVSKYLSNVATMKFASLANQLT SRLLDTKDKFQVQLLNLVLNICKDHPHHGMYQIWAGTNSNPSANDETALSRQKATKEV ALKLSKSARSGGTWSAIDRISHQYCHFASEREKVKSGSKISLRNSPAGSALNAMLPKN PLPPPTLQIELATDKNYSRLPTMISVDPSFSIASGISAPKIVTLLASNGKRYRQLVKG GADDLRQDAIMEQVFAQVSELLKENSATRQRNLGIRTYKVLPLSSTAGIIEFVPNTMP LHEFLMPAHERFYPKDLRSQQCRSEIQSVQTQKLDVRVKKYLAVTEKFHPVLRYFHLE KFTDPDEWFARRLAYTRSTAAISILGYVLGLGDRHGHNILLDEKTGEVVHIDLGVAFE MGRVLPVPELVPFRLTRDIVDGMGISKTEGVYRRCCEFTLEALRKEADTIMTILDVLR YDPLYTWSISPIRLAKLQDAQSAAPPISTASSTATNNGKSKGAVNEPSEADRALTVVR KKLSKTLSVTATVNDLINQATDERNLAVLFAGWAAYA VE01_10579 MGLGIITESQPVKMKRNNIVVFSGGSAANTLVDVFGNVAREKGC TLSYIIPISDNGGSSSELIRVLGGPGIGDVRSRLVRLIPEDVAGNDPEKAAMKTFFNH RLASTPEAARAEWLDIVEARHELWTSISSEKEELIRSFLNTINLEIVKRARPSSFFNF QSAAVGNLFLTGARLFTGSFESAIYLLGSITGVPSNVSVIPTINSNFSHHICAGLRDG TSIVGQNSISHPSPLPNNLPGGEDDIDGPMSPTTFQRKTFEELIMHDSVEDANLPGSL PTLRKQYIDFHKSDTEDLPSRIRRIWYINPYGQEIRPSPNPKALAALGNSQAVIYSIG SLYTSIIPCLILKDVGNAIAAPGIKSKILILNGSIDRETGPETNPYCARDFICAISNA CGTSRGDLSVDAAYMNKYVTHVIHLEGEGTPKVDKEELKSLGIETFRVYGRKNPEGGM LYDGKALTQALESILGREDKGVARRNTLQH VE01_10580 MGTPSSNRQSLFVSSPEDEEPRRTSTSRSPWINVSRRSSRNASP TLPMLLGSSNATRPQQPRGDRQRRSWQDTFLTTQMPQHEPPSPRGDDVLQDFDFDAFL NNNTPPQQTPTRTRESSIVDLTETSPVATTRTPKKRRLPSVDSEGRTPKRKKDAGVSL EAVKDDHQKSKPDDKVEELDLIDAEDDTSYAEVMKKRQEDLIKQQRQAELNKPQKLAT TQCVICLDQPEELAITHCGHMFCSSCLHGALNVGTGKRSCPVCRTAIGAPKKDGKQPK TGVFHLAMKLMTKKQGKKPALR VE01_10581 MLLPTRAGYSSRPCASFVRLAKLKARDETTHLSRGAVRRGFATD GAILEDHQTKNPSSLAKTSNPRFNEIGVQQLSSHVHSQIFPGPSVAAPKELVELARDH LQRHELLGKNTDDNPPISFDLPLLQGETLDEHFYKLGTDAGEPYFGYANQFTKANGPP KPRKWVHRSGWTKYYSDGRTEAVDAPNEEMLCFDTEVMWKESSFAVMACAVSPTAWYA WLSPWLLGESESDRHLIPLGDPQRHRIIVGHNIGYDRARIAEEYSVSQSKNFFLDTMS LHVAVNGMCSRQRPTWMKHRKNRELRDKIAHETDNIELAALLGDRGLTEEEEELWVGR SSVNSLRDVAKFHLDVTIDKAQRDSFGELDRAGIRGKLDELLDYCAADVSITHRVYKI VFPNFLATCPHPVSFAALRHLSSVILPVDKSWESYIANAEATYLKLSDSVQERLIALT EKALEIKGDEEKWSNDPWLRQLDWSGQEIKMVKGKKKNDPPRPAARQKMPGMPAWYKG LFAKADAPINLTVRTRLAPLLLRLAWDGHPLFWSDKYGWTFRVPVAESQKYKELQMQR CTEFDEKDMALRDDTGGVFYKIPHKDGPTARCANPMAKSYMSYFEKGILSSEYSYAKE ALEMNASCSYWISARDRIMSQMVVYDADLQKPAKGQASNDTGYILPQVIPMGTVTRRA VENTWLTASNAKANRVGSELKSMVKAPPGYCFVGADVDSEELWIASLVGDAQFKLHGG NALGFMTLEGTKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKFASTLLRQFNP GLSEAETTKVATALYKETKGAKTNRKALHKRPFWRGGTESFVFNKLEEFAEQERPRTP VLGAGITEALMSRFINKGGFMTSRINWAIQSSGVDYLHLLIISMDYLIRLFNIDARLA ITVHDEIRYLVREEDKYRAAMALQVSNVWTRAMFSQQMGINDLPQSCAYFSAIDIDHV LRKEVDMDCITPSHLTKIPHGESMDIMQLLAKGSLAKLEPSIQPTDAPKLDGIKYTHR QPVMEALEKANLSFLKAQITADENELKEIVKVQRKVLDADEKTAKPKQTRARKILPYQ AHAELMEEPLNITDLLESNFRKDTRKKKQEHYPWEEQMPPRYKPTHRW VE01_10582 MSTPDNNDSPLSITSSVTGILTFLVAITATIWLRISAIRTADTE YSRVKTALSWYKTESEWIHDLVATQRDAQDRSRGSFDSYFLDKDLAWREKGRGRQRET EMYVFVLDQLGTLEARLLEMVTAVEVKAEGSWREWQGEGQGAGWGGGAKRWWRRTRIG VYWLPVRTKALELVRQRDALGNRVLFAQLAMVSSRLADGEERANRQDERIRELLDTVR SFDERLP VE01_10583 MSSLAEASQRRRQNLNPLATQLGPPQPQFQAPNSAVSLSSPFHH QTPYTPASGVRQYNPQQWGGAQTGYSPDNGRYSPSPSDVVMAPAPPPYSPPRSHNSAT SFSPLDSATSASPLNRGSPGGAAYRPSPEVPAAAFPPPPPTSRTRSRDRTQPLLSHLG NVFHRKPTTPEVPEPSRQRASIDISRDQPSSSRTRGSVDIRDQPSSSHRPPPLNLEPS TEAFPVIVAEQRPPASRRAVSASAIGTPTGPSSRSRNSSTSRWAPGMPLPPPPPGPPP QSGSRSQSLSRPEGVDPIVSPPTRRPAPISTLGPVPPTPAGWVDSPRVVPGAPRPRSP LPPGALHLDTSSVSSSGHTESNSGSSSSGLTRAHAVRGEPKSIRERRSESRSGKAVAI EEPSNNPWAEAITPADIMVPPMTVLGRRPEIAKSNPSSARSFQNQDTPNSSRTPNYQS QNTPNSSRTLSHVTPRLADIQQPESRGSTPRPLNSASRTEAPTPPFSPAQYPSRNSYQ GHNPNIPPKSLPTPPPISRRRADSSPRPISHILHTPLKDQGMPVPLSPKRAPSRQSPS PAAGSFSSAALQRHEQFILREASANTDEERIRLFADFIVSESRIRRELYTSAIDAMGS EVLELTRDLFRPYTHSKRSSFGSHTSTAEHKGLSGLPPLQTGPIDSAPSSASSMGSAG PGSRPESTWWTGYMPSLSPIPSMSASQAQEESSSRGRPSSRWWEVGQSGSGGSPTVLE RSKRESKYMGMPRELRESLQWEGNGNSTPSQLPQSSPKAGPSNYASYGPDEYPPEKTG WHDEGPISPPHKTPTNHFNHSPAPPTPNPRHLDVSRLVTLPPPYPRHHPAVNNNHPDL TEIRTTVRQLSKFTDVEATKATFLTDDEQARHTASAAATQRRQALRATIARDIEAGSM TYADAAKAESDAAAAENEHTKATSKASFERFQTAVVAPVNDLLMERVHTCTTLFEQLR SQLFDDARAQDPTATQEEGDEQPELLEKLTLLKWIFEAREQLHAELYDLLSDRNDRYR DMVIEPYRLAGREDKVVGAERFFADDAGKRRVEFAQGALGRTMEFMDVVEANVVRGVE VQLSAFWDIAPGLRSILDKIPGIVTREFRVQIPAGEYDENPAYHEWPMQYLYSLLEHG GRSTYQFIESQTNLLCLLHEVKTAVTAARARAQESERVAGGEEAEVVRGEVEQETEAE GRTLTDDLKEKVRCVEEQWESALGGELGQVRGRVRAFLEMEGGWEGMEEAA VE01_10585 MAGKGKKGKSKGGNKPKAASQAPKQTPAVEAGAAAAAVAANSSP PIDSELITPGALDLKISDPEVAAVAGEEAGDKAASETGAAAAAAAPAAKAVEKETEAP VPAAEKLQKEEPVVAETKKEEPIVAAAEKQKEAPVVAEKQRDAPIAAEKQQKEAPLPA AVGAQKDVSAPAAESKQAPTIGGASAAPLAAGIASTKSDFLANNNPFIAAPTKEAPPA VAAPVKETKPFESPAVAAPVKETPAPATETEAFKDIPIQSEETVPAPKVQQPEVAVPS HATPPASTNPYTQPLKTTNEGVAKVEKKIAADGFEAAPLAKPTPLPTAPDHVTETTPY QPPAPAAAVPQPQQPTTTTAAAPIPAQDTTTGTAGVRSPSPSPAPAPRPASATPLTEL KLMQTSASDAEHKSGCCVVQ VE01_10586 MSWSKIFSEKKRSSQEEPDLSQSQEQQEPKHEISDSEPRTSDDS SKHIYGAKSLGVKRIEAISAQFTGLDKIVLFFSIFLVAYAYGLDGTIRYTYQPLATAS FSQHSLLATVTVLRSIIGAAAQPAAAKIADVFGRVELVIIGIFFYALGTIVEAVSTGV SSFAAGAVLYQVGYTVIMLLAEVLIADLTSLRSRLFFSYLPAAPFLINTWVSGDVTAA VLAKAGWRWGVGMWALIFPVCAMPLLITLLLAGRRADRAGKLASFKTPFQALGWKQFL IELFLQLDVVGIILIIAVFSLILVPLTLAGGVKETWKTAHIIAPLVIGVFTIPVFILW EKNCKHPMVPFRLLKDRGVWSALLVAIMLNTAWYMQGDYLYTVLIVAFGESVKSATRI TSLYSFVSVITGLITGIVVRFVHYLKPFIVAGTALFTVAFGLLIHYRGGTGGANHSGI IGAQVLLGIAGGLFPYTAQASIQAATKHEHVAVITGLYLANYYVGGAFGNAISGAIWT QVLPGKLASHLSLVTSDAALAVSAYGNPFAFIEEFPVGTPERGAVIASYQETQRLLCI TGICLSVPLIVFGLLTRNPKLGKEQSLKDAERFDSSVESVVEPVERGEVVEGEKVGN VE01_10589 MDLITCRLGQTDFSSVDCESALKGQKLPENLGHLTVRLCLISGI RHHLAFARSLEVTDLCRTTGQEIFARARNARLIMSDEVPGSELMGNKAFYPYCIWHPD VASEGTYRKLAAACPDMRYQVGRACAVAGYDQLYRELDLLPDPCIAEEAREASRDSDG SRHIFEQIMAAPLRYSVMNDYDLTVELDRPKPGAFLNADTAVRATLKERQVFAPFFRS KRYFNINEDWGIGPETVKLEIARLTDDEADLLVSPLPFDLPTMTKDLLILMSACEGNV DRYVRLRRKGRSVQYEVQCLIPGIYRSTAMAFWLDRNPDVMHEVAAVCDEDLVVELRR GIYARHIMNNATHHLLDADPPVPDDELPYWIWYPTIPSPYTLCKLAEARPAMRPQCVR ACIAGDYCEAYTKIMDMPDPNTDMPVDPDDTDDPDEHIPLPADSFLITEAESSRFRDF FLQDMEQRREERGLKPQFNKHDDWKILSPWHCGDPSSTILYGSLPDQ VE01_10590 MHSILPIVALLAAGANTVTAGSYAENYPNRIYLNSMCLPTNANA NVEGGIPEAEWVAAMATSPFPCEQRIYLERTCFANGTTTNDFIAEQQCLCGGNYFEAL SACYDCLIAHGLVVTPSSLASYSSAVSSYSSAECSASPVTQGYTNLFTVNTSTGPFLD YTVSPDPFANDTRVANYWTGPTAPVAGKITGSAVQHQSSFTNVDNVRFTPPGAVTTGG SVTTPLATSTGGAVKVRVEGGLIAAAIGVLAAL VE01_10548 MAILSRKFNPGQDPEHFDPKTGSCSIEYYAACKDPYRAAANKIP VGWPWDVHKTSNVAVEVFDELDESIREILSNHEINPRMIGVYSFAPRHTPKDVKDTIL IRTRDEFNVSSWKRAVSEIYEIVEPAAAAAGVEMRVEIRNDEKSYSDISYIIRDEATI NSIAKIQPFVLDAVMKHCPGKWTTIAYHYRGTQYYDCEDRLTAIIFIQPGAVHAWAEL EEKISSTIVSAISPEEIDISVEILPGRIALTRPSERSHEYVYLSWLPAVPSNGSSIAP SNCTNSAGTLGAVVNYRAAGDEEDRKCFLTCYNVIATGDLFGQKTNDSLGIGLDGRQV DYKINVEYPAKYDVRQTKVARISCIENWNATEEDFEILSRLEQMASLGPIGHVKFASG YRLSDTKHRMDWALIELDSSRPLKNLLPPETQFRRHGSPYYRVQEGDTVSGTTTSFRS EWYGKVGLSSECTGAEHNILKRAIRWHDGKVSHEYEFKRGRTDETFAQVGDFGALVFN LRKEWAGMLFAVDRSTGIGFVTPAWEIVRDIEERTRGTITIV VE01_10549 MNSGDVELSPPFITTYHHDGPYSSSLSSSASSSCASVFSDAASQ SSDDSSVHSGGSSDSEQSDAYCRTTRQSSYQSLEDQCDVITPTECWPKSVLASSVPQK RPHPRRTSKLASRKARSPPSLVRQCDRKVSFVDSLVDSSAQIVEAIWPLSSVVCRSEM GAKGVLPLRTFIQETLRRSQTSYSTLQVALYYLILIKPHVPSFDFTMEQPDEGHATRA LQCGRRMFLSALILASKYLQDRNYSARAWSKICGLSTHEINQNEMAFLVAVNWRLHIT DAVFQRWTDVVLQFTPSQAPAAAGALMGAPATPLPDWRALILKLDADLGNVEAVVREA AMATAATVAVVAKRARVCSTRASLVYQSSLLNKCFEAQAPAVR VE01_10550 MSSFMANLFTSSQAGKAPPSTSSDTLPAPPPPLYTRSSHNDTYP DRPSTPPTNRASFITPTQTPQGSPSKNRNPPGAIDLPSAFDAAMRLAAPAALDSPTKL SASTRPLSPGKSNTLAPVDDSYFAQASSVGDESILHKPATGAGGVVPGSPTRKQGKEN TSPAGRLGEFSAATVTAQNPAALSRQEIYQLRDQQQAPPTIRKYNTQRGLTAEELEIL QKPNVKRLANVTQLYFLDYYFDLLTYVGTRQTRLNHFKAEYPAPPETPVETYDPLWHK YTGRERANLRKRRVRLRQGDFQILTQVGQGGYGQVFLAQKKDTREVCALKVMSKKLLF KLDEIRHVLTERDILTNAKSEWLVRLLYSFQDEQSIYLAMEYVPGGDFRTLLNNTGVL ANRHARFYIAEMFCSVDALHQLGYIHRDLKPENFLIDSTGHVKLTDFGLAAGMLAPGK IESMRIKLEQVGETSVPFGKPMEQRTVAERREGYRSMRDRDVNYAKSIVGSPDYMAPE VLKGDEYEFSVDYWSLGCMLFEALTGFPPFAGATVDETWKNLKHWREVLKRPTWEDPN YFISNRTWNFITNLIASKTKRFPNIQSVYSHHYFAEVDWTTLRTQRAPFVPELDSETD AGYFDDFSNETDMAKYKEVHDKQAALENMADREGAMGKALFVGFTFRHRKTGGEGGES PRKSILGNEGGFGTML VE01_10551 MGANVITEVPTVPIAAPSSKAHATVVVAPGVKVTLPPYSSPLTS GNYFFPLQLRGRAAAGS VE01_10552 MASTQYGERGGFADQQHDLYDFSGAAHPSAATTTTASSNAHATT QHDYRFPRRPPGGRQNLQQIPPHQQYRDTYDDSYDETVDDSITSERRDFATTTTTTPP PGTARKEMLRETIFPTWKDGASGAAELDSPDEMQRRDPLATQIWRLYSKTKKQLPNQE RMENLTWRMMAMSLRKRRQEEEAAAAREEEEQRNASAPSGIAQLRNSMHQGDESPDNM SIDEFIFSNMASPTDMSPPDLRDNRQPSAVASAIPIKMRKEPVTAFAPQSVPVTQHQD EFSYVQRHVRKTSIDERRPRKRPADFSPHVPPIGITIPNHSEMDADLNEYSLNDQYGM LNQHSHHHSVPFNLDTYNLDQEQIMNSAGQYQQNYGFSPSQSPLVPYGAFPNMYNNAS MGPSSLNSNDFYSPPASNYPSAGSTPQVGNEGDRQMYFNQGMDMRQQQRPQNFRRNPS NLSNSLGPQYMYNQNGNAMYSSSYTGSGAFGMQQHIDPSQVFQHDNMQSPGVTMGTQD SSYNLDVDSDGEETEGTAFADRTLMNSNDYSLSPMEDANMGLAWDATLPGQFNTQAAR YPGGPPRKQVTIGGTTSEISPLDWDDSGGSLGRSHTHASSASISDYRRNGSDRRQKIP RTASTPNVPAAQQTIFDGQTAQSTPNSPPDMTGNMSGFSSVAPSRPSSPAPKGSSTNL SAAGGPADPGVPTTCTNCFTQTTPLWRRNPEGHPLCNACGLFLKLHGVVRPLSLKTDV IKKRNRGSGATTTAVPLISSTSTRNVKKIGSAGPSSGANTNGTNTRKNSSAAITHLAS AGASPNAPLDSESPQSVAGAQGSNSTAGSTPTSYHGSTTSSSGVKGVVPIAAAPPKST PGLGAGAGGVGVPRVVAVAVPPKRQRRHSKSASAADGGGGMDVDDRNTMSAVGMSSLS SAEKGAGAGGMVRGPLQGAMGMGQAGLGMLGSSVAGMGVGISGGAGAFGGGQRPGGGV GGMGQAALGGMGQGAPGGQGTSARSQEWEWLTMSL VE01_10553 MADITDQQPDQASPSVLEDAHAVGNGTATAPDNRGVKRQRPSTA DDDDDDDDKPGRERRKIEIKFIADKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLV VSETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPAGNNENGVEDQNPVESPEEPA PQHLPAQQSRPGMQTAPMHPNYLPVDAQQIAYQNYLAQQQQQQRGGYPGMPPQAGMPQ QHSHQA VE01_10554 MVGQLGDLSPKGSIAIGILVGLISTSVQSLGLTLQRKSHLLEDE KAPHLTRRPPHRRRRWQLGMGMFIISNLLGSTIQITTLPLPVLSTLQASGLVFNSICA TLILGEPFTRWSLGGTLLVCAGAVLIAIFGAIPEPAHNLDQLLALLNRTPFILWMLGQ AALVATITAVAASLARYSSPRVRLLRGLAFGCISGILSAHSLLFAKISVELLVRTIID HKNQFDRYQSSLILATLVTLALVQLYYLHHGLKLVSTSVLYPLVFCIYNIIAILDGLI YFHQTSLLTPLAASLIALGTLILLSGVLALSWRLSDEQSPPPPPSALTPGLGLIDDED TDSPLPTPRTPDEETALLPNHNHNLNHTHTPSSPLPRDSPSPTTAKLDTLLSHTLTAR TAETAAIWEELQDRDTPRHSLDYGTAEGGGDETAARQRRRRRSTGFRGFVPRDAPRST GGRGVLGRLFGRWGQRRRSGAVAEEEEGEGGTW VE01_10555 MSHSQALSDDQVGQELRKMTAFIKQEADEKAHEIEMKADQEFAM EKAKLVREEQSAIDTQYEKKSKAAAMSQQITASTVSNKTRLRVLSARQELLDGIFEAA AKKLPEVTKDKARYETILKNLVLEGLYALNESKVVVRTRKADVAVAKKAVEAAEKEYT KNTNKEIAASVDEDNLLEDDLAGGVSIVGSGGKIDINNTLDERLRLLQDNALPAIRTT LFGANENRKFYD VE01_10556 MPPKKDKFPPQKGNIYQFFKPVPPKPQTKDATTNVSDKPSITIT KKENEQPISQSEPADAQPLSSDPQMTSQLTIEDSDEESGLSDTRSDILELSEPHAASH PPTTVADRENGLSLPHPKPAEAQPFSSQPRMTNQLTIEDSDEDSDLSDLGSDPSEPSP PHIISYEPASTSTPAGELPAAARTARARTAPHLKPTPKLKTKDSGSSLSDTYSTTTTK SKNEHSPPKPQAAHAQSVPAKTEMTTGQQKSWDSFTKDDGSSGSDSDLPDLFTPPTTS QNPTRSTRKLRDTGSTTKYRAPPYASPLTVQPKHKYKFSITSLVSQSQRHEATEASAQ RAKTILDEPGNGEEAGQQDDKDALLESMIADKDADSADKILQAVARTEATSTEKRWYF FDPDSKTPTNKPTETPANISKIYQKWNQGDKIARDSTAASGAMSNVAHAQEELSEEKF LWILETSCIEPATDLEESYFNALLAAPEAIRKYLRPKTVKGMFDLLGALPQATDRKAN VEAVGVYKKAYKGREWNTLQRYIKFLGDAAKFATPVTCAYTMSLLARLCADNLVKENY SVLCIVRSAMGELCDAIAKHDAWKISCRKICSNIYNTVAQEPLCLQIIECIPASNPCL HDLRRRLSLAVFCQDCDLTQKPVEEKLELRKLVQVLGSPRFQINRETDYVQLAALISL LDIAIGDGSAVDFDVSDPQAEEEYNSCLDELAYQLKIIWSSINDRGTLSPSRIHTKRT VEKLRNRLLETIRTRLKPKQSIFDLPGQGGDREGALKQSAFMTKHFRKPITNA VE01_10557 MADQPRPEDHEDPEDGTTPAPTKRTRWATRKLKGRQGSRKRVSI LERLQKGQNEKKRDSSGAESTTNPSVMNTVVEEGEEEEEVEATEESQDGPGPRSVFVN MTLPANAVDAHGHPTARYERNKIRTAKYTPLSFIPKNLWHQFHNIANIYFLFLVILSI FSIFGAVNPGLNAVPLIVVVVITAVKDAVEDWRRTVLDNELNNSTVHRLVQWTNVNIS SGDVSLWRRIKKATTKGIKIIYLAIKALFKLNSGKKGKSYGERAMDTQRASYETTATR RQSAYSQRTSFASAREDIQMTPVPSPNPRHDTSESSNPFDSAADFEADNSRVISHYQG DVVNRRLPVSKKARFHQDYWKNVQVGDFLRIYSDDQIPADVVILATSDPDGACYVETK NLDGETNLKLRHALQCGQSLKHARDCEQAQFVIESEPPQPNLYQYSAVARWTQEDKSN PNGPGQPMAEPISINNMLLRGCNLRNTEWALCVVMFTGFDSKIMLNSGMTPTKRSRIA RELNWNVVYNFIILFFMCFISGLFEGLAWGRNDKSLHYFDYPDTAAPVSGLITFWAAV ILLQNLVPIALFITLEIIKTLQAVFIYSDIHMYYDKLDYPCTPKSWNISDDVGQIEYI FSDKTGTLTQNVMEFKKATVNGIPYGEAYTEAQAGMQRRQGIDVVKEAAKAQVQIAEA RVKMIAETRKLHNNPYLHDDDLTFVAPDYIADLGGESGEEQKQATRQFMLALSLCHSV IAEITPGDPPKMEFKAQSPDEAALVATARDVGFTVVGNSHHGIKVNVLGDEQEYTVLN TLEFNSTRKRMSAIIRMPDGKIMLFCKGADSTIYARLKTGEQKELRQSTAEHLEMFAR EGLRTLCIAQRTLGEEEYQIWNKEHELAAAAINDREEKLERVSEMIEQELTLLGGTAI EDRLQEGVPDTIALLAEAGIKLWVLTGDKVETAINIGFSCNLLNNDMELIIFKIEDDS LSTAEEQLDQHLQTFNMTGSDEELQAAMKNHEAPAPTHAIVIDGDSLKLVLDETLRQK FLLLCKQCKSVLCCRVSPAQKAAVVKMVKTGLDVMTLSVGDGANDVAMIQEADVGVGI AGEEGRQAVMSSDYAIGQFRFLQRLILVHGRWSYRRVGDTIANFFYKNLVWTAALFWY QIYADFDQAYLYDYTFILLYNLAFSSLPVIFMGVLDQDVSDKISLAVPQLYRRGIERK EWTQRKFWLYMLDGTYQSVICFFVVYLLFAPGTFVTSGGQDVGDRNRVGVYVSCGAVI VVNFYILLNCYRWDWLMVLLVAISCLLVFFWVGVWGSSVSTAVFFYQAAAQVFAQPSF WAVTFLMVIICLLPRFTVKFVQKVYFPYDVDIIREQVRQGKFDYLDDESSSSSSRPPK TVDSSATSSDLVEPLKQPSYFDDDRRPLKAPSMAPTTTTHNPRSQNNSDGTDYTRHRI SLEPPMENPNHRRLSSDRPRPSFDRMRASMDRCRPSFEASRDFTTAARLTQVESSHSF GPIRSKMSRFSDE VE01_10558 MEGIYEELQELQGKMDEFPPEAHWFCPKLNDEDYTNYGDPGNDE EDASSATKIKCIEDGEKRLKITYRFSIKLGFSPEQAEEAGFGDAFERRATELLSTCDQ CVRNYHTARKEFLGYMSEEFAEEVMEQLSEVINQFDHSRIDKGLDKAAALLNSLPVAQ RTMRSLATDQVGLTALYEALCCPSYHKLMEKLLTPLGDVLRLVQTTKVLRISGIVPAT TRFLFDADEHRRQFASLGWRKAPQDLDRKTFEWAVQDALVEQIQFISGPGRSLIEIQR FWEGLVLIINKLDSDLITHSLRGLEVSPDVYHLALAHLPCDSEDILSNIIHALIMLLG KSSKSFWSAYGTISSTTVAEQVFNSPAFERLLSGSHINDQGHDKYAYGATSWITPFVE SLPAAQQYDACHSMLVSLLGRYQKDHIPDSSKLVCCRAGLATMNIVLTTFTSKEYTIN TSTSFIMINNVLGLVDKFKDMIVNCATVAEGTEGHTELSEAGLQVIRLALLLDCRSLN AEFLALENGKPVDHGLNSHSESIWKSVLDSFRVNDMKMADSILRGITPLIGLEKFMLK GQPEGLHRDMNRFNSAFGQLTEIVASVFERISDFSLENLRRLCGSIPTANPLFAGLTS AHAPTYDAAVALIKAVTETDTRKEAISVLLEKFMDTPLMALASAARKINEFRTFAAVP NVLKTGRDVLGGLCNPQSGLLRSKSSFSLKEQISLRTWWTEQWQAIVTSFQTVEQWSK YYDTDILVNFCRDAMEYAEALFEEYNIVANVLSNGSGDTTPASKEVMSLATARKVVLK QPCEATTSLVKWLRLKDQYLVSTVVSLISKILRRLGEFDMEVDDRSLEYIDRVTFDRI KTVLTPQQKAELRKAKEEHSGVKYIEQKPSVSKTQGKIDAWSKSANGVKYEPSSTGKP LRGQQGVIDVEQWKSKSGLPDNSISEDVRSLSRTLDQGKGTLEQIRARQQAKQIAAVK PVPMKPSIVIRSNESIKQSRQREKDEKKLRDAAIIAKAKALRTPTVLGEGSGLKNLGI IGKDHAPEKGEMMVSSSEESEEEEEEGGRTLLKKTRDASKKSSDLEAERRRALMRKQQ EPVKKMKIKRTEKDMRARLTPDMTDLHLDILRWDIFWDGDGPPNGTGCVRIANTYQTP DSYRGVFYPLLIAEAWRSLKTDRDETNSQAFDIAVVTRMSVDRFYEVSTTMTMADHRE AKIREGDIVLLSRAKEPLKNKEAPHCLARVYRTNRKRDVVEVSYRLNGIAVNKPGGLA LAPNTQIRGVKITSITSIEREYAALKSMEYYDLCNEILQAEPSPLINYPDAALSPVQQ HYGVNRAQAKAIWSATENDAFTLIQGPPGSGKTKTIVAMVGAILSPTLGIGPGVSIAR PSGVPDPKKDTIAKKLLVCAPSNAAVDELVSRLKEGVTDMKGNHHKINVLRLGRSDAI NSNVRDVTLEALTDARIEKDNANGSAVVPERQKLHQEAGRIKEELAALRSKQEEARTK GEKTVELRLQRDIDGKKRDQTRIGAKIDEDKDNGNTVARDNEINRRRIQQEILNGAHV LCATLSGSGHEMFKKLSVEFETVIIDEAAQSIEMSALIPLKYNCTKCILVGDPKQLPP TVLSTVAAEFGYDQSLFERMQKNHPDRIHLLDTQYRMHPEISSFPRGEFYDGELVDGA GLDKLRQKPWHASALLGPYRFFDLKGTSSRSGGHSMVNYDEIKVALQLYKRLKTDYPS FDIKGKVGIITPYKGQLREIRFALQREYGDDILDDIDTNTTDAFQGREAEVIIFSCVR TMGGVGFLKDVRRMNVGLTRAKSSLWVIGDSSTLQRDRVWSNMIQDAKRRDRFTSGDV LSMLRVASAKQPQVPKQLAIEDVQMEDVDSGKTLDGNTDSRQPSPQDVPMTDAPNIVE RKQVSNGKGVSNAAPVGEGPRLYKPGEPRNGASAVRPTVKAAPKENLVIQTSQTPKRS REPSPTGSPGPPKKVPTISKGLPRRPSLTPILNPDKYEKDVLKAKVEPKSEGEPRSKV SPPPQVGRRNSNSSLGGESNGSSRPKPPSGLPPRPKILKRRPAADPFLPAKPRPR VE01_10559 MAWCFVSPASRGIGFALTRHLLQTTKAPILATSRSNTEDVRNSL LDGLKNVDVDRLTVLELDCVDEFTISTAADQARQMFPPETHHLRLAYAIPGVLHPERS PGQIDSHHALESFKVNTIGPLLILKHFCDFLPRKNAVLEGEVGLPERAVYASMGASVG STTNNIMGGWYSYRASKAGVTSLAKSFDLYLQGVSGDNAISIAQHPGSVKTELSRDYW DRVEEDKLFSPELAAEKLVGVAGSVGLNGRGKFWDWRGEEVKP VE01_10560 MLISLTVGKVDAGVAVLLTQDKRLIEFPSILLPPHISSGSIVDI TVARNFESESESQDSFSHLQDSIFRSFGESEPSAPVLRCRNATQTSIVLEWDPVQLAT ADVISLSLFRNGQKAGNIPQPTTMESTKISGLAVDTEYTFHLVLRTTAGTFASDRLTV RTHKMTDLSGITVTPGILPAQLRESLVAAVERIGGKLADTVRIDTTHFVTTEGRGITW EKAVEMNIPVVRPEWVEGCERGGRIVGVRQYYLDADPRQRMANLGPAAGQQATPAEPA SAKDEAPLPALPNNNRKEQANGDEASEAGQTNNEKEEDRDEESEEDDSEDKKVEALDE QKVRVEDNDQHKVALRPAASPADEKEPSVDKNPVTKSPSLEVDADTEEGAKTPGAASF QDVAL VE01_10561 MSSIRKMPPPIPKLKFPPAGMSKRNIPGIDILQIMWSPEHIVPI NSKTQLTNPAHPRHEITKRKWEARTDPLWWNCLTSKQVSARSVVRSWVNIRARLAIVN ALKRKGYDTNGYRIDGNCDEAPKPNLVGSLHFITRPEILRAKWPEMEEQADKIIAEVE RLQTKKAKPKGKKRTIE VE01_10562 MPKNKGKGGKNRRRGKNENDNEKRELTFKEEGQEYAQVLKMLGN GRLEALCFDGTKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVILKYSADEARS LKAYGELPESAKINETDTYGQEGEGDCNFEFDEDRSDSEESEAGAGAKDIEIDDI VE01_10563 MPEGVTAEEKAIIRRVVPKAQNKILAAAMVRLYIAYPNTSKWTY TGLQGAVVLANDLIGNTFWLKLVDVSPSVRGVIWDQEIYENWSYNQDRVFFHSFEIEG CLAGLSFSEEKEAVKFLKQMVDREKHASKATKLNPFGGSGPAPQKHGILGGIFGGHRH VSAPSAPMPSQPTPPESPRTFIPPSLSQHNPSYSSVSINPPKVSEYAKLDALDPNWRE TWGGDLRDMGITDDQIRDNQDFIAEYIQEQQAASAAAPEPAYQEPPRAKTALPPPPPP PQAAAPRIHELPQSPPPPPAQRGAPPAPPPSRRAKAEPIPKEPTPPPREPSPPKGPPP PKYAAPPPFADAGKLLNSNPRPTPGRPHATSISNQSAPPPPPRPPKTPNDDFEPGESG SRFGVPPPFMGQRTPQPPPTPMRSAVPPPPPARSTPNAALPPPLPPKTPNNGPGSPPP LPPSSSRPIPPPPSRDNGPPPPPPLPVSNTPPPQPARPSNAAPQQPARAVAPALPPPT GGAPAPPPPPPPPPPPPPPGGAGPPPPPPMPSRDSGAPGPPPPPPMPNRDSGYVSGVP NMPAPTGDRSDLLSGIKQAGGIGALKKVDRSKVRDRSAAAVPGSADTGPAGSGLPPAT TGGGGGGLADALAEALNKRKQKVSASDDEAEEDDW VE01_10564 MLTLIKVPGKRKRKQHTDNADGENKLSRPKGRPRKETIKKIKAS ASALDSGTASRQSPQDNFTTNRSMTSLERLPTELLEKIFLVSLNFNLPRSSPIIGIKL SNQYIYTTTTVAIFEPTWRYNYGLLYEGGGTASDSVDGGDIPGDPELQSSLLRCQWAT VFMIQQAEKAWLLTVPPKQVKQEVEGVAQARSHSPAFDSEQLVHTETRTPAERLSGDS EPHNFDEQYAEFLRLTHPEAAREEGMTTRPTFRWKTPHKIHPQTEIPESLLRGPWSPD MVMYLFWLTRAGATIDYSASTNGEVARQGLDQAILDGNLQILYLLHCLGIETTVHEDI LESAVRTCSGNNAVMYRVFHILASKYPGESSSWDKWLDDILDFAEKLGQNREEKRQQR IWWEGFVSFLNEPELKL VE01_10565 MRASLPVPVPSSSLLRFLQFQSEGRCFFSANSQGFNFDHGSPPG PQQHTAKRLGAPKPSSSHLWAPIPTPATCEASLLNLDFLIPRVTLPPAIRPSINSPRP LAKVIRRSAKHSIGGYRCASESHSADWLRRAFGRKVNRRRPLKGVEGPYGDEGAEATA YALGRTMSAKAANEQKLRCTEFDENGNVVLVNGEFKKSELIAKYGLLPRDLRKIDSSL LPHILVRPSAILINLLHLRCLIKANRVLVFDTYGSTDSYTQSVFMYDLEGKLRQKQNS PSAGGLPYEFRALEAVLISVTSGLEGEFETVRGPVVRVLRELEEDIDRDKLRHLLIYS KKLGTFEQKAKLVRDAIDELLEADDDLASMYLTEKTHDLLRGEDDHTEVEMLLESYHK VCDEIVQASGNLVSNIRNTEEIVKAILDANRNALMLLDLKFSIGTLGIGSGAFIAALY GMNLKNFIEESNFGFLGISGFSAVFAAIVCGYGLTKLRKVQRVSMWGEAGKRGARGSW RAIDEETGAGLLERSRRARLLKEDKGGSLREVVGNVKPLPPTVILKTT VE01_10566 MGAVVSCITGMFRAIGAGLMAIVNGIGAILQGIIGAIVAFFDVL ISCLTCGRGGGRRKRSHGRHTSTI VE01_10567 MPAGTNKYDNNPGGSITEQIAKDPSSKTDSTASSHPVHNDSEPK GDPVQIRHHQANPGPAIPKDFQK VE01_10568 MPSESDDQPQPVKLSLPLEYQQEIFNELRTEDELLILARGCGLL RIVTNLLHSYDAAGNNLILIVGAEDRENGWIGEALAEHAAISGSSKARGLSVVNTDLM SVGTRERMYANGGIFSITSRILVVDLLTSLLAPDTITGIIVLHADRVVATSTEAFILR IYRQQNKDGFLKAFSDNPEAFTVGFAPLATMMRNLFLRKASFWPRYQATIQRSLQGKK KAEVIELEVPMTDAMRDIQNAIMECVEASIGELKKGNSGLAMEDWNVDRAIHKAFDAM VRRQLDPVWHRVSRKTKQIVSDLTVLRGLLDALLTYDAVSFLRYLDTVVAVHSPPPGS TRQNVSPWLFLDAAHTIFDTAKRRVYTGKLDGKSSANGNLEGSLRPVLEEQPKWGQLA ETLEEIDRDLYFNPQIRDDSNGTILIMCADTATSRQIKEYLQSMHVPTEDQAEDDDSE PRPSAAHMMRSKLRDYLRWKPEFAKISSSLFGENQKSLSEAGGGRGGPSSFRGKPPPN KRRRIRGGGSNISTSHRGAEGNVQIGEDKPSEVATLLSEIRPTDAESMQKAEPVVDPL DVTEDFFELFDMDELIVVHAYDGDMDEHLLEEVKPRYIIMYEPEPTFIRRVEVYRSSH NDRNVRSYYMCYGGSVEEQRFLSAIRREKDAFTRLIKEKANMSIVMTVDAHGIEDQED VFLRTINTRIAGGGRLAATATPPRVVVDVREVRSSLPSLLHGRNMVIVPCMLTVGDYI LSPDICVERKSIKDLISSFKDGRLYTQAETMLQHYKSPMLLIEFDHNKSFMLEPFGDV QGSALAANSSSDLQSKIVLLTLAFPRLRIIWSSSPYQTAEIFESLKTQQAEPDPIAAV RVGLDKDMNAEDQVFNREPQDMLRVVPGVTSKNLKNLVLKAGSIIEVSNMSEAQLAPM VGKEAGKKIHRFFNTNVLDG VE01_10569 MSTAKPIRRVTLFKIPTEEGQEQLLAKYRDMPQKALKDGAPYIL DIQAGKTFPDQRAQGFTLVASTVFKNKEDMLFYDNECAGHADLKKVAMAVHQGVMMVY FESIFD VE01_10570 MPVTAFKDEEKYSYQNGFGSYHESEAIEGALPIAANSPQKPPYG LYAEKLSGTAFTAPRHENLQSWLYRILPAASHSDFAAYKGAIETTSQSPLHQIPNQLR WNPFDMDETKDWVHSLKHLGGAGSPAMKSGLGILIFAAGKDMGNEAFYSADGDFLIVL QHGVLDIQTELGRILARPNEIVVIPRGVRYRVTLPAGPVRGYILELYQGHFQLPELGP IGSNGLANARDFQAPVADFQEDTSSAWTIYSKFDGNLFTASQNHTPFDIVAWHGTYYP YKYDLGRFNTIGSTSFDHPDPSIFTVLTGPSDHVGTAIADFVIFPPRWLVQEDTFRPP WFHRNTMSEFMGLITGDYDAKEGGGFRPAGASLHNVMSAHGPDAATHEKASGAALAPM KVGEGSMAFMFESSLMIGVSEWGLRECEKVQPDYNEKSWEALKVNFKRPEGK VE01_10479 MAKGKGSSLAKQLAELEVKAPKDFDPEENEAPLRSDESGSEEED ENLGTEHYVNVGKSKLRKPAQVALGPQYAGARISRKDLLNNDEDSDISGDEESTEEQD MEDIVDPDTVDLDAEDFDGEINSDDALGESDDEKLKGFVFRGSGKPKYAVGGGPSKSK RKTAADFMSGSEDEDVDEIDSEDAEDGGAKIDGTDESGSESQEELLEDGDEDEDEDMD EVDDDEDEEEEDDNDDSEEDSDESEVGDDEQARRAELRKMMTEEQKTVVATISQAAKA DAEKGNAVKAQRKTFDSLLNVRIRLQKALVATNSMSSLEQSSETSTPYKAAEEAAVKL LNTLNAMRSELDKTATNSKKRKHTAVDITTSNSDIWAAIQASESQSVPKRQATLAKWS ARVRGTTAAPISRKLNNVAEQSIVDVLSEQLSGSNAERLIKRTRMPRSCAPIQVKAKI TEDENIYDDADFYQLLLKELVDQRMVDSSSAPSMGAGGQPIAQWAAAKEARTKKNVDT RASKGRKMKFTVHEKLQNFMAPEDRGLWEPEAIDRFFGTLLGQKMNLGEEDESDDEEA PLAEEALMLFRS VE01_10480 MKPRLFSTLRALQHENPLGLPRAGTIPRMQRGLPERRRIKDVKK VIAVSSAKGGVGKSTIAVNLALSFARSGLRSGILDTDIFGPSIPTLLNLSGEPRLSSN NQLIPLSNYGLKSMSMGYLVGQDAPVVWRGLMVMKALQQLLHEVDWGGLDVLVLDLPP GTGDTQLTITQQIELDGAIIISTPQDIALKDAIKGIGMFQKTNIPILGMVQNMSLFIC PHCQEGTHIFGGGENSSHGIGIENTCAKHGIEFLGDVPLHASICDDADRGKPTVVSEP DSDRAKAFGRIADRVGKQIGLF VE01_10481 MANAPEIAGLEQVPVDQTVNEKSGKEYADIGHVERVLSGNEDLK DHMDLSRIDAEVAKYTSDVREIISEEESNRLLKLIDRRVLVIMILTYFLQAIDKGTLS FASIMGIEPDLHLVGQDYSWLITGVYIAILIVEYPTNWIITKVPIAKYLSFNIICWGA VLACHAAATNFTTILVCRILLGIFEAACQPSFIILSSMWFKREEQTARVTYWYMMNGA QQVVGGLLAYCFTLIGRDKVIKSWQALFIAYGVISVFWGIFVGWWMPDSPMRAKCFSE DDKRKMIERVRSNQTGMQSRVFRKEQMIEGLKDPQTWCYCLIAICTTLPTSGLGGFAN IIIKSFNFETLQVQLLAMVLGFYIIIVLFASIWLVKKTNQNLLVMAGFVVPSFIGTIV LMTVESKSMATKVGLLFSYYLTLSFWSAQTLALSMISRNIAGQTKKATVVACNFIAWS VGNSIGPQVFLKWDAPRYFIAFATHLGCYTLLMFVIAFLRWWLLRENKKKDQLAAAGI REAADDNMTHAFEDLTDRENPNFRYIF VE01_10482 MPLTAHAVDAADVHKAVKLLINNLVNITDTTGEFLLRLPDGRVI DTKGWNDWEWTHGVGLYGIWKYYELTGEEEYLQIIEAWFKNRFEAGGTTKNINTMAVF LTLAYVYEKTGNPIYLPWLDAWAEWAYHDLPRTEFGGMQHMTYLGPNTQQLWDDTLMM TVLPLAKIGKVLNRPHYVDEATRQFLIHIKYLFDTKTGLWFHGWTFEDGGHNFAEALW ARGNAWITIVIPEYIELLDLPHGDPIRTHLLDTLVAQSVAIKKVQTPGGLWCTLLSEG EDTGSYLEASASAGFAYGLMKARRKRYIGGEYEEVAVKAVKAVIENIDEDGELKNVSF GTGMGDTLQHYKDIPLTSMPYGQAMAIMALGEWLRVYI VE01_10483 MRLSILASALAVATQVHAKGPFLKTIGPEEHIIGNDIWNVTIGK QYGTKLFYKNRDIVGNAVGHYASYNGAASDLSWTTVSIHKQTKDYLDISFEAAEGELH WVIQPELAGAYQYFVNRALPILGEFRTLWRLDNTTFFNGHTSIKDEALPTSADIAAAT KVQDETWVKEDGTYITKYDWQALLRDQEYYGVYGPGFGSWYINAGKDEYNGDHLKQEL MVHRETATGDVVQLNMLHGTHFMASASDAFPVGKVWGPWLWYLNDGSLNDASHKAKKE ISTYPYPWFDNDAYQSRGSVSGKLILSDGRPASNAAVFLGDNHPNETTLDMGRYYYYT AYTDKAGNFQFKNVRTASYAFQAWSNGGAIGDVSTTFIKNDVVVTKKKGTNLGHLTWK TQDRRNIFQIGDFDRTSLGFAYGGAPHQHALVVNCPANLTYTVGTSKTSDWCFGQSAK GNWTVKFNVPKLPNDSSAVLSVSLAGYSSGVSSTIVVNGATTVGNLTSANILTDPCMY RSGTLAGEWHYYEFPVENGALKRGWNTLDFQVTRETLWHGFMWDAVALDYA VE01_10484 MAKSKSVLAIVPLFVCLSNLVAPTRAFLTAKDTGPQLVIANDRL YAAVNKTTGAIQNLSLDGQDLLGAPGYENPTPGGATGNGASGLGPYLDCYCTPSGFYT PGHLAPRYQLINGTDSSKTKYGGIVMSETYPATGQVLEQYWFLREGETGLHTFSRLTY NNKTTPFLRNLQEFRTLFRPNTALWTDLSTNEKQYAPLPSTEAKAKQVTVQDATWYLG NTPDDPYVQQEGDYFTKYTFQDTWRDHDVHGMYADGKYSNDGSTFGAWLVMGVKDTYF GGPLHSDLVVDGIVYNYMVSNHHGDGTPNITDGFDRTFGPSYFHYNKGSPTTTLQELR QDALQYASPDWNADFYDDIAKYVPNYVPTTKRGTWKGHVKLPKGATKPLAVLAQNGVD FQDNDQDTKAYQYWADINPKTGNVEIPRVKEGTYRLTIYADGIFGQYTQDNIVVKARK TQNTHVNWSEESAGKEIWRIGTPDKSAGEYKHGYELNLEKPLQPEQYRNYWAAYDFPT EFPNGVVYKVGESDAGKDLNYIHWSVFGGYGNSVRTEPYYENVNNWTIQFDLTKKELN HKKKATFTVQLAGAKTAAGNTDVYNASEPFANLPYTVAVNGRDLKPWVIPYYHSSSCG VRSAVICYNIDNKFAFDTSLLVEGLNEFTLSLPYNAIDYESAVLAQSTYVQYDAMRLE IE VE01_10485 MAPVLKEGDGPTRRPMTPTPDPSHLGPRSKQLASGNLNYHSTSL RTMVSNTVNKTALHPGGVAPSFEHTELEEELHEKANLDYDRVAIVPNPSVAALYEDAL VYETGSAITSSGALTAYSGKKTGRSPLDKRIVKEPSSENEIWWGPVNKPMTTEVWKIN RERAIDYLNTRNRIYVIDGYAGWDKKYQIKVRVVCARAYHALFMRNMLIRPERSELEH FHPDYTIYNAGSFPANRFTEGMTSATSVAINFADKEMVILGTEYAGEMKKGVFTVLFY EMPVKHNVLTLHSSANEGKDGDVTVFFGLSGTGKTTLSADPNRQLIGDDEHCWSDRGV FNIEGGCYAKCVGLSAEKEPDIYGAIKYGSVLENVVFNQDTRHVDYDDITLTENTRCA YPIEYIQNAKIPCVSSSHPTNIILLTCDARGVLPPISKLNSAQTMFHFISGYTSKMAG TEEGVTEPQATFSSCFAQPFLALHPMRYAKMLASKIEEHKANAWLLNTGWVGAGAATG GKRCPLKYTRAILDAIHSGELQNVEYEVYDTFNLSVPKTCPNVPSELLNPRNAWTAGD ESFKAEVNKLGVLFNENFKKYSDEATEDVIAAGPKTT VE01_10486 MSLQVPHREKQNGAGGHNTKAVILVGGPSRGTRFRPLSLDVPKP LFDIAGHPIIWHCLTAIAKVPDIQEVCMIGYYDETVFRDFIKDASHEFPELTIKYLRE YEALGTAGGLYHFRDAILKGKPERFFVLNADVCCSFPLNDMLKLFEERDAEAVILGTR VSEDAASNFGCIVSDPHSKRVLHYVEKPESHISNLINCGVYLFSTETIFPSIRSAIKR RSDRPRLYTYPSSENLSSSYFNDDDEAKNEVLRLEQDILADLADSRQFFVHETKDFWR QIKTAGSAVPANALYLQKAWQTNPAELAKPSANILPPVFIHPTAQVDPTAKLGPNVSI GPRAVIGAGVRIKESIVLENCEVKHDACVLYSILGWNSRIGAWARVEGTPTPVNSHTT SIIKNGVKVQSITILGKECGVGEEVRVQNCVCLPYKELKRDVANEVIM VE01_10487 MPPARSNYQKAMVDDVNMDDFNSSFEAHGLPVPSSDTFRFTGNG SITPAASEFSQTLPVLPPMQRSSAPSQESGLHIVGRRVQVLVDAINDIRGMGVDYLVD LPQLVLVGDQSAGKSSLMGALTEIHLPQDSGCCTRCPTHIKTGHAETWSCKISLHLSY NYAPRDPNRPIQANEVTKNNPFPPWKEMPMVTKEFVVITDKSELEDALRWAQIAILNP SKSHKYYMPSAQIKMSPGRNMTTEAKFSPNVVSVEMSGPGLPPLSFFDLPGIFQNPSQ KEDDYLVKVVENLAKKYIKHQQALVIHALPMSADPTTSRAGKVIRDLKAENRTLGVLT KADCLQVGHSDSQFKELLDGHTHKVEHGYYVTKQMQTSSDSGYPSRDGGYHARSRKSE EDFFDKQTPWSKGWNGYRNRCGTENLQRALSQKFAAQIIASIPQIEETVRARSEEIEE ELARLPELPTHNISQVVLQELARFSQQMQALVDGTDFTLHSAWNTLNNQLYEAFHEHL QPTFKVGEPRGLPPPPNQMEIDGLEIISIDGDSTVLDRAGFDSPPNVRAAAKEEQQIR SPKRFANGAEKYPSIPANPKNPFLAYRQNKLIASIGKIRETLAKYSKPGSLGHVDFKV KDELCMRAVRVWELPMELYIDRVLEMLKEHAQKILGQVLSKWSQTELYKQAFQELEGF VNNFEGSMRTTCQDILNVEFYRFFTINRRSFDHYVEVESKKIKDVRRKQRAMALAEQM MVGVNIRGDQNQRMQMLQHKLKGIKDEDLGEDPFKIEIEVAAFVRGYYLTAADRVCDQ ICLTIHSDLFKQVHENIFLYLEGKLGLYEGNTEERCRELMEEDPHSARRRRSLQQEKA KLQELTARLVKLAEDDRSASVFEERMETPEATMSM VE01_10488 METITIVNKSGKIVGTSKHLLNIFKEAKYAYQEKKAEIRAEIHG KNEDKLARRLENVRLEESRSVASSRRSHRSHKSRRPKHEGESSRRPATALTERNLAAA SEASGSVAGSRYGGSRPATSHRSPTVYQAPYQAPTVYQAPYTEDYNASRPTLVRHHTD FPPRYEPAPMGYNYHDYPPPPPLQRSMTSPNPNHDDGIDMNMAYGELPPDLAMQVYPR QQEEQKQELNGLMSKLDHLMVEAHCVQHTATTIIASLQKNPEAMAAVALTLAEISNIL TKMGPGFLAAIKSSSPAAFALLCSPQFLIAGGVAVGVTIVMFGGYKIIKKIQKEISDK KEVDESYRVDEAMVFNADNYSIESWRQGIAEVGGESVSTSVDGEYITPKAEILRKQRI RDRAMEERSGAPRSPSVGASSNASTVRRKPVPVYMDSSRTVVTESARTERSSRTSKTT KSRRTSKSETGRSESTVKPKKEKEGKEKKPKKQSAISVLFKGSSSIKKDKSVV VE01_10489 MSFDPSQCVGDCPFCTISTTFAATPPSAPIAFNADLVSPAAFVV LSTPLVMAFLDIMPLSPGHLLVTTRAHHEKVSDVTEEEARELGYWLPVLSRTLAKATG VWDWNIVQNNGAAAAQVVPHVHFHIIPRPALTPELRNKSFTMFGRGQRTDLDEEEAAE LVEKLRQELARETGKPKI VE01_10490 MPKMTYTSPSSSPHPIRPRLIIHGGAGNILPSNLPPSDHHAFTT ALLHILARTHAYQTTTPLPTALDAATHAVTLLENCPLFNSGHGAVFTRDGTNELEASV MVSRGLKKRGVGVMGLSRVRNPVQLARQMLLRGETDLDSGNGEHRGPMVADEEGVLMK ASGAQGHSQLFGPAAERLAKEWGVDLVETSYFFTQKRWDEHVAGLDREKRGGCATWDA ESFVSQGTCGAVALDAEGVVCAATSTGGMTNKLAGRVGDTPTLGAGFWAEEWEGVVRG GGGGGAVVELEGALRGVLADCFPGWGGYGYLPLGSEGVVERRGDTVVRAMGMSGTGNG DSFLRVNAVRTVSAIAKYRGDGSTTSLGEALREVTGPGGELQKSAGKRWKKTGEGEGG MIGIECAVVKGPDGEVRGTKAYVAAEYNCGGMFRATVNEDGKAVARVWNEGQYGGLEG YENEGKEHDPRDLKGEKA VE01_10491 MDHTRDPCPWVALNDFGGAFSMGAIGGAVWHGVKGFRNSPYGER RIGALTAIKARAPVLGGNFGVWGGLFSTFDCAVKGIRKKEDPYNAIIAGFFTGGALAV RGGMKAARNSAIGCACLLAVIEGVGIGFQRMMAENTRLDLPPPPPPPSDSKAMPALA VE01_10492 MPTQATTPQEYRRFERWNACARTSSFRDSVIASLMPLQYRSVEG LVDHGPSLDSLRRYWSSSNTSSSDQDSEEALNTPSSEDGDRHITADRSTLAQSADGDD SSPELFHRVQHDSSILALTVSDKFIYAGTQDGDILAWSLASYELVARIKAHKRAVLCL TLSQDGQLLFSGAGDAIVNAWCPYSLKRKYHIYSTYDVGDIFSVAYSTQFQTIYLGAQ NTSIQWCSLKDSDSRQTPNEEGHPDKRNHRFFDSKQAGAKAPTRSASPGRSAQLATGG DILEIDQAHMKHYAHFGYVYCMLMVRGASRLIEADEDMLISGGGDGSIKLWKLSDDPN DVIEEIACLGEDDAESVLSLALDGSFLYSGKLRGVIELWDLDTKQKLRVIKAHNGDVM ALQMGWGFLFSAGATGFSRKYSTVQYGQYNRSSENFSQKYQCMNRWKSHEGKVMASAT TNYNGQRFYITGASDSSVSIWNFSETHPRANSVGEFQEDVLIKSLQEFVSYKTISSRP DHAEDCRRGATFLRKLFKKYGAETEMLNTDNHHNPVVFARFSGKQATSAKRKKILFYG HYDVVGADDKKGNWIIDPFQMKGINGYLYGRGVSDNKGPIMAALYAVVDCKNEGLLDS DVVFLIEGEEESGSRGFMEAVKRNKELIGDIDYILLANSYWLDDDVPCLTYGLRGVLH ATISVDSNHPDVHSGVDGSFMMDEPLFDLTSILSELKGRHNHIKIPGFYDNILPVTEA EEARYDDITDTLIRRNPELGPASALKASLMARWRQPNLTVHRYKVSGPEGSLVSCHAS AAISLRLVPNQEVEDVIESLKTYLNAAFQELDTHNHLTITIDNQAEPWLGNPDNAIFQ TLEKAIMEVWGPIDPPTRLAPRKDAPKPQNALPASPSLKPTPATANLLTNGSDHTSLA NMREPALPVDGDEAPKGRKPLYIREGGSIPSIRFLEKEFGAPAAHLPCGQASDSAHLD NERLRLTNLFKSREIFRKVFVELPKK VE01_10493 MKLSNFLIPLVAIAPTVLASRRISRGPDVSLQLRINSPATDYPA YDDSRIQHFHDKVLLARMKEYFISFVAGNFTGMYDLQADDFHITDIPLAIVRADRNQW LGANSGFSSLMTDVKVQAISIDGGSGPCEFGIMENVVWFTLAVDPPEEAKPGLPPGIK KGDTAGMIMLSTIWWNEEGKVKRELEYGKLLWPGFDIDAFKTW VE01_10494 MSFGFSVGDFITALELVATVIDALRESGGASSEYREIIRQLYSL ETALISVKRLEVDPAQNAELVSLQQAAAQCQLTIDSFWKKVQKYQPHLRAGGSSSRLK DGWMKIQWAMCKKEDVARFKADLVGHTESIQLLLMAMQMASATLHEKRHEQQAQSIVG RVQDSYFGCMQRLSSIAESIQNALEEGKKLLVMTTKVMQTNIKVFQVVLDIQRIITTI PGQIDRQQPIYFIDALGRSSPFHLEFVRSPEASHRA VE01_10495 MPVNIPATDCGEELSRLMESMNHAETTARNNDLRGEYLTSNPQQ RDQALEGHQLPSPSPSPSPRSTSPSLISPATPETEFDGGFGKERSNNIVSRLPTPALH NVWKDDSYLEAPIPPVNDAAALRSPKLPKAQGETVKKQRDASTANDTANEGVRKLSSS EIENLISQPESLPLPASMQPDSRKRGTTLQNPLTFEDFESKQIRSPSARTSGFSSGSQ RPGTSSRVISSPQVGRRENWQERSSRNMSPVRRQTPSMRGSDGFDPNTSVKLSASNGR PRVTPLPSESALPQTSEEIPLPPLLSTYLELELASSRPSPLYIHRSRGQEYPYESAKV KFERLLNFLLVPLCLEPALLFGTLACLDAWLYTFTILPLRFIKAIGILVSWWAKSLAA EARFVALFIYHGSPRLWHRRRGYSSSADIPHAPPIQGGQDGVQSQILSPRPRENGRFS DTSGASGLETEGRRSGEKRTKLEWGRRHRRTRSVPSTLSPYHKADILQGLVIIFSCII LMQLDASRMYHSIRGQAAMKLYVIYNVLEVGDRLLAAVGQDILECLVCDETLERGLDG RSKLLQPLGMFVLTLVYNVIHATALFYQVITLNVAVNSYSNSLFTLLLSNQFVEIKGT VFKRVEKQNLFQLFCADVVERFQLWLMLIIIGLRNIVEVGGLSILSNPQSANGAADTL RNATIPLRSSIIPNSFKIIPSWSGEVLSPFLLVLGSEVLVDWIKHCYVGKFNNVKPVI YKKFLDILSKDYYTNAFVNQNLTKRLGLPVIPLSCLFIRASVQTYHMFVATHFPPPLA STATSISLESEATASPATTAAMEHLDILIRKALGRSTYVTGASVERTWQFFDSDDIIS FIAMAAFFLGAFLALLSCKLVLGMLLLRYSRRRYETMNIRENMGLDTKGKRLGGLGMV ELGEEKRNIIYEDDPEGAKQLKDREKKWAEQAKQQTDFDKVTRYEMVAKRIW VE01_10496 MEPATEEDAESMGGFEEALLDEREYIVISKGYITCDDDMEIVDA DGMEAVSDEGMGDATDDYMETVADDGMEDVADDGMETVTDDGIRERFQLFFSIHPDLK PDELVNILKGSGWNERVTLRKVYELRNGYDPCPSKNRLPTRTLDSDPGLAPSASSATI GHNGSTENLNGEPPGVRSPIVIDDDSSDGEHYRGEGKSNILGYENSSENSSLEDDDEP FVRVRTPRVSRVRAPHQRPSGDDKATEHTTVTRWIGRLVGGLYVKTLLVCNGDDALNQ KGGLMESYVQKGKLGGLIDMTVNRDKLREQGWTGRDYTDKVELIPPVKWVDKKLSKFY GAAFIDKDRFLPGDCITVRAGIDDRDHLTANGKLKQNIKETAARVWFARIVNIFANKS GDPMAHVRWFSHGGDTFLDETAGPKELFLLDRCDDIDMSTIAGKVNVERCTQIGPSEE SDHFKKPNCYFYRFFYDDDETTPVRFEDASFHEENIKLAGTEHSQLCFCCARREEIQH ELSTRVTGTIDEDRSATSFSHDGIEYKLNDCVYMLPRASDEPHTIGQIIHIKCTGGFN WAVKDPSKLRDSVQGIHITIQILRRYDDFNSDYRTEFKSGEKHAIRDSRRLYLTNRRK TIDVDDKLLGKCQVVHPSRVNNLAKYKDLEDTFYVTDREPDCFDGTTTRRAFDIEDLQ PLNAEDFHDSVDGNVMVGTEEKRQEAFSKAGLKLRAMDVFAGCGGLSSGLHEGGAVET LYGIEWDIDASRTLKRNFPRMKVYNENANTLLQRAIQEERGTATGVMKDLQGKPMPPM PKRGDIDFLYGGPPCQDFSGCNRCPKANSIKNSLLTTFLSYVDHYGPKYFLLENVRGL LQHRLGSTQKKSGPGVQGGIQQGSVKFILRALTSLGYSAQFHMLQAAEHGAPQSRRRV FFWGALLGRKLPLYPQPTHVCKGLSAPTNTFTMGRTAPHNPVTVGDAIFDLPAFDWRI KVPGEDRQARRQRELGIPTIEVPSDGKAPIGDNRAVYAYGPIAEFQREVRRYVKGDIV KNHVTRQWGSQTMLRLARIPMRPQANHNDLPLEHDMACLRNKRASKSNFYPNRYYRLD FKEQFQTCLTNVDPGGENGKILHPTQRRILTVREFARAQGFLDTFTWDPNTQPPSAMY KQIGNAVSLQHGRALGKELFNALYAQWESEKAANGEETFPAVDPGDSDEFEGFDDDVE MKDTKPDDGWASKEPIVISDSE VE01_10497 MADQQQGNVQASAFPSPPPFYQHFTEENLARVAILRAGRESDSS QKDDSPKEPELRGDLQYLQPPEPPAEGTYRSFGDLYNLNDILPSLTEQGIEQLYSPPA TPSGSGAGSDPQSHSDRTLILKRIAKSLLLNFLELMGIMSVNPEQYAEKIQDLRTLFI NFHHLLNEYRPHQARESLILMMEAQLARSKAETNGIENMKTKVEGILAGLGQVNIAPE EAEEYKDIKKDLEEYDGAKDVWDELHREYGLVEPGKS VE01_10498 MAQSKGKGRATNGLTSKPGVIGAVARFWQKTYAPDYVGLAVLIA AYIVLEFNTTPFHRLFELGNIDLAYPHAEHERVPVSMMFLYGGGVPLIVMALWLAISR AGFHKSHVTILSFFIGMLLTAVITDLIKNAVGRPRPDLISRCKAKAGTPLHTLVSWEV CTETDHHRLHDGWRSFPSGHSSFSFSGLGFLALFLSGQMHVFRREGDLARGLLALAPL VLAGWVAISRCEDYRHDVYDVTIGSALGMIVAHWSYRRFYPRLRNVNCDAPYASRTSA ASGGGFAKVGTDEEAAVMHEGTGPSAFNLGEIDSGDSD VE01_10499 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILATDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMVSDANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDPQRQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCNLKEACVMAVKVLSKTMDATKLSSEKIEFATVGKT KEGKIYHHLWSAEEIETLLKENDLAKEDTSDGDRVVT VE01_10500 MLKSTHTPTAQGQSPLPPGWTEHKAPTGHTYYYNTLTKKSTYQR PVETPPAPQVPAVAPNNPALGFLQYQSVGNLGPGSAFGPPSGHHDSRGGPDSHRGGRG GHGSQRDSRPKPQPVDKPKAKRAIPGCEPWFLIDTKFGRRFAYNSEKNQSFWRIPEKL KEGILALDQQRIKEKAAAWADERSAEAAQAPGAQEMPGAQSRPKPEAPTEIHPEADDS SEYEEVEVTDDEDEDNISKRQRTEEPAPQGPVEFTEDDIAFQLAAMGQDYGLEPGEYD DGNMEEWEEGAEGMELTEEDATALFKDLLNDSGVSPYAPWDRIVEEGTLVDDARYTAV TTMRRRKEVWDEWSTEKIQQLRERRAREEKKDPRIPYLAFLQKNATPKLYWPEFRRKF KKEQEMRDTNLSDKDREKSYREHINRIKLPQATLKSDFLNLLKDQPPALLNNSTLPSH LPPTVLADIKYISMDPSIRDPLIETFIATLPPAPEAPEAEEDEEARNERKERKRRQKA LEDREKKVAEEKRRQQKTLAFGKGRMREEEEEIARAMNVTRKGLKGHLMEMDVDEVDA PAPTPAP VE01_10501 MADVRTLLRNERNARRISHRHAKYSETGKLQCVVCHVELKNELL WNDHLRSENHLTLLKRNGRESGSPAGSPEPEPAQTKINNEEKPKAATSAEKEPAAKQT KKRKASDDDEEEEQADTSRKRSKNVPLPGFLPQGFFDDATKAESEAAPSNNSNGQEFR LPSRPATPLKGPDAVPEAAKLPTVDEDEWAAFEADIAAAQVAVETTDDAVISAAPMTT EELAAKSREEEMSARKEKAEADLEGDKEDAVRKLEDEFEQMNELEERVRRLKEKREAL RVKEPTTVASEPAEGGKTEASNATPEEEDEDDDDEDDDDWAGFRLRG VE01_10502 MSGKHRSQAPRPSPCATVYARGVCGIQSFKANVFPPTDEPRRSV RATKGQHTKSLDQLDQPAEPSKKRGGKKASAKKVSSQEASAEEEEEDVIRCVCGAEEQ DDSAGEDWIACSDCEAWQHNVCMGITTDKDVLENMEYWCERCKPENHKELLDSMARGE KLWEIRLKAHDQAVLEAQKNKRGRKGKGKRVSEVRAETPQNGKSKTPDAQPEAKKEKK EAASRAGSTKRKTRDESHDEALKVPKIRKVSSTNASPAPAEENVPKDLAQTIAQLDSS RQGAAKLLNKSLQYSLKISLKNGSFQGSEEDVDPRALSFALQIERAIHDVSISKSAYS TQAKKVVANLKTNQELCERLLSRSLTPSVLAVMNEDEMASKELQRQTAEMKARADKQA IMITEEDGPRIRRTHKGDEVIEDEHYEGATEEMPASRRRSMLDPNHGMGARSRENSPG EDEHPELPMDIDDYHPQDSTPSGTQRPQSISVDSQETKSAQRKASAADNFDINSVYSK VQSPTLSQHSRKPSMAAPPPQANLVQDPDIDRLLDDGTEADSPPYEPPEHAEDPSVVW RGSMVMTSICDFSATARHIAGADLSTVGTPWSNLLDPRLSVAGRIDPGKATEYLCSLR YSPKTDVTVISLSASGGERAANEFMQLYEYFRSKNRYGVVGNKTLANVRDTYLIPVPP GKDNGPEFLSNLDNNMLPTERTEPMLIIAIVVREPENQSTLAQSYDGSGSPSMPSNHS HRQLSLGGQGPSMSPIVPQPPQGMFATQSPAHAAPTAGVTSTQPLNSEQQRQAQQLRG EAVAREILGEFITAPTATFLMAQAFRMEPTEWRVIRQVFEEEKDARVDLKILSGLLEK KGQAKAAEDAAKVSGAGAIGAP VE01_10530 MAPTVLEYLHAYILPGLLMVKSAVYFLQAAITSPTLPISDFKAY RDLSFASFWIAVGPVFADVVPPMLGEILATSHGVVLDIGPGSGDQVFRFSSKDNITMI YGVEPGVNLHHALRENAKKAGLGEKYKVLSCGAEPDSLIPILKKEGLFSKDGSIGGGM FDEIVCIRVLCSVPKPNETVEVLYRCLKPGGRFVICEHVVNESNKEGNKAGRFFHKEG SKVGHLLQFFYTALGWEFFVGCHLLRDTTATLVNAAKSDHGWAEVNLELFDEWSVLPH VVGYCVKKL VE01_10531 MNAPNSGNETVAIVGSGLTGLLAAQGLKLNGITAVVFEREESLD ARSREWTILVHWAMPIFAKLVPKHILANLSEALCNPHLDFNDEVESLPCYNGITGDLL FSSSTPGARRVNRRQLRRLLAQGIDIRWNKSVRELLQTGSGVRLEFEDGGTFDADHIL GADGSSSKVREMLLGVEQAQPRQSGFLFATGVTKFGDADKTNAVVQAHPVAALMMGAS TVGAVGVMSVDDPNDTSTWTTFWVKIWHGDPVDLKGQEALNYIKENTAPLRGIFQSAI DWTPDGSYVSINEMKYWVPTPWNNYGGRVTLAGDAAHPMLVYRGQGFQHSITDVDNYV NALIQVRSSSSDATSREMIIGAYDSEMVERGATAVQQSLIEAENSLDLEKVKKMLMMR QGHSKSA VE01_10532 MTSLAGDLASCHDDDGACDEAKSATPLSPVTTLATEAPAIEAAS ESGGDDEFDAISELFDDTTSVTSSIYAHTYERGRRYQSFKNGRYPIPNDDFEQNREDM KHAMLMELTDGKLFYAPIGENPQKILDIGTGTVGDLYPSAHILGIDLTPIQPLWVPPN VEFVVDDCEKDWLTGDVDLAHFRFMAMILRDIPGVLGHAYKSLRPGGWIELQELHGHP CCDDGTMGRDDQFKQLYDLAGQAYQKFGMSTALPAQLKPLLLDAGFQNIHCKIMKVPI GTWAKDKTMRLIGLYQKTVVGDFIPTLAGRPFEALGMSQVEAQVTLAFARKALDDANV HRYFNYYFWYAQKPVLGRTTV VE01_10533 MTQLGFSALLSKLHWRKLILTVAMLVVENFVFFDETLWEDLPTK QDQQSLTDSKISIVEDWEPDPLMKLGKAGIQELGVPSARTSLESKSTVVAATPNLSGD KSRI VE01_10534 MAHSRAPSRAGILEEYDMEQLRDNGDGRGPSRKSSPSRALLQIL ETEDLDAEMKIFPPRPHSSVRGPLILIKTFVVAFITTLIPSFLRRSPDGAASKKLHPT AYLDGLRGVAAFIVFIHHYIIDWYPMLCRGYASSEPAHSRYFLQLPLIRVVYSGRGMV SVFFVISGYVLSYKAIRLMRARQYSVLLDSLASSIFRRGMRLFIPITGSTFISMLFCR WGWYSQDPMGRNTIPARRGDFWFQFKDWCHHVVRVSNPLLDVNGRDLYAPPYDGHLWT IPIEYRGSIIVFLALLCVAKLWPWLRLSVLAGMVLYSIWDSHWEVFLFLSGILFCDIH FQAASLSLRDHNLHLDKSHHKFAKEALLIITSLFALHLVCFPDEHANTTPGYRTLIGL TPRSSSDQGLTQRFWMAIGAFLLVGAINFSTLLQRPFTTPLAQYLGRISFALYIVHGP VLYTLGMKMLLPAVRDWNHGGGWVNYALGALGAWVVNLVVCVVAADVFWREVDARSVG FAGWVAQKCWDREETRG VE01_10535 MPQHEYTPQKYVPIQTNGFGEGKSQFASDVQLESTDGDASFQFT FQAVRPGLFRTTFSSKTHPLPPHPSCPRPKTDLAGLKPISESTASQKTTIVGDIIATI EWSGPPVVSLQFSGQSAAIHRDLNFRSYVVDSTGIAHYTQYKRDTLHVGLGEKAAPMN LSNRQFILSATDCFGYDVYRTDPLYKHIPLLINVTPEGCVGIFSTSHSRGTYSVGAEI DGLWGHFKVYRQDYGGLEEYLMVGRSIQDVIRIYADLVGYPMLVPRWAFGYIAGGMKY SMVDEPRASEVLMDFAAKLKRHDIPCSAFQMSSGYTVAETEPKTRNVFTWNRHRFPDP EGFVSKYHDEGIRIIANVKPYVLSNHPEYAKLLSSGAFFTDPRTKKSGIARLWSAGGG ESGEGGHIDFTSKAGFQWWYEGVKALRKCGIEGIWNDNNEYTIPDDGWLCALDGDEQE TPNSGKSIGLWGRSLHTELMGKSSHHALVELEPEVRPFVLTRSATAGTMRYAASSWGG DNVTSWDGMKGANSLSLNAGISLLQCYGHDIGGFEGPQPSPELLLRWVQLGIYSPRFA INCFKTDDNDNTIGGVIEPWMYPEITPLIRDTIKRRYEIIPYLYSLMLESHMSASPPQ RWIGHGYESDPEVWTPKNMSGETQYWLGDTILVGGVYEPGKTTTRMYLPSKGSTDDGY INLNAPYQYFKSGQWAEVKSEWRESIPLLARVGGGIVVGKNIQTRSTGDHRFPSHKVV EDDYRAVEIFPFAGGSSSTYSYTWYEDDGISSRPEISTFTLRYQSTPDNIVVEYDKSG NFVPLWKDLDIILPMGDQRSVILSGSGAKCAKAMESRDRQVFTIPAA VE01_10536 MDSKQEGESAERKPTIEAIEDPSKFVFQVAHVAGKDRAAELLGN TAERIAVSEADNRRILRRIDFTLLPIMLGVYFLQQLDKSTLSYASVFGLITDAHLVGQ DYSWLGSIVYIAQLVAQPIIAYFLVKLPTGKFAASMVLSWGIVLSCMAVTKNFKGLLA TRFFLGCFEASVAPTFVAITQMWWRRSEQTYRNAAWYSMNGITNMVGSLLAYGLGHIN SGELHSYQIIFLACGLLTVVFSILVFLFMADSPVDAKFLNDHDKVLAVERLRDNQMGV VSTEWKWDHVVESLLDIKTWCWFALIFSISIPSGGITTFGPLIVNSFGFNKFSTILLN IPFGAVQIVATMGGAAFATWTKRKGPALALLCIPPIAGCVMLLVLEHTKSNRGPLLVG YYLISFYPGISPLIFSWSSQNTAGETKKKTTTAVLFIGASAGNIIGPHLYTTAEAPRY KRGLVSSLVLFIILIILVVLTTLHLIYLNNRHAACRTALGKSAVIVDRSMMNAQERAI SDEAEEGRDPSGSDKAFDDITDLKNEDFIFVY VE01_10537 MSGITTPYFPGKLHVIFPARPWWKCLAVNTKADFDVSYIYFLAP CKPKSGVLKMKTSQSPAPKKRRIPRACSACRISKLKCDGGKPCTRCKTANFYCDFIKP VPDPVTEKFAEIETQMEMLRNRIPDTTTAVALLELQHQHAQNGNGYGTGSPRYSQQYQ GQQRESASPVSNLSISMSGNNQHSQHSRAYLESPSTSYGNGAGFAKRKRGDIELNVEV GLDIVSKGLISLEDAGVYFGTFFQGCDKYVPVFDVTYDTFESVRERSSMLFDTICAVG CRAECGPGSDKCQSLSNMTKSRICDVLLGTVPASVETVQALLINAGYSEKGWLLTSMA VRMALDLDLPGSYAKLSGLSLGNEGEGGTEKVEEERLMRESRVWFGSFIMENILSLDC GKKPGIRAASRDGMRRCRVLLAHPSRTALDFRLLSQVELNSIRALAHERLSPAAGSSM SDEEMSEIVQETRIDLSVWLSDWTNLIDSNVYKEEDRASLQINLRIQRDWAEMTMLCT GLQGMGVENVAIMSDPQRNLVYLAKASAQRHLCTIISNPVLYLATFRYGMDFVWAKCA FSVLLLLKLARLIPSSTKMSSLIGDAKTLLGELSKVGGSSNIYFRILCLSVEKCEKAL NGDLNENIGRGIDGADAEMDFQSYVPKEFVLEWNFPGLTFSWIPFDFQDLFSDFGTGN VE01_10538 MEKKEDLGSAVVHDEDIFKDTEKPSAVQRDYSGAIISIDPIEKR LVKKLDLRIMPTLWLMYWLNYLDRNAIALAKLDHIEKDLNITPTQYSTCVSILFVGYI LGGIPSNMLITRIRPSFYMGSAMALWAIVSTLTSISQNFTGLLLTRFFLGVTEAPFYP GALYLLSIFYTRKEVATRIAILYTGNIFATAFAGLIAIVLFKMDGIAGLEGWRWLFII QGILTFVVAVVGTHFLPDDPTVTRWLTPEERILAHERIKRDTVDDQGKISTIKGLKEA LSDYRVWIFVFMQHMHLASNGFKNFFPSVVQGLGFDRTITLVLTCPPYLIAGAISVYW SWSSGKFNERTWHISIAKAVAILGFILGFAVNNTAARYVSMVIFAIGTYAVNSIILGW VGATCGQTKEKRAIAISIIVSTSNASFIWTPYLWTNSYGGRYTLALATSAAFSAATAI AAWAMRFNLMRENKKIRQDEDEATLYYAY VE01_10539 MSGRMLLPDIAHERLVTQMSECSWNKTMLNQGDVAPFQIDGNFP PPGNAKLEAAYTGELNKVTLICLLPSIPAAWVASGGGQLKTATITSELENDVYVTIGQ TPIGSNEDQSIKVAGLGTGAIVNLKGKKGTKFTVTSA VE01_10540 MANIAVDAFDPELAGLDELLAQLGYSLLKTMGTQGAKVAKEMYG CSSTVTHHNTDLWGDSAPQDNYSPATFWPMGATWMVTHMIEHYRFTGDKELLKDMFPT LKANVEFALNFLKEYNSYMVTNSRVSAENTYVIPNSNGKTASISLGTTIDNQLLWTSS DLFLRRRLRSVFETINLPSAQPR VE01_10541 MRYNLKSAGATSATALLALIGSAAAQHELADSEVPFIGGGLYNS SDPSLAGYKVQYKPPFKASNYGGYYSWAVNNNTVGINNNTAQTDPLGIPNTPADNTVY PFFVINEGTPKKVFPAKANDDDADWQHIHGDGDRKFKKILHVIFENEVYGWTMADKWW KLLAKRGRLLTNSYGITHPSLPNYLALLAGDFFGIAGEDLYNVNTTTVYDLLDAEKIN YATYVEGYTPLETKRGPNDCNNELFLGPLDSTNPDWSSPVYRRLDVPALLFSTYTENY ERCSKVYNATAKFDEDVFGHSMPAYSYYVPDMLHNGHDPESNSDYAHQPTTAGMWFNA FLDMYLEELTEQGTLIVATFDEATWQDDNDYIPNNNNQIATILFGHGIEPNTKDDSYI THYGLLRGAISNFGLGSLGRNDTNATNGNLAMVLGSKE VE01_10542 MVRILLPIFAAIGVATAVVQLTTTGCSDSSGLQTCLNAVTALTS KCLNQADADASQLETVACGCTNYISSYNCYASHCWNRVNECEYQSYIIEYLINCPTAK TPVPYFPTPKGAPAACSCNVGEVYEAITGSISQGTTCVNNQNGGDAFTNVQRIQGCEC CEVSAALSSIYGICPTTDPTLIGLNQVQSLETNLNTPINSCAPYIAKYPCESDLGFPA VAGGTFYDAANLPASGTATLSNGPGTVTAPASGSVFTYTNPADSQVYVISAAGVKAGG GDSGSGNAAATTTGSSGSGSSGGSGGSSGGTATGGAASPGKTGVAGQVSARWGLLVVA VLVSCLMVC VE01_10543 MRFSLARESWFLVACIGAIVNLNAAAASVLEVDLVFPRNETYAP TTWFPVVFAFQNAERARLLNPHISYTIRNWDNMLGNDGASFSHDLRLANWSSHDPYFV CNYFHDVFAKEGRWWLTWHVTWESCNEVAFSAGLSRDMIRNSSSWSVLFTTDNSAPEI DLVAVTNNKTCPAELGVAINVTDRTMAVPFGVDWSGGDTCAVVASSTPTPTANPSQVK VDSVVVASMSASLAATLCRGIDAPANCTNDDESVAQQLVVPGVSVVGISCVLAAFGAF IFF VE01_10544 MHIIIIGAGTGGLALGHALQKANANISFTIYERDRTRKDGLYGY RVGISPDGSRSLAACLPPDLFEVFKCTTAITPDYFNMLTEQYTELLSISGFSESSPHG AGAERSVSRMTLRQLLLTGLEDAVKFDKAFTHYTLNADGTVTANFKDGTQAVGDALVG ADGTNSLVRKQYLPHAILKETGLYGATAKLPLTEETRKLLTPKVLRGVNMFTAPHGDS CILHVMEFPWDHNGNLKNNVGANDQELIKEWPGLNFDNTRDYIMLGFGSHGRRLPNNY MSLDGPSLHALLLDRTSSWHPDLRKLFELSEPNTCFPLNIRTTERLQPWESSAVTLIG DAIHTMTPGLGVGANTALLDAQILTAALVSSAEKDLSVVTAVAKYEQQMHTYAWDRVE KSLERFNADDAIYKPGLRGGLALVLMRLGMRAVNSLPPIKRKMAAAMTAERGERD VE01_10545 MEPVAQTKESLPQSPPKAEPSSPPGPTFPYSNRSIRSTNPSRDH LAHYSTLSTNWDAQTIKALSDISRMQSPQGSCINLIPRRHHTDGSPSDLDSGATTPVF NGKSHIESLNNKIHEKIHPEPPYHVFTHKKKKMLMYLAATAGMFSSLSANIYFPALGQ ISRDINVGLPLLSLTITVYMVAQAFAPSFWGPLSDTQGRRITFIGTFGVYIIANLGLA LSTGFVPLMVLRAMQAAGSAATISIGQGLIGDIATPKERGSFTGTNQGIRMFGQAIGP VFGGIIAQYLGYHAIFWVLFGGGIFALTILVVFLPETLRSIASNGTVCLKGIHRPIYY KFTQSEEHLVEREIPTKKRLTSSMAFGPFMLLLEKDVFSVIFFGSIVYAVWSMVTSST TALFQDRFNLSDLQVGLVFLPNGIASMLGSYLTGELSKHDWAVMEAQYRVAKDIPDSH PLNKKELVDFPFAQARMRSIWWMVLIFIISTALYGFSLNFNVIAVPLILQFLISYTAN SIFALNSTLVVDLFPQASASATAVNNLVRCLMGAGGVAVVQLMVDSIASGPTFAIWAA VAAVLTPLLVIQWRNGQRWQIERKDRIAAREARRGDPEKTRT VE01_10546 MKISIIIAGLLTLAAAAPAPAPEINFVVDVAALEEAVASSTLET VDFISIIEKKDTGAMDMSKRASCSALQFCSGGKCRQIQCTPIGTTTTCITFTFGNC VE01_10547 MPVIQECLHSPTKTCGFNCWYFWNVGKSPALRRQEIAEARYGRV LAWQGVTNPTAASSVEEHPDSDDAEGSETLPDAFESTGERSSQNVALELADQLGVAVT EEQSLHSPTEAESADGQKGEFHEPEPEVSSHSMQSASALDYGTEKLEGLGGGAEVDGV EGHALGSFVKEGCIETEELRAKVGTPNIETERGSPSEEAVGEGNEEWEF VE01_10603 MSLRLWVGSPSKASPGDRLITLNKLPDALKEHVGQFKDNDPRTA LQIVLTTYQTFHIRTIFFENAKGKGRADDGVEGRDEEDVRNDGEKSTLSTEEPRTIST KAAWDLARLGAQRMVLITATPTINKSIDLYGMLSLIWNGMRNDLVDQLPFSMDDPKEV GVGDYCVATEAMRSKDSTSFNLEELVGLVKFLNPAAFKHIVASEQQLGTRTAS VE01_10604 MKLSSALAFLLPVVALANPLPASDAIADGENAGLVSRSKQVCKI VNASVVNCRYDPWVPNNALDRIRTTFKKGTGHDFTCYTEGECINGNCTWDWAVNWGCY VPGAYTDANCSKAKLGHC VE01_10605 MSLPSVWQWIIITLVGYLIYRHASGRSGRHNLPPGPRPLPIVGN ARDFPPADVPEFQHWLKHKDLYGPISSVTVLGMTLVVIHDKKVAHDLLEQNSIKTSGR PTMVFANQLCGYETVMVCKEYTSTFRHHRKLLHQELGTKTSAAQFRDVQEIEVSRQLV RALNEPGKFLEHFKTTAAATILKMTYGYTIEPHKPDVLVDLSDKMMTEFSLAAVPMAW LVDLIPILRHIPENFPGVKFKRIARKWRRSIRATAYTPYRFAQRQIAANGHQQSYVSK LIRKFGPEGETGTLNSDDEEAIVWTAASLYGAAADTTVITLSVFTLAMVMFPHVQHKA QEEIDRVVGTDRLPNFGDRDRLPYVSAIVKETLRWWPIAPMGFPHAVDVDIEYNDLHI PKGALLLPAVWWFLHDPDVYSDPASFDPERFLSPRNEPTPDTEGFGYGRRICPGRFFA DSSLYINMVQTLATFKVAKSIGKDGNETAIDVKPKPGILSYPTEFQFQAVPRSQKHVD LIRRVGLEHPFEASDAGLLGSETD VE01_10606 MSSCIKKTCLLAFLLLNLSIPAVALPAISLRQVAPGTQFITGAC TTDADCASACCGFRSGKCAGPVVAQERDGGCGFGDAQPNDTAAKALTGGAPVNNGNAA SGQAAAAAGTSAAPAAGTQFITGACTSDADCASACCGFNSGKCAGPVVAQERDGGCGF GDAQPNDTAAKALTGGAPVNNGNAASGQAAAAAGTSAAPAAGSQFITGACTSDADCAS ACCGFNSGKCAGPVVAQERDGGCGFGNAQPNDTAAKALTGGASTNNGNAAGQAAVAAG TSAAAAPGSQFITGACKSDADCASACCGFNSGKCAGPVIAQERDGGCGFGNAQPNDTA AKAFFAGQ VE01_10607 MLQRLAEIVPKGRVLIGDTFWERQPTDIAQEIHGDDIPMLIDLV AMCRETGWEILNLTTADQREWDNFESSHRAGLRQWIIENPNSPKAQEIRERLDERERE YIMNYRGLLGFAYLVLGR VE01_10608 MASSGGPMPLPEDKNMGTVLLILTSVLIFFTITTTVLRLWARYM RGLIGWDDYTIGVCCLLAIGRTIIQIVSVYHGNGRHRVYISDEDYQYVNFLTWMTQIF LFLNIGLLKCSICILILRIKNTPVLNWCLYIMMAGLILTNMECVLVLLAECSPVEKYW HPDVPGKCWDTKVRIYSIYLQVGYSVVTDLICTLLPIVVLWKVQMKRSLKIAVCGLMS LGLIATATAIVRASSLGTTTSDLTYAYCMAAIYGNTELHLGIIAANLSLSRSIYGYFM GRNRDGTSGASGSVFPTIGSKGSRGFPLNSNSDQQPRRGRKNSEVGSESSQMELGDHV VKTTEFRLEEESVEREGGMERDRVTRMSWDQKPMPKRGFHGGRVSGGG VE01_10609 MARLNRAAFTHLLAVLLQILPVTAVPPTAAHACTSGLKSYDFII VGGGTAGLAVATRLSQRLPSSCVLVIEAGQDGRTEPGIIIPGKKGSTIGTKYDWNLTT VVQPDANGRVIGMTRGKVLGGSSALNLMTWDRGSIKDYDAWEELGNPGWNWKTIQAAM LKVENFVPSPEYVGAGVGKGGLVQTLMNRILPTHQLTFIPTLNGLGVKENLASLGGHL LGVMRQPTNIRLKDYTRSYSTSYLDAAGKNLVLLLNTRVSKVNFAGTTASGVTLEDGT VISAAKEVILSAGSFQSPGLLELSGIGSRAVLAAAGVTKVISDLPGVGENLQDHTRIQ SSYKLLPNFLSFDRLRINATYAALQLALYNSNLVSAYDYTGSGYAYLNWSQVSTSTNA DLLALARKSADLSNPIDAKKLSFLSDPKLTPLMSQLEIIFSDGYTGVKGYPAADAPLF GSEFFSLLAVSVHPFGRGSVHVKSTNINTPPTIDPKYLHTPYDLHSMVVAAKFMRTIA TTAPMSSIWTTEYEPGSAVATDADWEAYARANTLSIYHPVGTCAMLPRKDGGVVDSKL KVYGVNHLRVVDASIIPIIPGAHIQTAVYGVAEMAADIIVAAWK VE01_10610 MEDSPPPYSGPYPAPYPAPNAQAHVQHVDSIPFSDPDLETFSHP HVLLISVIKSVDGLGATVIHYWTARSPTTSITIYSKLGLNSFQHVRDFRELGTFTLPT GIEPSNVHQCLTSLITESPTISSDPEIIPHIVAQLSSRSQNDGLSVQLFSIPVFNNSA EELLIGGPIPLWK VE01_10611 MFVLRNVGKLIFGDTSKESIIELPQGQLYLVRPLSPKGYSELIF KDAAARIRKTGQEFQYQLVIQRAYEEGEEELGDEDADDEEDSALSAERDEKTFLLDEG LHFRTEIRDGEEHVLAWRDLSGDTGDLYEFVCDHSVGADLVEQFELIARQCQYERKWR RNHTQATEEDLDSFYFEEQAIPSASPIHAPQELSLDNSMPPPSVPRANANVAAKRDQT PVKQRKMSPLAQAPQTPVPTQAPQATQQRVALEVLAKEGAELHLFDFTSGSFILQDDE VTAIVSEVGQWDYWLEITGKDKTWLGQPVVADINPVFNFEYLSFIFNHFTEDGSAYSW LLRFKNTETITRFQEGLMQALWEQLNELKWNRQKEQDQEYITSAFQDLEMTDAQDEVE EEEEEEEGTPVEEDEEDVSDGQQSEHYDSDEENDDVETHEKDGNVNSQLAVGYKHDRS FVVRGSKIGVFKHTPNNHLEFSTNISKVQTPKGKLFSPKKVMLHSEDTSMILQNDSDP NSLYRMDLEYGKIVDEWKVHDDIPVNTFAPENKFAQMTGQQTFLGLSKNALYRIDPRL AGSKLVDDSLKQYVSKNDFSAAATTEKGYIAVASNKGDIRMFDRLGINAKTHIPALGE PIIGLDVSADGRWILATCRTYLLLVDALQKEGKNEGKLGFEKAFGKDSKPQPRRLGLT PNHVAQFQHETGAPLSFTPAKFNTGEGITETSIISATGPFIVTWNMKKVLAGRKDPYT IKRYAEEVKADNFRYGSDKNVIVALPNEVNMVAKGQFKKPTRESIMMTPVRDSRRSSG RIQVPGSGRYKLGRDDVVNSPY VE01_10612 MRGALQPTNDGSGRLLLVSNRLPITIKRSDDGTYSFSMSSGGLV TGLSGLAKTTKFQWYGWPGLEVPEAEVEDLTTRLRDEYGATPIFVDDELADRHYNGFS NSILWPLFHYHPGEITFDESAWSAYKQVNRLFAKTMAKDVQDGDMIWVHDYHLMLLPE MLREEIGDTRKNVKIGFFLHTPFPSSEIYRILPVREQLLMGVLQCDLIGFHTYDYARH FLSSCARILEVGTTPNGVEYGGKYVTVAAFPIGIDPDKFVEGLKKPKVIDRINALKKK FEGVKLIVGVDRLDYIKGVPQKLHALEVFLTENPEWVGKVVLIQVAVPSREDVEEYQN LRAVVNELVGRINGKFGTIEFMPIHFLHQSVAFDELTALYAVSDVCLVSSTRDGMNLV SYEYIATQRERHGALILSEFTGAAQSLNGALVVNPWNTEELAKAIYDSVTMDAEQRLN NFKKLESYVFKYTSAWWGESFVGELNRISIAAEKKRAKELEEAKSPAVPFREKLESVG KVVKDVVVGTDEKEERTEEN VE01_10613 MDEEERNILTEQSVVLRQELKVWEREFAAGNNGQKAGREDIKQN SHIAQKYKDYNRIRDILAGKIPTKPLPKPKPKAPETTAPRSSKRKSPSNTLGTPAKRR AVVESRTPSQIHPQPSTAGPFDTPSANRLLFTPQKPRVIGPTPQKDGKFLGIFDAMPS GDEISPFKQPAAPSDAPSIQETPRKSKAADEGIMATPSAARHSRTPLSSSKRFLLDTF VTPLKRRRGSNEESTRQASLTPSSVSKLNLSTPSFLRRDNRIAALPAISEDAVDVLSP PAARMPKRSLVRGLSSMLASLREMQEEALDDDLDALREMESGPPPPNAAPKQSLPKPQ APKPQESIQVGDSQQLTDFPFIDFPDLDFNNVGGKDADDELGEKEQAIADRDSKLPVY KKKGQKRTTRKVNIRPVRTKAPAQEAAPTNYSDDEDDEYGDGQDDTQDGDTQNPTLVP DTQEGFEADSLPLYKAVRNFDSGSDDSTQYTASEGGTRYKRSKQKMTGRRQKVGAQVH TNYKRTKLKNSGAKGGRAGGKFGRRR VE01_10614 MDDDTATKSQVLQTTLAEITARAGADADPHHTAEECCVICLDRI TEAAQASPCRHASFDFICLASWLQQRSSCPLCNAEVFEIHYAFTNETEYKIYAVTSTT KSTAPSSSIPPPQQRSFPHRPSRPRRQYTHTPTPAPDDALARRRAVYAQNLYSLHVGS NRISRFRDFTPQSLSASPELQSRARTWIRRELRVFAFLQPTDTAEGEVGGATEGGTRR GRGDNAEFLLEYIMAVLKTVDMQGASGQAEELLKEFLGREHARLFLHEVRAWLRSPFA RVEDWDRNVQYAVPLVKVGDGERGGVRGRRRGRGRGDRYTPYRDGRGGVEEARRRYNP D VE01_10616 MLFSSVLALAVALLGPAPADAFDIIKGDCYDSLDGFVHGFNEQW QAASACSTHCVPLGKPVMAINGSDCWCADTLPAKKHKVDISKCSMVCPGYGQDMCGSE DGNYFSTWNDGLDKTIKNADSVADSSPSSKTSQPAETTANSPSVVTNFVGGQTVIVTQ SPAASKDTGSSGPNVAGIAAGVVVGVVVIAAAIGGMWFYLRARNRRELEDAHRRQAAI NAFVKSPSDAPAFDTRLEPAIMRRMSAGSIADNQDYSRRILKVTNA VE01_10636 MRFFLLFISVLTTELIGVASAATHNEHFIPDAVLRVTEEERKQS CVPLKDILVVNGTSPGPTLKFTEGKTVWIRVYNDIAHQNLTMHWHGLTMATAPFSDGT PQASQWPIPPHHFFDYELHVPIGYAGTYFYHSHVGLQAISAAGPLIIEDKDTPPYNYD DDLIIFLQDVFEYSDEVLEEKLLAVPIAYQGSQEMVLINGKGSGIVSSELGKPCDDSL SVIDVEPGKTYRVRLIGGTALSFNLLAIEGHDTIKVIEADGAYTERHEVSLMQVSPGQ RYSFLLDTLETPDKQYYYIQLESREFGNNTRSFAVLNYGPPQTESSPPVYPPASPPIT LPQTDPNWLEYSLRPYNDSQNPTASINALDFPTAEEVTRRVNITSYLDFPNYGLLYKI NGQTWKEDLVQEPFLVSLYKDGGSNWPSMERALQHDGLDPVTYAFPAVMGEVIEIVVQ STGTAFGTTETHPWHAHGAHYWDLGSGEGVYNREENEAKWRRSIGHPVKRDTTNIYMY GGQAPPGTLTAWRAWRLRINHPGVWMIHCHILPHMVWGMNSAWVMGNQTEVLTLIDTP EVEGYLTYGGSVVGNETHPPEVVEYFPLSDWEDGRVGNEDN VE01_10637 MPHFTPGAVVDNEIADSLRRQSQLSSIGKAAIMSNVVAVDTSND HHSNKETREIRPTSHGLVPSPSIPNGLVPSLSIAHGLVPPPTLPHGLVPPIPIPHGRV HPTIPNGHGCGSHDAGSHTPSEASVGSTPSTAPGSPHLMPIRQNSGSSTPRTRPPATT LNIPGMTRSRVSPDGRIAERDVASKLVIIMVGLPARGKSYITKKIQRYLSWQQHNSQI FNVGNRRRVAASAQPGSSNLTAQIQARAKAMTNTDALPETSKVSGTMDGPTQAAHILL NGVEPSQNQESASRDHSEEPKAPMEPFDQSAEFFDPSNARASQVREQVAISTLDELLD YLLLQGGSAGILDATNSTLERRKMLFNRVKERDSKLGILFIESICEDQGLLEANMRLK LSGPDYKGKDPVTSLEDFRKRVAAYESAYVPLGEYEEKMGIQYIKMIDVGRKVIHHQL KGFLSGGIASYLTTFNLSPRQIWITRHGESYDNREGKLGGNSDITPEGRAYGAVLYNF MTQKRKDWLVEQKDKILSSSFPPQPGDNTPPYPESRLGELDEKNFCIWTSMLKRSIQT AEDFEADDDYDVKNWEMLNELNAGDFERLTYEEIEHNFPLEFNKRKQDKLHYIYPGVG GEGYLQVISRLRDMVREIERITDHVLIIGHRSIARVLMAYFMDLTRNDIADLDVPLGM LFVIEPKPYGVDFHAYKYNEESQWFDEVKDYKPHKETRKGN VE01_10638 MATIPPPVRRLKPLKTLLELKAGDSTIDVYITTVPIKSANSVLS AVRSLIQDEYAVDLQHLRRFAKLHDLPPHLKLLVSPSDTAAPVADGQASLCLLVAPTA SMDLPALKECISNVLPLSEHSAPAVWTIPVPQLAPTSQEQATKWTTTHWPTVYKKNNP FGPHPHILSRAEDEMAGDLDKWMGMAHSVAQASVASGTGEGTGAVIVQRDNGTTRALA LAGDARWAKGRSSPKGNVMAHSILRAIGMIAQKMRTAERKKGDAPPPSSLDELESAMF LDMPMHLEEHSVFNESTVSPDGYLCHNLEIYLTHEPCVMCSMALLHSRFGRVVIDSRA PATGGLCSEGTTSGTVAQPHTSQLGHGLFWRKELNWSLLAWETEGHEPPPLNDRCDMQ A VE01_10639 MAALGGKGVPESASKKRKAANQPKFYAVRAGRHPGVYSDWNECK ESITGFKGASFKSFSTRSEAEAFVSGRESTSGPSASVGEARFYGVASGHNPGVYTDWT TAQEQIKGWKLPKYKRFSTRGEAEAFVQAGGRPGKVDSGTTELIDEDEQPEDSDDEVS DVESLPVSSKRRKTSPAASVNQTKTSQSTVQIAATTSAAKSRAADAKSKPLNIYTDGS SLANGKVGAVAGVGVFFGDGDDRNISEALEGELQTNQRAELTAILRALEIAPMHREVH IYTDSNYSINCVTTWFKKWETNNWLTSTNQPVMNKDLVVDILARIRERQGQGSGTIFN WIKGHSNDPSNEAADRLAVSGAQRAQARRRQNKS VE01_10640 MPGTEPYSSPFILDLDVSEDPDDQDFEFFNPGTPYIASGSPGAF LDQNLPPGERRANFGSPAIAQKGSTQNRSSAVATGPRTPSIPSSDSPGGSFHDSSSDS STYNKRKPSSESSEPTFEAKDASMNDAEMGDWKVEGGHGPDDSEAYGPYSATTASSST ATAFDFNDKIMENDFDFDSAASSPSHFASAMKSPEMPTIKHDTSSRNTPVMKHRAAGH RSKSSQNSITNNMHGLTTNASREASPLSTMITSQESSPSVFFNNSPSPSGGVEFINGT MLGNGAQNNPWQTSYDFSIPNSMVNGGSFMPMRMNNSIPQQMPFSAGMSLMDNMYKPV LAIHPTPLKSRVETQIPIKMTLFPFPPGITKLHLPTHTISKPKLLAKQPLTKSPDTLE LTTTLVCTSAMQNPEFRRRAFERAAGAPKTSESRSEDEADTVTDDDDENKPLNGGEVK ICSGCITRERKRAARKKVKKVEEEESWHKDEDKRVIVFNTHEIKDWQQPSSQVLSDTV GDRREPVVHEGALQVDAPMRIACYCRHQNEKLGFQVIFTIKDWTGRLVAQEITSSIMI TDDHKTHNMPPHLMGPGGAGVNEHGYMNNPGVDMNFDMPMASFRASQSNTDVQNLQRN YPLSYASSSVLGTPQASQNAPSTTTPRSLSRQASPSGSIGPSNKKRKASGSSKVPSGL AMTRLETMPQHPGGLNPAANGSGAASSQPPYGTSPNMFASPQDTTFNHMPQSVQPGHT QFNAGSPTQNGNEQGFITNNNRSQSMDNLALNQLYSAPTSTHPSRAPSPNSMRNSIQA YQQQQAQIAQAVANGLYGMPLPLNPQRPPTIHKMIPNEGPKAGGIEVTCLGSGFCQGL EVMFGDVKATTTTYWGETSLVCLLPPATTGGTVAVTFKHQHQQQLQPYPSPPILKQQA FFKYVDDDEQQIIRTALSVLGHKMTGRMEDVRDLARRIVGDGPSSWGAAGGASPTGGT QQPPVSRFNAAIFGVDVEATLLRILDLIDLDDSSHLPRLNMRRASGQTMLHLACSLGL HRFVAALLARGANPEPRDKGGFTPMHFAAMHNHPQIIRRLILCGADPSWRTLQGYTAS DLCTSEETLQSTRRVERHSRRRSGGSIRSRTSSATSLQSLWEPRPNGAEVTTSPGVSD DADDTSETEEPEYSDEGSDDATPDEVFWMRPRRRSAAPPDLDTSLSNEVNGLPVPTTD AVGAGFVSPAAAMTAFRDHLTAQIQHIQQSMQHLNLPQIPNLPDYQAYLPTAPMVRRI SSLVPHMGASRNAEIGPANKEADSKWWDLFSGTVSNAPPAYEDIFPHEDVDTKRASAT QAAADAIADDKCSTLYDQAQSSGSSVASSSKTSSQALENLDSSSKHSISEEGRAQIRL AHGKKIRFRSDRKLFFIWIPILVIIVATMLYNRVPKVWAEGTRLVKSYARSRAEQAVE TL VE01_10641 MDPHVFPSNTPQGKPEGDVKAPKSFSKGSKRLFSHTFSGYSQEE DSASNYGSGSDGRHLDEDDPFEKRHRTSDWPLGGNGKAVEGAAADGPDSPTSNYSDDG VQPRSSKFIEGSMRNRASQKPPRKYVVTETELNCAGENECTKNGKLQRNKLIRNSNHS VPAVAGEAKNEANRHSTIFRFGKSLAASFNPSNWKIWSKSQDPQVPDDKADAEAQAKL ERAYREVKNIAPTPGRRFGPAGGSAIWSNPRSSVNASGVDISEQAPPSQKRYGMVFDT PPPMAGFGGDDYSAQQGTSKLSTPADLYSVPSHQLLHSNESRSSFTYGSIAPPKSVKH KLSRKDLRVQQKLVKRVSNLESKLEAARLELVKSLGTTGLPPPQARGGKSRFIPGALS SLPSESLLNGYTHHNESTQSYIGAALTTDDKISLSSSRSHGETPGRPSMSSFSSSVRE YPNRESHIAAAGQDDADQATPSKKRKNMTKTSTTTSTSSHPSDADSTITTTPAPNPAK KSRNHNSTPREKPSPELLLPDSSSSSDPSFENVDPEKKAVLVSVSAKQQGTPKLIVKK RLPLETQGSRIPRKGSRKDTPTEGEVGDGNAITVTSGNVGLQETEAGEGQMRQGTGKL KKVDKTRVKSTEIAQVEKQVEEKTKDTKENIPAARGGKITSSTSMDSFEWGPDVF VE01_10642 MNAMTRPQETATVTRMTAEGRKLSYELKVIQQPERARACGSGAK SSADRRPVDPPPVVELKIYEIDAQNLKNDITFSYNANFFVFATLETARPIIHGRVQQT AQQIPVLTGMPVSGMAYLDRPTEAGYFIFPDLSVRHEGKYRLSFNLYEETKEDKDKDG ESATDARPVIKQNPDEPTDSFDWRLEIKSEAFTVFSAKKFPGLAESTSLSRTVAEQGC RVRIRRDVRMRRREGKAADEYDERADANGYRRSTRSATPVEPYSRQRSLSNSNSEIKP EYAHRRPSADAYPPHPSYPAPYNHGPASTPQQGGSYLGFGGHSAQQPQFQTPQFAQPA PPPPAQPQQPHQSAQSPYHQQAPQYPPQQSPGGYSYPERPQYGQYQAPRDYDPEYRRQ SLTSAPLPQPQYPREEQDSNHASSYAPYQSRTEAPVLAPIKISTTPAYEPKHELASSP IPSLPSHRLSLGSLPSPGDRPGSYMYPSLTSSTSAAEPQRAGSKRAFETVFANNAHTG SLHNGERPSSSHNGGPAQLDNEELTQQHKMEYRRAGGERLTRAVRDFE VE01_10617 MTGKHPVKFDKYFNVVAGELRDSKTKSHGIDPSTKSELWPVPVA TDKDVEDAVAAANKAFVTWSETPFEERLKMIKEYQSAYELYLDEFTNVLMKEAGKPRV TASGESEDALNQFEHNLKLKLPEEVLHEPDRIITTRHVPLGVVAAICPWNFPIVLSLG KILPAILAGCCIIIKPSPFTPYTALKLAEIAQQIFPPGVVQALGGDDALGPTLVAHPK IQKVTFTGSTATGKRIFAASAPTMKRVVLELGGNDATIIFPDVNVGEVASQVALGALF HTGQVCVAVKRIYVHEDIYDQFLEAIVQAVAKMSMDGDEVEAPWTLGPIQNRMQYEKL RGLLKEIEAKGLKLALGSGTVEESEGYFIKPVVVDNPPEDSRIVTEEQFGPILPILKW KNVEDVITRANNTKMGLAACVWSADTAAAEKVGRKLQAGSVFINATALTTPKAMLSGH KESGLGGEWGSTGLLSYCNVQVMHEFKLV VE01_10618 MSSTAHHTHRALIYDSPGNVSTKIVELETPEPGMGEILVKITHT GVCYSDMSIMLNSWEGMHAKVGQVGGHEGVGTIAKLGPSCDHANVKLGDRVGIKWTAS ACGNCDPCMAGADGICVKQKVSGYHCPGTFQEYVLSPCHYVTPIPDGVPSDIAAPLLC GGLTSYSALKKSRAQPGDWVVIAGAGGGLGHLALQIGSRSMGFRIIGLDVGGKEEFVK SCGAEVFIDVLKKGEGEAEDRVTTEIMRITGGGAAAVVVCSGSNAAYAQALSYLKFNG TLVCVGVPAEPVAIANAIPGAMVGKQLNIVGSTVGNRKEAMEILDLAKRGLVKTAIRK EKMENMSSVFRDMKAGTLQGRVVIEIAPES VE01_10619 MGKEERLETTAIGAIGVGYNTFYGTAMNNVIDPATSGPVQGAFE PLVHICTSRQDVMNALNIDASVSVNSPWGSFDDRFKFMSLLQITTTTVVILVEATLRT TTTVTGATFNDSFKDSQTLYKQGGDSYVSSITSGGQFLAAYSFRAYDEETFESIVNSA DATFSGFSTQFSANFDTEIQSITNTASVATDFNMKAIGFTKTPVPQTANELVPFVLNF NTVPMDAPQVLEFGTTCYTALDDCPPNFSQINAYKQQYTESPSMLVDIDYMAESNLNI IANIASIYDNYSMRSADPDFSTHVTNTQAIRDSIATWRRSVDKDPTNPNVLLPTIDQT QLVVPVAQFALVPASSSREPRTGVPFGDLLEKDIPRGVLPSTISIQSDDNWVTKLATT YTTKDPNDPPFVKTNGHGETGGLNPIIQLGPGEVVTQIGVSWSTFTNLVQITTNRQPT ITYGPGVNPIQTWSPPQNGCFVGWAGMCGTLVDALWPVWAVFYPASWGPNPPDITHRL KKGLSYARSKGMPTLDNILAIIGGWAIPMYPTLRQIYPQKGGWEGWMQVNIALGLTQG FPDTKTYREQSVWDGSNERVDLLLLCDGEPTQIVELKCESFYQDNGSGTFKTFVRAMK KDVAKIVVNGDALNPLYKPALCFVIGLTMVDEVTNYALNTTSWIPYQDAIRHTRLIEA SGTGPTYVPALWLHYVGYSITDKGVLQVT VE01_10620 MGDTSSIKDSPSLEISSPGAITIKPLHPTFGAEIIGVDFSVPLS EAIFSQLHAAIAKYGVVVFRATVLNDESHIAFAKQFGELDDVTPYTAAGRKHRLKYNE LFDVSNVDMDGSILDPDTPRGQANKGNGLFHVDSSFNPRRAGYSLLLAHELPPSGMGG HTGFADTRTAFDNLPEDLREELVSKDYIACHSIHHSRKLAAPEFFANVDPEKYPMGRH RLVQRHEASGRMNLYIAAHLHHIEGLEPEKSKALINRLLTAAEQSSNTIEILWETVGD LVIWDNTCTMHRAVGGPFLRKYRRDMRRATVHDSSSQAWGLNEHIDIRQGMP VE01_10621 MLVVGRFINGLSVGICSAQGPVFIAELSTPARRGLVVGTQQWAI TWGILIMFYLSYGCSFFEGTIAFRLPWGLQAIPGLLLFAALFFVPESPRWLAYKDRWE DCHQVLAMVHAKGDLTHPFVELELQELKEACEFDRNNADHSVFELFQGKMLWRAHIGI FTQIWSQLSGINVIMYYITYVFAMAGLSGNANLVASSISYVINMVTTIIALFYVDKFG RRWLLLTGSASLTLWWFVCGGVMGVYGSPAPPGGVDNIPAVSWQIHGAPSKAIIASSY LIVASFAPTWGPVSWIYPPELFPLRLRGKAVAISTSANWIFNFALSFLVPICLESIKW KTYILFGTFCAAMGLHVFFCFPETAGKTLEEIDSVFESRTPPWRTHVQSQTPVASNKL EDIEKNVSPDTKDSMRPEPVSQIEDVNSNS VE01_10622 MYAELNNPGDALQILSRIATSEINSSQDRVNVGAAIPPSSSSIG GNRDLGRATTQRHLSTTCAESSEAEYLVNHVLGVATASQLLEHYARNYHPFAPLASKK VLDPFNISTTAIEEPLLLVAILTISSKDDNQFLNVYQQCWCYLKRQMLDLVLAVPSTL QVGRDGVGSSGLHHRNLSTSEDNMAWSLIGQAVRHAYLLRLDLVSFREKVPGESRELE DRKRLAWIFVYIADRQISVRMGQSFWSRGPSLSTKFTADDFPSLQLTEDSEHCYASVL QATIELTQLLHNVKDVLYSSKARTAQIMLVGDYNLYLDDFRRSLSIWQETWGSLNASP KLKSTLTIVYEYVRLYVNAFSFQSLITRACREDATANTDRSFNLFPRGIMGSTDGAYI FEATHAAKTIITIMTETDPTVHVRYMPTRFYM VE01_10623 MASTAATAVSAIFAVRTTIILTMVITKFGIVVTGLSSTCLARFF HVLGRPEDQAIGHGDQAIGHGHPHGYGARGNGHGLDGAVTRVRRLLGHRGSGGRNPYL ADKWPSFQPDDGPTSSARIKTLRAGAPSAAARTSKSDDSPVSPAVTL VE01_10624 MPGPAFILRGKFFPPKQITKTFEGKTVIVTGSNTGVGYETALKY VQLAASIVILGVRSLQKGEIAKSQIEKSTGRAGVVQVWQLDMANFESIDAFAKRVESL KRVDVAVLNAGLFNRTYKVSETGWESNLQVNTLGTALLAILLTPKLQASRTADSRAHL VVVTSTGHIEVQLKPRDKDQLLHKYNIDLGVGLYQQHVTSKLFMMWITRELAYRCLDG KGEPTVIINDACPGPCRSDVTRDFDTPLWTIAKGVGSFLALRPAENGARVLVGASTLG EDSHGQWLSADGYYRPSGQYITSDEGKILQKAMWTEVVGVVEEKIPRVKGVIASLSEQ RALR VE01_10625 MPFVEIQVLQRKISDAFCYYDISACAIRGERALQITVDSFSREI DGLKKSIGLTNRNVILVQNLRFLEVWNHEVALYNELWQSTFVSDRLAPLETNHSIQLS MQRTNMLWQLVAATVSFHDWCLSVENAELLHFPLSWWTELSYVFIVQVKTVFLDCGAG TMGQEQINLRGHGQAESLEADFRRVAAKEVMIPRMLDLYMGKLAEVTTQLVDDDGIRD MAYNYGIVLKSIQSGYESRIGAENRLAPGQFETQQKQSSLSQMSHPQVRITDIQGSMP PALNTSHVELEIDNPRVSSASQLDLQFDLAGPVFDDFVWDTMMNDFSFLMPQNGSSF VE01_10626 MAEETSDKDFAITYVCASRMHRSFTIPGTETRKPLKVTYAIAGL ENDDAPTVVFISGMYGMRWVALLWHAVALRVGVRLVCVDRPSFGGSTPVPLSERIDTW LLTLPALVEHLHCTHIVPLAASAGTIYLLSTLSTHPHLLPPTSPYAAILTPWVHPRDS GAGLMSFVASDWMPKFLVEKCWDGLSGFVATRLLPGFAASGGVVEGIAALGGRVSNPA AAAGGRGGDDEDEAASMKAFGMTRARKSEVQKLGLKMMFTEETSGANAEAVLCSQKGT PEEVSWGACNDYRTFVPALSEQWEARGGGGVKLKVQAFFAEDEAMIGGGGRRFGGE VE01_10591 MDHDLLNLYQDASSDRLLLHNSPYDKLISQNQLNVSSQQNHADS QLISQGAPRNNNLQAGEVITKIEDIFESLLDRIINEKKCLVLHIKSRGKKGGQTLDAA TGAIRNTRNVETKEITFPGKTQKEAWKFAALLRILELSHEALVTGIGILVPNTKDISR LSFPICNWILVIEKEATFRTLSTTHHARTSRAGPGLLITAKGYPDIATRALLHLLSST RGCPPIYVLTDYDPHGISILSTYAHGSASLAHQNDGLAVSGLRWLGVKLESAIGTQNG RSEDGGEVPGILTLTKRDRRLGRSMLMRHPAIGEGGEKEWRRE VE01_10592 MSTRSGKTPKGKKANGTSSAMTEHTDKTRWRMLDDDGRQTWHYL EDDKEAKAWPQSFADKYYVGLPLDAPELPKAKTPLEAVNNGLEFFEKLQQPPGNWACE YGGPLFLMTGIIITWTITDTPIPEAHKIEMRNYIFARAHPEDGGWGLHIESDSTVFGT CMNYLNLRLLGVPADDPRAAKARATLHKLGGAQQSPHWAKFWMSLLGIAHWDLVNPIP PEFWLMPNWVPVHPWRWWIHMRQVFLPSGYIYSKRWTYPETPLIRELQQEMFTEPFET MNWKGHRNSIAPMDNFHPKTWILNLINWILVNIWFAFLRPNWIKTWGESHAFKLIEME DANTDFSDLAPVSAPMNTIACFIEHGPDHHSVKRHIDRLQDYLWVKSEGMLVNGTNGV QNWDTAFAIQAVVDSGLATEERWRPMLTKALHYLEGQQIREDVPNQAACYRQQRKGGW AFSNKDQGYAVSDCIAEALKAVIMLQHTPGFPTLLEDQRIFDAIDTLLTFQNTSGGCA SYEPQRGSEHLELLNAAEVFGRIMVEYDYPECTTAVVTALSLFSSHWPDYRAKEIATQ KARMVQWIKTNQAADGSWYGSWAICFTYATMFALESLEAIGETYANSGPSKRGCDFLV SHQRPDGGWSESYRGCETMVYTEHPSGSLVVQTAWAVLGLMYAEYPDRSVIERGVKFI MGRQQANGEWLQESIEGVFNKSAMISYPNYKFTDVMEDALIGTI VE01_10593 MASSHDAQVDTPSYPLSLSSATATGVEPLENDLDYPSNSNEGFS LSPTDGGKDAWLCLFACFMLEALIWGFPSCYGVFQEYYSTSDEFAGSSNIPVVGACAM GIMYMDISIWFAVLKYFPNFRRWATPVGLAIVCLALGLGSFATNVGHLIVTQGIIYAL GGGLAWAPVLFYIEEWWVRRRGFAYGTTMAGLGLSGAILPVVLEWLLNSYGFRTTLRV CALSFVALNLPVLFFFKPRLPLSQTTQSRSFDMSFWTCSNFLILQSANIIQSLGYFIP GIYLPSFARSIGASSIESTATVVLINGAAFFGCLCMGMAVDKYHVVNCLLVSAIGSAA GVILLWGFSSSLGTLYTFCLVYGVFAGCQSSAWSAVVRDTIEKHRGADSGMVWACLSA GRGVGNLCSGPFSEALLHSGNWNAALAYGSGYGALIAFTGATAFLSGWGYAAKRIGWL VE01_10594 MSSARYMDGIWKNLVDMGINTVFGPVTWEDIEPEEGKFDFNEIE AVIASAKAYGLRLILLWFGSFKNGMSTYAPSWVKKDAIRFPRMLLQGDTGKLTNSGVL SIFHSECLEADLKAFAKLMEYLKREDRYRTVIMVQVQNEVGLLGDSRDRSQVANDIFN APVPGEIVKFIAEDWEALLPDFQNNFPGILKVIQKYASSPDIPDWKALFGDSEATDEL FMAYHYALYLEKIASEGKKIYDIPFFTNVWQKNPNADAVAGGGGTPGVYPSGGGVPEV LDIWQKFAPSLNCIAPDIYLNDYSATLAKYRHRGQPLLIPEQRRDEYGARRIWEAFGT YQALAASPFGIDTLKAVNSPFTKHYKLLDSISHFVLKAQRNPNSMVGFFFDELQEDGS DLNPPRAIRMGKYDLKISRAFVFGTPGPGAGIVIQLESERFLLVGWGFKVEFKATSPR STLTGILRFDEKSVVDKVTGMLRTERRLNGDETRSGAWANMPNDQPDPGNIPIPITIP ARTMIAEVSVFSLE VE01_10595 MANRFPSSRPDWSNIDVIHRGLLPPRSYFFLYETESSALNGSRD LSQSINLSGVWKFHHSANPFEAPGQFENPTNDTTNWKDIRVPGHWQLQGWGKPHYSNI NYIIPVDPPNVPFDANETGSYVTKFSVPTTFTDEQLRLRFEGVDSAFHVYVNGVMVGY SQGSRNPAEFDIMSTVKMEEENVLAVRVYQFCDGTYLEDQDQWRMSGIFRDVLLLAFP KDHIKDFQVQTLLDDDFKNAYLKVKVTTEGRGLIDLKLLDSRGVTIATESMQGSDHPT VFNIAMTEPKKWSAEDPYLYKLILSFGGRFIAQNVGFRTVEIKDGIFKVNGKRIVLRG VNRHEHHPMHGRAVPYDFMKHDLLLMKKYNINAIRTAHQPPDPRFFSLADELGFWVID EADLECHGFSAIDKLMLSDDEKKLPYAEKINLIYTRPGRFTTDNPAWKGQHVDRAVQL CMRDKNHPSVVMWSLGNEAFYGCNIKSMYDAIRALDTTRPIHYEGDRQGETVDLLSKM YLSIEELISFGKEPNFTKPLILCEYIHSMGNGPGNIKEYIDTFYEYPRLQGGCAWEWA NQGLTANTPNSGPFYAYGGDFNDEPNDSTFVLDGMCFSDHTPTPGLVEYKKAIEPVQL KGIAGGEVTIVNRYDLVTLDHLKCHGFLVADGHKRFLGEILIPTDIHPHTTAELALPP LDTFGIEGEIYLELNFTLKDATIWADRVHNVSSSQLQLCAPVKEASRDAADNMPPPTL HKTPTTLIIAAAESTWIFSTISGKVISWKKYTTELFHSSLGPEFDVNRAETDNDRRHD AVDWKEKFIHLSKGYTKSVEWSINASDSSIGVIVETRLAPLALSCGNPGGETLPLTLP RIGFTMGLPQSFNKVEWFGRGPGESYKDKKLSQLFGNWTSTVENLFTNYEFPQEGSNR TDVRWVKLDSGEASIKAKFGKQDGFSFTATYYTWKDLYESKHPHDLREKKKEYVVLRL DSDHHGLGSGSCGPKTRKEYALKPGNFEFEIELE VE01_10596 MAANEKLDERIAHDEHLGNIGDDAVVNAMEATSIANGAIQATYP IFSLSMMRLYGCLLIGYLCATMNGFDGSVMGGINAMVSYQKFFNMVSASSSTGLIFAI YNVGSACAVPFVGPVNDYWGRRAGMFTGSLIVVMGTAITASAKNHDMFMGGRFVLGFG VCFANVSGPIYVGELAHPAFRGPLSGVYNCFWYIGSILAAWVVYAARDKPNGWRIPLY CQLIASGLIALFVWLLPESPRWLISHGRNESARAVLARYHGEGDPEHPIVKLQMAEME YQISTTGSDKSWWDYRELWDTRSHRRRLVPVLTMAVFGQWSGNSVTSYYLPVMLENAG IISQQRKLLLNGINAPLCFIASLAGAMLLDKAGRRPLLMSSLMACICCFVILTPVSKL AKENPDNSAAANTSIAFIYLFGIVFSFAWTPLSPMYVVECLDTPTRAKGKSLAQFFTA CSSAIIQYSSGPAFQHMMYYFYICFICWDVLELIVIYFFWPETKGRTLEELDEVFQAD NPVKMSLQAKNVQTVLNTLHVEAKSVSV VE01_10597 MASRRGSTVTRACDSCRMRKVKCDASEDCSNCRLSGLPCQYTSA RRKRGPKVTRALRAIEKARQATPNAYTPALEDRLPSNEGPMFPPTPSIWADSQGQQGH EDLTPSFSGTEIVPTTESAGAIRDSLVQSMNCSIPSIPALNVINLCIELYMKYTFPTA PCVHEPTLQASANKFFAEDSSAQLFGTGSWGDKVVDMRAFTLLTAVCASVASVLPKSL LPYQEALAEPCLKASRNMLKVFEDFDIEHPCATSIISRSLHSTALQQITGKSALAYHI LGQATLLLQTMRLYREDALSSHNALQAQLLRNAFWQLFAADKASACLGSRPFVLHEML FGEELTLRLSGETMVPLMSTSSPWFEETLEGKILLGFHFIPRLWSTAASLLSDIKAYG GVDEDAEMKTRLIQDYVVFSGILDELPQWLQTSSLIVCSEDGRATQCQRTMFWVQRCT IMVTYQCLRLVILQQCINSKAWDIIGLNGLALTIAMAKIGIIYDFIQTLEDIPFVYLQ VKGEPTVSSHCGSRTGQLLILVLGAKDSCSWQRFA VE01_10598 MLSPSLTDDKFDGIVNQLSLPEKVGLLSGAGACRTSGLQRLNIP SLNTSDGPHGLRGGGGRFFNPPPGYQLPSATAIGATFDVNLMHHPVLSGTLALHYING LQERQVAACIKHYAAHDQSAMATEDDVHMTERTLREIHLMPFQIAMKSQPWAFMASYN RINGLHVSESPFMLTEILRKEWKFDGLVMSDWWGTYSTSEAVNAGLDLEMPGPAIWRG KQLVSAVECRKVPMKAIDTAVRNVLKLVDRTHNPTAPRENETGDTEESRALTRKVAAD AIVLLKNHRHILPLAKESIQTIGLIGEHFKIPATCGGGSSEVAPFYVSTPFDAIVEAL GADRIQYHDGCYSRRWTPLITSGLTIPKSPEPGLLLEWFGEDPTKVNGTPCVHSTTTL STSMYFSQMILESVPDTHFIRIKTIFAPEETCKYRFALSVCGKAKLIINGREVVDLWT DHPKKTADTPCFNKLSMERLIDLDVEFGQKYDIVIIMTNETDKAHIGPTPPGGVRLGG QKIRDEDEAINEAVDLARNVDIPIVLAGLSSDYEYEASDRASLKLPGRMDEMIQRVAE ANPKTIVITQAGMPFEMPWIEATDTLVHAWLGGQETGHAIADVLLGAVNPSGRLSITF PRRLEDTPTFLNFGKADRHIVYGEGIFVGHRYYEMIDRPPLFYFGYGLSYTDFEYSNL IVPDVVCPRVVGGMNDVVFNISVDVTNVGTYDGSEVIQVYITDLECSVQRPKKEFKAF QKVYLAKGERRTCHFTLDKYALSFWSEEHSQWITEAGDFVVVIATSADPADELLRVSF TVTETFLWSGL VE01_10599 MVSFTVFRGSKEGSIVEGTTTREIREDEVLVKVTHSGVCGTDEH RRHTDMVLGHEGVGIIEDIGSAVKSHSIGEHVGWGYFHNSCGVCKQCLLGRDNLCAQV EKYGKSNLDQGSFGTYAVWKASFVFKLPSTISLENAAPLMCGGATVFHVLKSSNVKSS DRVGVVGIGGLGHLAIQYAAKMGCAVVAFSGTEDKKEEAMRLGATQFVATAGVETLDI GEPLDHLLVTTSFQPDWDRFLAIMAPQGSIYPVTVSDHNLSIPPGVVIGKELRIIGSL VAPRQVYREMIDFTALHNIKPIIETFPMTAQGATEAMDKLRAGKMRYRGVLAA VE01_10600 MSKQSILIVLSSSPQGWYLPEFAHPYEVLSPHFDLVVASPKGGA TVLDPVSVELFKGDAYCVEFAETKQKLWLETQRLENFLGRAKEFVCIFYVGGFGPMFD LVDDATSIQLIREFHEASCTVVALCHGAAALLNVRLADGSHYIEGEKVTGFSNEEEIA VDRQKDMPFHLEDALNNASGGNYERSKEAWAPHVTVSSTKKLLWGQNPASAQPLAVEL LKALKAER VE01_10601 MPTRRLTRFIALAALVLVTIAVFYSPLTPRRHQIPASHSTWQSE LGPVDNKATSYVAEATPAELCAPYHWEPHTPKGGKRKIYDLFLINDELNWLEIRLNTL SKQVDYFVVVESPKTFTGLDKPLHLQENWDRFAPFHSQIIHHVLESDLNSTVAWDHED LQRNAMFDQTTLSPSNLRSGRGGNPLTRIGESADLWNAAWHCSSCFSHISTLLNKLAS FSHAEYNQEKYRAKAGILRRVRNGLDLFDRYWQTYDRVERNVDVPMYVMNNVTRFAYL LDRDPPNANFEDVSELDLSVQEIGEAQKKKGSKR VE01_10602 MDTSMGGTSMSPRSLKRQHEDSPTNVTSAPLKMSPEQHLPPSVA HHLIRESSPARSDTESVISEAPSRTPSLGPNSPLLKPANAFSMMSSGGQPPKKKPKLT FAEQEHKKILKEIRDREKADERARKEAEKRDKEHDKARRDAEKEAERQKREAEKEEKR LVKEAEKLVKDAERAEKEKERKAKEEEKRKKEEEKQRLEEEKKKKERSQKKLNSFFTL QPAKKPEKDVSPEPGNAAGPAPDDKSPNKRSEISDYDKAFPAFFVQNSVTLAPILRFE RDEFATQTLENELDACLSGSKASERPPKLDATKAFNIPHNCFTRRGRRCVPVKQIMSH LLGGSSRPIDLTTDSQNAQIKNTRTSLRAIPYKILKFAEDVRPPYIGTYTKQPVSGAA RLARNPIKRDLPGVDYDYDSEAEWEEPGEDEEDLGSEGEDDDDADGEEDLDGFLDDAD DEIANARKLVMLGDLEPKSTGLCWEDEQGRYPNIEMRGFALEVIHENIKVQTPIDPFA THYWAPPAPPPSMQPPRLPLNPMKGTSAHVNGPSAKTVKMFGLIAPSTSKSSSATSSS GGKSGGAGTKKLVAPDEMAAFKLAVQGSELIPEELEGVY VE01_10627 MRLIKQNIERDGSGTVVLLPEEPEDMWHAYNLISPLDLLRASAI RRITTESATGSTSSTRVHTTLAIRVTSLDFDAQAGQLHVSGRVAEENKHVKVGAFHTL DLELHRNFTLEKAEENGGWDSIALDVVREAVRVDKEGAVPAVVMQEGLANICLITEHQ TILRQRVEIAIPKKRAGRAGDHDKGLERFFHAVLETLGRHVDISQPRPLLIASPGFTA AGFVEYVLDDARRRNDKAVLGNKSNFVVVHSSSGHLHSLTEVLAAPEVMARLADTKYA RETRLMEEFAKMLRNEDGRAWYGKGEVEKAVAKGA VE01_10628 MASSSPSLLEHAKTAIRDSRPPVTDDLTYLTIVQSHLSPELLPA LNDVLQDVELTQRIGWDLVHMLLPLPGSAPCLKTVARLGNPREVILQVTEALRLLVLD QPEEEEDNEATEDKAAETEDVKRTEKTEEAEPTRDALVASPTPAETPEPTEVDKFCLL VSMLATLHPRIKTKYPSRFLSASLMAILSSYRPSSQATHAITAFAQTLSGNKRPPLPT RKSSLSIQNLTIASDEKDEKAPDPEAAAEDPKEEAIQKKLVQSFVTHIIELYVKDNSL DWSARLQEHFAPDKIVPGKKNFGEAFREDLELQEREVLLGKLVALARDVGLNGVDYLF NAPEQDLPTPPNEPEGEDFAPTSPEDVPLSLTGCLFLTANAIFSSVMFSNTNVTLPAL SIFPSLSLLVQKFIGTTGLETSGTESPPILDAILSIGLWLEHTDHFVAGPLDPTDYLQ LLQSLSLVSANCPEPTLRHAAHILTSNILHAHPTDRLRLNFISDTLEHCPFEPLRASA VGWLKEELVRAHTRKSDDLFGTPAAVAALQPYLFPYESMLDAETDSELWEDFRRTFPF HMAALNLIFFLNSEEYKGVVPEGSMSVIEEIYLMPLRTARGRLEKALKEGGELEKVFG EEMKGGLAEVRLLGDRLDMCLEQQA VE01_10629 MSAPEHVPEEPRYIEFPSIPHGTLRDGKLVLNRWSATLTKDHDF PGAQAMLYAAGVPNREMMKTAPHVGISTVWWEGNPCNMHLHDLGKVVKASVIKQDMLA WQFNTIGVSDAITMGGEGMRFSLQTREVIADSIETVTCAQHHDANISIPGCDKNMPGV IMAAARHNRPFLMIYGGTIKKGFSKLLNKPINISTCYEASGAFTYDKLHAAVDPGTEG RTPSDVMDDIEQHACPGAGGCGGMYTANTMSTAIEAMGLCLPGSSSNPAESPAKMREC EKAAETIKICMEQNITPRKLLTKESFENALVLTMALGGSTNGVLHFLAMARTAEVELT IDDIQRVSDKIPFLANLAPSGEYYMEDLYDIGGTPSVLKYLIAAGLINGSIPTVTGKT LAENLVSFPSLPQDQVIIRPLDNPIKSSGHLQILRGNIAPGGAVAKITGKEGLEFTGA ARVFDKEHQLDAALNAGAIPRGDNIVIIVRYEGPKGGPGMPEQLKASAAIMGAGLTNV ALVTDGRYSGASHGFIVGHVVPEAAVGGPIAVVQDGDMVTISAKTNTLSMDVPEEEIA RRLKAWKAPKSKVNRGVLGKYQRLVGDASHGAMTDLF VE01_10630 MSNSMESVVIPEASHEMLGLGEEKSHPPITKPDWSNLSVLHKNT LPPRGTFHIYPSESAAVTRDVTKSRTLSLSGTWKFHLTPSPFSPGAEEFHAFNFDISK WSDIAVPGMWQLQGHGKGPQYTNLNYPWPVDPPNVPFDENETGHYVRDFEVPKSWMEE GEQLRVRFEGVDSGFHVWVNGREVGYSQGARNPSEWDVTEFLKEGKNRIAVRVYQRCD GSYLEDQDQWWLSGIFRDVNLFAFPKVHVKDFKVETILKNDYKNAVLFLRVELSEPAA IKVKLLDDDLKPLKTAEQTSPLSTVFFEIPMEKPHLWTAETPYLYKLVISVGEKQVIS QRVGFRQVEIKDGLLKVNGKRIVIRGVNRHEHHPDHGRAVPYDFLRRDLLMMKTHNIN AIRTSHYINDPRLYDLADELGLWILDEADLECHGMGELGTDFASWTSDNPEWKDAYVD RARQMVMRDKNHPSIIIWSLGNESAYGRNHRSMYEFIKSYDKTRPVHYEADFNAESTD VFSRMYHSVEQLIEFVTTNKEAKPMVLCEYVHAMGNGPGGIKEYVDAFYKYPRLQGGF VWEWANHGLRTKTAEGEEYYGYGGDFGDLPNDGHFVLDGLLFSDHTPTPGLTEYKKAI EPIQVLSEGSTPQKIKIINRYDFATLDHLKCEYSIVSDEMAMSEKREVEIPRGVKPGA TAEVEIKSLDLMGIHTESYVELTFTLAKATNWAPAGFELAFGQIQIHAPSPLPPLSLC TLSLKLSPPSCPTITTLSPSLLQITTATTTYTFSPPLGQLLSLSHPGHPPLLTAPLTP IFYRAPTDNDLPASRGWHDSFLHLARPHPVSFSTSTSPSTSTATITTTTRFAPPVLAW SILLTTTYTFTPTHLHISLRGHPSGPKLPSTLPRIGLELGLAPQFDTAKWWGRGPGEG YPDTKMAQRFGNWEAGEEGLWTRYEWPQEGGGRTDVRWVEFSSSSSSSSSSPHGDNGK GKETEKGDTLKATFGAQDGCGFTANCFSTQDLEECTHDYELQKRKREEGWVVRLDWRQ HGIGSGSCGPGPAEQYMLRTGDFEFEIVLE VE01_10631 MRFSTSAAVVGAVLSSQVSAQDPITAFTLKAWNPSSTLQGEDVN AAGFGFYLGLDGPATYCPVIPNLTCPAANETVVYKGGMSLSVIVPGGQQTFVDVSGAV SYTQAHSASIPAGAYIGGFTTYTTLDGNGVNQTIVSWETPGHPTFAGLVACPKVSEYV DATATHRIYGRTPGFNQTDCVELKGLIAVAQPNNDAGAWQYT VE01_10632 MGICSSCLGRDRGSEEDDTSRLIFDDPLANNYGSFTDQNVVTTQ ADPQDVQRESEALQNIVAETSNHLVDIFAMAPQNQQRPPATTYASQAARFVTYNDLLG KPPMDDPYVDTSRPPTADGKDADWLSDDEDDELSGKSLGITKPDVGPLLGGFADM VE01_10633 MALTSHLPRIKTGLHGAQALFTLVALCITITYMTKRSRNGGPIQ WYIALCFLSIPPMIYNSMTLLFARSRSWGNAYVFAGLDVLYAILWLSAFASVAAWNSN GYCFGACNFSKAMVGFGFFIFALWALTSAISVYGAIYYRNHGSLPGARIPNNAAMIDP DREAFSTLPHDDEYAPVHGNDKDELDRLGRFDSELPGSSSSHPFEPASYDNAYARPGA DDEHESPYSGGYKPSTVGPDTDTSYGGAAGGRAQFPNAPY VE01_10634 MCFFDQTLYRCGDYKWGTFRQHCAKEYRTGETCGMKLVMNCNED RSKNCRICDKIATKLSRRQKEVDRIKRWKSERNRKASIEASEDIISDLDREIYNLEEE RLDRRNRL VE01_10635 MEDDFAQSRGADDLFSDEIDPPEAPQYLPTPAVLSAENIAAHTS QQEAEATPQPPPQQFRPRNNARRGGGNHRGASAPQTPRAPAQQRALASPPPPAPTPPP APTPAFDPATVAAAGGAAAPTQPALASRVTSVRGDRSATGPAKPQKRTEAELTALMAS MQVKNAAKTQAHARAEQDEAAYLKREEQAAAKRLEERRSVRQMDMERAKNRERKIKAQ GGREWDSEKTEADIVDGRSRGNSSQYTRGAHGGVRARDDGGQRSGLWQDSNAPASSPE FGERGGRGGRGGRGGRGRGGGGGAGPRGQTTATPAADEFPALPAAAAPAQAPAVEAPA KAADAALDKLPLGGGLSGSNWADEVEKEKGAAK VE01_10643 MAQFLDRYRIIEAQKEESVNFIKDLLVYIDKLENDLRGENDRLS KELDDAQLDLEDARRSRREMQQQLSVTSAQLGRYNTDNESLKNRNPYIILLIDGDGTI FHEEYIRQGLEGGKKAANALRNAALEQCPNISDDVEVIAKIFANVSGLAKAMKRVGVL DNADDLREFTLGFTQGKASFDFIDVGHGKERADSKIREATRWNIRNHNCKQILLGVSH DAGYAPFLDEVLRDESTTRRVSIIEGTPIVRELAATGTRVLNFDHIFRNDKLIERQSP YSQFQQLSHGGLPPAQLQAIGNGRNQSPTPPNTWAGVTSIPLTTPPPMVFPVTLKNGT SGAAAAAAAAAVNIVTPHPKLDDWVPGDRGLDPTLTISAVVLERTKRRAGNTKLCNNH YLRGPCPKGDECVFEHKHKASEEELKAIAYLTRLNPCVNGQDCDVEYCIYGHHCPSVG LNLAAFIYVLRQDTWHV VE01_10644 MAQFLDRYRIIEAQKEESVNFIKDLLVYIDKLENDLRGENDRLS KELDDAQLDLEDARRSRREMQQQLSVTSAQLGRYNTDNESLKNRNPYIILLIDGDGTI FHEEYIRQGLEGGKKAANALRNAALEQCPNISDDVEVIAKIFANVSGLAKAMKRVGVL DNADDLREFTLGFTQGKASFDFIDVGHGKERADSKIREATRWNIRNHNCKQILLGVSH DAGYAPFLDEVLRDESTTRRVSIIEGTPIVRELAATGTRVLNFDHIFRNDKLIERQSP YSQFQQLSHGGLPPAQLQAIGNGRNQSPTPPNTWAGVTSIPLTTPPPMVFPVTLKNGT SGAAAAAAAAAVNIVTPHPKLDDWVPGDRGLDPTLTISAVVLERTKRRAGNTKLCNNH YLRGPCPKGDECVFEHKHKASEEELKAIAYLTRLNPCVNGQDCDVEYCIYGHHCPSVG LNLAAI VE01_10645 MEKIKSILSPGKHVEDEILYGSGGGGQYEHTGREVPGSTGGYYS QAGNQPQIYNRERDSIPSQPSTAQDYGKTGDEYLAAEKERAAKSKMARESSSTEKRNG HRKSRSGEHKESRNPYTQQAVDPRLGGGAEESTIATHNAQADAIGAGYSPPPPIKPVQ PKAHGSAAGRDGNGAAAAGAVGAAGAAGAAGVTEAGTTKKGATVVPLETDSPHGEVVG RTLSGHHTVERTPNGQYRTRLASIIDPNHGEGVKTSESAYEHTPGGGGAYTADKFHER EPRVASVTLPNGTKYLDPKAAEDYGLNYKPQAGTSYGDQGQPQVSTTKSEADRALSGE QQPSHKGAGVVGAGVAGAAVADAGYEGTKTDRAQPRDVDDPAYRTSRVSNAEEATTTH KADKSAKKAAPKAAPAPAPAERLDESAYAAKPTAAKYGDVDVPPGNDYGKEVKDPRYG LPMGAAGISAEEAAAIRRNEANVARPSQAQAYAGRPTTAEQDYAAQPAATANQAYGTQ HATQAEQQAAKPTKEEKPSRRSSILGFLHLGKDKKKHSKDAQNKPYTDVTGKAPLGSA AGTQQQPVTGPTTEPAYRNRMASTADAPAFTGGLVGPNGTPLTGASAASAANADSSAW ARSTEKEVMDRGGMGQGAYGSGGGAATNQAAYGGTGIDESYAAPTGTGATQGGTTGQK SGSHGAATTSSAIAAGAAAGGVAAGGMNGSKAHEGVAPDYNLQSAQDKGAPTQDHLYD QVSPRSSKAAHQADHMESKTNHTTTKETAKSNHKSHTHKDKNNTAYAGSDDAQTRDAE YGGYENDPRIGSAAQGGYGSNPPTYDPSAVNGAASSSAAYGGKETQASNAAYSGGTEN PDEADFGNETYVGSGVSRHGTTKVIEREGHHVLHKDPPASHPAAGMAGVE VE01_10646 MVSRKHSTSTVRFIPLTYSPSDSQSSALRLILSVFPEWEKTDGK IEFVRFTDGITNTLLKAMNVRPGLSKQEIDDSAVLLRAYGKGTDLIIDRERETQNHEL LMGHSLAPQLLARFNNGMVYRYISGSVTAPGDLRNKDVYTAVARRLAQWHAVVPCLPN TRVPVEEVASEAMEAMVPTERRDPEVQRKIDGIAPGKVAPNVWTVTQKWIYALPTATE EQRARQAELQGELERTVRELSDRPGLGKNSLVFAHCDLLSGNVIVEPAKKGEANGVAA KEPVTSVSFIDYEYAVPSPAAFDIANHFAEWGGFDCDFSVLPTRTQRRDFIREYVASY FAHLNVAKTSEELETEAQALFESVDLFRGVPGLYWGIWAIIQSVISQIDFDYASYAEV RLGEYYAWRGETEGTREKKGEEMPLRERRWAQEE VE01_10647 MPLSIRRRRAKRDDLAAVRNSFRFSKADPELGKKVSRPNFEGYW VCRGSINGTQGCLSQSKVTLLWIHGGAYITGSALAALVPLLRIAELAAEQNLSINIFT LEYSLAPEAKFPTQLNEATAAYKYLIEEEKIDPNSVFVLGESAGGHLALSLAYNLLQQ GLPRPGKVGLLYPWANLENSGTSFETNKYKDCLDKGDLVRCADWLIGGDGRSKFADFM NFASSPPRKGLRWSQVLPSTWVIIGGNDVLVSDVCKFVDEARKDGVSLEFHIEPRMPH GWLGWYDALAEKQFLQLSPADDASSVMPGSELIAKVICDHGYVYGGREL VE01_10648 MFEYLPARRSQTVESFSSTTADSDSISQVRVPNPYDWLEDISSD ETREFVAAQNAALDRYLSTDTSGSSAKNSFMTLLQSLVQGEVVNQAPQSAGKYYLLRV SGCGRAFPVTVKIWKQDLQTFLQFPGSTNNSFSPHKPPQVFHDESDEGGTLLSSGVSK GGKYWAYSTSVKGSDWGVIRVKEIETGRVFPDEICDTKFNNITKPISWWGDLGFFYQF WQSCAEHNARPQLRYHALGTSQADDRVIHEDNAHPGYCFWLQVSDDERFAFLSIYSAG QTCQIRAARISNLGDQPKLDFNTEVCDNFDYKWEYIGRISREGQQLYVFYTDRNNGEI VGLSLGSISPSHVLLVEGIDAQTLKLAQAIGDDRILLIRSVDVRDRLQLLSIADGKVT AMDSPIDTVFHVGYDSASQDIFIIESSFSRPLSLWHIDGKGLGISRAHSVVTRPVKLY PELNSDASGVSNKQVFYSSADGTKIPMFLVSDDLHPISADTPVLLYVYGGFGISVIPH FRPDFLVFIKAFRGIVAFANIRGGGEYGRSWYLAACKERRQRVLDDIHGALKYLTDTL GVKRKPVLMGESMGALNSMSAVVQHPDLVSAAILNAGPFDVLHRGKSGLGMRGLEDIG DEKVPNEFAAIFKWSPLENARVGHQYPPALFTAGDQDDLVRYSNSCKMVATLQHVQRK LEGNSAIYLRICENLGHGGAISTVKAASISVERWLWLKTTLGLKIYDE VE01_10685 MPDAPATSELREALRVKLPNTYSGNRKELEYFNDDKFPTRESYA LWTSSYLRGEALRWIEPFLKDYFRHESTNGSMAATQTMFGSWKGFCKEIRRMFGDIDE IKTAVDHLFALKQTGSTLTYSTEF VE01_10686 MSYCPIWLEVEDGNLRQKKAEAEKKAEAEKKAEAEKKAQAEEKA EEERKAEEERKTKKERKADEERKAEEEEIFNARVEHVNDAITESISLQFGGPTPVEPG EPEWLAWDWNDGLLLRSGGSSSSCPNVRVRPGLWARDDPKALDTLVNPHAPFLNRLAQ LCTSARVQECTRSPCTQQRAIMERFAPECGWPRFRQWAIAAMACQSCFTHAQKLTRAH THLVTAASLCHWLLIGYRHRSRPIEDEIVGKRRNTHRVDLASVCEVIYWMLTIQSHIG LGNVAEGKWGPEFLPVEVVQTAVATARARARQIGICPWRLEKLTEVAERKEADLPALM ELAQHYPALSNFKVGPGDPEYHKDRYHGFCTPEECGPNKADTEQMTQLHKCPPWQRDA CGQHPVKYSVKALERSIEAKRGSQWSRFKPRMAAPGEPYVAISHVWIDGTGVGNGPKG LNSKASSNQGNVNRCLNDYFTRIAERENCSAIWWDTISLPINPKIRLQEIHKMQNTYR DATHTIVHDSGLLDFEWADDGSPCVALVLSAWFTRGWTALELHVSRRSLKVLYKGPDP QTPLIKDLDKDILAHDPRNTTRAHWIASSIIRRLRQRVENVRDMLSILRPRATCRVKD RTTIAGLLADLDPPTCTECTNPPSEDDPDAKRQAFNKHVEMHTTRRVLTTLGKVGCAS LIHDKEPMENSGPFSWTPSAVHDMPVNSGGDLQPGIFGDGMLDVDQYGRVMGSWHYRG LTRDDAAYFVEAIDSDNEGMVTRITDALRHWQNCLILRELPQDKGPALLATTVGKESV NGRTITDCHYVGGVTVRADNNPYGESYDIRYSYGTVRFGNENGRPDMRARDIVQLRDA APSNEESYDGEDGEEIPEDGEEEGWIGSDSNGPRRPRGFVATGLPVSASLKRLNPRVT TTRSLITEEKSVGADETRQKSAGAEEQESKGGAEKSKVELTPDAAKQQIVNFVAGYKV QKKKGDDEFEDIDETPSKKLKTVEEPAM VE01_10679 MDILVRRSGSDIPWEIVEAFKTNATGIPDYVTPEYLAESQNHVT NGGIIFLVVFAGLIYLLRAYGRLFVIKSFALDDWLAGLSLLCYITFSGLCIGIINTGA ARHNEYVQYIVTNSQLDLAQILDFWAHLVYATALFVCRMSGLTFYHRLCGRSGSLIWT VRCSAVFLVAGYIPQIFLIVFHCKPVTALWPNYFQSNVNDYKCLPWVILYATNSSISI LSDLILFVIPIIIILKLKATTRTKLALSAVLLPGVLVIIISIFRLWIVIQSNGVFVDT SWVYGPQLVIECAEVGSTIIALSIPGLKPLFQNWFSLFNLQLKSYSRSRGLSRPTHNT STKITEPGLSRADCYARAPSESSVGDRQYPLGRAYGADKGSDDELLDMERGNRKQSPG IEVTTTIMQMRDLAKKEENM VE01_10680 MHFLGAAVSAVLAVRGVVALAVANTTATNSAIADDTTANTSSDG LVASSTASYGIKPKVVIISHFGLEASVWYGIKDFDVLARNITVPGFSPRYPQAHCTLN GEICQVTTGEAEINAASTISALALSPLFDLTTSYFLVAGIAGINPQRGSTGSVGFARF AVQVAQQYEFDIRDLGDNFTTGYIPYGTQVPAPAQYPTNVYGTEVFEVNASLQKLAIA LASKAKLSDDPAAAAYRQKYQFAAAKKAPSVLACDVSTSDNYFHGKILGDAAANFTTL MTDGKGVYCTTAQEDNATLGALLRAAKAKKVDFKRAIVMRTGAAFDRPPPGESAFQHL FYTDVDGFGPSVDNIYLAGIQVVKGILRDWAPTYKAGVQPTNYVGDIFGSLGGVPDFG PYPNFGEA VE01_10681 MASQGYHTTAPSAMQDICPNSASILSHIAPGGPTLGRGQPRTGR AGRAFPGHEGHTQRPQRGDRKATETSNGHVAAPLLAVTALATPHRKLDNVLRALTENS KSSILISEECGRHGNKGCQLCTSSTTLIVGPPQGQTPIGIIAVNRTTTRAEKLEMGEA KVEGWLSSPNQPLNLQPVSQGKETA VE01_10649 MAHGSGLGAGDSTFGTGMGSVNLNGIMAHGSGLGAGVPTFGTPY NNTGTQMSAYPSSIPPNEIMPFGDDFGPTFCNGDLLLDPFAPNEITPQANVFGASTAS ASSTRYSSKGNSKSLASRASQPSLFRQLWADLHPSDDESDGGRTSATKSRGGKSRAAK GLKGRRSVQSFAEKKAEQQRLPFSALTQTEQPLQGTMSFAPSAPWQPTLLDTTADAQP QVTWLAGGASATKFKGNRKGGIGRSASRSRGTASNSPFNDSNPSNPLFQGITSVQSSS NGNVDDSNNFNWSYLNFQDYQDPKSALPQSNVLGVGGTSATKSKKDKSRTTSRSASRS RGNANYYSPFNDSGSPELLFQGITYVPPPSTGTGPEVPGIKQTLDEMIESNFSIQAMR DALPQSNVLGRSSSAYGSRSGTPTNVSAGEVIVSGPSNQSLIFPETFSDTASIAAPPS AAVSVASHNTFAGHTVNGKKSMPAEQVRYQANNRPQPGPNVPYSGTYWDHENIMRNSG IPGPYLHYPVVQDSWELYKKGPPGQLRTISSKNDPSKYILALHPPTGAVTGKGHTAMV EGHYHAAVHSKKR VE01_10650 MAERDRASSERDRACEERDAATSQCAKYYHDIQLLTSTITRLEE QVARQEAAARNHASKTRELEKQVGVLSQQVAGKRAMGPLVVFANGGGSNANGTPTKMG RTVEEARAEVLKGGQPITAQLLSQFDSLPPSPQLLGPLTPGAGELPCGPAKPCTLLNT YASTTSRVIAACNGVAQNGIDTFPATSLIPFESNEELIAGFVATFDDVYLMVCGWVTT YADRVNPIDEKDIAGQERCWAYMLGCLSPLGEKDAAFVIGSFQRDTATRRWFVMRMVV QYIQMHVWSYTTWLGYSDASDADLNRICKRLAEKGVTLDQRGELLAERSLCVSAIMGT QDYRGFRKYMIAQHTKKLRDILPLVLNPGINRSDAGRDLAVVVAKAFDLSAQLFTCGW TFIISMPEAGAKFAKPSMRARNSDVEPLELQMRGTRIRFAVTPFVTLRDDSGLAIVTR NIDRSSVLIEQ VE01_10651 MSELDVEALLDSTAATSTEQNGSSTTKPTRDGDDRHRTERNERR DRDRLREPSRDRKHRNRSAEIDEDAKKPGSEHGSAQGSQKSERRRSRSPRGGKGSRDG TPPLTEDERDKRTVFVQQLAARLRSKELIAFFEKVGPVKEAQIVKDRVSGRSKGVGYV EFKNEESVAAAIQLTGQKLLGIPIIAQLTEAEKNRQVRTTEPASNNPNQIPFHRLYVA REALEKMNGFDLAGRPIRVGLGNDKFTPESTASLLQRFQGQSHQQQFQGSAFSGAGGR GPQASGGGGGGGAFDRAGGRDGDKGTGGASALDDTDVGGVNFNNYSRDALMRKLARTD EPAPATNGRDDRREVARPRTEVKPPPINVNTASRCVVLRNMFDPAEETGENWEKELED DVRAEAEEKYGHVVHISLDPNSQGDIYLKFDRVQGGENAIQGLNGRYFGGRMISATPV VDAVYSTMFSRTKAI VE01_10652 MPSRTLPTFTKAEVESHNTATDCYVTLGEKVIDLSDFVDSHPGG GDLILEYAGKDVTEILKDEVSHAHSDAAYEILDDGLIGFLSDESTDSSLKRRNVNQAT LNGNGAANGKATGALDDEGRMVYEATGVGSAEDLSKDTDLVDDYKKYKFLDLNKPLLM QVFFGGFSKKFYLEQVHRPRHYKGGDSAPIFGNFLEPLSKTSWYTIPILWLPQVAYGL YLSHEGLGNLGVVAFFGLGLFIWTLLEYGLHRCLFHLDQKLPDNRVAITLHFLLHGVH HYLPMDKYRLVMPPTLFLALATPFWKLAHTIFAFNWYMGTAVFCGGVFGYVVYDLTHY FLHHAKLPEFYQDTKKWHMQHHFMDYENGFGVTSRFWDRVFGTELIMTQPAPKIVKST VE01_10653 MSLSSRGSVFLVLCLVLVLCPAPALAFGAGNIPGISTVEGQNWR HGDIEDMLKTVAFLKGHKWSTMMIKRVYFGNWLRDYSQAIDVGSVKGVPAPTIRILVW ILSFLSFGYATGEFEVTEERLGVYRPEEHIDNPKDYADNEDARKYDPRLRGPIQKEEL LIDPATGMKNYIANDRGGWATSSGYIRYSVTRSIHFGRVYTHGGGGSSGKDADLSEAL RCLGQSLHCLEDWGAHTNYCELALIELGFNDVFPHVGSATKINLNGKHVYPLTTGTFG AVDFLHSMLGEATDHFTQSEIEEMDLALLNAQLATKGEGTRGFFGSGSNGGDDFLNLL SQIPGQGSGLASQARDLQAQSQAQEYENEATRASGGQTFQAPPGSVGGPPGPGIPGMS PAFDAQKTIARIYPILEFRDKIVKSINATIAKIPGLEKLVETISEKITVFIMSLLAPF IRPIIEKVSKALQDGSGAVVNRILYAWEHPGVPVDEVIADILNVFHHPAARNERLEIH RNMFNTVKKWVDENPHRSNLNHVLGSASVKSGGNHKGGNPAVHSHGPDNSHSGFGGYG QAASAVWGKIQARDLGAMREIDDVPSRGISPSPSTPVGAFGYSSAPGGAPSYGGGSGG GEPYLGAQSGPSGYSYDNAAPASSYQASSGGGYQAQEPYQGGGYGGGYGGAPPQPSYG GPGGPGGYPPQQSGGWQQGPPPPQQGGWQQGPPQGPPQQQGGYPGQGYPGQGGGGYPG QGYGGGRY VE01_10674 MEPFRVHLERVTRVTYPGNQDGFGRGIEMALVKRERKRHIIDQV DRLRELQEIDGEYGDEEAKADCTAVWDEMSRLTTEAFALEHEADDAANENSANAKRLG VSVEILKEAQQKTNRELLEARVDSRVAKSDSEWAKAKLDAAEGVIKQLRDERGKEKAE MDATRKEKERLQIELDAMRKEREKGEADLDAMRKERDKEKAEMDALRDERESLNAMRK EKERLEADLDAMRKEFQDEKNKYTVDLDALKQSDAAALNAARDATSRDAATISTLRKK IAEDGLRMATERLQAHTSAQDSATRIAVVEAEAKTAVDGLRTANAGLVGENRRLLLQV GRFMQEKTASGLRVTDLADQVATLNQEKVVIMAAQENLVRDNTRKGALVEHLQGDILS GYNAIKEKTLAIAKLEADVSNAAKTQDVLAKITTAIEAANSGYIVRLEHENTALRQNS VVAGKVEEANQSLRGQITQMTVRAEKAEALYDAQKNEHSVKIASLEKSHAIAESLGKL NSIANTSTSRLSTIENAVTMQSEQIKRGMADTQRRLSKIGIAADLNGNRIGDTVFELD LLSKSVAEMAGVAMKSDGFSAILSAFGDRVDLTKDMLETTIEKAQRAIIEEASTARLE AHSNRARIISEIDSSMRAVQSKVDDTVTNSRNGIRELLWDLRRDSVMSSIFEMSIKRI SDCLAQMDEKVQRLQKSVDGSEDSRMETILTVIGDSATKGEVTKAVANEFKRAAADER ERVRKAQQEEEEEAERRRKARVSAIFSFLVHFIVVIHEHEPSSAPGIIYDDESAARWA ISLLADCELPLAAHRRVSITERNRSVVDMPPAVSFVIPAMSFGDTPMATSSAEISDTT GTGGPINSRHAAGSKHRELDADIPMTKARLPTPVHTSVIEPNATEASATETSPVVPSV SGNSPLYIATNVSGHSPVMPPANPVGPIASTSATPAGPVPVATSAGPSAVPVPSRIEA PPGVAVGSLDFRFLVSSTLGNGVFTSHVHEETLGTITAAIIPLRDRKKWWRPNPLAVR CVFHRVNRRRNRPDNAHEAGNWCCGGCIEEGTPCIMYGADGIPTILPLAPRLRSVNAL PATAGFFLLEPIVIG VE01_10675 MPSIRESEGDRYSAPRCRADLVEALVITPGPFDDTRIDDEMFDT RQANDATTRTFKSTILRVLMQVNFLVIRGLALGNLPEEARTTLDTFFTNDYINLGDNV PGVYVNYIVDENGIAPTKADIIEILAVMREYLGNDSEVLAEQVDAQFGGSRKEWRYAH KPETKRVQAAFIKGMEKRLGIPKGADAQDSAGSEADIKVEAEPAGSEVDVKVEAHSDA DADADAPSRVPATAYAQSDDDMDTPIPCGISEVGFSITPLKRIQDHQKHTNSNSIMNL FEAAARYLFGNRYAIQGYVVARVVDPTLAGLSEAVISRLACSYTDWGGGFNGKLAGLS VHGLNNMPTVLADTKLTREAWITHEANEDMANVEDERVRVQERIAFLEQRIASRHDRH NYATERCIDAAEAYLLQSGRRADAYEKIKEEKEKWEEFKRKAEEEKDPDWLKKVRDVC GAT VE01_10676 MDINSQDASTARDEVPNSEDGADDNAFIGSHNSQDRPTRNGEGG SGDGYLTHSQQEKVARQRETDDQICGTFVIMFIYRSIISLQGIIRCIGMAGGELDDTE RHAVGDRVITSTRALRGYIRGGLVRPVGDNEVWEREVGGGDLIRHLMAAAWRIGMAME AAGRQVEDVECRVIVMQLERAFDEAFVLLRDAFE VE01_10677 MAAKAKAGLLTEFPELVPAKIGYYKNTPAGCVLDPNGGNVWNPT IGSGPLRMAMIPKDQPLSHGVMIVMRYWSLLPPDDELYFPPRSLWDYKGFVRSGSIQK KASPGQGIKSKPLRNSLNKNGTTLYDSSGDLMTIDGMTLRGCLGPDQNRKPGSVTEKN FQAQPNYAEWWHGIVHGVRIADSVQAKAYADAWLKRYAPRKMYDSMGLRYRRLSCEKR FNKDASKMTDSPIENPTPVYSRGGYNSTSDSEDQDGLITKKQKKAKVARKPKRASEKP KAKRIPNVVDKPVDSDEEDDDDDDGEEAIIPKSRSVNLWRNQPSQSAGTKDGEKKKRK LLDPFDSEESDRKENPDQVIARSESVLASQSNAPTNKENTGFDSDEERRLSAEAKEIN EQKNAFAQREKELKQKQIQVAERRRLWEEETQRAAEAKRLEAERIKKQRLEAEANQRI EMEKRQVAAERVQQELADQDKERYEQEQLDHAHRQQLLREGYIFKKESEQQRDNRTSG DTQDAEAEPDVQFLYRNESLKPHDGNYKHQGFEDEDEDDLTFPQLFKPNQYEEVSRFT QHEEARNRQQAIKLQIAPRSLDQNGNPSTPQPGVLSERDCYSDLCTLLGYQAVPVGTP NPWSREFLPRHQDVELPKMFVMGGILSAHDQLGKIVNASEQLKLSDIKEVQQQVRATF AGIDLRFEELKRKRGEEQGDDQPAAKRQA VE01_10678 MSLNSVLLTGGTGSLGASILSQLLSKGHSVTAVVRSLKKSAPFL TRQYASQVDSGKLKLVEVPDMTVPHAFDELVKTVDAIIHVATPLSDSDFQRTLIDATL AINENIFAASLKAPSVKRIVITGSIVSTMALPDVLFRPITVSADDYSTITNEQGLSSL PAAYQYAKTSSEKKAWEFMKTAKPSFDLVVLLAPAITGKCIQEGFVPSKTALGGMAEI YQNVFDVETPGLVFPFVMDVEDVASIHVKSLDLKVAGNERYLFHSGHPQNSSEIAKKI REEYPQLRNRVPEVLDESAESPVKVKVDTSKSDKVFGGPWKDWWESAKDTVDDIIKFE K VE01_10691 MEAALFGAANNAADVDSDLSALLFSIYFAATTSLESSVVARIYS DEKGCLLSRFKEGLEQCLAGSNFLAHPTMKSLQAMAIYLRSLRAYDLGRSSWTLFGLA MRSAQSVGLHRDGSNFKLPPFETEMRRRLWWFLWSIEAREAEDHGISVSDYMIDLSGT RFPSNLDDGDLYPGMTELPASKPTWTAMTFSLATWETSLLIYRTMSSSSSGSSHMLSR EQLLRDHLTHLEEAYLKHCNPDIPVQRAAILMGRLLSAKASFITQQQELKRIFDPAQP ASTATEESLITACQILEMNIQLQTEEILRDFQWVFVTYTQYHPLLYVLWHLYIKPTGP NVDRAWATVLQAFEIASRRESSAEGGSKWSMVQILKQKACRRTQESAEQQLTVAGSGR CVASSTPSALDDIQNPSLWDMDTMDISDWNNLTDNFNVYDFEG VE01_10692 MSSSGPMSRVDKPPYSDTRTLAANQHASPPPSASTSNENDYEKA EAPPTTVTFGDAPDGGAAAWLTVLGAWCISFCTFGWINSVGTFQDYYERELLKQYSAS TIAWIPSMQIFFMFAMGPIVGMTHDHYGPRNIILFGSFLHVFGVMMASISSEYYQVML SQGVCSAIGVACIFQPALSCVGGWFSKKRGAAYGALSTGSSLGGVIFPIMVNRLIKEV GYGWAMRISGFLILGLLIVANLTTRSRLPPHPHSPTKDELMRPFKEPMMLLVTAGTAL LTFGIFIPVTYLVVEATASGMSAELAQYLIPILNAGSLFGRAVSGIMAVKVGVYNTFI VVCYATGIFLLALWVPGTTNAAIVAFAVLFGFSSGAYVSLVPGLIAEISPPREIGFRT GLMFLALSVGGLTTGPMAGAILAKENGDFLGMKIFAGVFVMVGTTVVLAARLYETGFK LVAKF VE01_10687 MDEPSNPELESFRQQWRAEVSAKSKPGDSSTSKKSTQPPSKPTA RRPSVVPKAPPRPSADAESDNEPSDEDADLASPAPLHPPSFEVSPARSAVEKDVEKFS GTAPKEPESALEHYEKAAEREAQGNLGDSLTHYRKAFR VE01_10688 MIASLLPARFRGPQDTKANAQPGWLNKNITPLLQALSRKACIHP IHTVVIVAFLASTTYMGLLEGSLDGFPSGAGKADWGSLVEGSRQLYVGKDTAWKWQAQ DADAPIPSEAERLALLTFVFPGSLSSSSPDAPLSHTVPLPNNLSITALPSTSNPLASI SQDSTLAFSIPYSQAPEFLASAQEIPNVDNEADGLGVQEQKLWIMKAARAPGASGSVR LWALNAWSKFVDLLKNAETLDIFIMVLGYIAMHLTFVSLFLSMRRMGSNFWLATTVLF SSSFSFLFGLIVTTKLGVPINMVLLSEGLPFLVVTIGFEKAIVLTKAVLSVAVDSRRA PVPNSPGSSKDDKEGTSPPSIQHAVQVAIKEKGFEIVRDYAIEIAILIAGAVSGVQGG LQQFCFLAAWILFFDCILLFTFYTSILSIKLEINRIKRHVALRRALEDDGLSQRLAEN VAQSNDWPRPDGEGSDGKTNIFGRKVKDSSVPKFKVLMVTGFVIVNVINLATIPFRSS KNSSAASSVSGIATPGFSALDPFKVASNGLDAILATAKLSGLPTVVTVLSPIKYSLEY PSIHYATHHDQHSPYSIEYGGSASDYGMGGRVVDSLLKSLEDPVLSKWIMVALVLSVV LNGYLFNAARWSIKEPETPILAIDPIALERAQRLTDDRPSPAANQYDENLRPTDRLPT PANTDDEGDLVILPKVANGSVALRRTTEQIEKMLLEKRAPELNDKELIELSLSGKLPG YALERTLKDTTRAVKIRRAVVARTAATSHTTSLLEGSKLPYEHYNWDRVLGACCENVI GYMPLPVGVAGPIVIDGQSYFLPMATTEGVLVASTSRGAKAINAGGGAITVLTGDGMT RGPCIGFETLERAGAAKNWLDSEAGQKTMKKAFDSTSRFARLQTMKTAIAGTYLYIRF KTSTGDAMGMNMISKGVEHALKVMSTESGFDDMQIISVSGNYCTDKKPAAINWIDGRG KGVVAEAIIPAEVVRKVLKSEVDALVELNISKNLIGSAMAGSIGGFNAHAGNIVTAIF LATGQDPAQNVESSNCITIMKNLRGNLQISVSMPSIEVGTLGGGTILEPQAAMLDLLG VRGSHPTNPGDNARKLARIVAAGVLAGELSLCSALAAGHLVQSHMAHNRSAPATRSST PAPGGMATPVTLAMTGKR VE01_10690 MGEAVTEIRAETLNGPTDVERIEAPMTTKAYFLCAFAAFGGFFF GYDSGHIGGVLGMKYFIHQFENLPYPAVGATDAESAYFVVSSSNNSLIVSILSAGTFF GAILAGDLADWFGRRTTIMLGCLVFIVGVILEVASSTFALLAVGRIIAGFGVGFVSAI IILYMSEIAPKKIRGTLVSGYQFCVTIGLMVAACVDYATKDRMDSGSYRIPIAIQFLP AVVLGVGLFFLPESARYFVKKGNLVKAAAVLARIRGQPVDSDYIQQELAEIVANHEYE MQVIPQSGYFSSWANCFKGGLTNPGSNLRRTILGTSLQMMQQWTGVNFIFYYSTIFLQ SLGTISNAFLISMIFTIINVVSTPISFYTIERFGRRAIMIYGALGMVICQFIVGAVGT ALPDDQNATRALVAFICIYIFFFATTWGPGAWVLIGEIFPIPIRSRGVGLSTASNWLW NCIIAVITPYMVGKDKANLGPKVFFVWGSLCLCCLIYAIFLIPETKGLSLEQVDRMFE ESTPRKSAKWVPHSTFASEMGLAEKAPVAHHGETLEV VE01_10661 MRSAVLLSSRWTAYFVFLSALCCLFTPAVMVKTENFKTCDQSGF CKRNRQYATTAAEEGNRWVAPYSIADETITFSGGQLQAVIVKALDTQGQFVKLPVTIS FLQSGTARVTVDEEKRKNGDIELRHNSKARKERYNEAADWALVGGLELNKDAEVIERN KETTTVRYGPNKEFEAVIGHSPFRMEFKRNGVTEIKLNDRGLINVEHWRAKIEREVKE VKEGEEAASEENVEGSVDDSTWWDESFGGNTDTKPRGPESVALDITFPGYSHVYGIPE HSGPLSLKTTKGGDGNYDEPYRMYNADVFEYIVDSPMTLYGSIPFMQAHKKDSTVGVF WLNGAETWVDVIKETEAHNPLSLGIGSKTDTKTHWVSEGGLLDVFVFLGPTPTDVTTA YGELTGYTQLPQDFAIAYHQCRWNYVTDDDVKDVDRKMDKFKIPYDVIWLDIEYTDGK KYFTWDPHTFANPIGMGEQLDEHGRKLVAIIDPHIKNEGGYHVVDEMKKKDLAVHNKD GDIYEGWCWPGSSHWIDAFNPLAIKWWTGLFKYSAFKGSLSNTFIWNDMNEPSVFNGP ETTMPKDNLHHGNWEHRDLHNINGMTFHNATYQALLTREKSEAPQRPFVLTRSFFAGS QRLGAMWTGDNEAKWSHLAASFPMVLANGIAGFPFAGADVGGFFGNPEKDLLTRWYQA GAFYPFFRGHAHIDTRRREPYLIGTPYTEIITQALRLRYSLLPAWYTAFHEASVNGTP IIRPHYFVYPGDEAGFAIDDQFFVGGTGLLVHPVVTEGATSVEAYLPDDQVYYDYFTY KTYQGHGTHTVQAPLDTIPVLMQAGHIIPRKDRPRRSSDLMRYDPYTLVVTLDSASNA QGELYVDDGQTFEFEQGAYIHRSFSLNGATD VE01_10662 MADSNTSNPPTEPTIYKFVSPKTQGYLRAAAKHRAEQENSQTNN MSNEQTFIAIKPDGVQRGLVGPIISRFEARGYKLAAIKLCTPGKEHLENHYSDLSTKP FFAGLVEYMNSGPICAMVWEGRDAVKTGRTLLGATNPLASAPGTIRGDYAIDVGRNVC HGSDSVENAKKEIALWFKPEEVISWTSAQAAWVYEK VE01_10663 MRPALLRPLRRLSPGRPYTTTKPPSPTPAPATPPDTSTRTRLNK LLDRTPKFLHPYTNGLRNAPVSHIISFLILHELTAIIPLIGLSAAFHYSEWTPEWMRE RGEEYEGRFRRYFGRKGWFGVEAVGDGGVAASGVDGVAEGEGMKAEGGGGGVRIVMEV ATAYAVTKVLLPVRIVGSVWATPWFARVVVGRFKRLGGLGR VE01_10664 MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSDEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKELEEES IRDNFVVIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSSTGNVLRSEILGAVKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVENHSGSRIEYLLKAKSQFKRRSTANNVEIIVPVPNDADSPRFRTNIGSVHY APEKSAIVWKIKQFGGNKEFLMRAELGLPSVKGDDDQGGGMMGGFGGSMGGVGGKGAK RPISVKFEIPYFTTSGIQVRYLKIIEPKLQYPSLPWVRYITQSGDIAVRLPDVTGV VE01_10665 MVRKPTPLVFTSTASSNDGSSASASAINEPPQSAHSVKSPGTPR SFRFSKKPSLAADNPENLLEMQAPEQQQHQFPQSARIPSSPHLDSLGNNQQQAAPDGS TIPEGQRAYTPSTSPQRTGFFANMKASKSSNRLQQAEAKRPATRDRTISKDSVHQGGP GAVASSDAPNNKPRDDRSTTRRPVATPSRSEPQLNTYADSTPVDTVGAGAQTNHNSAS RRPKPKGFNLLRRTSSIRNDSEPMSPGESSTLSPNDQDRQQVLEGLRTAPLRADNDRT FRELIKNSNSRNRSADRQPPAQRPEVRREPKDTHRGTPSISMSRDNGGTSLMNSLKGA GTKAASSFGRNFFGKSARSGSTNEKEGPIDDEHYVLKVLNLPLIEQTRVSRISKQLET SRDKTEFWMPSFPWRAIDYLNYRGTDAEGLYRVPGSGPQIRKWQRKFDEDLDVDLFAQ TDLYDINIVGSMLKSWLRELPDELFPKDAQERVSKESPNCEDVPQVLIDELSNLPPYN YYLLFAITCHLSLVLEHREANKMNYQNLTVCFLPCMRMDAYCFRFLVVKWRDCWKGCN TEAVWLEKEARWREEANGTKVVDDGRTSIAIDDRNVSSSDSSNPSSLNRGNQQRPAQS QEQPAKTSTATAAPAENERPGYQYAREAPASGTTNGGSSLSAADQRGLGGGREMLLPI QPMSPMYMPGSALDSS VE01_10666 MAPPNGKAAAKQRSIASFFTPKSTVNGLSQKQGTPDQATQSTPS TTAVSNGLGDADSDEDIVAPTRRAVSAATKRKSPLDEDADAVNVGTDRVAKRTKSGDN DTQSSFFEGNAPNKSPRQLENKPTLSGRTEKYLYNGTQSQEAFETAEPEEEAVQRQKQ RLHDKFVKKLGHPDSMAHIKRRNWQITEETAALDDEDAEAAEDEEPTPPPAKGARKGA KSKLTPMEIQFLDIKRKHLDAILIVEVGYKFKFFGEDARVAAKELGIVCIPGKYRFDE HHSEAHLDRFASASIPVHRLPVHAKRLVAAGHKVGVVRQIETAALKKAGDNRNTPFVR KLTNLYTKGTYIDDTEGLGEATAGTPGGAPATGYLLCITEAKSKGWGTDEKVDVGILA VQPGTGDVIYDSFEDGFMRSEIETRLLHISPCEFLIVGELTKATEKLVQHLSSSSTNV FGDKIRIERVGKEKTIAAESYAHVAQFYARNIKAHGNSTDERSTNLLDKVLKLPESVT ICLSSMIKHMSEYGLEHVFDLTKYFQSFGARSNMLLNGNTLTSLEIYHNQTDHAEKGS LFWTLDKTQTRFGKRLLRKWVGRPLIDKERLEERVAAVEELKDGNQAAQVDKLKSLLM KVKSDLERSLIRIYYGKCTRPELFTVLQTMQRISNEFAHVKSPADAGFKSSLLNDAVA ALPTIGDAITDFLEKINAEAARNDDKYAFFLESEETEDIGDHKLGIASVEHDLDEHRP IAAAKIKKTNVRYVTSAGIEFLIEVDNTNLKHVPASWVKVSGTKKLSRFHTPEVIKMI RERDQHKEALAAACDAAFSNLLSDIAAHYQAFRDCVASLATLDCLLSLATVASQPGYV KPEYTGDTEIAVVGGRHPMAEQLLLDSYVPNDTSLSADGTRALLITGPNMGGKSSYVR HVALICIMAQIGSYVPAESARLGMLDAVFTRMGAFDNMLAGESTFMVELSETADILKQ ATPRSLVILDELGRGTSTHDGVAIAQAVLDYVVRDLKCLTLFITHYQTLAGVARAFGA GELRNVHMKFTEQGRVGDEDITFLFQIGEGVAHRSYGLNVARLARIPKGVLEVAKEKS RRMEEENRRKLVGGLAGLLGRVVGGGIGEGELDALVKGIEQL VE01_10667 MSKLPGNATVPLNCSLCPKNPQFSDISHLLTHISSKGHLSCRFK LQIRCQAEPEARSQLDAYDAWYADNSLEGLLAERLVTKEQKNTVKRMRSFQKPLIKEN KSESETNERKIEDMDSSISQLMATPAYKAPVPRIHLWSTSPALLDTPSDSVPSSRWDT NDAYATPTMQRFVPNFTQDDSPGTQNLFRASPAQVKRVELQVDADSDNSRLKGVYWPG MDLFDSATAEMKRMRNQRKDGSILAQMQTTSREVEPNEIIYNTQGELQRIRDIYDSSV EGSPVKIEKAPSPKKRRARKSKASSDIPKPNISDSKNVAKGGKQKRPAAKRKAAAVPV VPTVTADTELNQKVPKQNVLGDSSSNLFTRSNDEDEEFRLTVENMGKKRQMSVFKDAV EDSPGRTESPLEDHRFDFSHSLPLENVSSNMIVHTSPRAIQSPLAMRFGGKENMQPDY LNRRSGAASAPGNVYPPHAFHDHTANPLIYNPYSYSFGFGEPPAFHGDPKQLQSGFNG DFKPAVAPGHFRESNSTRRQNQYTNKGFSYAM VE01_10668 MSLVSGPGRGGDSSQLPEGLSLAAEAHVKYIQSLDTRKDELEYH YTEHLRLNGVYWGLTALHLLNRPDALPRSETIDFVFSCQKPDGGFGAAPRHDAHMLYT CSAIQILATVDALDELDKRGPGKQKLGEWIANLQNKESGTFAGDEWGECDTRFICCGF IGLSLLGLLHLADVDKAVGYIKDCQNFDGGYGVSPGAESHSGQIFACLGALSIAGKLD TVKKVELSGWLSERQTEGGGLNGRPEKLEDVCYSWWVASSLAMLGSLNYIDGKKLETF ILKCQDSERGGLADRPGDMVDVFHTVFGVAGLSLLGYPGLAEVDPIYCMPKKVTDKIL GRKTS VE01_10669 MSEDRTRANCRKGTVSCTECRRRKVRCIRSPEDAPTCRRCEERG STCIAQLYSSRPRNAQRVSSRYRISQLESQVAGLSKIVHDIGLSIGHQPTQIPKPAHS PSSRVDDDSDDDSSVSDVIITADPPSHLRSLFQNDWLSVDTRQQNEQLQDRKAKASVH LLDAARHELQKLIPQKDEVIEVARSASKWLGLIVALLPQPFAIESGREMVELYDEMHK PDADAICLGSWLLTIAIAIQEMPNASHLSFSRAVSDRVESTILSHDGLICTLAGLGMA LNFCRLQISHGNFQKGWLKLRHYISIAELMGLPRSSEAYQLNGSTGRDNDETQLQRAQ MWESLCSAEGLFGMIVNLPCASQYQQSYSPPLAIDGVIQPRAYLIRLMNISTKIQYRD AELYASTLELDRQLNVLVSQVPKSWWAISSGKLQSEHVVQFLHYCVKMRIHLSFAMRR DTGDEYIYSRLACKDACEFSVERYQFLRQALPSNIFISQALDLQAFTATVVIILTSYS LRDRLSGRINSAVALVIHIMEERSRKSFGFDFALCGASTIRSLMKLLQDDKISGLQEL TLRVPLLGKVHVRRNSHGSKKAEAQFTPQDQGLPLLTSIPPAQGEYQWDSLSWSIDDR YEDFFQAALNAGSFDQFAMWENGYNTF VE01_10670 MIFQGPLDQEGSAGTTLNSTSTMISEKYMTHFNLAVSSDPRHAF TEDVGTGFNAGVFSQWPKSLGLAALRDTALVRRFAEIAREEYVAVGIRSALHPQVDLS TEPRELIAEYIKGFQGDKLGPQSVKTVTRHFPGGGAMENGEDSHFAYGKNQTYPGNNF EEHLKPFKAAIAAGVTEIMPYYSRPIGTDYDAVGFAFNKGIVTDLLCGELGFEGIVLT DWGLITDGSIRGEPFPARAWGVENLTEVERAARIIEAGCDQFGGEDRPELVVQLVREG IISEKRINISVRRPLREKFTLGLFENPFVDPGAAGRIVGNDYFVRLGREAQRKSYTLL SNKRNILPFRQLNNGTKFYIEGFNTTFMDVRNYEVVDTPEQADYALLRYKAPYEPRPG QFESAFHAGSLAFNETEKARQSKIYSTVPTIVDIAMDRPAVIPEVIKQAEAVFASFGS DSQAFLDVVFGISAPDGKLPFDLPRSMEAVEAQMEDVPFDTKSPVFKFGHGLNYANRS AENSKCS VE01_10671 MAPAHPEHYALGPYPIGIVETIGEHICRVRIDNTVEVPQFVRDY GDSTYDKKLPVTCYLDDGTVFFYGFQELRDTEHGCDFRIRIIFPAASPQILFDEHTEH LAIEFRSWIMAVSESCYK VE01_10672 MSTTEKLKSRFPDLNFVKPIEVKNHPRYKPYNVEFKPEHVVLPK GHVKEDGRRKMLVDSVLERDTKIPLRDGLHFSEGTYFFWGEQEAEDIHDTIDFLSKQP WCNGSICMGGNSYLAKAQVNYASKQSHPALKALAPWEGFTDIYRQLFRRGGFAMHNIF AAGYQWAVAGKHEVEDIAKMIKTRPFFDDYWADKYDEVSKIDVPLYVLASFNNPFHVQ GSFDTFRHARSTKKWMRVHSSFEWYEMYEPKSNNDLQRFFDRYCKGIMNGWESDTPPV RLSIYGVGSVPNVVERPETEFPLARQRLETFYLDAATKTLHSSRRDSESSISHESHGF DAPSSDFIVKFSEYTEVAGYAKVRLWMSCKEKDDMDVVVQLRKIDNKGNLLAGLNFPA PVPESEAPEAETAKTYGPQGFLRASAAASRDKARSSPDGQEVFYRHDREDKIAPGTVV PLDITLWPMGMVFAAGEGIMLRIAGHFLSAPVVEAMKPKATDDENIGQHHIHTGGKYD SSLILPVVGISRA VE01_10673 MPSTPFTIDIRQGPDAKRETKDLEAAFARRSMMLVRRLLGEDGL IDLLRDETAASTKFWRKVSADSNGEWKAARIVLSPRGLTSRDFINWFLPTEGGMLPEQ EKLAAHPEHWVVRPGTEPGTMTVLETLGEKPTLFSLSFDVVRADFVEDDPTFTTKMTG RGFIEGGIQIIELYHQFKDHADGQGFDVDLAIYFPAACGEDVVECHRQHLLVEFSNWS KQAYEALKLV VE01_10654 MKFSAAASFATLAATAIAGQDPHRVCCEALGAEKQLRGKVLLPG SVGYDAQLNTYYSANAALHAWCMVMPESTKDVQATVKILTKNQCPFGIKAGGHSAFKG SSGIAKGVTVDFGHLNSTSYNPDTGIVSIQPGARWGSVYEFLNPYGVAAVGARTSVVG VGGFTTGGGYTFHSNSHGFSCDVVTNWEIVLADGSVVNANSNNNADLWKAQKGASGNL GFVTRIDQETVKGTLLWGGFTSYELSERDNVFVAYLDFVEKTEENSPDQAIVALYYDF TGFSIRSILTNNMGVANAPAFDGFLALSNTSSTVTSGPISEIIPQFTGPTPLGLYANW FTGMATNTLEALTIIDDLHHKYATMMQAAAPNSNFSTLVELQPVTNSMVDNSVKRGGN ILGLERVVKDGPVLMWLVSLTVDTEENQKVILPIAREFVDAINKAEKKAGHWIDWVYL NYAWKDEKPFSHYGKDNIKLLHTVSKKYDHNGVFQKLRQTGFKL VE01_10655 MLILIAGITGNVGKQAARAGLERGHEIRGLGRSPSKLDPAVLSG LESFVQSENYYDISALEKAVKGVDAVICAYGGLAELALEGQLLLLRAAERAGIKRFLA ASWNYDWRRISLHDQEVYDPYIAFHAQASLSSSIKTLHILTGMLAEVFFGSHKERGFT PADDGVWDPEPQGADSTGPNQRARSMDVYGTGNEPWS VE01_10656 MAAGVFGPCGFSLPHMHPRATELFAITAGKVHTEMVVENGVRVG NATTGRRLIETDVGANQMTVFYQGAVHSQFNMGCENATFVAGFGSEDPGAAVVDQEVL ALAEGTFLAPFGTVVEGHDVDAFQGKISPSITKGVEKCLKACGINKRAIV VE01_10657 MAPSVEPSAGAQQLANNPPNRAIQSDAVRVHQAMNSGLRPLPDE SMLDHWIHCGIMAVSVYARDVSAEDGSLSFYDGCLTVLIGIWNKNREALINALNQNPT PSPAASMYLGGGASGGGPAYRGLQPRQHMDWIDSEDHIQGSILMMSLAAWDESIRQNS TQFFDRHFANAIATWNQNREWGVMALTRAPITGGSVA VE01_10658 MAPQLEIYVDGKGSVFRTAERGVVHLSISSTSIDQSQAFVNVKG TVETLTQKFRSFATKTEDGRPHPLAGITTFTVSPLNTSSYFQRDSSYREIKSKPKEFV VRSTAEVVFRNLELLSEISHELANMPYVSIVSSEWRLTGPTRMEIEREARVKAIEDAV QKAKDYAGVVGRQVVAVEIKDGPSSDSTYRPSFFGGPPAGQMQQQQMPQLQHQMAQQA AKMASHTMDGPALEPRTITVSAQVTAKFVSVDTD VE01_10659 MAEKRKLGPADKRRQVKRCSACSARKIKCEGGFPCKYCIKTGKS CLPPKQSTGTVLFVEGHTSGETKLQTALSRPKLNIVSGIPTNGDPAERFIGCFLLFIQ QNRFTASFQSLDTEILYLVRTSPLLYHSAVAIGALDASRRGSISVSKGRESPQYVAFS SYRASIRVLQASVSEKDAAQRDDVLWGTFFLGLFELLTDPSGDGWVKHILHGTSMILR LSSPDKNMSSLRRTFYGIFRMLEASRALLYNEATILAEDSWVNFHKQLAPNDGIWDPI EEILALMIRCATFNLRGYEIVSQIPELQRYTDPAVSALGFEGLAIQNDLYAWHAQALL LLGDTTSDSSPLLLALAYYNALLIFLSGNFDYFPYWAPPNAPILPKSEVAAHVAAILQ LTDAALNTSRLAGALMFFPLRVAGSRAGGKEQRGAILGMLSRVAQRGFVVAGKIRDDL QEVWVERGMISDGEDCTLQIS VE01_10660 MLRDKRIVGGINLDGSFFSTVMNKGLDRPFLIFGHENKTQATDD SWAETWSHLRSWKLELGLAKSQHYTFSDLPALLNVLHAPQEILDAASGRVGTLDGLRA LDIVQTYVVAFLDLVLRHKNPKILHQTVAEFPE VE01_10682 MAIPKETLSLNDGWKYTASNDLEAADVENWHNAQPLPTSIHLDL LANGSIPDPFSAKNEQLVQWAGKKTWIYEKQFTVPSQLLRNLTRMVVLVFEGLDTYTT VTLNGTIILETNNMFLSHRVDITEQVRSAQTAQNETHTLRIVFHNADQKAMEEMEKHP EQSWFSFHFGNKRLAVRKAQYHFGWDWGPVLTDCGPWKQINLEIYQTRLTDISIRTHL DTDHREAVVDVSVEMEGLADYARISIERDGLTVESRVISLTDKKTTTAIRIRNPKLWW PWSLGEPNLYTAKVTLFNGCDSSVEELDSLRKRFGIRKIELVQQPLRDQEGSSFFFRV NGVPIFAAGSCWVPLDSFLARATPGKYRRWVQLAKDTNQVMIRIWGGGIYEHDAFFDA CDDLGVLVWHDFMFACGVYPAYSSFEESVIAEVRQNVTRLRHHPSIAVWCGNNEDYAI AYLAQIHVGKADYDPAEMDPDKIKQSGFPARLFYEVRLPEVCKELIPDISYWPGSPFG GSFCNAPTEGDLSYWTVKRENQPITAGLARIVSGDSLELEAWACNMTLRHVSINYEIQ AWHVETGQNVWTHTVSAKIQLDPNRSTELGRTLLDSRLGGEAHYKWNELAFAIRLFSA PESSVSNPAAERNRIARYVNFHEPLKEVPFASEEGKITVKLTEGEIGSIIQLSVSVPM KGVYLDFEDEDTVEWDDNGLDLVPGEMFKVCVTGVKYGGAKKLRVYWLGETSWQSQTL VV VE01_10683 MAADRLLGAAPVACVSCRRLKMRCIGAANPPCARCVKSNRECMV QLPNRQQRHLPPSSSNAVTSPNPLVEHTPSPGVSHESASLAVRTEAASQLQEPSPGLA SEQRNPLLYQTSLPSIFSSSPITIASTMASESDSPSGGVPASHTRLELDQVSHSIILD LVEFFLQKMICYTPVLSLEQLDNPESLISSRRPLAYAMAFVASTHSPGYTSTRLALLP HMLKMLKEPHDAIDNTQKENWTLLQALAVLYAYPRVAAVSKQDKEKQMSPWAVKSAVE TCAMQVSLHQSVEDLKALIESRELDILSSLPYRCAFFWLWLFVKSRHHSVLTRTPPTI PYDQTIASTLDLIMNLDPSPGVWRVLAEAALHHKWDQAARLDKSLPEWWCVLPDIKDG GALPELLENVENLLQEWHSEWLSPTEISSPSRSSVASNFQDPSIFANSITAFMGILTR FNIVSFATPIISHQLVTKTGLGIFPSMTAQSPELSAFLNCVLKSADAAATCCDSILDL KPVAREQLRYMPDYGFTMLALCCLHLVYADNISPENSTLKSYLMKAERVASLMMDLSV GCNVCPKIYGEYVSFQLRNAPHSSTHTFHNCNADQIMADSQRLSVRGHEDSHSWPQLG PTGSNYPPLDYLLDISPMNGYWPAIELNSDGALPLNSELFSMFNT VE01_10684 MAQKLVFTALAAILPLVAAQQIGTQTPEVHPKLPTWKCTTGGGC VQQDTSIVLDWGYHPIHKVNSQTSCTTSSGVDATLCPNEVTCAANCAIEGANYAGSGV TTSGNAVTLKQYVQNNGVTTNASPRIYLLGSDGNYEMLHLLGQELRFDVDASTLGNSC DSSGCGFNPYAQGLHNYYGNGGTVDTLKPITVITQFYTNDNTQTGTLTEIRRLYIQNG KVIQNAVTSSGMDSIKAAWCSSSDAAAARLGGLTTMGQALGRGMVLIFSIWNDAGQHM SWLDSGSSGPCSSSEGNPTNIQQQTPGTYVTFSNIRWGDIGSTFSESGG VE01_10693 MSFGFGVGDFLAVIHSLKRISEEVQGYRDAPQHFQQLNAELRLL ESTLQCVLQIQTTDVNELAGLERIRLIVMHCQQPLQAFINKIRSKESSLGHFRSAGTI SAVGERLHWSLIRRKDVEDLRKVIVSEMVAINILLGLQQLTYLRTLEKDELVFRSDAR QHFAASKALLTNTIDILSSVETLPHEIRHLRGSFASHASKNNKFQGKITEGVSTITVN TELIISQGKKALESAHSIGNQAAKIMATISSLMANVHRLMKLLGEFSKEVLGQIAKNT QMLLDIHAKIKQILRAIDAIPLHLTLDIVRFDDALGESWALPFQACREWETFKDILQT VVFRNNRIGSNRVALGQFMIISARDNSVFQSYTWKSNIKPGAHISQSMVVSKQTIGDR CQFPSCNEPLTDLRKSERGYSWEISKKCGRWSVIYGRTTNLLQLAASGDTNYPLLIGE DQTPRAPNIKGPSLPPVQTLQEDFSSFRRIHVYHAMSPIATLEEAHALLDEDPESARA LQFIAWFNYPHRDNIAEEPRKVDRLRSTIDLLERSIRSDPLDSQTWYLLGRAFMDIGQ VEKAYENYQQAIYREGAFPGYWHSVGVLYYVINQFLDSFDAFTRSVRLNPYIPEAWYC LGVLYESAENQPNDAAEGYERYLKLSQTPDATTTARHKHLLQVAASEANSSKVPPLPM RDVDLRGKSHQQQSAEHYENIRIPGLAIRDAVDSEGDWSDTDLDEDDN VE01_10695 MAARLSTRISLRWVPDEPEELTNTLVMNVVKCRWIKAIDSLGPS DPDEGIFSPLPNGDDLETGSMPCAHKNDAVTDYEEVWRKLDLVPGPKRAWIIQTVEDS SHGGTFLGRVAGSFMALRQKDDLSFSVRSESLDENAQWNVIYAVGQVEGVPSFAEVGI SEFEGEEEWKEASIDNLNLEEL VE01_10701 MAAIGLTASIIAVIDLSAKVASQCSEYYAKVKNARDDIERLQEE IRQLKEILGQAQSLCDGANGAKLQSSQNLRDGVKESKKQLAQLETKLKPRKYELMSRF GRHALAWPFKSHEVEDTMKKLGKCKDSVSFSLQFDQIVQVLSIHQEIVLDRLQSADDA AFDSHAEEHNARCYKGTRVQLIQQINTWASDPSSESIYWLSGMAGTGKSTISRTMAQN FAKDGELGASFFFKRGEGSRNHAGLFFSTIAAQLVQKLPSLAPHIRHAIEADPAISNK ALKQQFETLVIQPFSKIRLDPQKSLSIVIVVDALDECNREEDLCVIIHLLQQVQQITS VRLKFFLTSRPELPIRLGFEDISGRYKCLVLHEVPNPIIEHDISAFLEYELARIRDEY NKSVILDRQLPTDWPGQANVQSLVQMAIPLFIFAATICLFVKDRRCGEPGEQLTKVLK YKTKSQESALDATYLPVLDQLLVGLTNSQKDELVKNFEEVVGSIVILASPLSTVSLAR LLDISNARVNHILDLLHSVLSIPSNPDIPVRLLHLSFRDFLLDSEKREEHPFWINEQK CHQRLATQCLQTLSKGLKNDICNLQVPERLQADVDRQTINAKIPLDIQYACQYWVYHL KEGRNIISDDDQVYKFLQRHFLHWLEALSFIQRLPEAIGMINDLIAILRPTESIELSA LLYDARRFILSHLSIAELSPLQLYSAALIFSPKDSVIRNMFQGYIPNWISQQPVVEPG WNATLQTHEGHGSNVNSVAFSHDSKLLASASGQTSALESRLLSDNTVKIWNATTGTLQ QTLEGHSNSVRSVAFSHNSKLLASASYDKTVRIWDTATGTLQQTLKGHSSGVTTVVFS HNSRLLASASFDKIVRIWDAATSMLHQTLEGHSSVVTSVVFSHDSKLLASASGDNTIR IWDAATGTLHQALKGHSASVNSVAFTHDSKLLASASGDKTVRIWDAAIGTLQQTLKGH SNSVRSVAFSHDSKLLASASCDNTVIIWDVAIGTLQKTLKGHSHVVTSVVFSHNSKLL ASASCDQTIRIWDIATSTLQLEGHSCVVHLLIFSNDSELLASVSGDKTIRIWDATIGT LHQTLRGHSGWVNSVAFSHDSKLLASASDDTVRIWDWITGTLQQTLKGHSGWVNSVAF SHDSNLLALASGDAPDNEAVKLWSNNIVKIWNTATGTLQEMLDGHIDYVFSVVFSHDS KLLASASSDKTIRIWDATTGMLQQTIAVSGYISSLLFDIADSSLITNIGRIKLGKPKL VSVSESSQEGGRKSNRKGLAISGSWITWNAQNLLWLPPDYRPIHSGASLLRESRLAIG CKSGKVVTIGFSLAILNSSYS VE01_10698 MKFTSLCYIAALAPLLSSACLLPEERAGLPRKVPLLRRQSNGIA IGTGDRYSGGTVAPRGVGSQTVTLTSLLNVNEIASGLKGLASIYGISTFTTPYTTYNG ATISGGKVGGNGTCNNAYRVYLNSAIHARERGASDGLLFFIGDLLYANKNNVGLNFGS KSYTAAQVKTAMSTGIIFIPLSNPDGVAYDQSSNSCWRKNRNPASSRGSASSIGVDLN RNFDFIWDLSWWASSVRSEVASTTPSSEVFHGTAAFSEPETKSIKWVMDTFSKVRWFI DLHSYAGDVLYSWGSDENQSKTSTMNFMNSAYNSVRGILSDTPGTNGGYGEYTPAAEN TDNIKAATRIGSAMSTAAGRTYSVIPAADLYPTSGASDDYSYSRHFADTSKNLIHGYT VEFGFGNSAASCPFYPTQAQHNSNIKEIGAGFMELLLAAAEIGLAWSREVDKVGNFGS KFRRKEYGGKRVSRGTKKK VE01_10702 MQVPTTFAVLFFALGAAALPSIGSPTVGPKGNAQCGKNQVISCC NTKSTSVGAGGLLGLGGVLNGVLGGNCNPLTIPIVGVAVPLSQACAGNQAACCTGDQH GLVNIQCTNVLI VE01_10700 MPESHSFHSHHDYYSEDVSNPSPLTSNSPGYYGSSTHFPSGKSW YSDYKERRPGFYKRFVDSFKSDPNRRSINPAINSTNQYNHNGAFNPHKAVLATANTAL ARKLKGRHLQMIAIGGSIGTGLFVASGRMLHEGGPASLLIAFSLIGVMLFCTVHALGE MAVLYPVAGSFSAYSTRFLDPAWGFAMGWTYAMQWLIILPIEIVAASITINFWNKTLH RSIFVTIFLVLIIAINLFGVKGYGEAEFVFSLVKVIAVIGFIILGIIINCAGNPNGGY IGGKFWVEPGPFNNGFKGLCSVFVTAAFAFSGTELIGLAAAETANPRKSLPNAIKQVF WRITLFYIVSLTLVGLLVAYDDKRLLDLDEGNANANANTNPTATASPFVIAIEDAGIT VLPSIMNAVILIAILSVGNSAVFGSTRTLAALADQGHAPKILGYVDRKGRPIVAIAIA IALGLVAYATESGQHGTVLSWMLALSGLSSIFTWGSICLSHIRFRRAWALQGHNLDEL AFVSQPGVAGSWVGVIFSVLVLAAQFWTGAWPINYGEIGVKGQVESFFLAYLAVPIVL VMYGGYKWRYKTKVWRLRDIDLQTGIREFNLGRILKAEREEKEEWPRWKRWYDLVC VE01_10708 MSAFINISYTFIEQITLPSFIAETHDSKDFLKALWAVTIAEMIV LCIVGAVIYVFTGNQYMTAPALGSFDNEVYKKVSFSFMIPTLIFLGVLYAIVSARFIF FRIFEGTIHKSSHTVVGWSTWAGILALTWIGAFIIVEAIPFFSDLLSLMSSLFDSFFG FIFRGVAYILGGHVAT VE01_10720 MTREAVATAIARLNCLEQQQDLLKTRGAKMLCRGLKTLDELEEV EERKRQEAEAHGYLASPSDCLDLIFDDLIFGLKFGICRNRGIPSC VE01_10721 MARNDSASNVGSKGKAAVEPVLLPTTTPAPRGPHPLDKLKIAFL DTFNGDHYISY VE01_10722 MVKRRSIKAQEHNIEIEKAVLAVRSNQFKSAYAAAKALDLPVQS IRRRLNVATRLAIESYVRLQMKSGQISVAFFSLNTYSFNNHNYNYNYNLKYLSYLLGK IGFHDSFKGILTYVLS VE01_10710 MLIHIRTVYLNMIRNGSKPVEGRMNEPKYAAIVAGQKITFYDGD DSDEEGDGNADSKINLGNTLEAEVVEVRRFKGFKEMLQAYGVQAFLPDFNGSLDEAVE VYRGFEGYREGEEEFGACAIKLMVL VE01_10696 MPSVIKVGAAQARTLNTLTETLTDLEEITKKAAAQNIDVLLFPE AYLGGYPRGCDFGAVIGSRSDAGREQFLQYFRAAVDLGDTPEGAGDAWVEKSLPAPKN GGVRGDGTREELERISKQTGVFLVVGLIERCGGTLYCGAIYVDPVHGALGKRRKLMPT GSERLVWGQGAASSLRAVTTEIRGTKIIMAAAICWENYMPLLRQSLYNQNVNLFLAPT ADARDTWLSAMRMIACEGRCVVVSANQCQRKSHLPAWVKGDVSKGDDFVSRGGSCIVN SNGTVLVEPLWEVED VE01_10697 MPSVIKVGAAQARTLNTLTETLTDLEEITKKAAAQNIDVLLFPE AYLGGYPRGCDFGAVIGSRSDAGREQFLQYFRAAVDLGDTPEGAGDAWVEKSLPAPKN GGVRGDGTREELERISKQTGVFLVVGLIERCGGTLYCGAIYVDPVHGALGKRRKLMPT GSERLVWGQGAASSLRAVTTEIRGTKIIMAAAICWENYMPLLRQSLYNQNVNLFLAPT ADARDTWLSAMRMIACEGRCVVVSANQCQRKSHLPAWVKGDVSKGDDFVSRGGSCIVN SNGTVLVEPLWEVED VE01_10712 MPSLRTNLEKLAVEQNPNAKRQKSSSPEIEAVLELPPLPAAVKD FAQYLSNAKDTPVPELLEPYHAYEAELRKFYAQQPDDPVLKDGHINMVPIFAGQESSL KVRARKLDAETDAEKEKYIMGLSKADRKPDGSPAVVTSLKEFQSNFNLFSESSLSELD WSNVVAAGSSVTTALLPVPEKWSGSKKSLREYYHDRLAPASDVDLFLYGLNEEEALEK IKHIETAVKDSVLHEVTTIRTKNAITIASQYPTRHVQIVLRLYDSISQIITGFDVDCA CVAYDGNEVYGSPRALAAFSTQTNTIDLTRRSPSYENRLSKYSHRGFEVHWPDLDRSR VDPTIFERAFRKTLGLSRLLVLEKLPQTVDRERYTDERRKERGRPAVDRHVRDQHKLF GNIKDEQEDEVADWAEEDQVSNYHTMVVPYGKRFTARKIERVLYTKDLLLNSEWNRPK EREVDLHRHPCFFGTAEDVLGDCCGFCPVPKTDEEIEIAEEESKTYISGSLTFVKDDP GRQEIGSFNPITTDDWTEMAYVGNTQMLCQAIVDGDIDYITSWCEQEGNDVNTRDYVG RAPLHLAVINGHADIAKVLIDNGAKIIARLLDGRCALHIAAQLGHADIIKILMDKSLA NEEEEAEKEDKLRAAKLAAKKSKNDDVEMEDADGSDSEGSGMEVETEDDSDNDSETQG FTKVKEAAPGESNDIPDDDEDEPDFYDIN VE01_10694 MFSGPYGGTLSMGEYESVLMVASGFSIAAFVPHLKKLIYGYNTR AVQTRRIHLVWQIKNEGGIVEPLNIALEEDKLDDGYILLISVYVETMSLPKKPFGERP TMYPGSGPLLEIFLAELLGNNIKVYAAEVSAKGQKALEQSELTSRDGGMLVVA VE01_10704 MHTSSICSFLAAAALAGLAAAQTKIKIMPLGDSITEITCWRTTL WGNLQADGVTNSFDFVGSMTNNPQNCQGNSGWDMHHEGHSGYLAINIANTNLQGWLAS AKPDVVMFMLGTNDVSQGKSTTDIIAAYTKMVQLMRASNPNMKII VE01_10699 MSSLELINPSPHEHAAVKPPENASNLVTIDNYDSLTGNIYQYLV LEGATVTVYRNDKITVEELAAKSPNTTGHAIRHFAGKIPVLGVCMGQQCIFSVFGGDV SYAGEILHGKTSTLLHDSKGVYKGIPQSLPVTRYHSPAEPHLTLPESLEVTSWIASPE GGEKGVIMGVRHKEYVVEGVQFHPECILTAEGRVILKNFLKMRGGTWAENEHLQAEAA SNAKLLGTASSSKENILDRIFAHRKAAVIAQKKIPSQRPIDLQASYDMNLSPPQISFV ERLRLSPLPLSLMAEVKRASPSKDIISILTCALAQAMELAGADTVLLIVKMLDEVTLK RLYSYSLSLGMEPLVEVNTADEMKVAVRLGAKVIGVNNRHLASFEVDLETTSRLMSHV PESTIVCALSGISGPQDVDSYKKNGVRAVLVGEALMRANDKPKFVAELLGGSRTVPTD GPEQPLMVKICGTRSAEAAATAVGAGADLIGMILVPGRKRTVSEEEGLAISEVVHKAT ARTTETNSGIKATAGSDFFASATSNLTSTRPL VE01_10703 MAPRRNRLLFVLVLTAVVGILYLTSSSRAVATHDFYARTRSRLE TDGKGGSGSTSGSGSGSSLDKITPQRKASGGGDDDEVAEAMSRRLMDAEVAAKEMANK KAPTRESVMGDDGGVAGDKDKGKIGKLSGKQGKAEGQKVVTPPKEIVKDSGKGAKDRN VAGRKKYPIAEEGKTVVKEEEKVDDSDEAVTVEMNSILKMSPIIIFSKSYCGFSRKAK QILLTKYLITPTPYVVELDKHPLGPALQARLATMTGRKTVPN VE01_10711 MAFHPAQPYALQQHLRDGSMGMGMGRQPGSAATDAYDDFLDQYL TFEDTDAMGGGAAGAGPGAGNGAGAASAVVVHAQSSSSPFLPRSAMGAPHSEPSPPRD TDELILQFPRPPQQSPQHQTADDQQQQQEDRDQDHENDHEHEHHHHQQQQQQPQQAWA SFPPTHASPPLRSSRGRRQTFAEHCSARATISDSDLLSLEGIHLKSPRYPPSPPQNPR PSTANTVIGTSKTLNSKASKGSLSGSGPSLRRKKAFSRSSSNFHAKDLPATPNTVTTI DPHIRTPSRSASRGAIRKSVSSINISPAKMQAQSVAALDEWTQRMAVAEAAAFEFGFG QGSGIPMTPPPSARVSDTSSASEREMGGGGGGSRSALAMSHSRSLSQTQLAAMGAAID QGNLPWTRPPQQQQFTVVRNGKKLHAPAEVGEMKFVDAFSLPYGVGWGGGDFGGVLQG TEQQEEE VE01_10705 MSDRREKREGGSSGKSASSKGNSKASSSVKTGGKKAQKDDWSGV NEPDERRRIQNRLAQRKFREKAKDQKESRDRDAENQLHAGYSYTSPDPDDLGLESDLS GLPWGGPSMRHIVERGKATQGGSRQGSRDYSFYEYDGAGRGGSGNSRGSDSR VE01_10709 MIFTKPLAGAALLLFAAESAAAAVIRSHAVGGCGIVQDFKGDTK TGESIESDKILRTYD VE01_10706 MKSGQISVAFFSLNNNNNNNNLKLNNLKLNNLKYLSYLLGKRRR VEAQRMNGVTKQVLKGWFDAYKSLITELKIENYNTYNMDETGFSIGTMQSTRIIVDST L VE01_10707 MSSNKASRKKSPTRKGSSRSPAPKDKVSAEQPLLNGTGAAAAGS KEEHPYENIFLFWPNIIGYVRIVLALGSLYYMPLHPRTCTILYSVSCLLDALDGYAAR YFSQSTRFGAVLDMVTDRCTTACLLVFLASAFPRWSIVFQGLISLDLASHYMHMYATL VMGGSGESHKKVDRSRSYILNLYYTNK